Use verilog/rtl/marmot_gl/Marmot_gl.v
diff --git a/def/user_project_wrapper.def b/def/user_project_wrapper.def
index 0dec5c2..3fc41f8 100644
--- a/def/user_project_wrapper.def
+++ b/def/user_project_wrapper.def
@@ -5870,8 +5870,8 @@
     - la_data_in[47] ( PIN la_data_in[47] ) ( Marmot la_data_in[47] ) + USE SIGNAL
       + ROUTED met2 ( 1463030 1700 0 ) ( * 15300 0 ) ;
     - la_data_in[48] ( PIN la_data_in[48] ) ( Marmot la_data_in[48] ) + USE SIGNAL
-      + ROUTED met2 ( 1480510 1700 0 ) ( * 15300 )
-      NEW met2 ( 1479590 15300 0 ) ( 1480510 * ) ;
+      + ROUTED met2 ( 1479590 1700 ) ( 1480510 * 0 )
+      NEW met2 ( 1479590 1700 ) ( * 15300 0 ) ;
     - la_data_in[49] ( PIN la_data_in[49] ) ( Marmot la_data_in[49] ) + USE SIGNAL
       + ROUTED met2 ( 1498450 1700 0 ) ( * 15300 )
       NEW met2 ( 1496150 15300 0 ) ( 1498450 * ) ;
diff --git a/gds/Marmot.gds.gz b/gds/Marmot.gds.gz
index a8903fb..3dcf577 100644
--- a/gds/Marmot.gds.gz
+++ b/gds/Marmot.gds.gz
Binary files differ
diff --git a/gds/user_project_wrapper.gds.gz b/gds/user_project_wrapper.gds.gz
index 579d228..5f90c0e 100644
--- a/gds/user_project_wrapper.gds.gz
+++ b/gds/user_project_wrapper.gds.gz
Binary files differ
diff --git a/lef/Marmot.lef b/lef/Marmot.lef
index 8eb743b..ae2e607 100644
--- a/lef/Marmot.lef
+++ b/lef/Marmot.lef
@@ -5949,7 +5949,7 @@
       LAYER met1 ;
         RECT 5.520 6.840 2874.080 3468.240 ;
       LAYER met2 ;
-        RECT 6.530 3478.720 46.590 3479.000 ;
+        RECT 6.990 3478.720 46.590 3479.000 ;
         RECT 47.710 3478.720 126.170 3479.000 ;
         RECT 127.290 3478.720 205.750 3479.000 ;
         RECT 206.870 3478.720 285.330 3479.000 ;
@@ -5985,9 +5985,9 @@
         RECT 2594.270 3478.720 2672.730 3479.000 ;
         RECT 2673.850 3478.720 2752.310 3479.000 ;
         RECT 2753.430 3478.720 2831.890 3479.000 ;
-        RECT 2833.010 3478.720 2873.530 3479.000 ;
-        RECT 6.530 1.280 2873.530 3478.720 ;
-        RECT 6.530 0.270 78.790 1.280 ;
+        RECT 2833.010 3478.720 2870.760 3479.000 ;
+        RECT 6.990 1.280 2870.760 3478.720 ;
+        RECT 6.990 0.270 78.790 1.280 ;
         RECT 79.910 0.270 84.310 1.280 ;
         RECT 85.430 0.270 89.830 1.280 ;
         RECT 90.950 0.270 95.350 1.280 ;
@@ -6481,7 +6481,7 @@
         RECT 2784.710 0.270 2789.110 1.280 ;
         RECT 2790.230 0.270 2794.630 1.280 ;
         RECT 2795.750 0.270 2800.150 1.280 ;
-        RECT 2801.270 0.270 2873.530 1.280 ;
+        RECT 2801.270 0.270 2870.760 1.280 ;
       LAYER met3 ;
         RECT 1.000 3438.060 2879.000 3468.165 ;
         RECT 1.000 3436.060 2878.600 3438.060 ;
@@ -6697,11 +6697,9 @@
         RECT 1.400 44.900 2879.000 46.900 ;
         RECT 1.000 43.500 2879.000 44.900 ;
         RECT 1.000 41.500 2878.600 43.500 ;
-        RECT 1.000 7.655 2879.000 41.500 ;
+        RECT 1.000 6.975 2879.000 41.500 ;
       LAYER met4 ;
-        RECT 44.455 3378.880 98.570 3467.145 ;
-        RECT 44.455 2936.960 45.430 3378.880 ;
-        RECT 49.330 3376.140 98.570 3378.880 ;
+        RECT 61.015 3376.140 98.570 3467.145 ;
         RECT 102.470 3376.140 188.570 3467.145 ;
         RECT 192.470 3376.140 278.570 3467.145 ;
         RECT 282.470 3376.140 368.570 3467.145 ;
@@ -6710,11 +6708,8 @@
         RECT 552.470 3376.140 638.570 3467.145 ;
         RECT 642.470 3376.140 728.570 3467.145 ;
         RECT 732.470 3376.140 818.570 3467.145 ;
-        RECT 49.330 2940.400 818.570 3376.140 ;
-        RECT 49.330 2936.960 98.570 2940.400 ;
-        RECT 44.455 2818.560 98.570 2936.960 ;
-        RECT 44.455 2376.640 45.430 2818.560 ;
-        RECT 49.330 2816.140 98.570 2818.560 ;
+        RECT 61.015 2940.400 818.570 3376.140 ;
+        RECT 61.015 2816.140 98.570 2940.400 ;
         RECT 102.470 2816.140 188.570 2940.400 ;
         RECT 192.470 2816.140 278.570 2940.400 ;
         RECT 282.470 2816.140 368.570 2940.400 ;
@@ -6723,11 +6718,8 @@
         RECT 552.470 2816.140 638.570 2940.400 ;
         RECT 642.470 2816.140 728.570 2940.400 ;
         RECT 732.470 2816.140 818.570 2940.400 ;
-        RECT 49.330 2380.400 818.570 2816.140 ;
-        RECT 49.330 2376.640 98.570 2380.400 ;
-        RECT 44.455 2258.240 98.570 2376.640 ;
-        RECT 44.455 1816.320 45.430 2258.240 ;
-        RECT 49.330 2256.140 98.570 2258.240 ;
+        RECT 61.015 2380.400 818.570 2816.140 ;
+        RECT 61.015 2256.140 98.570 2380.400 ;
         RECT 102.470 2256.140 188.570 2380.400 ;
         RECT 192.470 2256.140 278.570 2380.400 ;
         RECT 282.470 2256.140 368.570 2380.400 ;
@@ -6736,11 +6728,8 @@
         RECT 552.470 2256.140 638.570 2380.400 ;
         RECT 642.470 2256.140 728.570 2380.400 ;
         RECT 732.470 2256.140 818.570 2380.400 ;
-        RECT 49.330 1820.400 818.570 2256.140 ;
-        RECT 49.330 1816.320 98.570 1820.400 ;
-        RECT 44.455 1697.920 98.570 1816.320 ;
-        RECT 44.455 1256.000 45.430 1697.920 ;
-        RECT 49.330 1696.140 98.570 1697.920 ;
+        RECT 61.015 1820.400 818.570 2256.140 ;
+        RECT 61.015 1696.140 98.570 1820.400 ;
         RECT 102.470 1696.140 188.570 1820.400 ;
         RECT 192.470 1696.140 278.570 1820.400 ;
         RECT 282.470 1696.140 368.570 1820.400 ;
@@ -6749,11 +6738,8 @@
         RECT 552.470 1696.140 638.570 1820.400 ;
         RECT 642.470 1696.140 728.570 1820.400 ;
         RECT 732.470 1696.140 818.570 1820.400 ;
-        RECT 49.330 1260.400 818.570 1696.140 ;
-        RECT 49.330 1256.000 98.570 1260.400 ;
-        RECT 44.455 1137.600 98.570 1256.000 ;
-        RECT 44.455 695.680 45.430 1137.600 ;
-        RECT 49.330 1136.140 98.570 1137.600 ;
+        RECT 61.015 1260.400 818.570 1696.140 ;
+        RECT 61.015 1136.140 98.570 1260.400 ;
         RECT 102.470 1136.140 188.570 1260.400 ;
         RECT 192.470 1136.140 278.570 1260.400 ;
         RECT 282.470 1136.140 368.570 1260.400 ;
@@ -6762,11 +6748,8 @@
         RECT 552.470 1136.140 638.570 1260.400 ;
         RECT 642.470 1136.140 728.570 1260.400 ;
         RECT 732.470 1136.140 818.570 1260.400 ;
-        RECT 49.330 700.400 818.570 1136.140 ;
-        RECT 49.330 695.680 98.570 700.400 ;
-        RECT 44.455 577.280 98.570 695.680 ;
-        RECT 44.455 135.360 45.430 577.280 ;
-        RECT 49.330 576.140 98.570 577.280 ;
+        RECT 61.015 700.400 818.570 1136.140 ;
+        RECT 61.015 576.140 98.570 700.400 ;
         RECT 102.470 576.140 188.570 700.400 ;
         RECT 192.470 576.140 278.570 700.400 ;
         RECT 282.470 576.140 368.570 700.400 ;
@@ -6775,9 +6758,8 @@
         RECT 552.470 576.140 638.570 700.400 ;
         RECT 642.470 576.140 728.570 700.400 ;
         RECT 732.470 576.140 818.570 700.400 ;
-        RECT 49.330 140.400 818.570 576.140 ;
-        RECT 49.330 135.360 98.570 140.400 ;
-        RECT 44.455 10.240 98.570 135.360 ;
+        RECT 61.015 140.400 818.570 576.140 ;
+        RECT 61.015 10.240 98.570 140.400 ;
         RECT 102.470 10.240 188.570 140.400 ;
         RECT 192.470 10.240 278.570 140.400 ;
         RECT 282.470 10.240 368.570 140.400 ;
@@ -6849,8 +6831,8 @@
         RECT 2442.470 3376.140 2528.570 3467.145 ;
         RECT 2532.470 3376.140 2618.570 3467.145 ;
         RECT 2622.470 3376.140 2708.570 3467.145 ;
-        RECT 2712.470 3376.140 2798.570 3467.145 ;
-        RECT 2082.470 2940.400 2798.570 3376.140 ;
+        RECT 2712.470 3376.140 2795.585 3467.145 ;
+        RECT 2082.470 2940.400 2795.585 3376.140 ;
         RECT 2082.470 2816.140 2168.570 2940.400 ;
         RECT 2172.470 2816.140 2258.570 2940.400 ;
         RECT 2262.470 2816.140 2348.570 2940.400 ;
@@ -6858,8 +6840,8 @@
         RECT 2442.470 2816.140 2528.570 2940.400 ;
         RECT 2532.470 2816.140 2618.570 2940.400 ;
         RECT 2622.470 2816.140 2708.570 2940.400 ;
-        RECT 2712.470 2816.140 2798.570 2940.400 ;
-        RECT 2082.470 2380.400 2798.570 2816.140 ;
+        RECT 2712.470 2816.140 2795.585 2940.400 ;
+        RECT 2082.470 2380.400 2795.585 2816.140 ;
         RECT 2082.470 2256.140 2168.570 2380.400 ;
         RECT 2172.470 2256.140 2258.570 2380.400 ;
         RECT 2262.470 2256.140 2348.570 2380.400 ;
@@ -6867,8 +6849,8 @@
         RECT 2442.470 2256.140 2528.570 2380.400 ;
         RECT 2532.470 2256.140 2618.570 2380.400 ;
         RECT 2622.470 2256.140 2708.570 2380.400 ;
-        RECT 2712.470 2256.140 2798.570 2380.400 ;
-        RECT 2082.470 1820.400 2798.570 2256.140 ;
+        RECT 2712.470 2256.140 2795.585 2380.400 ;
+        RECT 2082.470 1820.400 2795.585 2256.140 ;
         RECT 2082.470 1696.140 2168.570 1820.400 ;
         RECT 2172.470 1696.140 2258.570 1820.400 ;
         RECT 2262.470 1696.140 2348.570 1820.400 ;
@@ -6876,8 +6858,8 @@
         RECT 2442.470 1696.140 2528.570 1820.400 ;
         RECT 2532.470 1696.140 2618.570 1820.400 ;
         RECT 2622.470 1696.140 2708.570 1820.400 ;
-        RECT 2712.470 1696.140 2798.570 1820.400 ;
-        RECT 2082.470 1260.400 2798.570 1696.140 ;
+        RECT 2712.470 1696.140 2795.585 1820.400 ;
+        RECT 2082.470 1260.400 2795.585 1696.140 ;
         RECT 2082.470 1136.140 2168.570 1260.400 ;
         RECT 2172.470 1136.140 2258.570 1260.400 ;
         RECT 2262.470 1136.140 2348.570 1260.400 ;
@@ -6885,8 +6867,8 @@
         RECT 2442.470 1136.140 2528.570 1260.400 ;
         RECT 2532.470 1136.140 2618.570 1260.400 ;
         RECT 2622.470 1136.140 2708.570 1260.400 ;
-        RECT 2712.470 1136.140 2798.570 1260.400 ;
-        RECT 2082.470 700.400 2798.570 1136.140 ;
+        RECT 2712.470 1136.140 2795.585 1260.400 ;
+        RECT 2082.470 700.400 2795.585 1136.140 ;
         RECT 2082.470 576.140 2168.570 700.400 ;
         RECT 2172.470 576.140 2258.570 700.400 ;
         RECT 2262.470 576.140 2348.570 700.400 ;
@@ -6894,8 +6876,8 @@
         RECT 2442.470 576.140 2528.570 700.400 ;
         RECT 2532.470 576.140 2618.570 700.400 ;
         RECT 2622.470 576.140 2708.570 700.400 ;
-        RECT 2712.470 576.140 2798.570 700.400 ;
-        RECT 2082.470 140.400 2798.570 576.140 ;
+        RECT 2712.470 576.140 2795.585 700.400 ;
+        RECT 2082.470 140.400 2795.585 576.140 ;
         RECT 2082.470 10.240 2168.570 140.400 ;
         RECT 2172.470 10.240 2258.570 140.400 ;
         RECT 2262.470 10.240 2348.570 140.400 ;
@@ -6903,27 +6885,8 @@
         RECT 2442.470 10.240 2528.570 140.400 ;
         RECT 2532.470 10.240 2618.570 140.400 ;
         RECT 2622.470 10.240 2708.570 140.400 ;
-        RECT 2712.470 10.240 2798.570 140.400 ;
-        RECT 2802.470 3381.600 2870.105 3467.145 ;
-        RECT 2802.470 2934.240 2831.190 3381.600 ;
-        RECT 2835.090 2934.240 2870.105 3381.600 ;
-        RECT 2802.470 2821.280 2870.105 2934.240 ;
-        RECT 2802.470 2379.360 2831.190 2821.280 ;
-        RECT 2835.090 2379.360 2870.105 2821.280 ;
-        RECT 2802.470 2260.960 2870.105 2379.360 ;
-        RECT 2802.470 1819.040 2831.190 2260.960 ;
-        RECT 2835.090 1819.040 2870.105 2260.960 ;
-        RECT 2802.470 1700.640 2870.105 1819.040 ;
-        RECT 2802.470 1258.720 2831.190 1700.640 ;
-        RECT 2835.090 1258.720 2870.105 1700.640 ;
-        RECT 2802.470 1140.320 2870.105 1258.720 ;
-        RECT 2802.470 698.400 2831.190 1140.320 ;
-        RECT 2835.090 698.400 2870.105 1140.320 ;
-        RECT 2802.470 580.000 2870.105 698.400 ;
-        RECT 2802.470 138.080 2831.190 580.000 ;
-        RECT 2835.090 138.080 2870.105 580.000 ;
-        RECT 2802.470 10.240 2870.105 138.080 ;
-        RECT 44.455 7.655 2870.105 10.240 ;
+        RECT 2712.470 10.240 2795.585 140.400 ;
+        RECT 61.015 6.975 2795.585 10.240 ;
   END
 END Marmot
 END LIBRARY
diff --git a/lef/Marmot_del_met5.lef b/lef/Marmot_del_met5.lef
index 10ba64c..b2a7544 100644
--- a/lef/Marmot_del_met5.lef
+++ b/lef/Marmot_del_met5.lef
@@ -5949,7 +5949,7 @@
       LAYER met1 ;
         RECT 5.520 6.840 2874.080 3468.240 ;
       LAYER met2 ;
-        RECT 6.530 3478.720 46.590 3479.000 ;
+        RECT 6.990 3478.720 46.590 3479.000 ;
         RECT 47.710 3478.720 126.170 3479.000 ;
         RECT 127.290 3478.720 205.750 3479.000 ;
         RECT 206.870 3478.720 285.330 3479.000 ;
@@ -5985,9 +5985,9 @@
         RECT 2594.270 3478.720 2672.730 3479.000 ;
         RECT 2673.850 3478.720 2752.310 3479.000 ;
         RECT 2753.430 3478.720 2831.890 3479.000 ;
-        RECT 2833.010 3478.720 2873.530 3479.000 ;
-        RECT 6.530 1.280 2873.530 3478.720 ;
-        RECT 6.530 0.270 78.790 1.280 ;
+        RECT 2833.010 3478.720 2870.760 3479.000 ;
+        RECT 6.990 1.280 2870.760 3478.720 ;
+        RECT 6.990 0.270 78.790 1.280 ;
         RECT 79.910 0.270 84.310 1.280 ;
         RECT 85.430 0.270 89.830 1.280 ;
         RECT 90.950 0.270 95.350 1.280 ;
@@ -6481,7 +6481,7 @@
         RECT 2784.710 0.270 2789.110 1.280 ;
         RECT 2790.230 0.270 2794.630 1.280 ;
         RECT 2795.750 0.270 2800.150 1.280 ;
-        RECT 2801.270 0.270 2873.530 1.280 ;
+        RECT 2801.270 0.270 2870.760 1.280 ;
       LAYER met3 ;
         RECT 1.000 3438.060 2879.000 3468.165 ;
         RECT 1.000 3436.060 2878.600 3438.060 ;
@@ -6697,11 +6697,9 @@
         RECT 1.400 44.900 2879.000 46.900 ;
         RECT 1.000 43.500 2879.000 44.900 ;
         RECT 1.000 41.500 2878.600 43.500 ;
-        RECT 1.000 7.655 2879.000 41.500 ;
+        RECT 1.000 6.975 2879.000 41.500 ;
       LAYER met4 ;
-        RECT 44.455 3378.880 98.570 3467.145 ;
-        RECT 44.455 2936.960 45.430 3378.880 ;
-        RECT 49.330 3376.140 98.570 3378.880 ;
+        RECT 61.015 3376.140 98.570 3467.145 ;
         RECT 102.470 3376.140 188.570 3467.145 ;
         RECT 192.470 3376.140 278.570 3467.145 ;
         RECT 282.470 3376.140 368.570 3467.145 ;
@@ -6710,11 +6708,8 @@
         RECT 552.470 3376.140 638.570 3467.145 ;
         RECT 642.470 3376.140 728.570 3467.145 ;
         RECT 732.470 3376.140 818.570 3467.145 ;
-        RECT 49.330 2940.400 818.570 3376.140 ;
-        RECT 49.330 2936.960 98.570 2940.400 ;
-        RECT 44.455 2818.560 98.570 2936.960 ;
-        RECT 44.455 2376.640 45.430 2818.560 ;
-        RECT 49.330 2816.140 98.570 2818.560 ;
+        RECT 61.015 2940.400 818.570 3376.140 ;
+        RECT 61.015 2816.140 98.570 2940.400 ;
         RECT 102.470 2816.140 188.570 2940.400 ;
         RECT 192.470 2816.140 278.570 2940.400 ;
         RECT 282.470 2816.140 368.570 2940.400 ;
@@ -6723,11 +6718,8 @@
         RECT 552.470 2816.140 638.570 2940.400 ;
         RECT 642.470 2816.140 728.570 2940.400 ;
         RECT 732.470 2816.140 818.570 2940.400 ;
-        RECT 49.330 2380.400 818.570 2816.140 ;
-        RECT 49.330 2376.640 98.570 2380.400 ;
-        RECT 44.455 2258.240 98.570 2376.640 ;
-        RECT 44.455 1816.320 45.430 2258.240 ;
-        RECT 49.330 2256.140 98.570 2258.240 ;
+        RECT 61.015 2380.400 818.570 2816.140 ;
+        RECT 61.015 2256.140 98.570 2380.400 ;
         RECT 102.470 2256.140 188.570 2380.400 ;
         RECT 192.470 2256.140 278.570 2380.400 ;
         RECT 282.470 2256.140 368.570 2380.400 ;
@@ -6736,11 +6728,8 @@
         RECT 552.470 2256.140 638.570 2380.400 ;
         RECT 642.470 2256.140 728.570 2380.400 ;
         RECT 732.470 2256.140 818.570 2380.400 ;
-        RECT 49.330 1820.400 818.570 2256.140 ;
-        RECT 49.330 1816.320 98.570 1820.400 ;
-        RECT 44.455 1697.920 98.570 1816.320 ;
-        RECT 44.455 1256.000 45.430 1697.920 ;
-        RECT 49.330 1696.140 98.570 1697.920 ;
+        RECT 61.015 1820.400 818.570 2256.140 ;
+        RECT 61.015 1696.140 98.570 1820.400 ;
         RECT 102.470 1696.140 188.570 1820.400 ;
         RECT 192.470 1696.140 278.570 1820.400 ;
         RECT 282.470 1696.140 368.570 1820.400 ;
@@ -6749,11 +6738,8 @@
         RECT 552.470 1696.140 638.570 1820.400 ;
         RECT 642.470 1696.140 728.570 1820.400 ;
         RECT 732.470 1696.140 818.570 1820.400 ;
-        RECT 49.330 1260.400 818.570 1696.140 ;
-        RECT 49.330 1256.000 98.570 1260.400 ;
-        RECT 44.455 1137.600 98.570 1256.000 ;
-        RECT 44.455 695.680 45.430 1137.600 ;
-        RECT 49.330 1136.140 98.570 1137.600 ;
+        RECT 61.015 1260.400 818.570 1696.140 ;
+        RECT 61.015 1136.140 98.570 1260.400 ;
         RECT 102.470 1136.140 188.570 1260.400 ;
         RECT 192.470 1136.140 278.570 1260.400 ;
         RECT 282.470 1136.140 368.570 1260.400 ;
@@ -6762,11 +6748,8 @@
         RECT 552.470 1136.140 638.570 1260.400 ;
         RECT 642.470 1136.140 728.570 1260.400 ;
         RECT 732.470 1136.140 818.570 1260.400 ;
-        RECT 49.330 700.400 818.570 1136.140 ;
-        RECT 49.330 695.680 98.570 700.400 ;
-        RECT 44.455 577.280 98.570 695.680 ;
-        RECT 44.455 135.360 45.430 577.280 ;
-        RECT 49.330 576.140 98.570 577.280 ;
+        RECT 61.015 700.400 818.570 1136.140 ;
+        RECT 61.015 576.140 98.570 700.400 ;
         RECT 102.470 576.140 188.570 700.400 ;
         RECT 192.470 576.140 278.570 700.400 ;
         RECT 282.470 576.140 368.570 700.400 ;
@@ -6775,9 +6758,8 @@
         RECT 552.470 576.140 638.570 700.400 ;
         RECT 642.470 576.140 728.570 700.400 ;
         RECT 732.470 576.140 818.570 700.400 ;
-        RECT 49.330 140.400 818.570 576.140 ;
-        RECT 49.330 135.360 98.570 140.400 ;
-        RECT 44.455 10.240 98.570 135.360 ;
+        RECT 61.015 140.400 818.570 576.140 ;
+        RECT 61.015 10.240 98.570 140.400 ;
         RECT 102.470 10.240 188.570 140.400 ;
         RECT 192.470 10.240 278.570 140.400 ;
         RECT 282.470 10.240 368.570 140.400 ;
@@ -6849,8 +6831,8 @@
         RECT 2442.470 3376.140 2528.570 3467.145 ;
         RECT 2532.470 3376.140 2618.570 3467.145 ;
         RECT 2622.470 3376.140 2708.570 3467.145 ;
-        RECT 2712.470 3376.140 2798.570 3467.145 ;
-        RECT 2082.470 2940.400 2798.570 3376.140 ;
+        RECT 2712.470 3376.140 2795.585 3467.145 ;
+        RECT 2082.470 2940.400 2795.585 3376.140 ;
         RECT 2082.470 2816.140 2168.570 2940.400 ;
         RECT 2172.470 2816.140 2258.570 2940.400 ;
         RECT 2262.470 2816.140 2348.570 2940.400 ;
@@ -6858,8 +6840,8 @@
         RECT 2442.470 2816.140 2528.570 2940.400 ;
         RECT 2532.470 2816.140 2618.570 2940.400 ;
         RECT 2622.470 2816.140 2708.570 2940.400 ;
-        RECT 2712.470 2816.140 2798.570 2940.400 ;
-        RECT 2082.470 2380.400 2798.570 2816.140 ;
+        RECT 2712.470 2816.140 2795.585 2940.400 ;
+        RECT 2082.470 2380.400 2795.585 2816.140 ;
         RECT 2082.470 2256.140 2168.570 2380.400 ;
         RECT 2172.470 2256.140 2258.570 2380.400 ;
         RECT 2262.470 2256.140 2348.570 2380.400 ;
@@ -6867,8 +6849,8 @@
         RECT 2442.470 2256.140 2528.570 2380.400 ;
         RECT 2532.470 2256.140 2618.570 2380.400 ;
         RECT 2622.470 2256.140 2708.570 2380.400 ;
-        RECT 2712.470 2256.140 2798.570 2380.400 ;
-        RECT 2082.470 1820.400 2798.570 2256.140 ;
+        RECT 2712.470 2256.140 2795.585 2380.400 ;
+        RECT 2082.470 1820.400 2795.585 2256.140 ;
         RECT 2082.470 1696.140 2168.570 1820.400 ;
         RECT 2172.470 1696.140 2258.570 1820.400 ;
         RECT 2262.470 1696.140 2348.570 1820.400 ;
@@ -6876,8 +6858,8 @@
         RECT 2442.470 1696.140 2528.570 1820.400 ;
         RECT 2532.470 1696.140 2618.570 1820.400 ;
         RECT 2622.470 1696.140 2708.570 1820.400 ;
-        RECT 2712.470 1696.140 2798.570 1820.400 ;
-        RECT 2082.470 1260.400 2798.570 1696.140 ;
+        RECT 2712.470 1696.140 2795.585 1820.400 ;
+        RECT 2082.470 1260.400 2795.585 1696.140 ;
         RECT 2082.470 1136.140 2168.570 1260.400 ;
         RECT 2172.470 1136.140 2258.570 1260.400 ;
         RECT 2262.470 1136.140 2348.570 1260.400 ;
@@ -6885,8 +6867,8 @@
         RECT 2442.470 1136.140 2528.570 1260.400 ;
         RECT 2532.470 1136.140 2618.570 1260.400 ;
         RECT 2622.470 1136.140 2708.570 1260.400 ;
-        RECT 2712.470 1136.140 2798.570 1260.400 ;
-        RECT 2082.470 700.400 2798.570 1136.140 ;
+        RECT 2712.470 1136.140 2795.585 1260.400 ;
+        RECT 2082.470 700.400 2795.585 1136.140 ;
         RECT 2082.470 576.140 2168.570 700.400 ;
         RECT 2172.470 576.140 2258.570 700.400 ;
         RECT 2262.470 576.140 2348.570 700.400 ;
@@ -6894,8 +6876,8 @@
         RECT 2442.470 576.140 2528.570 700.400 ;
         RECT 2532.470 576.140 2618.570 700.400 ;
         RECT 2622.470 576.140 2708.570 700.400 ;
-        RECT 2712.470 576.140 2798.570 700.400 ;
-        RECT 2082.470 140.400 2798.570 576.140 ;
+        RECT 2712.470 576.140 2795.585 700.400 ;
+        RECT 2082.470 140.400 2795.585 576.140 ;
         RECT 2082.470 10.240 2168.570 140.400 ;
         RECT 2172.470 10.240 2258.570 140.400 ;
         RECT 2262.470 10.240 2348.570 140.400 ;
@@ -6903,27 +6885,8 @@
         RECT 2442.470 10.240 2528.570 140.400 ;
         RECT 2532.470 10.240 2618.570 140.400 ;
         RECT 2622.470 10.240 2708.570 140.400 ;
-        RECT 2712.470 10.240 2798.570 140.400 ;
-        RECT 2802.470 3381.600 2870.105 3467.145 ;
-        RECT 2802.470 2934.240 2831.190 3381.600 ;
-        RECT 2835.090 2934.240 2870.105 3381.600 ;
-        RECT 2802.470 2821.280 2870.105 2934.240 ;
-        RECT 2802.470 2379.360 2831.190 2821.280 ;
-        RECT 2835.090 2379.360 2870.105 2821.280 ;
-        RECT 2802.470 2260.960 2870.105 2379.360 ;
-        RECT 2802.470 1819.040 2831.190 2260.960 ;
-        RECT 2835.090 1819.040 2870.105 2260.960 ;
-        RECT 2802.470 1700.640 2870.105 1819.040 ;
-        RECT 2802.470 1258.720 2831.190 1700.640 ;
-        RECT 2835.090 1258.720 2870.105 1700.640 ;
-        RECT 2802.470 1140.320 2870.105 1258.720 ;
-        RECT 2802.470 698.400 2831.190 1140.320 ;
-        RECT 2835.090 698.400 2870.105 1140.320 ;
-        RECT 2802.470 580.000 2870.105 698.400 ;
-        RECT 2802.470 138.080 2831.190 580.000 ;
-        RECT 2835.090 138.080 2870.105 580.000 ;
-        RECT 2802.470 10.240 2870.105 138.080 ;
-        RECT 44.455 7.655 2870.105 10.240 ;
+        RECT 2712.470 10.240 2795.585 140.400 ;
+        RECT 61.015 6.975 2795.585 10.240 ;
   END
 END Marmot
 END LIBRARY
diff --git a/lef/user_project_wrapper.lef b/lef/user_project_wrapper.lef
index 033caeb..2caf3d7 100644
--- a/lef/user_project_wrapper.lef
+++ b/lef/user_project_wrapper.lef
@@ -6182,7 +6182,7 @@
         RECT 2.800 31.300 2917.930 31.980 ;
         RECT 2.400 0.175 2917.930 31.300 ;
       LAYER met4 ;
-        RECT 28.970 27.655 2890.105 3488.240 ;
+        RECT 28.970 26.975 2854.690 3488.240 ;
   END
 END user_project_wrapper
 END LIBRARY
diff --git a/mag/user_project_wrapper.mag b/mag/user_project_wrapper.mag
index 19422ca..2a8b59c 100644
--- a/mag/user_project_wrapper.mag
+++ b/mag/user_project_wrapper.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130B
 magscale 1 2
-timestamp 1661762021
+timestamp 1661812409
 << metal1 >>
 rect 186498 702992 186504 703044
 rect 186556 703032 186562 703044
@@ -7310,11 +7310,7 @@
 rect 292592 480 292620 3060
 rect 293696 480 293724 3060
 rect 294814 3046 294920 3074
-rect 295918 3046 296116 3074
-rect 297022 3046 297312 3074
 rect 294892 480 294920 3046
-rect 296088 480 296116 3046
-rect 297284 480 297312 3046
 rect 288962 326 289216 354
 rect 288962 -960 289074 326
 rect 290158 -960 290270 480
@@ -7322,7 +7318,12 @@
 rect 292550 -960 292662 480
 rect 293654 -960 293766 480
 rect 294850 -960 294962 480
-rect 296046 -960 296158 480
+rect 295904 354 295932 3060
+rect 297022 3046 297312 3074
+rect 297284 480 297312 3046
+rect 296046 354 296158 480
+rect 295904 326 296158 354
+rect 296046 -960 296158 326
 rect 297242 -960 297354 480
 rect 298112 354 298140 3060
 rect 299230 3046 299704 3074
diff --git a/maglef/user_project_wrapper.mag b/maglef/user_project_wrapper.mag
index 12c0e10..7ba8942 100644
--- a/maglef/user_project_wrapper.mag
+++ b/maglef/user_project_wrapper.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130B
 magscale 1 2
-timestamp 1661762683
+timestamp 1661812950
 << obsli1 >>
 rect 5104 6159 578816 697617
 << obsm1 >>
@@ -1413,7 +1413,7 @@
 rect 591070 -6694 591690 710630
 rect 592030 -7654 592650 711590
 << obsm4 >>
-rect 5794 5531 578021 697648
+rect 5794 5395 570938 697648
 << metal5 >>
 rect -8726 710970 592650 711590
 rect -7766 710010 591690 710630
@@ -2896,8 +2896,8 @@
 string FIXED_BBOX 0 0 584000 704000
 string LEFclass BLOCK
 string LEFview TRUE
-string GDS_END 450778260
-string GDS_FILE /home/shc/Development/efabless/marmot_asic_v2/openlane/user_project_wrapper/runs/22_08_29_17_31/results/signoff/user_project_wrapper.magic.gds
-string GDS_START 449994830
+string GDS_END 400446038
+string GDS_FILE /home/shc/Development/efabless/marmot_asic_v2/openlane/user_project_wrapper/runs/22_08_30_07_31/results/signoff/user_project_wrapper.magic.gds
+string GDS_START 399662544
 << end >>
 
diff --git a/openlane/marmot/base.sdc b/openlane/marmot/base.sdc
index 97a2d2b..546ab67 100644
--- a/openlane/marmot/base.sdc
+++ b/openlane/marmot/base.sdc
@@ -7,9 +7,15 @@
 # create clock
 create_clock [get_ports wb_clk_i] -name wb_clk_i -period $::env(CLOCK_PERIOD)
 
+# For RTL
+#create_generated_clock -name slow_clock -add \
+#  -source [get_ports wb_clk_i] -master_clock [get_clocks wb_clk_i] -divide_by 4 \
+#  -comment {AON clk} [get_nets \MarmotCaravelChip.clockToggleReg ]
+
+# For Gate
 create_generated_clock -name slow_clock -add \
   -source [get_ports wb_clk_i] -master_clock [get_clocks wb_clk_i] -divide_by 4 \
-  -comment {AON clk} [get_nets \MarmotCaravelChip.clockToggleReg ]
+  -comment {AON clk} [get_nets MarmotCaravelChip_dut_sys_aon_1_io_rtc]
 
 create_clock [get_ports $TCK_port] -name jtag_TCK -period 100.0
 
diff --git a/openlane/marmot/config.tcl b/openlane/marmot/config.tcl
index dcbd7b8..3b02c8f 100644
--- a/openlane/marmot/config.tcl
+++ b/openlane/marmot/config.tcl
@@ -27,11 +27,14 @@
 
 set ::env(CLOCK_PORT) "wb_clk_i"
 
-set ::env(CLOCK_PERIOD) "40"
+set ::env(CLOCK_PERIOD) "20"
 
 set ::env(FP_SIZING) absolute
 set ::env(DIE_AREA) "0 0 2880 3480"
 
+set ::env(PL_RESIZER_HOLD_SLACK_MARGIN)  0.3
+set ::env(GLB_RESIZER_HOLD_SLACK_MARGIN) 0.3
+
 #set ::env(PL_OPENPHYSYN_OPTIMIZATIONS) 0
 set ::env(DIODE_INSERTION_STRATEGY) 5
 
@@ -50,8 +53,15 @@
 set ::env(GND_PIN) "vssd1"
 set ::env(PL_TARGET_DENSITY) 0.40
 set ::env(PL_SKIP_INITIAL_PLACEMENT) 1
-set ::env(DECAP_CELL) {sky130_ef_sc_hd__decap_*  sky130_fd_sc_hd__decap_*}
-#set ::env(FILL_CELL) {sky130_fd_sc_hd__fill_}
+set ::env(DECAP_CELL) "\
+	sky130_fd_sc_hd__decap_3 \
+	sky130_fd_sc_hd__decap_4 \
+	sky130_fd_sc_hd__decap_6 \
+	sky130_fd_sc_hd__decap_8 \
+	sky130_ef_sc_hd__decap_12"
+set ::env(FILL_CELL) "\
+  sky130_ef_sc_hd__fill* \
+  sky130_fd_sc_hd__fill*"
 #set ::env(CTS_TARGET_SKEW) 200
 #set ::env(CTS_SINK_CLUSTERING_SIZE) 100
 #set ::env(CTS_SINK_CLUSTERING_MAX_DIAMETER) 1000
@@ -75,10 +85,10 @@
 set ::env(SYNTH_DEFINES) "SYNTHESIS"
 set ::env(SYNTH_READ_BLACKBOX_LIB) 1
 
-set ::env(VERILOG_INCLUDE_DIRS) [glob $script_dir/../../../verilog/rtl/marmot]
+set ::env(VERILOG_INCLUDE_DIRS) [glob $script_dir/../../../verilog/rtl/marmot_gl]
 set ::env(VERILOG_FILES) "\
 	$::env(CARAVEL_ROOT)/verilog/rtl/defines.v \
-	[glob $script_dir/../../../verilog/rtl/marmot/*.v]"
+	[glob $script_dir/../../../verilog/rtl/marmot_gl/*.v]"
 
 ## SDC
 set ::env(IO_PCT)     0.2
diff --git a/openlane/marmot/macro.cfg b/openlane/marmot/macro.cfg
index 05a8bd5..a894f61 100644
--- a/openlane/marmot/macro.cfg
+++ b/openlane/marmot/macro.cfg
@@ -1,18 +1,18 @@
 # I-Cache / ITIM RAMs
-MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.frontend.icache.data_arrays_0.data_arrays_0_0_ext.ram0l  100 1270 FS
-MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.frontend.icache.data_arrays_0.data_arrays_0_0_ext.ram0h  100 1830 N
-MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.frontend.icache.data_arrays_0.data_arrays_0_0_ext.ram1l  100 2390 FS
-MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.frontend.icache.data_arrays_0.data_arrays_0_0_ext.ram1h  100 2950 N
-MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.frontend.icache.data_arrays_0.data_arrays_0_0_ext.ram2l 2100 2390 FS
-MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.frontend.icache.data_arrays_0.data_arrays_0_0_ext.ram2h 2100 2950 N
-MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.frontend.icache.data_arrays_0.data_arrays_0_0_ext.ram3l 2100 1270 FS
-MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.frontend.icache.data_arrays_0.data_arrays_0_0_ext.ram3h 2100 1830 N
-MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.frontend.icache.tag_array.tag_array_0_ext.raml           910 2950 FN
-MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.frontend.icache.tag_array.tag_array_0_ext.ramh          1490 2950 N
+MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram0l  100 1270 FS
+MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram0h  100 1830 N
+MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram1l  100 2390 FS
+MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram1h  100 2950 N
+MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram2l 2100 2390 FS
+MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram2h 2100 2950 N
+MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram3l 2100 1270 FS
+MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram3h 2100 1830 N
+MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_array_tag_array_0_ext_raml           910 2950 FN
+MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_array_tag_array_0_ext_ramh          1490 2950 N
 # D-Cache RAMs
-MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.dcache.data.data_arrays_0.data_arrays_0_ext.ram0l       2100  150 FS
-MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.dcache.data.data_arrays_0.data_arrays_0_ext.ram1l       2100  710 N
-MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.dcache.data.data_arrays_0.data_arrays_0_ext.ram0h        100  150 FS
-MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.dcache.data.data_arrays_0.data_arrays_0_ext.ram1h        100  710 N
-MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.dcache.tag_array.tag_array_ext.raml                     1490  150 FS
-MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.dcache.tag_array.tag_array_ext.ramh                      910  150 S
+MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram0l       2100  150 FS
+MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram1l       2100  710 N
+MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram0h        100  150 FS
+MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram1h        100  710 N
+MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tag_array_tag_array_ext_raml                     1490  150 FS
+MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tag_array_tag_array_ext_ramh                      910  150 S
diff --git a/openlane/marmot/macro.cfg.rtl b/openlane/marmot/macro.cfg.rtl
new file mode 100644
index 0000000..17134b0
--- /dev/null
+++ b/openlane/marmot/macro.cfg.rtl
@@ -0,0 +1,18 @@
+# I-Cache / ITIM RAMs
+MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.frontend.icache.data_arrays_0.data_arrays_0_0_ext.ram0l  100 1270 S
+MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.frontend.icache.data_arrays_0.data_arrays_0_0_ext.ram0h  100 1830 FN
+MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.frontend.icache.data_arrays_0.data_arrays_0_0_ext.ram1l  100 2390 S
+MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.frontend.icache.data_arrays_0.data_arrays_0_0_ext.ram1h  100 2950 FN
+MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.frontend.icache.data_arrays_0.data_arrays_0_0_ext.ram2l 2100 2390 FS
+MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.frontend.icache.data_arrays_0.data_arrays_0_0_ext.ram2h 2100 2950 N
+MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.frontend.icache.data_arrays_0.data_arrays_0_0_ext.ram3l 2100 1270 FS
+MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.frontend.icache.data_arrays_0.data_arrays_0_0_ext.ram3h 2100 1830 N
+MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.frontend.icache.tag_array.tag_array_0_ext.raml           910 2950 FN
+MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.frontend.icache.tag_array.tag_array_0_ext.ramh          1490 2950 N
+# D-Cache RAMs
+MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.dcache.data.data_arrays_0.data_arrays_0_ext.ram0l       2100  150 FS
+MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.dcache.data.data_arrays_0.data_arrays_0_ext.ram1l       2100  710 N
+MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.dcache.data.data_arrays_0.data_arrays_0_ext.ram0h        100  150 S
+MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.dcache.data.data_arrays_0.data_arrays_0_ext.ram1h        100  710 FN
+MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.dcache.tag_array.tag_array_ext.raml                     1490  150 FS
+MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.dcache.tag_array.tag_array_ext.ramh                      910  150 S
diff --git a/openlane/marmot/macro_pdn.tcl b/openlane/marmot/macro_pdn.tcl
index ea4daff..27d38ba 100644
--- a/openlane/marmot/macro_pdn.tcl
+++ b/openlane/marmot/macro_pdn.tcl
@@ -1,18 +1,18 @@
 # Macro PDN Connections
 set ::env(FP_PDN_MACRO_HOOKS) "\
-  MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.frontend.icache.data_arrays_0.data_arrays_0_0_ext.ram0l vccd1 vssd1 vccd1 vssd1,\
-  MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.frontend.icache.data_arrays_0.data_arrays_0_0_ext.ram0h vccd1 vssd1 vccd1 vssd1,\
-  MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.frontend.icache.data_arrays_0.data_arrays_0_0_ext.ram1l vccd1 vssd1 vccd1 vssd1,\
-  MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.frontend.icache.data_arrays_0.data_arrays_0_0_ext.ram1h vccd1 vssd1 vccd1 vssd1,\
-  MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.frontend.icache.data_arrays_0.data_arrays_0_0_ext.ram2l vccd1 vssd1 vccd1 vssd1,\
-  MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.frontend.icache.data_arrays_0.data_arrays_0_0_ext.ram2h vccd1 vssd1 vccd1 vssd1,\
-  MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.frontend.icache.data_arrays_0.data_arrays_0_0_ext.ram3l vccd1 vssd1 vccd1 vssd1,\
-  MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.frontend.icache.data_arrays_0.data_arrays_0_0_ext.ram3h vccd1 vssd1 vccd1 vssd1,\
-  MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.frontend.icache.tag_array.tag_array_0_ext.raml vccd1 vssd1 vccd1 vssd1,\
-  MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.frontend.icache.tag_array.tag_array_0_ext.ramh vccd1 vssd1 vccd1 vssd1,\
-  MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.dcache.data.data_arrays_0.data_arrays_0_ext.ram0l vccd1 vssd1 vccd1 vssd1,\
-  MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.dcache.data.data_arrays_0.data_arrays_0_ext.ram1l vccd1 vssd1 vccd1 vssd1,\
-  MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.dcache.data.data_arrays_0.data_arrays_0_ext.ram0h vccd1 vssd1 vccd1 vssd1,\
-  MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.dcache.data.data_arrays_0.data_arrays_0_ext.ram1h vccd1 vssd1 vccd1 vssd1,\
-  MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.dcache.tag_array.tag_array_ext.raml vccd1 vssd1 vccd1 vssd1,\
-  MarmotCaravelChip.dut.sys.tile_prci_domain.tile_reset_domain.tile.dcache.tag_array.tag_array_ext.ramh vccd1 vssd1 vccd1 vssd1"
+  MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram0l vccd1 vssd1 vccd1 vssd1,\
+  MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram0h vccd1 vssd1 vccd1 vssd1,\
+  MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram1l vccd1 vssd1 vccd1 vssd1,\
+  MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram1h vccd1 vssd1 vccd1 vssd1,\
+  MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram2l vccd1 vssd1 vccd1 vssd1,\
+  MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram2h vccd1 vssd1 vccd1 vssd1,\
+  MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram3l vccd1 vssd1 vccd1 vssd1,\
+  MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram3h vccd1 vssd1 vccd1 vssd1,\
+  MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_array_tag_array_0_ext_raml vccd1 vssd1 vccd1 vssd1,\
+  MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_array_tag_array_0_ext_ramh vccd1 vssd1 vccd1 vssd1,\
+  MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram0l vccd1 vssd1 vccd1 vssd1,\
+  MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram1l vccd1 vssd1 vccd1 vssd1,\
+  MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram0h vccd1 vssd1 vccd1 vssd1,\
+  MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram1h vccd1 vssd1 vccd1 vssd1,\
+  MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tag_array_tag_array_ext_raml vccd1 vssd1 vccd1 vssd1,\
+  MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tag_array_tag_array_ext_ramh vccd1 vssd1 vccd1 vssd1"
diff --git a/spi/lvs/Marmot.spice b/spi/lvs/Marmot.spice
index 2e8b0f6..35e70e8 100644
--- a/spi/lvs/Marmot.spice
+++ b/spi/lvs/Marmot.spice
Binary files differ
diff --git a/verilog/gl/Marmot.v.gz b/verilog/gl/Marmot.v.gz
index f7c98a3..8e05fb0 100644
--- a/verilog/gl/Marmot.v.gz
+++ b/verilog/gl/Marmot.v.gz
Binary files differ
diff --git a/verilog/rtl/marmot_gl/Marmot_gl.v b/verilog/rtl/marmot_gl/Marmot_gl.v
new file mode 100644
index 0000000..947e5db
--- /dev/null
+++ b/verilog/rtl/marmot_gl/Marmot_gl.v
@@ -0,0 +1,204379 @@
+module Marmot ( wb_clk_i, wb_rst_i, wbs_stb_i, wbs_cyc_i, wbs_we_i, wbs_sel_i, 
+        wbs_dat_i, wbs_adr_i, wbs_ack_o, wbs_dat_o, la_data_in, la_data_out, 
+        la_oenb, io_in, io_out, io_oeb, analog_io, user_clock2, user_irq );
+  input [3:0] wbs_sel_i;
+  input [31:0] wbs_dat_i;
+  input [31:0] wbs_adr_i;
+  output [31:0] wbs_dat_o;
+  input [127:0] la_data_in;
+  output [127:0] la_data_out;
+  input [127:0] la_oenb;
+  input [37:0] io_in;
+  output [37:0] io_out;
+  output [37:0] io_oeb;
+  inout [28:0] analog_io;
+  output [2:0] user_irq;
+  input wb_clk_i, wb_rst_i, wbs_stb_i, wbs_cyc_i, wbs_we_i, user_clock2;
+  output wbs_ack_o;
+  wire   n86600, n4, n6, n7, n8, n9, n10, n11, n12, n13, n14, n15, n16, n17,
+         n18, n19, n20, n21, n22, n23, n24, n25, n26, n27, n28, n29, n30, n32,
+         n33, n34, n35, n36, n37, n38, n39, MarmotCaravelChip_N9,
+         MarmotCaravelChip_N8, MarmotCaravelChip_N6, MarmotCaravelChip_N4,
+         MarmotCaravelChip_dut_io_pins_qspi_ram_dq_3_i_ival,
+         MarmotCaravelChip_dut_io_pins_qspi_ram_dq_2_i_ival,
+         MarmotCaravelChip_dut_io_pins_qspi_ram_dq_1_i_ival,
+         MarmotCaravelChip_dut_io_pins_qspi_ram_dq_0_i_ival,
+         MarmotCaravelChip_dut_io_pins_qspi_dq_3_i_ival,
+         MarmotCaravelChip_dut_io_pins_qspi_dq_2_i_ival,
+         MarmotCaravelChip_dut_io_pins_qspi_dq_1_i_ival,
+         MarmotCaravelChip_dut_io_pins_qspi_dq_0_i_ival,
+         MarmotCaravelChip_dut_io_pins_gpio_pins_31_o_oe,
+         MarmotCaravelChip_dut_io_pins_gpio_pins_30_o_oe,
+         MarmotCaravelChip_dut_io_pins_gpio_pins_29_o_oe,
+         MarmotCaravelChip_dut_io_pins_gpio_pins_28_o_oe,
+         MarmotCaravelChip_dut_io_pins_gpio_pins_27_o_oe,
+         MarmotCaravelChip_dut_io_pins_gpio_pins_26_o_oe,
+         MarmotCaravelChip_dut_io_pins_gpio_pins_25_o_oe,
+         MarmotCaravelChip_dut_io_pins_gpio_pins_24_i_ival,
+         MarmotCaravelChip_dut_io_pins_gpio_pins_23_i_ival,
+         MarmotCaravelChip_dut_io_pins_gpio_pins_22_i_ival,
+         MarmotCaravelChip_dut_io_pins_gpio_pins_21_i_ival,
+         MarmotCaravelChip_dut_io_pins_gpio_pins_20_i_ival,
+         MarmotCaravelChip_dut_io_pins_gpio_pins_19_i_ival,
+         MarmotCaravelChip_dut_io_pins_gpio_pins_18_i_ival,
+         MarmotCaravelChip_dut_io_pins_gpio_pins_17_i_ival,
+         MarmotCaravelChip_dut_io_pins_gpio_pins_16_i_ival,
+         MarmotCaravelChip_dut_io_pins_gpio_pins_15_i_ival,
+         MarmotCaravelChip_dut_io_pins_gpio_pins_14_i_ival,
+         MarmotCaravelChip_dut_io_pins_gpio_pins_13_i_ival,
+         MarmotCaravelChip_dut_io_pins_gpio_pins_12_i_ival,
+         MarmotCaravelChip_dut_io_pins_gpio_pins_11_o_oe,
+         MarmotCaravelChip_dut_io_pins_gpio_pins_10_o_oe,
+         MarmotCaravelChip_dut_io_pins_gpio_pins_9_o_oe,
+         MarmotCaravelChip_dut_io_pins_gpio_pins_8_o_oe,
+         MarmotCaravelChip_dut_io_pins_gpio_pins_7_o_oe,
+         MarmotCaravelChip_dut_io_pins_gpio_pins_6_o_oe,
+         MarmotCaravelChip_dut_io_pins_gpio_pins_5_i_ival,
+         MarmotCaravelChip_dut_io_pins_gpio_pins_4_i_ival,
+         MarmotCaravelChip_dut_io_pins_gpio_pins_3_i_ival,
+         MarmotCaravelChip_dut_io_pins_gpio_pins_2_i_ival,
+         MarmotCaravelChip_dut_io_pins_gpio_pins_1_i_ival,
+         MarmotCaravelChip_dut_io_pins_gpio_pins_0_i_ival,
+         MarmotCaravelChip_dut_io_pins_jtag_TDO_o_oe,
+         MarmotCaravelChip_dut_sys_uart_0_rxd_uart_rxd_sync_io_q,
+         MarmotCaravelChip_dut_sys_qspi_ram_0_dq_3_i_spi_dq_3_sync_io_q,
+         MarmotCaravelChip_dut_sys_qspi_ram_0_dq_2_i_spi_dq_2_sync_io_q,
+         MarmotCaravelChip_dut_sys_qspi_ram_0_dq_1_i_spi_dq_1_sync_io_q,
+         MarmotCaravelChip_dut_sys_qspi_ram_0_dq_0_i_spi_dq_0_sync_io_q,
+         MarmotCaravelChip_dut_sys_qspi_0_dq_3_i_spi_dq_3_sync_io_q,
+         MarmotCaravelChip_dut_sys_qspi_0_dq_2_i_spi_dq_2_sync_io_q,
+         MarmotCaravelChip_dut_sys_qspi_0_dq_1_i_spi_dq_1_sync_io_q,
+         MarmotCaravelChip_dut_sys_qspi_0_dq_0_i_spi_dq_0_sync_io_q,
+         MarmotCaravelChip_dut_sys_iof_0_iof_0_22_i_ival,
+         MarmotCaravelChip_dut_sys_iof_0_iof_0_20_i_ival,
+         MarmotCaravelChip_dut_sys_iof_0_iof_0_18_i_ival,
+         MarmotCaravelChip_dut_sys_iof_0_iof_0_16_i_ival,
+         MarmotCaravelChip_dut_sys_iof_0_iof_0_15_i_ival,
+         MarmotCaravelChip_dut_sys_iof_0_iof_0_14_i_ival,
+         MarmotCaravelChip_dut_sys_iof_0_iof_0_13_i_ival,
+         MarmotCaravelChip_dut_sys_iof_0_iof_0_12_i_ival,
+         MarmotCaravelChip_dut_sys_iof_0_iof_0_5_i_ival,
+         MarmotCaravelChip_dut_sys_iof_0_iof_0_4_i_ival,
+         MarmotCaravelChip_dut_sys_iof_0_iof_0_3_i_ival,
+         MarmotCaravelChip_dut_sys_iof_0_iof_0_2_i_ival,
+         MarmotCaravelChip_dut_sys_spi_0_cs_1,
+         MarmotCaravelChip_dut_sys_spi_0_cs_0,
+         MarmotCaravelChip_dut_sys_spi_0_dq_3_o,
+         MarmotCaravelChip_dut_sys_spi_0_dq_2_o,
+         MarmotCaravelChip_dut_sys_spi_0_dq_1_o,
+         MarmotCaravelChip_dut_sys_spi_0_dq_0_o,
+         MarmotCaravelChip_dut_sys_spi_0_sck,
+         MarmotCaravelChip_dut_sys_uart_4_txd,
+         MarmotCaravelChip_dut_sys_uart_3_txd,
+         MarmotCaravelChip_dut_sys_uart_2_txd,
+         MarmotCaravelChip_dut_sys_uart_1_txd, MarmotCaravelChip_dut_sys_N5,
+         MarmotCaravelChip_dut_sys_N4, MarmotCaravelChip_dut_sys_aon_1_io_rtc,
+         MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_safe_widx_valid,
+         MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_widx,
+         MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_opcode_0_,
+         MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_a_safe_ridx_valid,
+         MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_a_ridx,
+         MarmotCaravelChip_dut_sys_aon_1_auto_intsource_out_sync_1,
+         MarmotCaravelChip_dut_sys_aon_1_auto_intsource_out_sync_0,
+         MarmotCaravelChip_dut_sys_debug_1_io_ctrl_ndreset,
+         MarmotCaravelChip_dut_sys_clint_io_rtcTick,
+         MarmotCaravelChip_dut_sys_clint_auto_int_out_1,
+         MarmotCaravelChip_dut_sys_clint_auto_int_out_0,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_plic_fragmenter_out_a_bits_size_0_,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_d_safe_ridx_valid,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_d_ridx,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_safe_widx_valid,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_widx,
+         MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_valid,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_55,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_54,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_53,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_52,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_51,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_50,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_49,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_48,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_47,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_46,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_45,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_44,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_43,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_42,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_41,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_40,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_39,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_38,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_37,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_36,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_35,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_34,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_33,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_32,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_31,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_30,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_29,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_28,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_27,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_26,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_25,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_24,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_23,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_22,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_21,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_20,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_19,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_18,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_17,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_16,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_15,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_14,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_13,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_12,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_11,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_10,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_9,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_8,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_7,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_6,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_5,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_4,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_3,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_2,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_1,
+         MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_0,
+         MarmotCaravelChip_dut_sys_rtc_last,
+         MarmotCaravelChip_dut_sys_rtc_sync,
+         MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_N39,
+         MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_N38,
+         MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_state_1,
+         MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_state_0,
+         MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar__readys_unready_T_4_2_,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_in_xbar_auto_out_a_bits_address_0_,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_in_xbar_auto_out_a_bits_param_2_,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N178,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N176,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N175,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N174,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N173,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N172,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N171,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N170,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N169,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N168,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N167,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N165,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N160,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N159,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N158,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N153,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N145,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_14,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_13,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_12,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_11,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_10,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_9,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_8,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_7,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_6,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_5,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_4,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_3,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_2,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_1,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_0,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_N60,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_N59,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_N55,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_N50,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_N43,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_maybe_full,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_N60,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_N59,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_N55,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_N50,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_N43,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_opcode_1__0_,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_opcode_0__0_,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_maybe_full,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_N158,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_N157,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_N156,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_N155,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_N143,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_N78,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_N77,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_state_0,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_state_1,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_opcode_0_,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_mask_0_,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N60,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N59,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N55,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N50,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N43,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_maybe_full,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N60,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N59,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N55,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N50,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N43,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N15,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_opcode_1__0_,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_opcode_0__0_,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_maybe_full,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_N35,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_N24,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_N23,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_N22,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_N21,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_io_full,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_N6,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_N26,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_N24,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_N23,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_N22,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_io_full,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_N6,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_N36,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_N34,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_N24,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_N23,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_N22,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_N21,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_io_full,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_N6,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_N35,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_N24,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_N23,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_N22,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_N21,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_io_full,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_N6,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_N37,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_N36,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_N35,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_N34,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_N26,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_N24,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_N23,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_N22,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_N21,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_io_full,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_N6,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_N37,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_N36,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_N35,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_N34,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_N26,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_N24,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_N23,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_N22,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_N21,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_io_full,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_N6,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N40,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N39,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N38,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N37,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N36,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N35,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N27,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N25,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N24,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N23,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N22,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N21,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N20,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N6,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N237,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N236,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N232,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N231,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N230,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N226,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N225,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N148,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N147,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N146,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N145,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N144,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N143,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N142,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N141,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_maybe_full,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_N40,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_N37,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_N31,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_N28,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_N27,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_written_once,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget__enable_T_6_0_,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget__enable_T_3_1_,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_N36,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_N35,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_N34,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_N26,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_N24,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_N23,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_N22,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_N21,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_io_full,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N6,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_N37,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_N36,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_N35,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_N34,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_N26,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_N24,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_N23,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_N22,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_N21,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_io_full,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_N6,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_N36,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_N35,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_N34,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_N26,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_N24,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_N23,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_N22,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_N21,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_io_full,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_N6,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_N36,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_N35,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_N34,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_N26,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_N24,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_N23,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_N22,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_N21,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_io_full,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_N35,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_N34,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_N26,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_N24,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_N23,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_N22,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_N21,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_io_full,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_N6,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_N37,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_N36,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_N35,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_N34,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_N26,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_N24,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_N23,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_N22,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_N21,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_io_full,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_N6,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_N35,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_N24,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_N23,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_N22,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_N21,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_io_full,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_N6,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_N37,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_N26,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_N24,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_N23,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_N22,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_N21,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_io_full,
+         MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_N6,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_opcode_0_,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_auto_tl_in_d_bits_opcode_0_,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_auto_in_d_bits_corrupt,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N107,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N106,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N105,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N104,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N103,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N102,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N101,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N100,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N96,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N95,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N94,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N93,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N91,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_7,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_6,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_5,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_4,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_3,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_2,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_1,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_0,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N60,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N59,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N55,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N50,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N43,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N16,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_maybe_full,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N60,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N59,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N55,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N50,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N43,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_maybe_full,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N194,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N193,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N192,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N191,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N190,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N189,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N188,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N187,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N163,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N162,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N80,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N79,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_denied,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_state_0,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_corrupt,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_state_1,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_opcode_0_,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_mask_0_,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N94,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N93,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N92,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N91,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N90,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N89,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N88,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N87,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N59,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N58,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N57,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N56,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N55,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N54,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N53,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N52,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_N8,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_N7,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_ram_opcode_MPORT_en,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_maybe_full,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N55,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N54,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N50,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N45,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N38,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N15,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N14,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_maybe_full,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N60,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N59,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N55,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N50,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N43,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N16,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N15,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_maybe_full,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_N37,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_N36,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_N35,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_N34,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_N26,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_N24,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_N23,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_N22,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_N21,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_N7,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_N6,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_N37,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_N36,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_N35,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_N34,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_N26,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_N24,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_N23,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_N22,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_N21,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_io_full,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_N6,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_N36,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_N35,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_N34,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_N26,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_N24,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_N23,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_N22,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_N21,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_io_full,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_N7,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_N6,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_auto_out_a_bits_size_0_,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_N8,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_ready_reg,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_sink_extend_io_out,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_source_valid_0_io_out,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_ridx,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_widx_widx_bin,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_sink_ready,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source__widx_T_1,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_ridx_ridx_gray_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_ridx_ridx_gray_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_source_valid_0_io_out_source_valid_0_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_source_valid_0_io_out_source_valid_0_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_source_valid_1_io_out_source_valid_0_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_source_valid_1_io_out_source_valid_0_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_sink_extend_io_out_source_valid_0_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_sink_extend_io_out_source_valid_0_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_sink_valid_io_out_source_valid_0_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_sink_valid_io_out_source_valid_0_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_valid_reg,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_source_extend_io_out,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_sink_valid_0_io_out,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_widx,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_ridx_ridx_bin,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_source_ready,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_widx_widx_gray_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_widx_widx_gray_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_sink_valid_0_io_out_source_valid_0_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_sink_valid_0_io_out_source_valid_0_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_sink_valid_1_io_out_source_valid_0_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_sink_valid_1_io_out_source_valid_0_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_source_extend_io_out_source_valid_0_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_source_extend_io_out_source_valid_0_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_source_valid_io_out_source_valid_0_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_source_valid_io_out_source_valid_0_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_N37,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_N36,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_N35,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_N34,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_N26,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_N24,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_N23,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_N22,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_N21,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_full,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_dFirst_size_2_,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_N6,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_N37,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_N36,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_N35,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_N34,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_N26,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_N24,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_N23,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_N22,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_N21,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_io_full,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_N9,
+         MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_N6,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N43,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N42,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N41,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N40,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N39,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N38,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N30,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N28,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N27,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N26,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N25,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N24,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N23,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_io_full,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N6,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N268,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N267,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N263,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N262,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N261,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N257,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N256,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N119,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N118,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_maybe_full,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N58,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N55,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N52,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N49,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N46,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N45,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N39,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N38,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_written_once,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget__enable_T_6_0_,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget__enable_T_3_1_,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_N6,
+         MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N174,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N173,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N172,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N171,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N157,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N154,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N153,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N152,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N151,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N150,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N140,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N135,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N134,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N133,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N132,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1__T_472,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state_1_4,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state_1_3,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state_1_2,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state_1_1,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state_1_0,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state__0,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state__1,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N64,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N63,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N62,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N61,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N60,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N59,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N58,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N57,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N56,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N55,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N54,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N53,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N52,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N51,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N50,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N49,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N48,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N47,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N46,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N45,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N44,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N43,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N42,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N41,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N40,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N39,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N38,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N37,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N36,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N35,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N26,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N25,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N24,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N23,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker__GEN_13_0_,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker__GEN_15_0_,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_shared,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_sent_d,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_got_e,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N109,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N108,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N104,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N103,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N102,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N101,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N97,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N96,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N95,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N94,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_maybe_full,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N64,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N63,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N62,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N61,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N60,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N59,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N58,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N57,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N56,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N55,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N54,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N53,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N52,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N51,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N50,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N49,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N48,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N47,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N46,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N45,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N44,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N43,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N42,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N41,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N40,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N39,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N38,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N37,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N36,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N35,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N26,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N25,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N24,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N23,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1__GEN_13_0_,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1__GEN_15_0_,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_shared,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_sent_d,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_got_e,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N109,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N108,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N104,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N103,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N102,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N101,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N97,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N96,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N95,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N94,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_maybe_full,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N64,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N63,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N62,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N61,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N60,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N59,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N58,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N57,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N56,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N55,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N54,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N53,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N52,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N51,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N50,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N49,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N48,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N47,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N46,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N45,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N44,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N43,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N42,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N41,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N40,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N39,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N38,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N37,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N36,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N35,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N26,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N25,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N24,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N23,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2__GEN_13_0_,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2__GEN_15_0_,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_shared,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_sent_d,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_got_e,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N109,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N108,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N104,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N103,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N102,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N101,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N97,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N96,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N95,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N94,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_maybe_full,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N64,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N63,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N62,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N61,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N60,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N59,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N58,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N57,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N56,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N55,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N54,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N53,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N52,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N51,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N50,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N49,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N48,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N47,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N46,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N45,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N44,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N43,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N42,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N41,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N40,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N39,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N38,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N37,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N36,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N35,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N26,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N25,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N24,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N23,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3__GEN_13_0_,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3__GEN_15_0_,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_shared,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_sent_d,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_got_e,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N109,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N108,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N104,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N103,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N102,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N101,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N97,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N96,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N95,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N94,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75,
+         MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_maybe_full,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_wfi,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_ptw_customCSRs_csrs_0_value_9_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_taken,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_branch,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_1_customCSRs_csrs_0_value_3_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_r,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_w,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_x,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_l,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_r,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_w,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_x,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_l,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_cfg_r,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_cfg_w,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_cfg_x,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_cfg_l,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_r,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_w,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_x,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_l,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_cfg_r,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_cfg_w,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_cfg_x,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_cfg_l,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_cfg_r,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_cfg_w,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_cfg_x,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_cfg_l,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_cfg_r,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_cfg_w,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_cfg_x,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_cfg_l,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_cfg_r,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_cfg_w,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_cfg_x,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_cfg_l,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_status_debug,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_dprv_1_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_requestor_0_resp_bits_replay,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_auto_out_a_bits_size_0_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_auto_out_a_bits_opcode_2_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_slave_in_d_valid,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_signed,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_intXbar_auto_int_out_4,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_intXbar_auto_int_out_3,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_intXbar_auto_int_out_2,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_intXbar_auto_int_out_1,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_intXbar_auto_int_out_0,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_N58,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_N53,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_N52,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_state_1,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_state_0,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar__readys_unready_T_4_2_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N854,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N853,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N852,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N851,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N850,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N849,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N848,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N847,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N823,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N818,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N817,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N816,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N815,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N814,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N813,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N812,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N811,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N810,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N787,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N771,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N766,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N765,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N758,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N757,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N756,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N755,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N754,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N737,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N736,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N735,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N734,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N733,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N732,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N731,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N730,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N729,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N728,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N727,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N726,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N725,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N724,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N723,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N722,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N721,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N720,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N719,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N718,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N717,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N716,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N715,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N714,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N713,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N712,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N711,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N710,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N709,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N708,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N707,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_uncachedReqs_0_signed,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N634,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N632,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N626,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N623,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N618,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N617,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N616,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N610,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N604,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N598,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N595,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N594,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N593,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N592,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N579,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N576,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N575,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N574,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N573,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N572,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N571,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N570,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N569,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N545,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N544,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N543,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N542,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N541,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N540,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N539,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N538,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N537,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N536,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N535,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N534,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N533,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N532,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N531,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N530,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N529,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N528,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N527,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N526,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N525,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N524,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N523,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N522,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N521,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N500,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N499,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N495,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N494,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N493,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N488,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N487,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N482,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N481,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N480,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N472,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N470,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N467,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N466,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N465,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N464,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N463,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N462,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N461,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N455,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N454,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N453,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N443,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_tlb_xcpt_ae_st,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_tlb_xcpt_ae_ld,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_tlb_xcpt_ma_st,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_tlb_xcpt_ma_ld,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_s2_xcpt_REG,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_0_valid,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_ma_st,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_ma_ld,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_prv_1_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_vaddr_31_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_flushCounter_6_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_REG,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_369_0_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__releaseDataBeat_T_1_0_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_rmw_r,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore_drain_on_miss_REG,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_valid_cached_miss_T_2,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_pma_cacheable,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_blockUncachedGrant,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_did_read,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_signed,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_victim_way_r,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_did_read_T_54,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_cached_grant_wait,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_not_nacked_in_s1,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_valid,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_held,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_valid,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_valid,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_grantInProgress,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_ack_wait,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_probe,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_probe,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_ae_ld_array_6_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N22,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N21,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N20,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N19,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N18,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N17,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N16,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N15,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N14,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N13,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N12,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N11,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N10,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N9,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N8,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N7,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N4,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_web0_0_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0_sel_0_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N132,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N131,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N124,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N123,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N117,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N113,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N112,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N111,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N110,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N109,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N108,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N107,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N106,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N105,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N104,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N103,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N102,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N101,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N100,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N99,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N98,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N97,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N96,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N95,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N94,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N93,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N92,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N91,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N90,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N89,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N88,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N87,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N86,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N85,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N84,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N83,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N78,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_valid_REG,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_bht_advance_bits_bht_value,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_br_pc_1_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_isValid,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_value,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bridx,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_req_bits_vaddr_31_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_resp_bits_ae,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_tlb_resp_ae_inst,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_bridx,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_wrong_path,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_tlb_resp_cacheable,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_speculative,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_speculative,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_valid,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_partial_insn_valid,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_replay_REG,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_taken,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_valid,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_valid,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_valid,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N698,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N695,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N692,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N689,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N665,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N664,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N663,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N662,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N661,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N660,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N659,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N658,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N657,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N656,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N655,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N654,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N653,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N652,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N651,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N650,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N649,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N648,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N647,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N646,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N645,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N644,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N643,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N642,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N641,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N640,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N639,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N638,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N637,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N636,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N635,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N634,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N628,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N627,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N626,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N625,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N624,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N623,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N622,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N621,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N595,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N592,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N590,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N588,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N587,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N586,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N585,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N584,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N583,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N582,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N581,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N580,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N579,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N578,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N577,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N576,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N575,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N574,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N573,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N572,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N571,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N570,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N569,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N568,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N567,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N566,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N565,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N564,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N563,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N562,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N561,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N560,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N559,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N558,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N557,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N556,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N555,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N554,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N553,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N552,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N551,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N550,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N549,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N548,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N547,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N546,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N545,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N544,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N543,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N542,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N541,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N540,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N539,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N538,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N537,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N536,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N535,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N534,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N533,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N532,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N531,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N530,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N529,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N528,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N527,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N526,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N525,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N524,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N523,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N522,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N521,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N520,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N519,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N518,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N517,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N516,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N515,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N514,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N513,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N512,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N511,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N510,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N509,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N508,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N507,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N506,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N505,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N504,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N503,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N502,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N501,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N500,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N499,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N498,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N497,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N496,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N495,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N494,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N493,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N492,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N491,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N490,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N489,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N488,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N487,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N486,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N485,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N484,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N483,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N482,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N481,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N480,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N479,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N478,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N477,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N476,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N475,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N474,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N473,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N472,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N471,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N470,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N469,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N468,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N467,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N466,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N465,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N464,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N463,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N462,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N461,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N460,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N459,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N458,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N457,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N456,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N455,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N454,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N453,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N452,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N451,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N450,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N449,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N448,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N447,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N446,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N445,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N444,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N443,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N442,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N441,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N440,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N439,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N438,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N437,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N436,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N435,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N434,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N433,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N432,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N431,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N430,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N429,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N428,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N427,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N426,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N425,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N424,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N423,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N422,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N421,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N420,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N419,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N418,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N417,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N416,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N415,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N414,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N413,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N412,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N411,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N410,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N409,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N408,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N407,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N406,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N405,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N404,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N403,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N402,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N401,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N400,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N399,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N398,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N397,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N396,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N395,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N394,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N393,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N392,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N391,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N390,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N389,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N388,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N387,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N386,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N385,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N384,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N383,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N382,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N381,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N380,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N379,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N378,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N377,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N376,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N375,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N374,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N373,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N372,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N371,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N370,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N369,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N368,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N367,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N366,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N365,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N364,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N363,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N362,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N361,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N360,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N359,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N358,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N357,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N356,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N355,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N354,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N353,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N352,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N351,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N350,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N349,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N348,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N347,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N346,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N345,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N344,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N343,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N342,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N341,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N340,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N339,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N338,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N337,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N336,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N335,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N333,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N331,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N67,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N65,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N61,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N56,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_array_RW0_wdata_0_18_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__s2_tl_error_T_1,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_tag_hit_1,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_tag_hit_0,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_opcode_2_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_invalidated,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_accruedRefillError,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_valid,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_refill_valid,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_request_refill_REG,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_hit,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_valid,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_hit,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_array_RW0_rdata_1_18_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_scratchpadOn,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_array_RW0_rdata_0_18_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_slaveValid,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_slaveValid,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N22,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N21,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N20,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N19,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N18,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N17,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N16,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N15,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N14,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N13,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N12,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N11,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N10,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N9,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N8,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N4,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_N3,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_N1,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N345,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_replay,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N344,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_xcpt_ae_inst,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N311,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N310,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N309,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N308,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N307,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N306,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N305,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N304,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N303,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N302,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N301,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N300,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N299,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N298,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N297,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N296,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N295,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N294,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N293,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N292,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N291,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N290,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N289,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N288,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N287,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N286,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N285,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N284,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N283,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N282,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N281,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N279,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N278,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N277,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N276,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N275,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N274,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N273,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N272,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N271,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N270,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N269,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N268,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N267,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N266,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_bridx,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N265,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_taken,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N264,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_replay,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N263,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_xcpt_ae_inst,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N230,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N229,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N228,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N227,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N226,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N225,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N224,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N223,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N222,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N221,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N220,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N219,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N218,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N217,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N216,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N215,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N214,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N213,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N212,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N211,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N210,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N209,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N208,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N207,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N206,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N205,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N204,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N203,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N202,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N201,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N200,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N198,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N197,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N196,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N195,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N194,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N193,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N192,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N191,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N190,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N189,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N188,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N187,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N186,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N185,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_bridx,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N184,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_taken,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N183,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_replay,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N182,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_xcpt_ae_inst,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N149,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N148,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N147,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N146,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N145,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N144,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N143,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N142,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N141,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N140,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N139,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N138,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N137,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N136,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N135,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N134,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N133,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N132,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N131,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N130,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N129,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N128,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N127,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N126,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N125,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N124,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N123,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N122,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N121,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N120,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N119,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N117,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N116,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N115,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N114,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N113,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N112,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N111,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N110,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N109,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N108,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N107,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N106,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N105,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N104,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_bridx,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N103,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_taken,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N102,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_replay,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N101,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_xcpt_ae_inst,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N68,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N67,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N66,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N65,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N64,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N63,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N62,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N61,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N60,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N59,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N58,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N57,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N56,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N55,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N54,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N53,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N52,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N51,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N50,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N49,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N48,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N47,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N46,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N45,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N44,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N43,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N42,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N41,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N40,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N39,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N38,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N36,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N35,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N34,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N33,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N32,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N31,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N30,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N29,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N28,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N27,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N26,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N25,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N24,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N23,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_bridx,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N22,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_taken,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N21,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N20,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N19,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N18,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N17,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_replay,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_xcpt_ae_inst,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_bridx,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_taken,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_cacheable,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1733,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1729,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1726,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1722,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1696,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1695,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1694,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1680,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1679,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1678,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1658,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1657,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1656,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1655,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1654,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1653,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1652,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1651,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1629,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1626,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1625,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1624,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1623,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1622,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1621,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1620,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1619,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1618,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1617,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1616,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1615,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1614,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1613,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1612,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1611,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1610,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1609,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1608,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1607,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1606,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1605,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1604,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1603,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1602,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1601,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_respPipe_bits_taken,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_respPipe_valid,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1597,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1596,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1595,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1594,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_br_pc_1_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1528,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1527,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1526,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1525,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1524,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1523,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1522,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1521,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1520,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1519,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1518,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1517,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1516,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1515,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1514,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1513,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1512,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1511,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1510,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1509,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1508,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1507,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1506,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1505,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1504,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1503,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1502,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1501,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1499,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1498,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1497,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1496,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1495,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1494,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1493,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1474,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1455,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1436,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1417,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1398,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1267,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1266,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1265,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1264,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1263,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1262,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1261,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1260,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1259,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1258,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1257,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1256,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1255,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1254,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1253,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1252,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1251,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1250,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1249,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1248,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1247,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1246,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1245,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1244,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1243,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1242,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1241,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1240,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1239,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1238,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1237,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1236,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1235,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1234,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1233,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1232,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1231,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1230,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1229,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1228,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1227,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1226,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1225,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1224,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1223,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1222,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1221,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1220,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1219,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1218,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1217,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1216,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1215,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1214,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1213,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1212,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1211,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1210,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1209,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1208,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1207,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1206,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1205,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1204,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1203,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1202,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1201,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1200,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1199,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1198,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1197,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1196,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1195,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1194,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1193,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1192,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1191,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1190,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1189,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1188,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1187,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1186,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1185,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1184,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1183,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1182,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1181,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1180,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1179,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1178,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1177,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1176,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1175,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1174,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1173,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1172,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1171,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1170,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1169,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1168,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1167,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1166,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1165,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1164,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1163,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1162,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1161,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1160,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1159,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1158,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1157,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1156,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1155,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1154,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1153,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1152,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1151,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1150,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1149,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1148,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1147,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1146,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1145,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1144,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1143,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1142,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1141,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1140,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1139,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1138,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1137,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1136,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1135,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1134,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1133,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1132,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1131,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1130,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1129,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1128,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1127,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1126,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1125,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1124,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1123,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1122,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1121,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1120,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1119,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1118,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1117,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1116,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1115,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1114,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1113,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1112,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1111,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1110,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1109,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1108,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1107,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1106,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1105,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1104,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1103,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1102,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1101,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1100,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1099,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1098,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1097,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1096,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1095,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1094,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1093,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1092,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1091,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1090,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1089,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1088,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1087,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1086,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1085,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1084,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1083,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1082,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1081,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1080,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1079,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1078,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1077,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1076,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1075,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1074,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1073,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1072,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1071,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1070,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1069,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1068,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1067,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1066,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1065,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1064,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1063,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1062,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1061,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1060,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1059,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1058,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1057,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1056,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1055,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1054,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1053,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1052,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1051,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1050,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1049,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1048,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1047,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1046,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1045,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1044,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1043,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1042,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1041,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1040,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1039,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1038,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1037,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1036,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1035,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1034,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1033,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1032,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1031,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1030,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1029,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1028,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1027,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1026,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1025,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1024,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1023,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1022,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1021,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1020,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1019,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1018,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1017,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1016,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1015,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1014,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1013,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1012,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1011,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1010,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1009,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1008,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1007,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1006,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1005,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1004,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1003,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1002,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1001,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1000,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N999,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N998,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N997,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N996,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N995,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N994,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N993,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N992,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N991,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N990,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N989,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N988,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N987,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N986,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N985,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N984,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N983,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N982,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N981,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N980,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N979,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N978,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N977,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N976,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N975,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N974,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N973,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N972,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N971,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N970,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N969,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N968,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N967,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N966,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N965,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N964,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N963,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N962,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N961,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N960,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N959,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N958,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N957,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N956,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N955,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N954,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N953,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N952,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N951,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N950,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N949,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N948,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N947,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N946,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N945,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N944,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N943,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N942,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N941,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N940,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N939,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N938,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N937,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N936,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N935,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N934,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N933,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N932,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N931,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N930,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N929,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N928,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N927,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N926,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N925,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N924,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N923,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N922,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N921,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N920,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N919,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N918,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N917,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N916,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N915,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N914,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N913,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N912,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N911,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N910,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N909,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N908,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N907,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N906,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N905,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N904,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N903,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N902,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N901,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N900,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N899,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N898,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N897,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N896,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N895,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N894,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N893,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N892,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N891,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N890,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N889,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N888,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N887,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N886,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N885,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N884,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N883,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N882,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N881,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N880,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N879,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N878,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N877,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N876,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N875,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N874,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N873,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N872,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N871,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N870,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N869,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N868,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N867,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N866,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N865,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N864,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N863,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N862,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N861,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N860,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N859,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N858,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N857,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N856,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N855,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N854,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N853,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N852,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N851,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N850,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N849,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N848,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N847,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N846,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N845,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N844,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N843,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N842,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N841,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N840,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N839,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N838,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N837,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N836,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N835,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N834,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N833,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N832,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N831,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N830,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N829,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N828,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N827,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N826,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N825,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N824,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N823,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N822,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N821,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N820,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N819,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N818,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N817,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N816,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N815,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N814,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N813,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N812,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N811,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N810,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N809,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N808,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N807,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N806,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N805,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N804,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N803,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N802,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N801,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N800,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N799,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N798,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N797,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N796,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N795,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N794,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N793,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N792,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N791,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N790,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N789,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N788,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N787,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N786,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N785,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N784,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N783,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N782,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N781,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N780,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N779,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N778,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N777,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N776,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N775,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N774,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N773,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N772,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N771,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N770,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N769,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N768,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N767,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N766,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N765,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N764,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N763,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N762,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N761,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N760,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N759,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N758,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N757,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N756,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_27,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_26,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_25,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_24,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_23,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_22,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_21,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_20,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_19,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_18,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_17,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_16,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_14,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_13,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_12,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_11,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_10,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_9,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_8,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_7,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_6,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_5,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_4,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_3,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_2,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_1,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_0,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_15,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_isValid,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_valid,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__waddr_T_39_4_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_N40,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_N39,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_N38,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_N37,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_N29,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_N27,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_N26,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_N25,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_N24,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_io_full,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_N6,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N1130,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N625,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N624,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N623,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N622,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N621,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N620,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N619,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N618,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N617,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N616,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N615,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N614,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N613,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N612,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N611,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N610,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N609,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N608,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N607,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N606,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N605,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N604,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N603,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N602,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N601,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N600,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N599,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N598,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N597,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N596,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N595,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N594,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N586,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N585,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N584,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N583,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N582,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N581,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N580,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N579,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N578,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N577,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N576,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N575,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N574,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N573,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N572,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N571,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N570,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N569,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N568,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N567,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N566,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N565,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N564,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N563,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N562,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N561,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N560,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N559,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N558,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N557,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N551,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N550,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N545,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N544,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N539,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N537,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N536,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N531,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N503,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N502,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N501,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N500,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N497,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N496,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N490,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N489,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N488,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N487,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N486,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N485,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N484,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N483,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N482,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N481,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N480,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N479,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N478,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N477,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N476,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N475,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N473,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N472,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N471,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N470,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N469,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N468,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N467,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N453,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N442,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N441,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N430,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N429,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N426,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N397,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N396,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N395,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N394,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N391,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N390,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N388,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N387,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N385,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_fence_i,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_flush_pipe,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N362,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N361,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N360,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N359,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N358,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N357,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N350,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N349,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_rxs2,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_jal,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_branch,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N341,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N340,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_io_kill_REG,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_ctrl_fence_i,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_cmp_out,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_r,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_w,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_x,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_u,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_s,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_m,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_action,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_r,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_w,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_x,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_u,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_s,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_m,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_chain,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_action,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_sie,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_mie,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_spie,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_mpie,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_spp,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_mprv,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_tw,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_tsr,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_cease,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_inhibit_cycle,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_store,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_load,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__GEN_81,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_flush_pipe,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__GEN_80,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_fence_i,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_xcpt_interrupt,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_replay,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_xcpt,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_slow_bypass,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_load_use,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_reg_pause,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_blocked,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_ctrl_div,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_ctrl_wxd,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_div,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_slow_bypass,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_div,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_mem,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_jalr,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rvc,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_bypass_0,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_bypass_1,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_mem,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_wxd,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_wxd,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_inst_31_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_reg_fence,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_valid,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jal,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rvc,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_br_target_T_5_11,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_br_target_T_3_11_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_hi_hi_lo_10_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_xcpt_interrupt,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_replay,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_valid,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_xcpt,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_flush_pipe,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_xcpt,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_ctrl_mem,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_valid,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_replay,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_N72,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_N50,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__xcpt_ae_inst,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__replay,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__GEN_59_0_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1567,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1566,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1565,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1564,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1563,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1562,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1561,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1560,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1559,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1558,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1557,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1556,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1555,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1554,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1553,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1552,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1551,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1550,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1549,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1548,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1547,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1546,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1545,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1544,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1543,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1542,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1541,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1540,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1539,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1538,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1537,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1536,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1535,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1534,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1533,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1532,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1531,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1530,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1529,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1528,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1527,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1526,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1525,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1524,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1523,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1522,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1521,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1520,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1519,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1518,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1517,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1516,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1515,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1514,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1513,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1512,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1511,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1510,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1447,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1446,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1445,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1444,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1443,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1442,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1441,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1377,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1354,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1348,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1340,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1333,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1330,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1328,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1322,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1318,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1312,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1310,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1309,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1304,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1303,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1302,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1301,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1300,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1299,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1298,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1297,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1296,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1295,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1294,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1293,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1292,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1291,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1290,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1289,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1288,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1287,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1286,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1285,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1284,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1283,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1282,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1281,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1280,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1279,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1278,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1277,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1276,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1275,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1274,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1273,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1272,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1271,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1270,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1269,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1268,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1267,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1266,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1265,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1264,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1263,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1262,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1261,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1260,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1259,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1258,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1257,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1256,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1255,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1254,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1253,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1252,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1251,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1250,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1249,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1248,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1247,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1184,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1183,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1182,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1181,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1180,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1179,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1174,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1173,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1172,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1171,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1170,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1169,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1168,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1167,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1166,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1165,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1164,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1163,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1162,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1161,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1160,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1159,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1158,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1157,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1156,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1155,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1154,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1153,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1152,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1151,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1150,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1149,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1148,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1147,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1146,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1145,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1144,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1143,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1110,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1083,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1082,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1081,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1080,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1079,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1046,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1045,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1044,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1043,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1042,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1041,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1040,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1039,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1038,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1037,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1036,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1035,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1034,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1033,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1032,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1031,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1030,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1029,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1028,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1027,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1026,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1025,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1024,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1023,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1022,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1021,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1020,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1019,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1018,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1017,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1016,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N958,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N957,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N955,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N953,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N948,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N947,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N946,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N945,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N944,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N943,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N942,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N941,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N940,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N939,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N938,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N937,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N936,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N935,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N934,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N933,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N932,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N931,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N930,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N929,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N928,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N927,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N926,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N925,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N924,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N923,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N922,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N921,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N920,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N919,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N918,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N917,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N884,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N856,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N855,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N854,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N853,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N818,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N817,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N816,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N815,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N814,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N813,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N812,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N811,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N810,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N809,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N808,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N807,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N806,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N805,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N804,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N803,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N802,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N801,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N800,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N799,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N798,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N797,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N796,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N795,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N794,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N793,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N792,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N791,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N790,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N789,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N788,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N754,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N753,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N747,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N726,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N724,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N722,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N720,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N718,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N716,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N706,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N670,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N652,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N634,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N598,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N580,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N567,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N530,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N512,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N475,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N471,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N463,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N448,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N447,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N446,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N445,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N444,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N443,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N442,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N441,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N440,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N439,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N438,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N437,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N436,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N435,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N434,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N433,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N432,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N431,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N430,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N429,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N428,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N427,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N426,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N425,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N424,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N423,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N422,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N421,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N420,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N419,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N418,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N380,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N379,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N378,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N371,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N361,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N353,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N351,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N350,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N343,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N339,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N335,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N331,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N327,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N325,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N324,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N315,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N309,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N292,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N291,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N290,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__newBPC_T_24_27_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__newBPC_T_27_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__new_mip_T_8_5,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__new_mip_T_8_9_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_lo_6_0,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_lo_6_1,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_lo_6_2,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_tselect,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mcountinhibit_2_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__read_hvip_T_1,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__read_hvip_T_5,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__read_hvip_T_9_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_0,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_2,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_3,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_4,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_6,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_8,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_10,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_12,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_13,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_15,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_mideleg_1,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_mideleg_5,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_mideleg_9_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_singleStepped,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__causeIsDebugBreak_T_3_3,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N170,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N169,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N168,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N167,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N166,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N165,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N164,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N163,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N162,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N161,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N159,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N158,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N157,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N156,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N155,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N154,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N153,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N152,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N151,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N150,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N149,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N148,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N147,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N146,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N145,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N144,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N143,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N142,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N141,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N140,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N139,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N138,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N137,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N136,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N135,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N134,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N133,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N132,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N131,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N130,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N129,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N128,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N127,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N126,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N125,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N124,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N123,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N122,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N121,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N120,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N119,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N118,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N117,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N116,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N115,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N114,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N113,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N112,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N111,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N110,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N109,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N108,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N107,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N106,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N101,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N100,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N99,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N98,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N97,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N96,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N95,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N94,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N93,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N92,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N91,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N90,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N89,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N88,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N87,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N86,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N85,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N84,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N83,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N82,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N81,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N80,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N79,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N78,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N77,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N76,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N75,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N74,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N73,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N72,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N71,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N70,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N69,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N68,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N65,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N61,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N60,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N53,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N52,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N51,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N50,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N49,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N48,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N47,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N43,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N42,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N41,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_isHi,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_neg_out,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div__prod_T_2_8_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_resHi,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_cmdHi,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N60,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N59,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N50,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N43,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_maybe_full,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_N60,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_N59,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_N55,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_N54,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_N50,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_N43,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_param_1__0_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_param_0__0_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_maybe_full,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N55,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N54,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N50,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N49,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N45,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N38,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N19,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N18,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_opcode_io_deq_bits_MPORT_addr,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_maybe_full,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_N55,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_N54,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_N50,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_N45,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_N38,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode_io_deq_bits_MPORT_addr,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_maybe_full,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_N25,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_N24,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_N20,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_N15,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_N10,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_N9,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_N8,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_ram_sink_io_deq_bits_MPORT_addr,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_maybe_full,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N60,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N59,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N55,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N50,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N43,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N16,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N15,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_maybe_full,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N60,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N59,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N55,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N50,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N43,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_opcode_1__0_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_opcode_0__0_,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_maybe_full,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_intsink_chain_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_tile_prci_domain_intsink_chain_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N617,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N616,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N611,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N610,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N605,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N604,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N599,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N598,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N593,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N592,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N587,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N586,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N581,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N580,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N575,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N574,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N568,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N563,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N562,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N557,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N556,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N551,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N550,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N545,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N544,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N539,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N538,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N533,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N532,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N527,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N526,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N520,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N515,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N514,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N509,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N508,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N503,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N502,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N497,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N496,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N491,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N490,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N485,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N484,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N479,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N478,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N472,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N466,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N460,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N454,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N448,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N442,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N436,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N430,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N424,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N418,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N412,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N406,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N400,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N394,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N388,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N383,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N382,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N376,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N371,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N370,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N365,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N364,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N359,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N358,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N353,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N352,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N347,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N346,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N341,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N340,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N335,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N334,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N328,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N323,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N322,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N317,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N316,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N311,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N310,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N305,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N304,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N299,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N298,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N292,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N291,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N285,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N284,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_129,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_116,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_75,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_71,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_69,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_68,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_51,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_47,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_45,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex_6,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex_7,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex_8,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex_9,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex_20,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex_21,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex_22,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex_23,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_1_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_1_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_2_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_2_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_3_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_3_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_4_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_4_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_5_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_5_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_6_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_6_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_7_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_7_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_8_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_8_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_9_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_9_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_10_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_10_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_11_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_11_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_12_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_12_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_13_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_13_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_14_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_14_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_15_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_15_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_16_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_16_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_17_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_17_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_18_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_18_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_19_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_19_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_20_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_20_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_21_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_21_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_22_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_22_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_23_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_23_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_24_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_24_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_25_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_25_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_26_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_26_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_27_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_27_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_28_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_28_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_29_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_29_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_30_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_30_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_31_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_31_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_32_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_32_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_33_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_33_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_34_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_34_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_35_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_35_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_36_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_36_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_37_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_37_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_38_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_38_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_39_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_39_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_40_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_40_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_41_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_41_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_42_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_42_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_43_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_43_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_44_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_44_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_45_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_45_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_46_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_46_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_47_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_47_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_48_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_48_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_49_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_49_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_50_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_50_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_51_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_51_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_52_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_52_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_53_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_53_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_54_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_54_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_55_N3,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_55_inFlight,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_N10,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en,
+         MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_maybe_full,
+         MarmotCaravelChip_dut_sys_clint_N158,
+         MarmotCaravelChip_dut_sys_clint_N155,
+         MarmotCaravelChip_dut_sys_clint_N152,
+         MarmotCaravelChip_dut_sys_clint_N149,
+         MarmotCaravelChip_dut_sys_clint_N146,
+         MarmotCaravelChip_dut_sys_clint_N143,
+         MarmotCaravelChip_dut_sys_clint_N140,
+         MarmotCaravelChip_dut_sys_clint_N137,
+         MarmotCaravelChip_dut_sys_clint_N127,
+         MarmotCaravelChip_dut_sys_clint_N121,
+         MarmotCaravelChip_dut_sys_clint_N120,
+         MarmotCaravelChip_dut_sys_clint_N119,
+         MarmotCaravelChip_dut_sys_clint_N118,
+         MarmotCaravelChip_dut_sys_clint_N117,
+         MarmotCaravelChip_dut_sys_clint_N116,
+         MarmotCaravelChip_dut_sys_clint_N115,
+         MarmotCaravelChip_dut_sys_clint_N114,
+         MarmotCaravelChip_dut_sys_clint_N113,
+         MarmotCaravelChip_dut_sys_clint_N112,
+         MarmotCaravelChip_dut_sys_clint_N111,
+         MarmotCaravelChip_dut_sys_clint_N110,
+         MarmotCaravelChip_dut_sys_clint_N109,
+         MarmotCaravelChip_dut_sys_clint_N108,
+         MarmotCaravelChip_dut_sys_clint_N107,
+         MarmotCaravelChip_dut_sys_clint_N106,
+         MarmotCaravelChip_dut_sys_clint_N105,
+         MarmotCaravelChip_dut_sys_clint_N104,
+         MarmotCaravelChip_dut_sys_clint_N103,
+         MarmotCaravelChip_dut_sys_clint_N102,
+         MarmotCaravelChip_dut_sys_clint_N101,
+         MarmotCaravelChip_dut_sys_clint_N100,
+         MarmotCaravelChip_dut_sys_clint_N99,
+         MarmotCaravelChip_dut_sys_clint_N98,
+         MarmotCaravelChip_dut_sys_clint_N97,
+         MarmotCaravelChip_dut_sys_clint_N96,
+         MarmotCaravelChip_dut_sys_clint_N95,
+         MarmotCaravelChip_dut_sys_clint_N94,
+         MarmotCaravelChip_dut_sys_clint_N93,
+         MarmotCaravelChip_dut_sys_clint_N92,
+         MarmotCaravelChip_dut_sys_clint_N91,
+         MarmotCaravelChip_dut_sys_clint_N90,
+         MarmotCaravelChip_dut_sys_clint_N89,
+         MarmotCaravelChip_dut_sys_clint_N88,
+         MarmotCaravelChip_dut_sys_clint_N87,
+         MarmotCaravelChip_dut_sys_clint_N86,
+         MarmotCaravelChip_dut_sys_clint_N85,
+         MarmotCaravelChip_dut_sys_clint_N84,
+         MarmotCaravelChip_dut_sys_clint_N83,
+         MarmotCaravelChip_dut_sys_clint_N82,
+         MarmotCaravelChip_dut_sys_clint_N81,
+         MarmotCaravelChip_dut_sys_clint_N80,
+         MarmotCaravelChip_dut_sys_clint_N79,
+         MarmotCaravelChip_dut_sys_clint_N78,
+         MarmotCaravelChip_dut_sys_clint_N77,
+         MarmotCaravelChip_dut_sys_clint_N76,
+         MarmotCaravelChip_dut_sys_clint_N75,
+         MarmotCaravelChip_dut_sys_clint_N74,
+         MarmotCaravelChip_dut_sys_clint_N73,
+         MarmotCaravelChip_dut_sys_clint_N72,
+         MarmotCaravelChip_dut_sys_clint_N71,
+         MarmotCaravelChip_dut_sys_clint_N70,
+         MarmotCaravelChip_dut_sys_clint_N69,
+         MarmotCaravelChip_dut_sys_clint_N68,
+         MarmotCaravelChip_dut_sys_clint_N67,
+         MarmotCaravelChip_dut_sys_clint_N66,
+         MarmotCaravelChip_dut_sys_clint_N65,
+         MarmotCaravelChip_dut_sys_clint_N64,
+         MarmotCaravelChip_dut_sys_clint_N63,
+         MarmotCaravelChip_dut_sys_clint_N62,
+         MarmotCaravelChip_dut_sys_clint_N61,
+         MarmotCaravelChip_dut_sys_clint_N60,
+         MarmotCaravelChip_dut_sys_clint_N59,
+         MarmotCaravelChip_dut_sys_clint_N58,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_io_innerCtrl_safe_ridx_valid,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_io_innerCtrl_ridx,
+         MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_safe_widx_valid,
+         MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_widx,
+         MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_mem_0_resumereq,
+         MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_ctrl_dmactive,
+         MarmotCaravelChip_dut_sys_debug_1_dmOuter_dmi2tl_auto_out_a_valid,
+         MarmotCaravelChip_dut_sys_debug_1_dmOuter_dmOuter_N42,
+         MarmotCaravelChip_dut_sys_debug_1_dmOuter_dmOuter_N41,
+         MarmotCaravelChip_dut_sys_debug_1_dmOuter_dmOuter_innerCtrlResumeReqReg,
+         MarmotCaravelChip_dut_sys_debug_1_dmOuter_dmOuter_innerCtrlValidReg,
+         MarmotCaravelChip_dut_sys_debug_1_dmOuter_dmOuter_debugIntRegs_0,
+         MarmotCaravelChip_dut_sys_debug_1_dmOuter_dmOuter_out_prepend_15_31_,
+         MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_N8,
+         MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_ready_reg,
+         MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_sink_extend_io_out,
+         MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_source_valid_0_io_out,
+         MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_ridx,
+         MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_widx_widx_bin,
+         MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_sink_ready,
+         MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source__widx_T_1,
+         MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_ridx_ridx_gray_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_ridx_ridx_gray_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_source_valid_0_io_out_source_valid_0_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_source_valid_0_io_out_source_valid_0_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_source_valid_1_io_out_source_valid_0_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_source_valid_1_io_out_source_valid_0_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_sink_extend_io_out_source_valid_0_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_sink_extend_io_out_source_valid_0_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_sink_valid_io_out_source_valid_0_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_sink_valid_io_out_source_valid_0_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_io_deq_bits_resumereq,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmactive_synced_dmactiveSync_io_q,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2241,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2240,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2239,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2238,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2237,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2236,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2235,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2234,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2233,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2228,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2227,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2226,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2225,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2224,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2223,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2222,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2221,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2220,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2215,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2214,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2213,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2212,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2211,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2210,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2209,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2208,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2207,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2202,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2201,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2200,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2199,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2198,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2197,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2196,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2195,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2194,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2189,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2188,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2187,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2186,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2185,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2184,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2183,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2182,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2181,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2176,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2175,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2174,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2173,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2172,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2171,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2170,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2169,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2168,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2163,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2162,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2161,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2160,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2159,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2158,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2157,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2156,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2155,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2150,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2149,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2148,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2147,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2146,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2145,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2144,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2143,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2142,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2137,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2136,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2135,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2134,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2133,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2132,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2131,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2130,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2129,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2124,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2123,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2122,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2121,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2120,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2119,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2118,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2117,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2116,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2111,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2110,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2109,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2108,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2107,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2106,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2105,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2104,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2103,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2098,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2097,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2096,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2095,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2094,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2093,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2092,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2091,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2090,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2085,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2084,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2083,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2082,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2081,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2080,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2079,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2078,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2077,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2072,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2071,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2070,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2069,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2068,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2067,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2066,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2065,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2064,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2059,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2058,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2057,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2056,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2055,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2054,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2053,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2052,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2051,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2046,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2045,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2044,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2043,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2042,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2041,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2040,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2039,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2038,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2033,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2032,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2031,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2030,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2029,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2028,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2027,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2026,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2025,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2020,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2019,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2018,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2017,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2016,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2015,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2014,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2013,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2012,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2007,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2006,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2005,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2004,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2003,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2002,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2001,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2000,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1999,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1994,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1993,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1992,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1991,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1990,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1989,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1988,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1987,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1986,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1981,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1980,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1979,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1978,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1977,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1976,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1975,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1974,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1973,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1968,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1967,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1966,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1965,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1964,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1963,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1962,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1961,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1960,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1955,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1954,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1953,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1952,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1951,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1950,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1949,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1948,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1947,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1942,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1941,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1940,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1939,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1938,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1937,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1936,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1935,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1934,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1929,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1928,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1927,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1926,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1925,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1924,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1923,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1922,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1921,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1916,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1915,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1914,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1913,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1912,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1911,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1910,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1909,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1908,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1903,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1902,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1901,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1900,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1899,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1898,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1897,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1896,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1895,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1890,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1889,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1888,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1887,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1886,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1885,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1884,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1883,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1882,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1877,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1876,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1875,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1874,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1873,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1872,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1871,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1870,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1869,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1864,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1863,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1862,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1861,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1860,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1859,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1858,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1857,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1856,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1851,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1850,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1849,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1848,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1847,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1846,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1845,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1844,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1843,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1838,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1837,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1836,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1835,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1834,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1833,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1832,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1831,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1830,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1825,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1824,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1823,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1822,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1821,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1820,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1819,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1818,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1817,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1812,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1811,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1810,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1809,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1808,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1807,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1806,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1805,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1804,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1799,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1798,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1797,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1796,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1795,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1794,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1793,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1792,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1791,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1786,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1785,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1784,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1783,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1782,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1781,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1780,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1779,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1778,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1773,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1772,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1771,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1770,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1769,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1768,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1767,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1766,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1765,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1760,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1759,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1758,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1757,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1756,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1755,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1754,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1753,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1752,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1747,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1746,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1745,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1744,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1743,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1742,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1741,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1740,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1739,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1734,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1733,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1732,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1731,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1730,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1729,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1728,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1727,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1726,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1721,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1720,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1719,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1718,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1717,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1716,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1715,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1714,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1713,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1708,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1707,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1706,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1705,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1704,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1703,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1702,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1701,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1700,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1695,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1694,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1693,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1692,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1691,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1690,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1689,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1688,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1687,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1682,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1681,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1680,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1679,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1678,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1677,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1676,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1675,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1674,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1669,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1668,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1667,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1666,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1665,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1664,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1663,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1662,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1661,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1656,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1655,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1654,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1653,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1652,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1651,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1650,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1649,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1648,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1643,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1642,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1641,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1640,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1639,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1638,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1637,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1636,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1635,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1630,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1629,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1628,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1627,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1626,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1625,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1624,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1623,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1622,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1617,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1616,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1615,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1614,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1613,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1612,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1611,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1610,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1609,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1604,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1603,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1602,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1601,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1600,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1599,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1598,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1597,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1596,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1591,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1590,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1589,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1588,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1587,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1586,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1585,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1584,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1583,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1578,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1577,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1576,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1575,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1574,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1573,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1572,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1571,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1570,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1565,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1564,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1563,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1562,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1561,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1560,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1559,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1558,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1557,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1552,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1551,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1550,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1549,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1548,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1547,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1546,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1545,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1544,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1539,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1538,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1537,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1536,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1535,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1534,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1533,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1532,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1531,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1526,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1525,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1524,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1523,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1522,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1521,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1520,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1519,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1518,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1513,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1512,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1511,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1510,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1509,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1508,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1507,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1506,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1505,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1500,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1499,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1498,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1497,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1496,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1495,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1494,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1493,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1492,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1487,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1486,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1485,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1484,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1483,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1482,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1481,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1480,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1479,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1474,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1473,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1472,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1471,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1470,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1469,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1468,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1467,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1466,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1461,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1460,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1459,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1458,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1457,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1456,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1455,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1454,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1453,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1448,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1447,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1446,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1445,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1444,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1443,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1442,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1441,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1440,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1435,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1434,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1433,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1432,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1431,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1430,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1429,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1428,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1427,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1422,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1421,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1420,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1419,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1418,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1417,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1416,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1415,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1414,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1409,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1408,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1407,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1406,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1405,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1404,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1403,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1402,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1401,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1396,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1395,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1394,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1393,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1392,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1391,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1390,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1389,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1388,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1383,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1382,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1381,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1380,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1379,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1378,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1377,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1376,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1375,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1370,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1369,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1368,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1367,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1366,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1365,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1364,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1363,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1362,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner__GEN_66_0_,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner__GEN_3659_0_,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmactive_synced_dmactiveSync_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmactive_synced_dmactiveSync_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_valid_reg,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_source_extend_io_out,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_sink_valid_0_io_out,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_io_deq_bits_deq_bits_reg_io_en,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_widx,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_ridx_ridx_bin,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_source_ready,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_widx_widx_gray_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_widx_widx_gray_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_sink_valid_0_io_out_source_valid_0_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_sink_valid_0_io_out_source_valid_0_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_sink_valid_1_io_out_source_valid_0_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_sink_valid_1_io_out_source_valid_0_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_source_extend_io_out_source_valid_0_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_source_extend_io_out_source_valid_0_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_source_valid_io_out_source_valid_0_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_source_valid_io_out_source_valid_0_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_d_safe_ridx_valid,
+         MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_d_ridx,
+         MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_safe_widx_valid,
+         MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_widx,
+         MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_opcode_0_,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_scale_io_regs_cmp_0_write_valid,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_scale_io_regs_cfg_write_scale,
+         MarmotCaravelChip_dut_sys_aon_1_aon__out_T_796_0_,
+         MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79,
+         MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75,
+         MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70,
+         MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69,
+         MarmotCaravelChip_dut_sys_aon_1_aon_out_prepend_23_12_,
+         MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48,
+         MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44,
+         MarmotCaravelChip_dut_sys_aon_1_aon__out_T_472_0_,
+         MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_39,
+         MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36,
+         MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_35,
+         MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_34,
+         MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31,
+         MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_28,
+         MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26,
+         MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_22,
+         MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20,
+         MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_18,
+         MarmotCaravelChip_dut_sys_aon_1_aon_out_prepend_7_8,
+         MarmotCaravelChip_dut_sys_aon_1_aon_out_prepend_7_9,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N60,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N59,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N58,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N57,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N56,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N55,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N54,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N53,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N52,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N51,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N50,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N49,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N48,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N47,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N46,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N45,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N44,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N43,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N42,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N41,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N40,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N39,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N38,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N37,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N36,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N35,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N34,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N33,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N32,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N31,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N30,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N29,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N28,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N27,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N26,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N25,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N24,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N23,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N22,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N21,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N20,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N19,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N18,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N17,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N16,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N15,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N14,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N13,
+         MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N12,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_coreReset_x4,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_reset,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_latch_reg_io_q_3_,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N278,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N277,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N276,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N275,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N274,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N273,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N272,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N271,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N270,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N269,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N268,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N267,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N266,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N265,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N264,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N113,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N100,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N99,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N91,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N90,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N89,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N87,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N82,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N78,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N77,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_run,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_awake,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core__ie_T,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wantSleep,
+         MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_io_resetCause_latches_latch_1_N3,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N45,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N44,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N43,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N42,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N41,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N40,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N39,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N38,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N37,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N36,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N35,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N34,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N33,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N32,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N31,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N30,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N29,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N28,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N27,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N26,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N25,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N24,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N23,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N22,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N21,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N20,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N19,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N18,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N17,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N16,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N15,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_countEn_corerstSynchronized_x4,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog__ip_T_2,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog__ip_T,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog__countReset_zerocmp_T,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog_countEn_corerstSynchronized,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog__cmp_T,
+         MarmotCaravelChip_dut_sys_aon_1_aon_wdog__scale_T,
+         MarmotCaravelChip_dut_sys_aon_1_aon_out_back_N11,
+         MarmotCaravelChip_dut_sys_aon_1_aon_out_back_N10,
+         MarmotCaravelChip_dut_sys_aon_1_aon_out_back_maybe_full,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_valid_reg,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_source_extend_io_out,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_sink_valid_0_io_out,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_io_en,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_widx,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_ridx_ridx_bin,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_source_ready,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_widx_widx_gray_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_widx_widx_gray_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_sink_valid_0_io_out_source_valid_0_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_sink_valid_0_io_out_source_valid_0_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_sink_valid_1_io_out_source_valid_0_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_sink_valid_1_io_out_source_valid_0_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_source_extend_io_out_source_valid_0_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_source_extend_io_out_source_valid_0_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_source_valid_io_out_source_valid_0_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_source_valid_io_out_source_valid_0_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_N8,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_ready_reg,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_sink_extend_io_out,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_source_valid_0_io_out,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_ridx,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_widx_widx_bin,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_sink_ready,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_ridx_ridx_gray_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_ridx_ridx_gray_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_source_valid_0_io_out_source_valid_0_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_source_valid_0_io_out_source_valid_0_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_source_valid_1_io_out_source_valid_0_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_source_valid_1_io_out_source_valid_0_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_sink_extend_io_out_source_valid_0_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_sink_extend_io_out_source_valid_0_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_sink_valid_io_out_source_valid_0_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_sink_valid_io_out_source_valid_0_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_aon_1_aonrst_catch__io_sync_reset_WIRE,
+         MarmotCaravelChip_dut_sys_aon_1_aonrst_catch_io_sync_reset_chain_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_aon_1_aonrst_catch_io_sync_reset_chain_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_slave_reset_catcher__io_sync_reset_WIRE,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_slave_reset_catcher_io_sync_reset_chain_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_aon_1_crossing_slave_reset_catcher_io_sync_reset_chain_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_intsink_4_chain_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_intsink_4_chain_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_intsink_4_chain_output_chain_1_sync_2,
+         MarmotCaravelChip_dut_sys_intsink_4_chain_output_chain_1_sync_1,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N71,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N67,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N63,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N59,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N58,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N57,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N56,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N52,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N51,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N50,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N49,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N45,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N41,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N37,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N36,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N35,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N34,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N33,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N32,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N31,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N30,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N29,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N27,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N26,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N25,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N23,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N22,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_valid,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_intsource_auto_in_0,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_prepend_T_7_0_,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N53,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N52,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N51,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N50,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N49,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N48,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N43,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N42,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N41,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N40,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N39,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N38,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N37,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N36,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N35,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N34,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N33,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N32,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N31,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N30,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N29,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N28,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_shifter_0_,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_N51,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_N47,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_N46,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_N45,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_N41,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_N40,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_maybe_full,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N77,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N65,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N58,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N46,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N38,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N33,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N32,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N31,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N30,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N28,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N27,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N26,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N25,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N24,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N23,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N21,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N20,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__shifter_T_1_7_,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_state,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N52,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N51,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N47,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N46,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N45,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N41,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N40,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N35,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N34,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N33,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N32,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N31,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N30,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N29,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N28,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_maybe_full,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N71,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N67,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N63,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N59,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N58,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N57,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N56,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N52,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N51,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N50,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N49,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N45,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N41,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N37,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N36,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N35,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N34,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N33,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N32,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N31,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N30,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N29,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N27,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N26,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N25,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N24,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N23,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N22,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_valid,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_intsource_auto_in_0,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_prepend_T_7_0_,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N53,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N52,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N51,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N50,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N49,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N48,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N43,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N42,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N41,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N40,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N39,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N38,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N37,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N36,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N35,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N34,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N33,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N32,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N31,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N30,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N29,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N28,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_shifter_0_,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N52,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N51,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N47,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N46,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N45,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N41,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N40,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N35,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N34,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N33,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N31,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N30,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N29,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N28,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_maybe_full,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N77,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N65,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N58,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N46,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N38,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N33,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N32,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N31,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N30,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N28,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N27,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N26,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N25,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N24,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N23,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N21,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N20,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__shifter_T_1_7_,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_state,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N52,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N51,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N47,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N46,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N45,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N41,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N40,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N35,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N34,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N33,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N32,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N31,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N30,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N29,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N28,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_maybe_full,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N71,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N67,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N63,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N59,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N58,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N57,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N56,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N52,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N51,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N50,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N49,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N45,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N41,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N37,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N36,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N35,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N34,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N33,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N32,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N31,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N30,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N29,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N27,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N26,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N25,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N23,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N22,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_valid,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_intsource_auto_in_0,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_prepend_T_7_0_,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N53,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N52,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N51,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N50,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N49,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N48,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N43,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N42,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N41,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N40,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N39,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N38,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N37,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N36,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N35,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N34,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N33,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N32,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N31,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N30,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N29,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N28,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_shifter_0_,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N52,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N51,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N47,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N46,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N45,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N41,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N35,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N33,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N32,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N31,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N30,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N29,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N28,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_maybe_full,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N77,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N65,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N58,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N46,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N38,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N33,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N32,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N31,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N30,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N28,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N27,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N26,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N25,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N24,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N23,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N21,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N20,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__shifter_T_1_7_,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_state,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N52,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N51,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N47,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N46,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N45,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N41,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N40,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N35,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N34,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N33,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N32,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N31,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N30,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N29,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N28,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_maybe_full,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N71,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N59,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N58,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N57,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N56,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N52,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N51,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N50,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N49,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N45,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N41,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N37,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N36,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N35,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N34,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N33,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N32,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N30,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N29,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N28,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N27,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N26,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N25,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N24,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N23,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N22,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_valid,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_intsource_auto_in_0,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_prepend_T_7_0_,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N53,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N52,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N51,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N50,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N49,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N48,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N43,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N42,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N41,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N40,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N39,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N38,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N37,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N36,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N35,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N34,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N33,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N32,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N31,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N30,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N29,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N28,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_shifter_0_,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_N52,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_N51,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_N47,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_N46,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_N45,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_N41,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_N40,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_maybe_full,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N77,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N65,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N58,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N46,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N38,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N33,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N32,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N31,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N30,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N28,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N27,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N26,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N25,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N24,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N23,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N21,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N20,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__shifter_T_1_7_,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_state,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N52,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N51,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N47,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N46,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N45,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N41,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N40,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N35,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N34,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N33,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N32,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N31,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N30,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N29,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N28,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_maybe_full,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N71,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N67,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N63,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N59,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N58,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N57,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N56,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N52,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N51,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N50,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N49,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N45,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N41,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N37,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N36,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N35,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N34,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N33,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N32,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N31,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N29,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N27,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N26,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N25,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N23,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N22,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_valid,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_intsource_auto_in_0,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_prepend_T_7_0_,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N53,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N52,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N51,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N50,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N49,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N48,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N43,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N42,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N41,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N40,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N39,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N38,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N37,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N36,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N35,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N34,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N33,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N32,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N31,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N30,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N29,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N28,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_shifter_0_,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N52,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N51,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N47,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N46,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N45,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N41,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N40,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N35,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N34,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N33,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N32,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N31,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N30,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N29,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N28,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_maybe_full,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N77,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N65,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N58,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N46,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N38,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N33,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N32,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N31,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N30,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N28,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N27,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N26,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N25,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N24,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N23,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N21,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N20,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__shifter_T_1_7_,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_state,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N52,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N51,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N47,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N46,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N45,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N41,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N40,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N35,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N34,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N33,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N32,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N31,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N30,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N29,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N28,
+         MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_maybe_full,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N311,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N310,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N309,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N305,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N304,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N303,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N302,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N298,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N297,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N295,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N287,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N286,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N285,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N281,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N280,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N276,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N275,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N274,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N273,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N272,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N271,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N270,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N269,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N268,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N264,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N263,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N259,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N255,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N251,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N250,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N249,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N248,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N247,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N243,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N242,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N241,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N240,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N239,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N238,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N237,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N236,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N235,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N234,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N233,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N232,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N228,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N227,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N226,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N225,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N221,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N220,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N219,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N218,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N214,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N213,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N212,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N211,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N210,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N209,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N208,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N207,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N203,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N202,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N201,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N200,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N199,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N198,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N197,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N196,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N192,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N191,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N190,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N189,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N188,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N187,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N186,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N185,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N181,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N180,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N179,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N178,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N177,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N176,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N175,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N174,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N170,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N169,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N165,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N164,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N160,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N156,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N152,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N151,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N150,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N149,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N148,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N147,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N146,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N145,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N144,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N143,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N142,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N141,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N137,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N136,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N135,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N134,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N130,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N125,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N120,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N119,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_arb_io_outer_cs_hold,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_arb_io_outer_fmt_iodir,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_link_fmt_endian,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_io_link_active,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_io_link_rx_valid,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_io_link_fmt_iodir,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_intsource_auto_in_0,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_24_0_,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_5_0_,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_4_0_,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_N17,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_N16,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_N13,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_N12,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxen,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N52,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N51,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N47,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N46,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N45,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N44,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N41,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N40,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N35,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N34,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N33,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N32,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N31,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N30,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N29,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N28,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_maybe_full,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N52,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N51,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N47,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N46,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N45,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N41,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N40,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N35,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N34,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N33,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N32,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N31,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N30,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N29,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N28,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_maybe_full,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_N76,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_N75,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_N74,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_N66,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_N65,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_N59,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_N51,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_N48,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_N47,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_N40,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_cs_id,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac__GEN_31_0_,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_clear,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N268,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N252,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N251,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N250,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N249,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N248,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N247,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N244,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N243,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N242,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N241,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N240,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N239,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N238,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N237,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N209,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N204,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N203,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N202,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N201,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N200,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N199,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N198,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N197,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N196,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N195,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N194,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N193,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N192,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N172,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N171,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N170,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N167,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N166,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N165,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N164,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N163,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N162,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N161,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N160,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N159,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N158,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N157,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N156,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N155,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N135,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N134,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N133,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N132,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N131,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N130,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N129,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N128,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N127,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N126,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N125,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N124,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N123,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N121,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N120,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N119,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N118,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N117,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N116,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N115,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N114,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N102,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_fmt_endian,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_last_d,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_fmt_iodir,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_sample_d,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_setup_d,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_pol,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_pha,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_cref,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_xfr,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_N149,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_N124,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_N123,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_N122,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_N109,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_N108,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_N107,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_arb_N11,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_arb__T_1_1_,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N350,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N349,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N348,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N347,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N346,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N345,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N334,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N333,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N332,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N331,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N327,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N326,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N315,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N314,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N312,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N303,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N302,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N298,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N297,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N293,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N292,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N291,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N290,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N289,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N288,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N287,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N286,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N282,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N281,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N280,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N279,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N278,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N275,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N274,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N270,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N269,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N265,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N261,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N257,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N256,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N255,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N254,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N253,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N249,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N248,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N247,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N246,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N245,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N244,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N243,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N242,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N241,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N240,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N239,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N238,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N234,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N233,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N232,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N231,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N227,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N226,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N225,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N224,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N220,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N219,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N218,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N217,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N216,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N215,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N214,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N213,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N209,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N208,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N207,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N206,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N205,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N204,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N203,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N202,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N198,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N197,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N196,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N195,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N194,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N193,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N192,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N191,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N187,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N186,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N185,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N184,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N183,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N182,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N181,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N180,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N176,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N175,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N171,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N170,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N166,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N162,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N158,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N157,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N156,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N155,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N154,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N153,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N152,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N151,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N150,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N149,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N148,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N147,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N143,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N135,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N130,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N125,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N124,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb_io_outer_cs_hold,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb_io_outer_fmt_iodir,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_link_fmt_endian,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_io_link_active,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_io_link_rx_valid,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_io_link_fmt_iodir,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_intsource_auto_in_0,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_24_0_,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_5_0_,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_4_0_,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_bundleIn_0_a_bits_opcode_0_,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_N17,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_N16,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_N13,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_N12,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxen,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N52,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N51,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N47,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N46,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N45,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N44,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N41,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N40,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N35,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N34,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N33,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N32,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N31,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N30,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N29,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N28,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_maybe_full,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N52,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N51,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N47,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N46,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N45,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N41,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N40,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N35,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N34,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N33,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N32,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N31,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N30,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N29,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N28,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_maybe_full,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_N76,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_N75,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_N74,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_N66,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_N65,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_N59,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_N51,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_N48,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_N47,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_N40,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_cs_id,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac__GEN_31_0_,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_clear,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N268,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N252,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N251,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N250,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N249,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N248,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N247,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N244,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N243,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N242,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N241,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N240,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N239,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N238,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N237,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N209,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N204,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N203,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N202,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N201,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N200,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N199,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N198,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N197,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N196,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N195,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N194,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N193,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N192,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N172,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N171,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N170,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N167,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N166,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N165,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N164,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N163,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N162,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N161,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N160,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N159,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N158,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N157,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N156,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N155,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N135,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N134,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N133,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N132,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N131,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N130,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N129,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N128,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N127,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N126,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N125,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N124,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N123,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N121,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N120,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N119,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N118,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N117,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N116,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N115,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N114,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N102,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_fmt_endian,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_last_d,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_fmt_iodir,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_sample_d,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_setup_d,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_pol,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_pha,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_cref,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_xfr,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N212,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N211,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N206,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N205,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N204,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N203,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N202,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N201,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N200,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N199,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N198,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N197,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N196,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N195,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N194,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N193,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N192,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N191,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N188,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N187,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N186,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N173,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N172,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N171,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_no_merge,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb_N15,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb_N11,
+         MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb__T_1_1_,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N252,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N248,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N244,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N243,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N242,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N241,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N240,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N236,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N235,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N234,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N233,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N232,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N231,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N230,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N229,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N228,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N227,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N226,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N225,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N221,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N220,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N219,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N218,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N214,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N213,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N212,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N211,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N207,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N206,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N205,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N204,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N196,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N195,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N194,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N193,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N192,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N191,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N190,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N189,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N185,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N184,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N183,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N182,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N178,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N174,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N173,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N172,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N171,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N170,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N169,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N168,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N167,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N163,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N162,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N158,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N157,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N156,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N152,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N148,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N144,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N143,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N142,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N141,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N140,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N139,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N138,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N137,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N136,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N135,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N134,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N133,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N129,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N128,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N127,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N126,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N122,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N117,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N112,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N111,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_io_link_rx_valid,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_io_link_fmt_iodir,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_io_link_fmt_endian,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_intsource_auto_in_0,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_4_0_,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_N17,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_N16,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_N13,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_N12,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxen,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N52,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N51,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N47,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N46,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N45,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N44,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N41,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N40,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N35,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N33,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N32,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N31,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N30,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N29,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N28,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_maybe_full,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N52,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N51,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N47,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N46,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N45,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N41,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N40,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N35,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N34,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N33,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N32,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N31,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N30,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N29,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N28,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_maybe_full,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_N87,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_N86,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_N85,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_N77,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_N76,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_N70,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_N62,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_N59,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_N51,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_N43,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_cs_id,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac__GEN_35_0_,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_cs_assert,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_clear,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N268,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N252,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N251,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N250,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N249,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N248,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N247,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N244,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N243,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N242,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N241,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N240,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N239,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N238,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N237,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N209,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N204,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N203,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N202,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N201,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N200,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N199,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N198,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N197,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N196,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N195,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N194,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N193,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N192,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N172,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N171,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N170,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N167,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N166,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N165,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N164,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N163,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N162,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N161,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N160,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N159,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N158,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N157,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N156,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N155,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N135,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N134,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N133,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N132,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N131,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N130,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N129,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N128,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N127,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N126,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N125,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N124,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N123,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N121,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N120,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N119,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N118,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N117,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N116,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N115,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N114,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N102,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_fmt_endian,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_last_d,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_fmt_iodir,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_sample_d,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_setup_d,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_pol,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_pha,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_cref,
+         MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_xfr,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N672,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N671,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N670,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N669,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N668,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N667,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N666,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N665,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N664,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N663,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N662,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N661,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N660,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N659,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N658,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N657,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N656,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N655,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N654,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N653,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N652,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N651,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N650,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N649,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N648,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N647,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N646,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N645,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N644,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N643,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N642,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N641,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N637,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N636,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N635,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N634,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N633,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N632,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N631,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N630,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N629,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N628,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N627,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N626,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N625,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N624,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N623,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N622,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N621,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N620,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N619,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N618,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N617,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N616,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N615,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N614,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N613,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N612,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N611,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N610,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N609,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N608,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N607,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N606,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N602,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N601,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N600,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N599,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N598,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N597,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N596,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N595,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N594,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N593,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N592,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N591,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N590,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N589,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N588,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N587,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N586,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N585,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N584,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N583,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N582,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N581,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N580,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N579,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N578,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N577,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N576,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N575,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N574,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N573,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N572,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N571,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N567,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N566,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N565,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N564,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N563,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N562,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N561,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N560,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N559,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N558,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N557,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N556,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N555,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N554,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N553,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N552,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N551,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N550,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N549,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N548,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N547,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N546,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N545,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N544,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N543,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N542,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N541,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N540,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N539,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N538,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N537,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N536,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N532,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N531,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N530,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N529,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N528,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N527,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N526,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N525,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N524,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N523,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N522,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N521,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N520,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N519,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N518,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N517,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N516,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N515,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N514,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N513,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N512,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N511,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N510,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N509,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N508,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N507,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N506,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N505,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N504,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N503,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N502,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N501,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N499,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N498,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N497,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N496,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N495,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N494,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N493,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N492,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N491,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N490,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N489,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N488,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N487,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N486,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N485,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N484,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N483,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N482,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N481,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N480,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N479,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N478,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N477,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N476,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N475,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N474,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N473,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N472,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N471,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N470,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N469,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N468,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N466,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N465,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N464,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N463,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N462,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N461,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N460,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N459,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N458,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N457,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N456,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N455,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N454,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N453,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N452,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N451,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N450,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N449,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N448,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N447,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N446,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N445,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N444,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N443,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N442,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N441,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N440,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N439,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N438,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N437,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N436,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N435,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N433,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N432,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N431,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N430,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N429,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N428,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N427,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N426,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N425,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N424,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N423,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N422,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N421,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N420,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N419,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N418,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N417,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N416,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N415,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N414,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N413,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N412,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N411,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N410,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N409,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N408,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N407,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N406,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N405,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N404,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N403,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N402,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N400,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N399,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N398,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N397,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N396,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N395,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N394,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N393,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N392,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N391,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N390,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N389,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N388,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N387,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N386,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N385,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N384,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N383,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N382,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N381,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N380,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N379,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N378,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N377,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N376,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N375,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N374,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N373,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N372,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N371,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N370,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N369,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N365,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N364,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N362,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N357,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N356,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N355,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N354,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N347,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N346,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N344,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N343,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N337,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N336,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N335,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N334,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N329,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N328,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N327,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N326,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N325,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N324,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N323,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N322,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N321,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N320,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N319,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N318,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N317,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N316,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N315,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N314,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N313,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N312,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N311,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N310,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N309,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N308,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N307,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N306,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N305,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N304,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N303,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N302,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N301,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N300,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N299,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N298,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N293,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N292,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N291,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N290,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N289,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N288,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N287,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N286,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N285,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N284,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N283,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N282,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N281,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N280,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N279,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N278,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N277,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N276,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N275,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N274,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N273,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N272,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N271,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N270,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N269,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N268,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N267,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N266,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N265,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N264,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N263,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N262,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N257,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N256,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N255,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N254,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N253,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N252,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N251,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N250,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N249,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N248,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N247,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N246,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N245,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N244,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N243,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N242,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N241,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N240,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N239,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N238,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N237,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N236,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N235,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N234,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N233,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N232,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N231,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N230,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N229,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N228,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N227,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N226,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N223,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N222,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N220,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N215,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N214,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N213,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N212,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N205,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N204,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N202,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N201,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N195,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N194,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N193,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N192,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N187,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N186,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N184,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N179,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N178,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N177,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N176,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N169,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N168,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N166,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N165,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N159,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N158,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N157,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N156,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_31,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_30,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_29,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_28,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_27,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_26,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_25,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_24,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_23,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_22,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_21,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_20,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_19,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_18,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_17,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_16,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_15,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_14,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_13,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_12,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_11,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_10,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_9,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_8,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_7,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_6,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_5,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_4,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_3,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_0,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146_0,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146_3,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146_4,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146_5,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_0,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_6,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_7,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_8,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_9,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_10,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_11,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_17,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_19,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_21,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_1_sync_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_1_sync_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_2_sync_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_2_sync_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_3_sync_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_3_sync_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_4_sync_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_4_sync_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_5_sync_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_5_sync_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_6_sync_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_6_sync_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_7_sync_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_7_sync_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_8_sync_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_8_sync_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_9_sync_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_9_sync_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_10_sync_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_10_sync_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_11_sync_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_11_sync_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_12_sync_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_12_sync_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_13_sync_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_13_sync_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_14_sync_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_14_sync_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_15_sync_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_15_sync_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_16_sync_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_16_sync_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_17_sync_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_17_sync_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_18_sync_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_18_sync_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_19_sync_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_19_sync_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_20_sync_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_20_sync_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_21_sync_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_21_sync_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_22_sync_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_22_sync_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_23_sync_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_23_sync_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_24_sync_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_24_sync_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_25_sync_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_25_sync_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_26_sync_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_26_sync_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_27_sync_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_27_sync_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_28_sync_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_28_sync_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_29_sync_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_29_sync_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_30_sync_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_30_sync_1,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_31_sync_2,
+         MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_31_sync_1,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_scale_io_regs_cfg_write_scale,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_scale_io_regs_cfg_write_deglitch,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_7_12,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_7_13,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N85,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N81,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N77,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N73,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N69,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N68,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N67,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N66,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N64,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N63,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N62,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N61,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N60,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N59,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N58,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N57,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N56,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N55,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N54,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N53,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N52,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N51,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N50,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N49,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N48,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N47,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N46,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N45,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N44,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N43,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N42,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N41,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N40,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N39,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N38,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N37,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N29,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N28,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N27,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N26,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N25,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__gang_T_3,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_ip_doSticky,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__elapsed_center_T_3,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_scale_io_regs_cfg_write_scale,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_scale_io_regs_cfg_write_deglitch,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_7_12,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_7_13,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N85,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N81,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N77,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N73,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N69,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N68,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N67,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N66,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N64,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N63,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N62,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N61,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N60,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N59,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N58,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N57,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N56,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N55,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N54,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N53,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N52,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N51,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N50,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N49,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N48,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N47,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N46,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N45,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N44,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N43,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N42,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N41,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N40,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N39,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N38,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N37,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N29,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N28,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N27,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N26,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N25,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__gang_T_3,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_ip_doSticky,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__elapsed_center_T_3,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_scale_io_regs_cfg_write_scale,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_scale_io_regs_cfg_write_deglitch,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_7_12,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_7_13,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N85,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N81,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N77,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N73,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N69,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N68,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N67,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N66,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N64,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N63,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N62,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N61,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N60,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N59,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N58,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N57,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N56,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N55,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N54,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N53,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N52,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N51,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N50,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N49,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N48,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N47,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N46,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N45,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N44,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N43,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N42,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N41,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N40,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N39,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N38,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N37,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N29,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N28,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N27,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N26,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N25,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__gang_T_3,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_ip_doSticky,
+         MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__elapsed_center_T_3,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N538,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N447,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N446,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N445,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N444,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N438,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N437,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N436,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N431,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N430,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N426,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N421,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N416,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N412,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N411,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N410,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N409,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N408,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N407,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N397,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N394,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N390,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N389,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N388,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N381,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N380,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N375,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N369,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N368,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N367,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N366,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N365,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N364,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N363,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N362,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N361,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N360,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N359,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N358,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N357,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N356,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N355,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N354,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N353,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N352,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N345,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_slaveWait,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N343,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N342,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N341,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_dSCLOen,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N337,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N336,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N335,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N334,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N333,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N332,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N331,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N330,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N329,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N328,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N327,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N326,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N325,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N324,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N323,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N322,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N321,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N320,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N319,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N318,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N317,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N316,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N306,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N303,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N302,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N301,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N298,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N294,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N290,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N286,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N282,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N281,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N280,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N279,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N278,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N277,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N276,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N275,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N273,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N272,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N271,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N270,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N269,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N268,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N267,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N266,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N262,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N258,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N250,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N240,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N239,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_intsource_auto_in_0,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_out_f_data_0,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_out_f_data_1,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_statusReadReady,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_startCond,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__nextCmd_T_0,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_receivedAck,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmdAck,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_load,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_shift,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cmdAck,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_arbLost,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_clkEn,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_transmitBit,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmdStop,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_stopCond,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_sdaOen,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_sdaChk,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_dSCL,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_sclOen,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_sSCL,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_dSDA,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_sSDA,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N538,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N447,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N446,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N445,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N444,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N438,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N437,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N436,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N431,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N430,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N426,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N421,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N416,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N412,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N411,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N410,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N409,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N408,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N407,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N397,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N394,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N390,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N389,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N381,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N380,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N375,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N369,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N368,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N367,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N366,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N365,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N364,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N363,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N362,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N361,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N360,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N359,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N358,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N357,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N356,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N355,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N354,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N353,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N352,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N345,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_slaveWait,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N343,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N342,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N341,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_dSCLOen,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N337,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N336,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N335,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N334,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N333,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N332,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N331,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N330,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N329,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N328,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N327,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N326,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N325,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N324,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N323,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N322,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N321,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N320,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N319,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N318,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N317,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N316,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N307,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N306,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N303,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N301,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N298,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N294,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N290,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N286,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N282,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N281,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N280,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N279,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N278,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N277,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N276,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N275,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N273,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N272,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N271,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N270,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N269,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N268,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N267,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N266,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N262,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N258,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N252,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N251,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N247,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N241,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N239,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_intsource_auto_in_0,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_out_f_data_0,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_out_f_data_1,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_statusReadReady,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_startCond,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__nextCmd_T_0,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_receivedAck,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmdAck,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_load,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_shift,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cmdAck,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_arbLost,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_clkEn,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_transmitBit,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmdStop,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_stopCond,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_sdaOen,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_sdaChk,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_dSCL,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_sclOen,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_sSCL,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_dSDA,
+         MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_sSDA,
+         MarmotCaravelChip_dut_sys_dtm_N93, MarmotCaravelChip_dut_sys_dtm_N88,
+         MarmotCaravelChip_dut_sys_dtm_N81, MarmotCaravelChip_dut_sys_dtm_N77,
+         MarmotCaravelChip_dut_sys_dtm_N76, MarmotCaravelChip_dut_sys_dtm_N72,
+         MarmotCaravelChip_dut_sys_dtm_N71, MarmotCaravelChip_dut_sys_dtm_N42,
+         MarmotCaravelChip_dut_sys_dtm_N41, MarmotCaravelChip_dut_sys_dtm_N38,
+         MarmotCaravelChip_dut_sys_dtm_N37, MarmotCaravelChip_dut_sys_dtm_N36,
+         MarmotCaravelChip_dut_sys_dtm_N35, MarmotCaravelChip_dut_sys_dtm_N34,
+         MarmotCaravelChip_dut_sys_dtm_N33, MarmotCaravelChip_dut_sys_dtm_N32,
+         MarmotCaravelChip_dut_sys_dtm_N31,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_io_chainOut_data,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_io_update_bits_dmireset,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_io_capture_bits_dmiStatus_1_,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_io_chainOut_data,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_bypassChain_io_chainOut_data,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_chainOut_data,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_op_1_,
+         MarmotCaravelChip_dut_sys_dtm_downgradeOpReg,
+         MarmotCaravelChip_dut_sys_dtm_busyReg,
+         MarmotCaravelChip_dut_sys_dtm_stickyBusyReg,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N41,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N40,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N39,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N38,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N37,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N36,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N35,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N34,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N33,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N32,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N31,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N30,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N29,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N28,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N27,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N26,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N25,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N24,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N23,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N22,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N21,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N20,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N19,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N18,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N14,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N13,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N12,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N11,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N10,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N9,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N8,
+         MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N7,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N167,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N163,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N159,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N155,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N151,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N147,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N143,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N139,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N135,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N131,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N127,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N123,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N119,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N115,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N111,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N107,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N103,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N99,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N95,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N91,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N87,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N83,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N79,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N75,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N71,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N67,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N63,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N59,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N55,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N51,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N47,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N43,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N39,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N35,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N31,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N27,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N23,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N19,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N15,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N11,
+         MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N7,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N41,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N40,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_31,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N39,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_30,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N38,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_29,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N37,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_28,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N36,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_27,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N35,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_26,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N34,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_25,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N33,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_24,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N32,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_23,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N31,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_22,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N30,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_21,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N29,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_20,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N28,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_19,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N27,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_18,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N26,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_17,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N25,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_16,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N24,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_15,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N23,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_14,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N22,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_13,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N21,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N20,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N19,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_10,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N18,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N17,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N16,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_7,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N15,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_6,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N14,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N13,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N9,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_3,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N5,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N4,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_11,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_12,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_8,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_9,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_4,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_5,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_2,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_1,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_N3,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal__GEN_2,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_stateMachine_N34,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_stateMachine_N33,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_stateMachine_N32,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_N14,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_N13,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_N12,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_N11,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_N6,
+         MarmotCaravelChip_dut_sys_dtm_tapIO_bypassChain_N4,
+         MarmotCaravelChip_dut_sys_rtc_sync_rtc_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_rtc_sync_rtc_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE,
+         MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_19,
+         MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_18,
+         MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_17,
+         MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_16,
+         MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_15,
+         MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_14,
+         MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_13,
+         MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_12,
+         MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_11,
+         MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_10,
+         MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_9,
+         MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_8,
+         MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_7,
+         MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_6,
+         MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_5,
+         MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_4,
+         MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_3,
+         MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_qspi_0_dq_0_i_spi_dq_0_sync_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_qspi_0_dq_0_i_spi_dq_0_sync_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_qspi_0_dq_1_i_spi_dq_1_sync_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_qspi_0_dq_1_i_spi_dq_1_sync_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_qspi_0_dq_2_i_spi_dq_2_sync_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_qspi_0_dq_2_i_spi_dq_2_sync_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_qspi_0_dq_3_i_spi_dq_3_sync_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_qspi_0_dq_3_i_spi_dq_3_sync_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_qspi_ram_0_dq_0_i_spi_dq_0_sync_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_qspi_ram_0_dq_0_i_spi_dq_0_sync_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_qspi_ram_0_dq_1_i_spi_dq_1_sync_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_qspi_ram_0_dq_1_i_spi_dq_1_sync_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_qspi_ram_0_dq_2_i_spi_dq_2_sync_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_qspi_ram_0_dq_2_i_spi_dq_2_sync_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_qspi_ram_0_dq_3_i_spi_dq_3_sync_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_qspi_ram_0_dq_3_i_spi_dq_3_sync_output_chain_sync_1,
+         MarmotCaravelChip_dut_sys_uart_0_rxd_uart_rxd_sync_output_chain_N2,
+         MarmotCaravelChip_dut_sys_uart_0_rxd_uart_rxd_sync_output_chain_N1,
+         MarmotCaravelChip_dut_sys_uart_0_rxd_uart_rxd_sync_output_chain_sync_2,
+         MarmotCaravelChip_dut_sys_uart_0_rxd_uart_rxd_sync_output_chain_N0,
+         MarmotCaravelChip_dut_sys_uart_0_rxd_uart_rxd_sync_output_chain_sync_1,
+         n306, n307, n308, n309, n310, n311, n312, n313, n314, n315, n316,
+         n317, n318, n319, n320, n321, n322, n323, n324, n325, n326, n327,
+         n328, n329, n330, n331, n332, n333, n334, n335, n336, n337, n338,
+         n339, n340, n341, n342, n343, n344, n345, n346, n347, n348, n349,
+         n350, n351, n352, n353, n354, n355, n356, n357, n358, n359, n360,
+         n361, n362, n363, n364, n365, n366, n367, n368, n369, n370, n371,
+         n372, n373, n374, n375, n376, n377, n378, n379, n380, n381, n382,
+         n383, n384, n385, n386, n387, n388, n389, n390, n391, n392, n393,
+         n394, n395, n396, n397, n398, n399, n400, n401, n402, n403, n404,
+         n405, n406, n407, n408, n409, n410, n411, n412, n413, n414, n415,
+         n416, n417, n418, n419, n420, n421, n422, n423, n424, n425, n426,
+         n427, n428, n429, n430, n431, n432, n433, n434, n435, n436, n437,
+         n438, n439, n440, n441, n443, n444, n445, n446, n447, n448, n449,
+         n450, n451, n452, n453, n454, n455, n456, n457, net247089, n36094,
+         n36097, n36098, n36099, n36100, n36101, n36102, n36103, n36104,
+         n36105, n36106, n36107, n36108, n36109, n36110, n36111, n36112,
+         n36113, n36114, n36115, n36116, n36117, n36118, n36119, n36120,
+         n36121, n36122, n36123, n36124, n36125, n36126, n36127, n36128,
+         n36129, n36130, n36131, n36132, n36133, n36134, n36135, n36136,
+         n36137, n36138, n36139, n36140, n36141, n36142, n36143, n36144,
+         n36145, n36146, n36147, n36148, n36149, n36150, n36151, n36152,
+         n36153, n36154, n36155, n36156, n36157, n36158, n36159, n36161,
+         n36162, n36164, n36165, n36166, n36167, n36168, n36169, n36170,
+         n36171, n36172, n36173, n36174, n36175, n36176, n36177, n36178,
+         n36179, n36180, n36181, n36182, n36183, n36184, n36185, n36186,
+         n36187, n36188, n36189, n36190, n36191, n36192, n36193, n36194,
+         n36195, n36196, n36197, n36198, n36209, n36210, n36211, n36212,
+         n36213, n36214, n36215, n36216, n36217, n36218, n36219, n36220,
+         n36221, n36222, n36223, n36224, n36225, n36226, n36227, n36228,
+         n36229, n36230, n36231, n36232, n36233, n36234, n36235, n36236,
+         n36237, n36238, n36239, n36240, n36241, n36242, n36243, n36244,
+         n36245, n36246, n36247, n36251, n36252, n36253, n36254, n36255,
+         n36256, n36257, n36258, n36259, n36260, n36264, n36265, n36266,
+         n36267, n36268, n36269, n36270, n36272, n36273, n36274, n36275,
+         n36276, n36277, n36278, n36279, n36280, n36281, n36282, n36283,
+         n36284, n36285, n36286, n36287, n36288, n36289, n36290, n36291,
+         n36292, n36293, n36294, n36295, n36296, n36297, n36298, n36302,
+         n36303, n36304, n36305, n36306, n36307, n36308, n36309, n36310,
+         n36311, n36312, n36313, n36314, n36315, n36316, n36317, n36318,
+         n36319, n36320, n36321, n36322, n36323, n36324, n36325, n36326,
+         n36327, n36328, n36329, n36330, n36331, n36336, n36337, n36338,
+         n36339, n36340, n36341, n36342, n36344, n36346, n36347, n36350,
+         n36351, n36352, n36353, n36354, n36355, n36356, n36357, n36358,
+         n36359, n36360, n36361, n36362, n36363, n36364, n36365, n36366,
+         n36367, n36368, n36369, n36370, n36372, n36373, n36374, n36409,
+         n36410, n36411, n36412, n36413, n36414, n36415, n36416, n36417,
+         n36418, n36419, n36420, n36421, n36422, n36423, n36424, n36425,
+         n36426, n36466, n36467, n36468, n36469, n36470, n36471, n36472,
+         n36473, n36474, n36475, n36476, n36481, n36482, n36483, n36486,
+         n36487, n36488, n36489, n36490, n36491, n36492, n36493, n36494,
+         n36495, n36496, n36497, n36498, n36499, n36500, n36501, n36502,
+         n36503, n36504, n36505, n36506, n36507, n36508, n36509, n36510,
+         n36511, n36512, n36513, n36514, n36515, n36516, n36517, n36518,
+         n36519, n36520, n36521, n36522, n36523, n36524, n36525, n36526,
+         n36527, n36528, n36529, n36530, n36531, n36532, n36533, n36534,
+         n36535, n36536, n36537, n36538, n36539, n36540, n36541, n36542,
+         n36543, n36544, n36545, n36546, n36547, n36548, n36549, n36550,
+         n36551, n36552, n36553, n36554, n36555, n36556, n36557, n36558,
+         n36559, n36560, n36561, n36562, n36571, n36572, n36573, n36574,
+         n36576, n36577, n36578, n36579, n36580, n36581, n36582, n36583,
+         n36586, n36589, n36590, n36593, n36594, n36595, n36598, n36602,
+         n36604, n36606, n36607, n36608, n36609, n36611, n36612, n36613,
+         n36614, n36616, n36617, n36618, n36619, n36621, n36622, n36623,
+         n36624, n36626, n36627, n36628, n36629, n36630, n36632, n36633,
+         n36634, n36635, n36637, n36638, n36639, n36640, n36642, n36646,
+         n36648, n36653, n36654, n36655, n36656, n36657, n36658, n36659,
+         n36660, n36661, n36662, n36663, n36664, n36665, n36666, n36667,
+         n36668, n36669, n36670, n36671, n36672, n36673, n36674, n36675,
+         n36677, n36678, n36679, n36680, n36683, n36684, n36685, n36686,
+         n36687, n36688, n36689, n36690, n36691, n36692, n36693, n36694,
+         n36695, n36697, n36699, n36701, n36703, n36705, n36707, n36709,
+         n36711, n36712, n36713, n36714, n36715, n36716, n36717, n36718,
+         n36719, n36720, n36721, n36722, n36723, n36724, n36726, n36727,
+         n36728, n36729, n36730, n36731, n36732, n36733, n36734, n36735,
+         n36736, n36737, n36738, n36739, n36741, n36742, n36743, n36744,
+         n36745, n36746, n36747, n36748, n36749, n36750, n36751, n36752,
+         n36753, n36754, n36755, n36756, n36757, n36758, n36759, n36760,
+         n36761, n36762, n36764, n36765, n36766, n36767, n36768, n36769,
+         n36770, n36771, n36772, n36773, n36774, n36775, n36776, n36777,
+         n36778, n36780, n36781, n36782, n36783, n36784, n36785, n36786,
+         n36788, n36789, n36790, n36791, n36792, n36793, n36794, n36795,
+         n36796, n36797, n36798, n36799, n36800, n36801, n36802, n36803,
+         n36804, n36805, n36806, n36807, n36808, n36809, n36810, n36811,
+         n36812, n36813, n36814, n36815, n36816, n36817, n36818, n36819,
+         n36820, n36821, n36822, n36824, n36825, n36826, n36827, n36828,
+         n36829, n36830, n36831, n36832, n36833, n36834, n36835, n36836,
+         n36837, n36838, n36839, n36840, n36841, n36842, n36843, n36844,
+         n36845, n36846, n36847, n36848, n36849, n36850, n36851, n36852,
+         n36967, n36968, n36969, n36970, n36972, n36973, n36974, n36975,
+         n36976, n36977, n36978, n36979, n36980, n36981, n36982, n36983,
+         n36984, n36986, n36987, n36988, n36989, n36990, n36995, n36996,
+         n36998, n37000, n37001, n37002, n37003, n37004, n37005, n37006,
+         n37008, n37010, n37012, n37013, n37014, n37015, n37016, n37018,
+         n37019, n37020, n37023, n37025, n37026, n37027, n37028, n37029,
+         n37030, n37031, n37032, n37033, n37037, n37038, n37039, n37040,
+         n37041, n37042, n37043, n37044, n37045, n37046, n37047, n37048,
+         n37049, n37050, n37051, n37052, n37053, n37054, n37055, n37056,
+         n37057, n37058, n37059, n37060, n37061, n37062, n37063, n37064,
+         n37065, n37066, n37067, n37068, n37069, n37070, n37071, n37072,
+         n37073, n37074, n37075, n37076, n37077, n37078, n37079, n37080,
+         n37081, n37082, n37083, n37084, n37085, n37086, n37087, n37088,
+         n37089, n37090, n37091, n37092, n37093, n37094, n37095, n37096,
+         n37098, n37099, n37100, n37101, n37102, n37103, n37104, n37105,
+         n37106, n37107, n37108, n37109, n37110, n37111, n37112, n37113,
+         n37114, n37115, n37116, n37117, n37118, n37119, n37120, n37121,
+         n37122, n37123, n37124, n37125, n37126, n37127, n37128, n37129,
+         n37130, n37131, n37132, n37133, n37134, n37135, n37136, n37137,
+         n37138, n37139, n37140, n37141, n37142, n37143, n37144, n37145,
+         n37146, n37147, n37148, n37149, n37150, n37151, n37152, n37153,
+         n37154, n37155, n37156, n37157, n37158, n37159, n37160, n37161,
+         n37162, n37163, n37164, n37165, n37166, n37167, n37168, n37169,
+         n37170, n37171, n37172, n37173, n37174, n37175, n37176, n37177,
+         n37178, n37179, n37180, n37181, n37182, n37183, n37184, n37185,
+         n37186, n37187, n37188, n37189, n37190, n37191, n37192, n37193,
+         n37194, n37195, n37196, n37197, n37198, n37199, n37206, n37207,
+         n37208, n37209, n37210, n37211, n37212, n37213, n37214, n37215,
+         n37216, n37217, n37218, n37219, n37220, n37221, n37222, n37223,
+         n37224, n37225, n37226, n37227, n37228, n37229, n37230, n37231,
+         n37232, n37233, n37234, n37235, n37236, n37237, n37238, n37239,
+         n37240, n37241, n37242, n37243, n37244, n37245, n37247, n37248,
+         n37249, n37250, n37251, n37252, n37253, n37254, n37255, n37256,
+         n37257, n37259, n37260, n37261, n37262, n37263, n37264, n37265,
+         n37266, n37267, n37272, n37273, n37274, n37275, n37276, n37277,
+         n37278, n37279, n37280, n37281, n37282, n37283, n37284, n37285,
+         n37286, n37287, n37288, n37289, n37290, n37291, n37292, n37293,
+         n37294, n37295, n37296, n37297, n37298, n37299, n37300, n37301,
+         n37302, n37303, n37304, n37305, n37306, n37307, n37308, n37309,
+         n37310, n37311, n37312, n37313, n37314, n37315, n37316, n37317,
+         n37318, n37319, n37320, n37321, n37322, n37323, n37324, n37325,
+         n37326, n37327, n37328, n37329, n37330, n37331, n37332, n37333,
+         n37334, n37335, n37336, n37337, n37338, n37339, n37340, n37341,
+         n37342, n37343, n37344, n37345, n37346, n37347, n37348, n37349,
+         n37350, n37351, n37352, n37353, n37354, n37355, n37356, n37357,
+         n37358, n37359, n37360, n37361, n37362, n37363, n37364, n37365,
+         n37366, n37367, n37368, n37369, n37370, n37371, n37372, n37373,
+         n37374, n37375, n37376, n37377, n37378, n37379, n37380, n37381,
+         n37382, n37383, n37384, n37385, n37386, n37387, n37388, n37389,
+         n37390, n37391, n37392, n37393, n37394, n37395, n37396, n37397,
+         n37398, n37399, n37400, n37401, n37402, n37403, n37404, n37405,
+         n37406, n37407, n37408, n37409, n37410, n37411, n37412, n37413,
+         n37414, n37415, n37416, n37417, n37418, n37419, n37420, n37421,
+         n37422, n37423, n37424, n37425, n37426, n37427, n37428, n37429,
+         n37430, n37431, n37432, n37433, n37434, n37435, n37436, n37437,
+         n37438, n37439, n37440, n37441, n37442, n37443, n37444, n37445,
+         n37446, n37447, n37448, n37449, n37450, n37451, n37452, n37453,
+         n37454, n37455, n37457, n37458, n37459, n37460, n37461, n37462,
+         n37463, n37464, n37465, n37466, n37467, n37468, n37469, n37470,
+         n37471, n37472, n37473, n37474, n37475, n37476, n37477, n37478,
+         n37479, n37480, n37481, n37482, n37483, n37484, n37485, n37486,
+         n37487, n37488, n37489, n37490, n37491, n37492, n37493, n37494,
+         n37495, n37496, n37497, n37498, n37499, n37500, n37501, n37502,
+         n37503, n37504, n37505, n37506, n37507, n37508, n37509, n37510,
+         n37511, n37512, n37513, n37514, n37515, n37516, n37517, n37518,
+         n37519, n37520, n37521, n37522, n37523, n37524, n37525, n37526,
+         n37527, n37528, n37529, n37530, n37531, n37532, n37533, n37534,
+         n37535, n37536, n37537, n37538, n37539, n37540, n37541, n37542,
+         n37543, n37544, n37545, n37546, n37547, n37548, n37549, n37550,
+         n37551, n37552, n37553, n37554, n37555, n37556, n37557, n37558,
+         n37559, n37560, n37561, n37562, n37563, n37564, n37565, n37566,
+         n37567, n37568, n37569, n37570, n37571, n37572, n37573, n37574,
+         n37575, n37576, n37577, n37578, n37579, n37580, n37581, n37582,
+         n37583, n37584, n37585, n37586, n37587, n37588, n37589, n37590,
+         n37591, n37592, n37593, n37594, n37595, n37596, n37597, n37598,
+         n37599, n37600, n37601, n37602, n37603, n37604, n37605, n37606,
+         n37607, n37608, n37609, n37610, n37611, n37612, n37613, n37614,
+         n37615, n37616, n37617, n37618, n37619, n37620, n37621, n37622,
+         n37623, n37624, n37625, n37626, n37627, n37628, n37629, n37630,
+         n37631, n37632, n37633, n37634, n37635, n37636, n37637, n37638,
+         n37639, n37640, n37641, n37642, n37643, n37644, n37645, n37646,
+         n37647, n37648, n37649, n37650, n37651, n37652, n37653, n37654,
+         n37655, n37656, n37657, n37658, n37659, n37660, n37661, n37662,
+         n37663, n37664, n37665, n37666, n37667, n37668, n37669, n37670,
+         n37671, n37672, n37673, n37674, n37675, n37676, n37677, n37678,
+         n37679, n37680, n37681, n37682, n37683, n37684, n37685, n37686,
+         n37687, n37688, n37689, n37690, n37691, n37692, n37693, n37694,
+         n37695, n37696, n37697, n37698, n37699, n37700, n37701, n37702,
+         n37703, n37704, n37705, n37706, n37707, n37708, n37709, n37710,
+         n37711, n37712, n37713, n37714, n37715, n37716, n37717, n37718,
+         n37719, n37720, n37721, n37722, n37723, n37724, n37725, n37726,
+         n37727, n37728, n37729, n37730, n37731, n37732, n37733, n37734,
+         n37735, n37736, n37737, n37738, n37739, n37740, n37741, n37742,
+         n37743, n37744, n37745, n37746, n37747, n37748, n37749, n37750,
+         n37751, n37752, n37753, n37754, n37755, n37756, n37757, n37758,
+         n37759, n37760, n37761, n37762, n37763, n37764, n37765, n37766,
+         n37767, n37768, n37769, n37770, n37771, n37772, n37773, n37774,
+         n37775, n37776, n37777, n37778, n37779, n37780, n37781, n37782,
+         n37783, n37784, n37785, n37786, n37787, n37788, n37789, n37790,
+         n37791, n37792, n37793, n37794, n37795, n37796, n37797, n37798,
+         n37799, n37800, n37801, n37802, n37803, n37804, n37805, n37806,
+         n37807, n37808, n37809, n37810, n37811, n37812, n37813, n37814,
+         n37815, n37816, n37817, n37818, n37819, n37820, n37821, n37822,
+         n37823, n37824, n37825, n37826, n37827, n37828, n37829, n37830,
+         n37831, n37832, n37833, n37834, n37835, n37836, n37837, n37838,
+         n37839, n37840, n37841, n37842, n37843, n37844, n37845, n37846,
+         n37847, n37848, n37849, n37850, n37851, n37852, n37853, n37854,
+         n37855, n37856, n37857, n37858, n37859, n37860, n37861, n37862,
+         n37863, n37864, n37865, n37866, n37867, n37868, n37869, n37870,
+         n37871, n37872, n37873, n37874, n37875, n37876, n37877, n37878,
+         n37879, n37880, n37881, n37882, n37883, n37884, n37885, n37886,
+         n37887, n37888, n37889, n37890, n37891, n37892, n37893, n37894,
+         n37895, n37896, n37897, n37898, n37899, n37900, n37901, n37902,
+         n37903, n37904, n37905, n37906, n37907, n37908, n37909, n37910,
+         n37911, n37912, n37913, n37914, n37915, n37916, n37917, n37918,
+         n37919, n37920, n37921, n37922, n37923, n37924, n37926, n37927,
+         n37928, n37929, n37930, n37931, n37932, n37933, n37934, n37935,
+         n37936, n37937, n37938, n37939, n37940, n37941, n37942, n37943,
+         n37944, n37945, n37946, n37947, n37948, n37949, n37950, n37951,
+         n37952, n37953, n37954, n37955, n37956, n37957, n37958, n37959,
+         n37960, n37961, n37962, n37963, n37964, n37965, n37966, n37967,
+         n37968, n37969, n37970, n37971, n37972, n37973, n37974, n37975,
+         n37976, n37977, n37978, n37979, n37980, n37981, n37982, n37983,
+         n37984, n37985, n37986, n37987, n37988, n37989, n37990, n37991,
+         n37992, n37993, n37994, n37995, n37996, n37997, n37998, n37999,
+         n38000, n38001, n38002, n38003, n38004, n38005, n38006, n38007,
+         n38008, n38009, n38010, n38011, n38012, n38013, n38014, n38015,
+         n38016, n38017, n38018, n38019, n38020, n38021, n38022, n38023,
+         n38024, n38025, n38026, n38027, n38028, n38029, n38030, n38031,
+         n38032, n38033, n38034, n38035, n38036, n38037, n38038, n38039,
+         n38040, n38041, n38042, n38043, n38044, n38045, n38046, n38047,
+         n38048, n38049, n38050, n38051, n38052, n38053, n38054, n38055,
+         n38056, n38057, n38058, n38059, n38060, n38061, n38062, n38063,
+         n38064, n38065, n38066, n38067, n38068, n38069, n38070, n38071,
+         n38072, n38073, n38074, n38075, n38076, n38077, n38078, n38079,
+         n38080, n38081, n38082, n38083, n38084, n38085, n38086, n38087,
+         n38088, n38089, n38090, n38091, n38092, n38093, n38094, n38095,
+         n38096, n38097, n38098, n38099, n38100, n38101, n38102, n38103,
+         n38104, n38105, n38106, n38107, n38108, n38109, n38110, n38111,
+         n38112, n38113, n38114, n38115, n38116, n38117, n38118, n38119,
+         n38120, n38121, n38122, n38123, n38124, n38125, n38126, n38127,
+         n38128, n38129, n38130, n38131, n38132, n38133, n38134, n38135,
+         n38136, n38137, n38138, n38139, n38140, n38141, n38142, n38143,
+         n38144, n38145, n38146, n38147, n38148, n38149, n38150, n38151,
+         n38152, n38153, n38154, n38155, n38156, n38158, n38159, n38160,
+         n38161, n38162, n38163, n38164, n38165, n38166, n38167, n38168,
+         n38169, n38170, n38171, n38172, n38173, n38174, n38175, n38176,
+         n38177, n38178, n38179, n38180, n38181, n38182, n38183, n38184,
+         n38185, n38186, n38187, n38188, n38189, n38190, n38191, n38192,
+         n38193, n38194, n38195, n38196, n38197, n38198, n38199, n38200,
+         n38201, n38202, n38203, n38204, n38205, n38206, n38207, n38208,
+         n38209, n38210, n38211, n38212, n38213, n38214, n38215, n38216,
+         n38217, n38218, n38219, n38220, n38221, n38222, n38223, n38224,
+         n38225, n38226, n38227, n38228, n38229, n38230, n38231, n38232,
+         n38233, n38236, n38237, n38238, n38239, n38240, n38241, n38242,
+         n38243, n38244, n38245, n38246, n38247, n38248, n38249, n38250,
+         n38251, n38252, n38253, n38254, n38255, n38256, n38257, n38258,
+         n38259, n38260, n38261, n38262, n38263, n38264, n38265, n38266,
+         n38267, n38268, n38269, n38270, n38271, n38272, n38273, n38274,
+         n38275, n38276, n38277, n38278, n38279, n38280, n38281, n38282,
+         n38283, n38284, n38285, n38286, n38287, n38288, n38289, n38290,
+         n38291, n38292, n38293, n38294, n38295, n38296, n38297, n38298,
+         n38299, n38300, n38301, n38302, n38303, n38304, n38305, n38306,
+         n38307, n38308, n38309, n38310, n38311, n38312, n38313, n38314,
+         n38315, n38316, n38317, n38318, n38319, n38320, n38321, n38322,
+         n38323, n38324, n38325, n38326, n38327, n38328, n38329, n38330,
+         n38331, n38332, n38333, n38334, n38335, n38336, n38337, n38338,
+         n38339, n38340, n38341, n38342, n38343, n38344, n38345, n38346,
+         n38347, n38348, n38349, n38350, n38351, n38352, n38353, n38354,
+         n38355, n38356, n38357, n38358, n38359, n38360, n38361, n38362,
+         n38363, n38364, n38365, n38366, n38367, n38368, n38369, n38370,
+         n38371, n38372, n38373, n38374, n38375, n38376, n38377, n38378,
+         n38379, n38380, n38381, n38382, n38383, n38384, n38385, n38386,
+         n38387, n38388, n38389, n38390, n38391, n38392, n38393, n38394,
+         n38395, n38396, n38397, n38398, n38399, n38400, n38401, n38402,
+         n38403, n38404, n38405, n38406, n38407, n38408, n38409, n38410,
+         n38411, n38412, n38413, n38414, n38415, n38416, n38417, n38418,
+         n38419, n38420, n38421, n38422, n38423, n38424, n38425, n38426,
+         n38427, n38428, n38429, n38430, n38431, n38432, n38433, n38434,
+         n38435, n38436, n38437, n38438, n38439, n38440, n38441, n38442,
+         n38443, n38444, n38445, n38446, n38447, n38448, n38449, n38450,
+         n38451, n38452, n38453, n38454, n38455, n38456, n38457, n38458,
+         n38459, n38460, n38461, n38462, n38463, n38464, n38465, n38466,
+         n38467, n38468, n38469, n38470, n38471, n38472, n38473, n38474,
+         n38475, n38476, n38477, n38478, n38479, n38480, n38481, n38482,
+         n38483, n38484, n38485, n38486, n38487, n38488, n38489, n38490,
+         n38491, n38492, n38493, n38494, n38495, n38496, n38497, n38498,
+         n38499, n38500, n38501, n38502, n38503, n38504, n38505, n38506,
+         n38507, n38508, n38509, n38510, n38511, n38512, n38513, n38514,
+         n38515, n38516, n38517, n38518, n38519, n38520, n38521, n38522,
+         n38523, n38524, n38525, n38526, n38527, n38528, n38529, n38530,
+         n38531, n38532, n38533, n38534, n38535, n38536, n38537, n38538,
+         n38539, n38540, n38541, n38542, n38543, n38544, n38545, n38546,
+         n38547, n38548, n38549, n38550, n38551, n38552, n38553, n38554,
+         n38555, n38556, n38557, n38558, n38559, n38560, n38561, n38562,
+         n38563, n38564, n38565, n38566, n38567, n38568, n38569, n38570,
+         n38571, n38572, n38573, n38574, n38575, n38576, n38577, n38578,
+         n38579, n38580, n38581, n38582, n38583, n38584, n38585, n38586,
+         n38587, n38588, n38589, n38590, n38591, n38592, n38593, n38594,
+         n38595, n38596, n38597, n38598, n38599, n38600, n38601, n38602,
+         n38603, n38604, n38605, n38606, n38607, n38608, n38609, n38610,
+         n38611, n38612, n38613, n38614, n38615, n38616, n38617, n38618,
+         n38619, n38620, n38621, n38622, n38623, n38624, n38625, n38626,
+         n38627, n38628, n38629, n38630, n38631, n38632, n38633, n38634,
+         n38635, n38636, n38637, n38638, n38639, n38640, n38641, n38642,
+         n38643, n38644, n38645, n38646, n38647, n38648, n38649, n38650,
+         n38651, n38652, n38653, n38654, n38655, n38656, n38657, n38658,
+         n38659, n38660, n38661, n38662, n38663, n38664, n38665, n38666,
+         n38667, n38668, n38669, n38670, n38671, n38672, n38673, n38674,
+         n38675, n38676, n38677, n38678, n38679, n38680, n38681, n38682,
+         n38683, n38684, n38685, n38686, n38687, n38688, n38689, n38690,
+         n38691, n38692, n38693, n38694, n38695, n38696, n38697, n38698,
+         n38699, n38700, n38701, n38702, n38703, n38704, n38705, n38706,
+         n38707, n38708, n38709, n38710, n38711, n38712, n38713, n38714,
+         n38715, n38716, n38717, n38718, n38719, n38720, n38721, n38722,
+         n38723, n38724, n38725, n38726, n38727, n38728, n38729, n38730,
+         n38731, n38732, n38733, n38734, n38735, n38736, n38737, n38738,
+         n38739, n38740, n38741, n38742, n38743, n38744, n38745, n38746,
+         n38747, n38748, n38749, n38750, n38751, n38752, n38753, n38754,
+         n38755, n38756, n38757, n38758, n38759, n38760, n38761, n38762,
+         n38763, n38764, n38765, n38766, n38767, n38768, n38769, n38770,
+         n38771, n38772, n38773, n38774, n38775, n38776, n38777, n38778,
+         n38779, n38780, n38781, n38782, n38783, n38784, n38785, n38786,
+         n38787, n38788, n38789, n38790, n38791, n38792, n38793, n38794,
+         n38795, n38796, n38797, n38798, n38799, n38800, n38801, n38802,
+         n38803, n38804, n38805, n38806, n38807, n38808, n38809, n38810,
+         n38811, n38812, n38813, n38814, n38815, n38816, n38817, n38818,
+         n38819, n38820, n38821, n38822, n38823, n38824, n38825, n38826,
+         n38827, n38828, n38829, n38830, n38831, n38832, n38833, n38834,
+         n38835, n38836, n38837, n38838, n38839, n38840, n38841, n38842,
+         n38843, n38844, n38845, n38846, n38847, n38848, n38849, n38850,
+         n38851, n38852, n38853, n38854, n38855, n38856, n38857, n38858,
+         n38859, n38860, n38861, n38862, n38863, n38864, n38865, n38866,
+         n38867, n38868, n38869, n38870, n38871, n38872, n38873, n38874,
+         n38875, n38876, n38877, n38878, n38879, n38880, n38881, n38882,
+         n38883, n38884, n38885, n38886, n38887, n38888, n38889, n38890,
+         n38891, n38892, n38893, n38894, n38895, n38896, n38897, n38898,
+         n38899, n38900, n38901, n38902, n38903, n38904, n38905, n38906,
+         n38907, n38908, n38909, n38910, n38911, n38912, n38913, n38914,
+         n38915, n38916, n38917, n38918, n38919, n38920, n38921, n38922,
+         n38923, n38924, n38925, n38926, n38927, n38928, n38929, n38930,
+         n38931, n38932, n38933, n38934, n38935, n38936, n38937, n38938,
+         n38939, n38940, n38941, n38942, n38943, n38944, n38945, n38946,
+         n38947, n38948, n38949, n38950, n38951, n38952, n38953, n38954,
+         n38955, n38956, n38957, n38958, n38959, n38960, n38961, n38962,
+         n38963, n38964, n38965, n38966, n38967, n38968, n38969, n38970,
+         n38971, n38972, n38973, n38974, n38975, n38976, n38977, n38978,
+         n38979, n38980, n38981, n38982, n38983, n38984, n38985, n38986,
+         n38987, n38988, n38989, n38990, n38991, n38992, n38993, n38994,
+         n38995, n38996, n38997, n38998, n38999, n39000, n39001, n39002,
+         n39003, n39004, n39005, n39006, n39007, n39008, n39009, n39010,
+         n39011, n39012, n39013, n39014, n39015, n39016, n39017, n39018,
+         n39019, n39020, n39021, n39022, n39023, n39024, n39025, n39026,
+         n39027, n39028, n39029, n39030, n39031, n39032, n39033, n39034,
+         n39035, n39036, n39037, n39038, n39039, n39040, n39041, n39042,
+         n39043, n39044, n39045, n39046, n39047, n39048, n39049, n39050,
+         n39051, n39052, n39053, n39054, n39055, n39056, n39057, n39058,
+         n39059, n39060, n39061, n39062, n39063, n39064, n39065, n39066,
+         n39067, n39068, n39069, n39070, n39071, n39072, n39073, n39074,
+         n39075, n39076, n39077, n39078, n39079, n39080, n39081, n39082,
+         n39083, n39084, n39085, n39086, n39087, n39088, n39089, n39090,
+         n39091, n39092, n39093, n39094, n39095, n39096, n39097, n39098,
+         n39099, n39100, n39101, n39102, n39103, n39104, n39105, n39106,
+         n39107, n39108, n39109, n39110, n39111, n39112, n39113, n39114,
+         n39115, n39116, n39117, n39118, n39119, n39120, n39121, n39122,
+         n39123, n39124, n39125, n39126, n39127, n39128, n39129, n39130,
+         n39131, n39132, n39133, n39134, n39135, n39136, n39137, n39138,
+         n39139, n39140, n39141, n39142, n39143, n39144, n39145, n39146,
+         n39147, n39148, n39149, n39150, n39151, n39152, n39153, n39154,
+         n39155, n39156, n39157, n39158, n39159, n39160, n39161, n39162,
+         n39163, n39164, n39165, n39166, n39167, n39168, n39169, n39170,
+         n39171, n39172, n39173, n39174, n39175, n39176, n39177, n39178,
+         n39179, n39180, n39181, n39182, n39183, n39184, n39185, n39186,
+         n39187, n39188, n39189, n39190, n39191, n39192, n39193, n39194,
+         n39195, n39196, n39197, n39198, n39199, n39200, n39201, n39202,
+         n39203, n39204, n39205, n39206, n39207, n39208, n39209, n39210,
+         n39211, n39212, n39213, n39214, n39215, n39216, n39217, n39218,
+         n39219, n39220, n39221, n39222, n39223, n39224, n39225, n39226,
+         n39227, n39228, n39229, n39230, n39231, n39232, n39233, n39234,
+         n39235, n39236, n39237, n39238, n39239, n39240, n39241, n39242,
+         n39243, n39244, n39245, n39246, n39247, n39248, n39249, n39250,
+         n39251, n39252, n39253, n39254, n39255, n39256, n39257, n39258,
+         n39259, n39260, n39261, n39262, n39263, n39264, n39265, n39266,
+         n39267, n39268, n39269, n39270, n39271, n39272, n39273, n39274,
+         n39275, n39276, n39277, n39278, n39279, n39280, n39281, n39282,
+         n39283, n39284, n39285, n39286, n39287, n39288, n39289, n39290,
+         n39291, n39292, n39293, n39294, n39295, n39296, n39297, n39298,
+         n39299, n39300, n39301, n39302, n39303, n39304, n39305, n39306,
+         n39307, n39308, n39309, n39310, n39311, n39312, n39313, n39314,
+         n39315, n39316, n39317, n39318, n39319, n39320, n39321, n39322,
+         n39323, n39324, n39325, n39326, n39327, n39328, n39329, n39330,
+         n39331, n39332, n39333, n39334, n39335, n39336, n39337, n39338,
+         n39339, n39340, n39341, n39342, n39343, n39344, n39345, n39346,
+         n39347, n39348, n39349, n39350, n39351, n39352, n39353, n39354,
+         n39355, n39356, n39357, n39358, n39359, n39360, n39361, n39362,
+         n39363, n39364, n39365, n39366, n39367, n39368, n39369, n39370,
+         n39371, n39372, n39373, n39374, n39375, n39376, n39377, n39378,
+         n39379, n39380, n39381, n39382, n39383, n39384, n39385, n39386,
+         n39387, n39388, n39389, n39390, n39391, n39392, n39393, n39394,
+         n39395, n39396, n39397, n39398, n39399, n39400, n39401, n39402,
+         n39403, n39404, n39405, n39406, n39407, n39408, n39409, n39410,
+         n39411, n39412, n39413, n39414, n39415, n39416, n39417, n39418,
+         n39419, n39420, n39421, n39422, n39423, n39424, n39425, n39426,
+         n39427, n39428, n39429, n39430, n39431, n39432, n39433, n39434,
+         n39435, n39436, n39437, n39438, n39439, n39440, n39441, n39442,
+         n39443, n39444, n39445, n39446, n39447, n39448, n39449, n39450,
+         n39451, n39452, n39453, n39454, n39455, n39456, n39457, n39458,
+         n39459, n39460, n39461, n39462, n39463, n39464, n39465, n39466,
+         n39467, n39468, n39469, n39470, n39471, n39472, n39473, n39474,
+         n39475, n39476, n39477, n39478, n39479, n39480, n39481, n39482,
+         n39483, n39484, n39485, n39486, n39487, n39488, n39489, n39490,
+         n39491, n39492, n39493, n39494, n39495, n39496, n39497, n39498,
+         n39499, n39500, n39501, n39502, n39503, n39504, n39505, n39506,
+         n39507, n39508, n39509, n39510, n39511, n39512, n39513, n39514,
+         n39515, n39516, n39517, n39518, n39519, n39520, n39521, n39522,
+         n39523, n39524, n39525, n39526, n39528, n39529, n39530, n39531,
+         n39532, n39533, n39534, n39535, n39536, n39537, n39538, n39539,
+         n39540, n39541, n39542, n39543, n39544, n39545, n39546, n39547,
+         n39548, n39549, n39550, n39551, n39552, n39553, n39554, n39555,
+         n39556, n39557, n39558, n39559, n39560, n39561, n39562, n39563,
+         n39564, n39565, n39566, n39567, n39568, n39569, n39570, n39571,
+         n39572, n39573, n39574, n39575, n39576, n39577, n39578, n39579,
+         n39580, n39581, n39582, n39583, n39584, n39585, n39586, n39587,
+         n39588, n39589, n39590, n39591, n39592, n39593, n39594, n39595,
+         n39596, n39597, n39598, n39599, n39600, n39601, n39602, n39603,
+         n39604, n39605, n39606, n39607, n39608, n39609, n39610, n39611,
+         n39612, n39613, n39614, n39615, n39616, n39617, n39618, n39619,
+         n39620, n39621, n39622, n39623, n39624, n39625, n39626, n39627,
+         n39628, n39629, n39630, n39631, n39632, n39633, n39634, n39635,
+         n39636, n39637, n39638, n39639, n39640, n39641, n39642, n39643,
+         n39644, n39645, n39646, n39647, n39648, n39649, n39650, n39651,
+         n39652, n39653, n39654, n39655, n39656, n39657, n39658, n39659,
+         n39660, n39661, n39662, n39663, n39664, n39665, n39666, n39667,
+         n39668, n39669, n39670, n39671, n39672, n39673, n39674, n39675,
+         n39676, n39677, n39678, n39679, n39680, n39681, n39682, n39683,
+         n39684, n39685, n39686, n39687, n39688, n39689, n39690, n39691,
+         n39692, n39693, n39694, n39695, n39696, n39697, n39698, n39699,
+         n39700, n39701, n39702, n39703, n39704, n39705, n39706, n39707,
+         n39708, n39709, n39710, n39711, n39712, n39713, n39714, n39715,
+         n39716, n39717, n39718, n39719, n39720, n39721, n39722, n39723,
+         n39724, n39725, n39726, n39727, n39728, n39729, n39730, n39731,
+         n39732, n39733, n39734, n39735, n39736, n39737, n39738, n39739,
+         n39740, n39741, n39742, n39743, n39744, n39745, n39746, n39747,
+         n39748, n39749, n39750, n39751, n39752, n39753, n39754, n39755,
+         n39756, n39757, n39758, n39759, n39760, n39761, n39762, n39763,
+         n39764, n39765, n39766, n39767, n39768, n39769, n39770, n39771,
+         n39772, n39773, n39774, n39775, n39776, n39777, n39778, n39779,
+         n39780, n39781, n39782, n39783, n39784, n39785, n39786, n39787,
+         n39788, n39789, n39790, n39791, n39792, n39793, n39794, n39795,
+         n39796, n39797, n39798, n39799, n39800, n39801, n39802, n39803,
+         n39804, n39805, n39806, n39807, n39808, n39809, n39810, n39811,
+         n39812, n39813, n39814, n39815, n39816, n39817, n39818, n39819,
+         n39820, n39821, n39822, n39823, n39824, n39825, n39826, n39827,
+         n39828, n39829, n39830, n39831, n39832, n39833, n39834, n39835,
+         n39836, n39837, n39838, n39839, n39840, n39841, n39842, n39843,
+         n39844, n39845, n39846, n39847, n39848, n39849, n39850, n39851,
+         n39852, n39853, n39854, n39855, n39856, n39857, n39858, n39859,
+         n39860, n39861, n39862, n39863, n39864, n39865, n39866, n39867,
+         n39868, n39869, n39870, n39871, n39872, n39873, n39874, n39875,
+         n39877, n39878, n39879, n39881, n39882, n39883, n39884, n39885,
+         n39886, n39887, n39888, n39889, n39890, n39891, n39892, n39893,
+         n39894, n39895, n39896, n39897, n39898, n39899, n39900, n39901,
+         n39902, n39903, n39904, n39905, n39906, n39907, n39908, n39909,
+         n39910, n39911, n39912, n39913, n39914, n39915, n39916, n39917,
+         n39918, n39919, n39920, n39921, n39922, n39923, n39924, n39925,
+         n39926, n39927, n39928, n39929, n39930, n39931, n39932, n39933,
+         n39934, n39935, n39936, n39937, n39938, n39939, n39940, n39941,
+         n39942, n39943, n39944, n39945, n39946, n39947, n39948, n39949,
+         n39950, n39951, n39952, n39953, n39954, n39955, n39956, n39957,
+         n39958, n39959, n39960, n39961, n39962, n39963, n39964, n39965,
+         n39966, n39967, n39968, n39969, n39970, n39971, n39972, n39973,
+         n39974, n39975, n39976, n39977, n39978, n39979, n39980, n39981,
+         n39982, n39983, n39984, n39985, n39986, n39987, n39988, n39989,
+         n39990, n39991, n39992, n39993, n39994, n39995, n39996, n39997,
+         n39998, n39999, n40000, n40001, n40002, n40003, n40004, n40005,
+         n40006, n40007, n40008, n40009, n40010, n40011, n40012, n40013,
+         n40014, n40015, n40016, n40017, n40018, n40019, n40020, n40021,
+         n40022, n40023, n40024, n40025, n40026, n40027, n40028, n40029,
+         n40030, n40031, n40032, n40033, n40034, n40035, n40036, n40037,
+         n40038, n40039, n40040, n40041, n40042, n40043, n40044, n40045,
+         n40046, n40047, n40048, n40049, n40050, n40051, n40052, n40053,
+         n40054, n40055, n40056, n40057, n40058, n40059, n40060, n40061,
+         n40062, n40063, n40064, n40065, n40066, n40067, n40068, n40069,
+         n40070, n40071, n40072, n40073, n40074, n40075, n40076, n40077,
+         n40078, n40079, n40080, n40081, n40082, n40083, n40084, n40085,
+         n40086, n40087, n40088, n40089, n40090, n40091, n40092, n40093,
+         n40094, n40095, n40096, n40097, n40098, n40099, n40100, n40101,
+         n40102, n40103, n40104, n40105, n40106, n40107, n40108, n40109,
+         n40110, n40111, n40112, n40113, n40114, n40115, n40116, n40117,
+         n40118, n40119, n40120, n40121, n40122, n40123, n40124, n40125,
+         n40126, n40127, n40128, n40129, n40130, n40131, n40132, n40133,
+         n40134, n40135, n40136, n40137, n40138, n40139, n40140, n40141,
+         n40142, n40143, n40144, n40145, n40146, n40147, n40148, n40149,
+         n40150, n40151, n40152, n40153, n40154, n40155, n40156, n40157,
+         n40158, n40159, n40160, n40161, n40162, n40163, n40164, n40165,
+         n40166, n40167, n40168, n40169, n40170, n40171, n40172, n40173,
+         n40174, n40175, n40176, n40177, n40178, n40179, n40180, n40181,
+         n40182, n40183, n40184, n40185, n40186, n40187, n40188, n40189,
+         n40190, n40191, n40192, n40193, n40194, n40195, n40196, n40197,
+         n40198, n40199, n40200, n40201, n40202, n40203, n40204, n40205,
+         n40206, n40207, n40208, n40209, n40210, n40211, n40212, n40213,
+         n40215, n40216, n40217, n40218, n40219, n40220, n40221, n40222,
+         n40223, n40224, n40225, n40226, n40227, n40228, n40229, n40230,
+         n40231, n40232, n40233, n40234, n40235, n40236, n40237, n40238,
+         n40239, n40240, n40241, n40242, n40243, n40244, n40245, n40246,
+         n40247, n40248, n40249, n40250, n40251, n40252, n40253, n40254,
+         n40255, n40256, n40257, n40258, n40259, n40260, n40261, n40262,
+         n40263, n40264, n40265, n40266, n40267, n40268, n40269, n40270,
+         n40271, n40272, n40273, n40274, n40275, n40276, n40277, n40278,
+         n40279, n40280, n40281, n40282, n40283, n40284, n40285, n40286,
+         n40287, n40288, n40289, n40290, n40291, n40292, n40293, n40294,
+         n40295, n40296, n40297, n40298, n40299, n40300, n40301, n40302,
+         n40303, n40304, n40305, n40306, n40307, n40308, n40309, n40310,
+         n40311, n40312, n40313, n40314, n40315, n40316, n40317, n40318,
+         n40319, n40320, n40321, n40322, n40323, n40324, n40325, n40326,
+         n40327, n40328, n40329, n40330, n40331, n40332, n40333, n40334,
+         n40335, n40336, n40337, n40338, n40339, n40340, n40341, n40342,
+         n40343, n40344, n40345, n40346, n40347, n40348, n40349, n40350,
+         n40351, n40352, n40353, n40354, n40355, n40356, n40357, n40358,
+         n40359, n40360, n40361, n40362, n40363, n40364, n40365, n40366,
+         n40367, n40368, n40369, n40370, n40371, n40372, n40373, n40374,
+         n40375, n40376, n40377, n40378, n40379, n40380, n40381, n40382,
+         n40383, n40384, n40385, n40386, n40387, n40388, n40389, n40390,
+         n40391, n40392, n40393, n40394, n40395, n40396, n40397, n40398,
+         n40399, n40400, n40401, n40402, n40403, n40404, n40405, n40406,
+         n40407, n40408, n40409, n40410, n40411, n40412, n40413, n40414,
+         n40415, n40416, n40417, n40418, n40419, n40420, n40421, n40422,
+         n40423, n40424, n40425, n40426, n40427, n40428, n40429, n40430,
+         n40431, n40432, n40433, n40434, n40435, n40436, n40437, n40438,
+         n40439, n40440, n40441, n40442, n40443, n40444, n40445, n40446,
+         n40447, n40448, n40449, n40450, n40451, n40452, n40453, n40454,
+         n40455, n40456, n40457, n40458, n40459, n40460, n40461, n40462,
+         n40463, n40464, n40465, n40466, n40467, n40468, n40469, n40470,
+         n40471, n40472, n40473, n40474, n40475, n40476, n40477, n40478,
+         n40479, n40480, n40481, n40482, n40483, n40484, n40485, n40486,
+         n40487, n40488, n40489, n40490, n40491, n40492, n40493, n40494,
+         n40495, n40496, n40497, n40498, n40499, n40500, n40501, n40502,
+         n40503, n40504, n40505, n40506, n40507, n40508, n40509, n40510,
+         n40511, n40512, n40513, n40514, n40515, n40516, n40517, n40518,
+         n40519, n40520, n40521, n40522, n40523, n40524, n40525, n40526,
+         n40527, n40528, n40529, n40530, n40531, n40532, n40533, n40534,
+         n40535, n40536, n40537, n40538, n40539, n40540, n40541, n40542,
+         n40543, n40544, n40545, n40546, n40547, n40548, n40549, n40550,
+         n40551, n40552, n40553, n40554, n40555, n40556, n40557, n40558,
+         n40559, n40560, n40561, n40562, n40563, n40564, n40565, n40566,
+         n40567, n40568, n40569, n40570, n40571, n40572, n40573, n40574,
+         n40575, n40576, n40577, n40578, n40579, n40580, n40581, n40582,
+         n40583, n40584, n40585, n40586, n40587, n40588, n40589, n40590,
+         n40591, n40592, n40593, n40594, n40595, n40596, n40597, n40598,
+         n40599, n40600, n40601, n40602, n40603, n40604, n40605, n40606,
+         n40607, n40608, n40609, n40610, n40611, n40612, n40613, n40614,
+         n40615, n40616, n40617, n40618, n40619, n40620, n40621, n40622,
+         n40623, n40624, n40625, n40626, n40627, n40628, n40629, n40630,
+         n40631, n40632, n40633, n40634, n40635, n40636, n40637, n40638,
+         n40639, n40640, n40641, n40642, n40643, n40644, n40645, n40646,
+         n40647, n40648, n40649, n40650, n40651, n40652, n40653, n40654,
+         n40655, n40656, n40657, n40658, n40659, n40660, n40661, n40662,
+         n40663, n40664, n40665, n40666, n40667, n40668, n40669, n40670,
+         n40671, n40672, n40673, n40674, n40675, n40676, n40677, n40678,
+         n40679, n40680, n40681, n40682, n40683, n40684, n40685, n40686,
+         n40687, n40688, n40689, n40690, n40691, n40692, n40693, n40694,
+         n40695, n40696, n40697, n40698, n40699, n40700, n40701, n40702,
+         n40703, n40704, n40705, n40706, n40707, n40708, n40709, n40710,
+         n40711, n40712, n40713, n40714, n40715, n40716, n40717, n40718,
+         n40719, n40720, n40721, n40722, n40723, n40724, n40725, n40726,
+         n40727, n40728, n40729, n40730, n40731, n40732, n40733, n40734,
+         n40735, n40736, n40737, n40738, n40739, n40740, n40741, n40742,
+         n40743, n40744, n40745, n40746, n40747, n40748, n40749, n40750,
+         n40751, n40752, n40753, n40754, n40755, n40756, n40757, n40758,
+         n40759, n40760, n40761, n40762, n40763, n40764, n40765, n40766,
+         n40767, n40768, n40769, n40770, n40771, n40772, n40773, n40774,
+         n40775, n40776, n40777, n40778, n40779, n40780, n40781, n40782,
+         n40783, n40784, n40785, n40786, n40787, n40788, n40789, n40790,
+         n40791, n40792, n40793, n40794, n40795, n40796, n40797, n40798,
+         n40799, n40800, n40801, n40802, n40803, n40804, n40805, n40806,
+         n40807, n40808, n40809, n40810, n40811, n40812, n40813, n40814,
+         n40815, n40816, n40817, n40818, n40819, n40820, n40821, n40822,
+         n40823, n40824, n40825, n40826, n40827, n40828, n40829, n40830,
+         n40831, n40832, n40833, n40834, n40835, n40836, n40837, n40838,
+         n40839, n40840, n40841, n40842, n40843, n40844, n40845, n40846,
+         n40847, n40848, n40849, n40850, n40851, n40852, n40853, n40854,
+         n40855, n40856, n40857, n40858, n40859, n40860, n40861, n40862,
+         n40863, n40864, n40865, n40866, n40867, n40868, n40869, n40870,
+         n40871, n40872, n40873, n40874, n40875, n40876, n40877, n40878,
+         n40879, n40880, n40881, n40882, n40883, n40884, n40885, n40886,
+         n40887, n40888, n40889, n40890, n40891, n40892, n40893, n40894,
+         n40895, n40896, n40897, n40898, n40899, n40900, n40901, n40902,
+         n40903, n40904, n40905, n40906, n40907, n40908, n40909, n40910,
+         n40911, n40912, n40913, n40914, n40915, n40916, n40917, n40918,
+         n40919, n40920, n40921, n40922, n40923, n40924, n40925, n40926,
+         n40927, n40928, n40929, n40930, n40931, n40932, n40933, n40934,
+         n40935, n40936, n40937, n40938, n40939, n40940, n40941, n40942,
+         n40943, n40944, n40945, n40946, n40947, n40948, n40949, n40950,
+         n40951, n40952, n40953, n40954, n40955, n40956, n40957, n40958,
+         n40959, n40960, n40961, n40962, n40963, n40964, n40965, n40966,
+         n40967, n40968, n40969, n40970, n40971, n40972, n40973, n40974,
+         n40975, n40976, n40977, n40978, n40979, n40980, n40981, n40982,
+         n40983, n40984, n40985, n40986, n40987, n40988, n40989, n40990,
+         n40991, n40992, n40993, n40994, n40995, n40996, n40997, n40998,
+         n40999, n41000, n41001, n41002, n41003, n41004, n41005, n41006,
+         n41007, n41008, n41009, n41010, n41011, n41012, n41013, n41014,
+         n41015, n41016, n41017, n41018, n41019, n41020, n41021, n41022,
+         n41023, n41024, n41025, n41026, n41027, n41028, n41029, n41030,
+         n41031, n41032, n41033, n41034, n41035, n41036, n41037, n41038,
+         n41039, n41040, n41041, n41042, n41043, n41044, n41045, n41046,
+         n41047, n41048, n41049, n41050, n41051, n41052, n41053, n41054,
+         n41055, n41056, n41057, n41058, n41059, n41060, n41061, n41062,
+         n41063, n41064, n41065, n41066, n41067, n41068, n41069, n41070,
+         n41071, n41072, n41073, n41074, n41075, n41076, n41077, n41078,
+         n41079, n41080, n41081, n41082, n41083, n41084, n41085, n41086,
+         n41087, n41088, n41089, n41090, n41091, n41092, n41093, n41094,
+         n41095, n41096, n41097, n41098, n41099, n41100, n41101, n41102,
+         n41103, n41104, n41105, n41106, n41107, n41108, n41109, n41110,
+         n41111, n41112, n41113, n41114, n41115, n41116, n41117, n41118,
+         n41119, n41120, n41121, n41122, n41123, n41124, n41125, n41126,
+         n41127, n41128, n41129, n41130, n41131, n41132, n41133, n41134,
+         n41135, n41136, n41137, n41138, n41139, n41140, n41141, n41142,
+         n41143, n41144, n41145, n41146, n41147, n41148, n41149, n41150,
+         n41151, n41152, n41153, n41154, n41155, n41156, n41157, n41158,
+         n41159, n41160, n41161, n41162, n41163, n41164, n41165, n41166,
+         n41167, n41168, n41169, n41170, n41171, n41172, n41173, n41174,
+         n41175, n41176, n41177, n41178, n41179, n41180, n41181, n41182,
+         n41183, n41184, n41185, n41186, n41187, n41188, n41189, n41190,
+         n41191, n41192, n41193, n41194, n41195, n41196, n41197, n41198,
+         n41199, n41200, n41201, n41202, n41203, n41204, n41205, n41206,
+         n41207, n41208, n41209, n41210, n41211, n41212, n41213, n41214,
+         n41215, n41216, n41217, n41218, n41219, n41220, n41221, n41222,
+         n41223, n41224, n41225, n41226, n41227, n41228, n41229, n41230,
+         n41231, n41232, n41233, n41234, n41235, n41236, n41237, n41238,
+         n41239, n41240, n41241, n41242, n41243, n41244, n41245, n41246,
+         n41247, n41248, n41249, n41250, n41251, n41252, n41253, n41254,
+         n41255, n41256, n41257, n41258, n41259, n41260, n41261, n41262,
+         n41263, n41264, n41265, n41266, n41267, n41268, n41269, n41270,
+         n41271, n41272, n41273, n41274, n41275, n41276, n41277, n41278,
+         n41279, n41280, n41281, n41282, n41283, n41284, n41285, n41286,
+         n41287, n41288, n41289, n41290, n41291, n41292, n41293, n41294,
+         n41295, n41296, n41297, n41298, n41299, n41300, n41301, n41302,
+         n41303, n41304, n41305, n41306, n41307, n41308, n41309, n41310,
+         n41311, n41312, n41313, n41314, n41315, n41316, n41317, n41318,
+         n41319, n41320, n41321, n41322, n41323, n41324, n41325, n41326,
+         n41327, n41328, n41329, n41330, n41331, n41332, n41333, n41334,
+         n41335, n41336, n41337, n41338, n41339, n41340, n41341, n41342,
+         n41343, n41344, n41345, n41346, n41347, n41348, n41349, n41350,
+         n41351, n41352, n41353, n41354, n41355, n41356, n41357, n41358,
+         n41359, n41360, n41361, n41362, n41363, n41364, n41365, n41366,
+         n41367, n41368, n41370, n41371, n41372, n41373, n41374, n41375,
+         n41376, n41377, n41378, n41379, n41380, n41381, n41382, n41383,
+         n41384, n41385, n41386, n41387, n41388, n41389, n41390, n41391,
+         n41392, n41393, n41394, n41395, n41396, n41397, n41398, n41399,
+         n41400, n41401, n41402, n41403, n41404, n41405, n41406, n41407,
+         n41408, n41409, n41410, n41411, n41412, n41413, n41414, n41415,
+         n41416, n41417, n41418, n41419, n41420, n41421, n41422, n41423,
+         n41424, n41425, n41426, n41427, n41428, n41429, n41430, n41431,
+         n41432, n41433, n41434, n41435, n41436, n41437, n41438, n41439,
+         n41440, n41441, n41442, n41443, n41444, n41445, n41446, n41447,
+         n41448, n41449, n41450, n41451, n41452, n41453, n41454, n41455,
+         n41456, n41457, n41458, n41459, n41460, n41461, n41462, n41463,
+         n41464, n41465, n41466, n41467, n41468, n41469, n41470, n41471,
+         n41472, n41473, n41474, n41475, n41476, n41477, n41478, n41479,
+         n41480, n41481, n41482, n41483, n41484, n41485, n41486, n41487,
+         n41488, n41489, n41490, n41491, n41492, n41493, n41494, n41495,
+         n41496, n41497, n41498, n41499, n41500, n41501, n41502, n41503,
+         n41504, n41505, n41506, n41507, n41508, n41509, n41510, n41511,
+         n41512, n41513, n41514, n41515, n41516, n41517, n41518, n41519,
+         n41520, n41521, n41522, n41523, n41524, n41525, n41526, n41527,
+         n41528, n41529, n41530, n41531, n41532, n41533, n41534, n41535,
+         n41536, n41537, n41538, n41539, n41540, n41541, n41542, n41543,
+         n41544, n41545, n41546, n41547, n41548, n41549, n41550, n41551,
+         n41552, n41553, n41554, n41555, n41556, n41557, n41558, n41559,
+         n41560, n41561, n41562, n41563, n41564, n41565, n41566, n41567,
+         n41568, n41569, n41570, n41571, n41572, n41573, n41574, n41575,
+         n41576, n41577, n41578, n41579, n41580, n41581, n41582, n41583,
+         n41584, n41585, n41587, n41588, n41589, n41590, n41591, n41592,
+         n41593, n41594, n41595, n41596, n41597, n41598, n41599, n41600,
+         n41601, n41602, n41603, n41604, n41605, n41606, n41607, n41608,
+         n41609, n41610, n41611, n41612, n41613, n41614, n41615, n41616,
+         n41617, n41618, n41619, n41620, n41621, n41622, n41623, n41624,
+         n41625, n41626, n41627, n41628, n41629, n41630, n41631, n41632,
+         n41633, n41634, n41635, n41636, n41637, n41638, n41639, n41640,
+         n41641, n41642, n41643, n41644, n41645, n41646, n41647, n41648,
+         n41649, n41650, n41651, n41652, n41653, n41654, n41655, n41656,
+         n41657, n41658, n41659, n41660, n41661, n41662, n41663, n41664,
+         n41665, n41666, n41667, n41668, n41669, n41670, n41671, n41672,
+         n41673, n41674, n41675, n41676, n41677, n41678, n41679, n41680,
+         n41681, n41682, n41683, n41684, n41685, n41686, n41687, n41688,
+         n41689, n41690, n41691, n41692, n41693, n41694, n41695, n41696,
+         n41697, n41698, n41699, n41700, n41701, n41702, n41703, n41704,
+         n41705, n41706, n41707, n41708, n41709, n41710, n41711, n41712,
+         n41713, n41714, n41715, n41716, n41717, n41718, n41719, n41720,
+         n41721, n41722, n41723, n41724, n41725, n41726, n41727, n41728,
+         n41729, n41730, n41731, n41732, n41733, n41734, n41735, n41736,
+         n41737, n41738, n41739, n41740, n41741, n41742, n41743, n41744,
+         n41745, n41746, n41747, n41748, n41749, n41750, n41751, n41752,
+         n41753, n41754, n41755, n41756, n41757, n41758, n41759, n41760,
+         n41761, n41762, n41763, n41764, n41765, n41766, n41768, n41769,
+         n41770, n41771, n41772, n41773, n41774, n41775, n41776, n41777,
+         n41778, n41779, n41780, n41781, n41782, n41783, n41784, n41785,
+         n41786, n41787, n41788, n41789, n41790, n41791, n41792, n41793,
+         n41794, n41795, n41796, n41797, n41798, n41799, n41800, n41801,
+         n41802, n41803, n41804, n41805, n41807, n41808, n41809, n41810,
+         n41811, n41812, n41813, n41814, n41815, n41816, n41817, n41818,
+         n41819, n41820, n41821, n41822, n41823, n41824, n41825, n41826,
+         n41827, n41828, n41829, n41830, n41831, n41832, n41833, n41834,
+         n41835, n41836, n41837, n41838, n41839, n41840, n41841, n41842,
+         n41843, n41844, n41845, n41846, n41847, n41848, n41849, n41850,
+         n41851, n41852, n41853, n41854, n41855, n41856, n41857, n41858,
+         n41859, n41860, n41861, n41862, n41863, n41864, n41865, n41866,
+         n41867, n41868, n41869, n41870, n41871, n41872, n41873, n41874,
+         n41875, n41876, n41877, n41878, n41879, n41880, n41881, n41882,
+         n41883, n41884, n41885, n41886, n41887, n41888, n41889, n41890,
+         n41891, n41892, n41893, n41894, n41895, n41896, n41897, n41898,
+         n41899, n41900, n41901, n41902, n41903, n41904, n41905, n41906,
+         n41907, n41908, n41909, n41910, n41911, n41912, n41913, n41914,
+         n41915, n41916, n41917, n41918, n41919, n41920, n41921, n41922,
+         n41923, n41924, n41925, n41926, n41927, n41928, n41929, n41930,
+         n41931, n41932, n41933, n41934, n41935, n41936, n41937, n41938,
+         n41939, n41940, n41941, n41942, n41943, n41944, n41945, n41946,
+         n41947, n41948, n41949, n41950, n41951, n41952, n41953, n41954,
+         n41955, n41956, n41957, n41958, n41959, n41960, n41961, n41962,
+         n41963, n41964, n41965, n41966, n41967, n41968, n41969, n41970,
+         n41971, n41972, n41973, n41974, n41975, n41976, n41977, n41978,
+         n41979, n41980, n41981, n41982, n41983, n41984, n41985, n41986,
+         n41987, n41988, n41989, n41990, n41991, n41992, n41993, n41994,
+         n41995, n41996, n41997, n41998, n41999, n42000, n42001, n42002,
+         n42003, n42004, n42005, n42006, n42007, n42008, n42009, n42010,
+         n42011, n42012, n42013, n42014, n42015, n42016, n42017, n42018,
+         n42019, n42020, n42021, n42022, n42023, n42024, n42025, n42026,
+         n42027, n42028, n42029, n42030, n42031, n42032, n42033, n42034,
+         n42035, n42036, n42037, n42038, n42039, n42040, n42041, n42042,
+         n42043, n42044, n42045, n42046, n42047, n42048, n42049, n42050,
+         n42051, n42052, n42053, n42054, n42055, n42056, n42057, n42058,
+         n42059, n42060, n42061, n42062, n42063, n42064, n42065, n42066,
+         n42067, n42068, n42069, n42070, n42071, n42072, n42073, n42074,
+         n42075, n42076, n42077, n42078, n42079, n42080, n42081, n42082,
+         n42083, n42084, n42085, n42086, n42087, n42088, n42089, n42090,
+         n42091, n42092, n42093, n42094, n42095, n42096, n42097, n42098,
+         n42099, n42100, n42101, n42102, n42103, n42104, n42105, n42106,
+         n42107, n42108, n42109, n42110, n42111, n42112, n42113, n42114,
+         n42115, n42116, n42117, n42118, n42119, n42120, n42121, n42122,
+         n42123, n42124, n42125, n42126, n42127, n42128, n42129, n42130,
+         n42131, n42132, n42133, n42134, n42135, n42136, n42137, n42138,
+         n42139, n42140, n42141, n42142, n42143, n42144, n42145, n42146,
+         n42147, n42148, n42149, n42150, n42151, n42152, n42153, n42154,
+         n42155, n42156, n42157, n42158, n42159, n42160, n42161, n42162,
+         n42163, n42164, n42165, n42166, n42167, n42168, n42169, n42170,
+         n42171, n42172, n42173, n42174, n42175, n42176, n42177, n42178,
+         n42179, n42180, n42181, n42182, n42183, n42184, n42185, n42186,
+         n42187, n42188, n42189, n42190, n42191, n42192, n42193, n42194,
+         n42195, n42196, n42197, n42198, n42199, n42200, n42201, n42202,
+         n42203, n42204, n42205, n42206, n42207, n42208, n42209, n42210,
+         n42211, n42212, n42213, n42214, n42215, n42216, n42217, n42218,
+         n42219, n42220, n42221, n42222, n42223, n42224, n42225, n42226,
+         n42227, n42228, n42229, n42230, n42231, n42232, n42233, n42234,
+         n42235, n42236, n42237, n42238, n42239, n42240, n42241, n42242,
+         n42243, n42244, n42245, n42246, n42247, n42248, n42249, n42250,
+         n42251, n42252, n42253, n42254, n42255, n42256, n42257, n42258,
+         n42259, n42260, n42261, n42262, n42263, n42264, n42265, n42266,
+         n42267, n42268, n42269, n42270, n42271, n42272, n42273, n42274,
+         n42275, n42276, n42277, n42278, n42279, n42280, n42281, n42282,
+         n42283, n42284, n42285, n42286, n42287, n42288, n42289, n42290,
+         n42291, n42292, n42293, n42294, n42295, n42296, n42297, n42298,
+         n42299, n42300, n42301, n42302, n42303, n42304, n42305, n42306,
+         n42307, n42308, n42309, n42310, n42311, n42312, n42313, n42314,
+         n42315, n42316, n42317, n42318, n42319, n42320, n42321, n42322,
+         n42323, n42324, n42325, n42326, n42327, n42328, n42329, n42330,
+         n42331, n42332, n42333, n42334, n42335, n42336, n42337, n42338,
+         n42339, n42340, n42341, n42342, n42343, n42344, n42345, n42346,
+         n42347, n42348, n42349, n42350, n42351, n42352, n42353, n42354,
+         n42355, n42356, n42357, n42358, n42359, n42360, n42361, n42362,
+         n42363, n42364, n42365, n42366, n42367, n42368, n42369, n42370,
+         n42371, n42372, n42373, n42374, n42375, n42376, n42377, n42378,
+         n42379, n42380, n42381, n42382, n42383, n42384, n42385, n42386,
+         n42387, n42388, n42389, n42390, n42391, n42392, n42393, n42394,
+         n42395, n42396, n42397, n42398, n42399, n42400, n42401, n42402,
+         n42403, n42404, n42405, n42406, n42407, n42408, n42409, n42410,
+         n42411, n42412, n42413, n42414, n42415, n42416, n42417, n42418,
+         n42419, n42420, n42421, n42422, n42423, n42424, n42425, n42426,
+         n42427, n42428, n42429, n42430, n42431, n42432, n42433, n42434,
+         n42435, n42436, n42437, n42438, n42439, n42440, n42441, n42442,
+         n42443, n42444, n42445, n42446, n42447, n42448, n42449, n42450,
+         n42451, n42452, n42453, n42454, n42455, n42456, n42457, n42458,
+         n42459, n42460, n42461, n42462, n42463, n42464, n42465, n42466,
+         n42467, n42468, n42469, n42470, n42471, n42472, n42473, n42474,
+         n42475, n42476, n42477, n42478, n42479, n42480, n42481, n42482,
+         n42483, n42484, n42485, n42486, n42487, n42488, n42489, n42490,
+         n42491, n42492, n42493, n42494, n42495, n42496, n42497, n42498,
+         n42499, n42500, n42501, n42502, n42503, n42504, n42505, n42506,
+         n42507, n42508, n42509, n42510, n42511, n42512, n42513, n42514,
+         n42515, n42516, n42517, n42518, n42519, n42520, n42521, n42522,
+         n42523, n42524, n42525, n42526, n42527, n42528, n42529, n42530,
+         n42531, n42532, n42533, n42534, n42535, n42536, n42537, n42538,
+         n42539, n42540, n42541, n42542, n42543, n42544, n42545, n42546,
+         n42547, n42548, n42549, n42550, n42551, n42552, n42553, n42554,
+         n42555, n42556, n42557, n42558, n42559, n42560, n42561, n42562,
+         n42563, n42564, n42565, n42566, n42567, n42568, n42569, n42570,
+         n42571, n42572, n42573, n42574, n42575, n42576, n42577, n42578,
+         n42579, n42580, n42581, n42582, n42583, n42584, n42585, n42586,
+         n42587, n42588, n42589, n42590, n42591, n42592, n42593, n42594,
+         n42595, n42596, n42597, n42598, n42599, n42600, n42601, n42602,
+         n42603, n42604, n42605, n42606, n42607, n42608, n42609, n42610,
+         n42611, n42612, n42613, n42614, n42615, n42616, n42617, n42618,
+         n42619, n42620, n42621, n42622, n42623, n42624, n42625, n42626,
+         n42627, n42628, n42629, n42630, n42631, n42632, n42633, n42634,
+         n42635, n42636, n42637, n42638, n42639, n42640, n42641, n42642,
+         n42643, n42644, n42645, n42646, n42647, n42648, n42649, n42650,
+         n42651, n42652, n42653, n42654, n42655, n42656, n42657, n42658,
+         n42659, n42660, n42661, n42662, n42663, n42664, n42665, n42666,
+         n42667, n42668, n42669, n42670, n42671, n42672, n42673, n42674,
+         n42675, n42676, n42677, n42678, n42679, n42680, n42681, n42682,
+         n42683, n42684, n42685, n42686, n42687, n42688, n42689, n42690,
+         n42691, n42692, n42693, n42694, n42695, n42696, n42697, n42698,
+         n42699, n42700, n42701, n42702, n42703, n42704, n42705, n42706,
+         n42707, n42708, n42709, n42710, n42711, n42712, n42713, n42714,
+         n42715, n42716, n42717, n42718, n42719, n42720, n42721, n42722,
+         n42723, n42724, n42725, n42726, n42727, n42728, n42729, n42730,
+         n42731, n42732, n42733, n42734, n42735, n42736, n42737, n42738,
+         n42739, n42740, n42741, n42742, n42743, n42744, n42745, n42746,
+         n42747, n42748, n42749, n42750, n42751, n42752, n42753, n42754,
+         n42755, n42756, n42757, n42758, n42759, n42760, n42761, n42762,
+         n42763, n42764, n42765, n42766, n42767, n42768, n42769, n42770,
+         n42771, n42772, n42773, n42774, n42775, n42776, n42777, n42778,
+         n42779, n42780, n42781, n42782, n42783, n42784, n42785, n42787,
+         n42788, n42789, n42790, n42791, n42792, n42793, n42794, n42796,
+         n42797, n42798, n42799, n42800, n42801, n42802, n42803, n42804,
+         n42805, n42806, n42807, n42808, n42810, n42811, n42812, n42813,
+         n42814, n42815, n42816, n42817, n42818, n42819, n42820, n42821,
+         n42822, n42823, n42824, n42825, n42826, n42827, n42828, n42829,
+         n42830, n42831, n42832, n42833, n42834, n42835, n42836, n42837,
+         n42838, n42839, n42840, n42841, n42842, n42843, n42844, n42845,
+         n42846, n42847, n42848, n42849, n42850, n42851, n42852, n42853,
+         n42854, n42855, n42856, n42857, n42858, n42859, n42860, n42861,
+         n42862, n42863, n42864, n42865, n42866, n42867, n42868, n42869,
+         n42870, n42871, n42872, n42873, n42874, n42875, n42876, n42877,
+         n42878, n42879, n42880, n42881, n42882, n42883, n42884, n42885,
+         n42886, n42887, n42888, n42889, n42890, n42891, n42892, n42893,
+         n42894, n42895, n42896, n42897, n42898, n42899, n42900, n42901,
+         n42902, n42903, n42904, n42905, n42906, n42907, n42908, n42909,
+         n42910, n42911, n42912, n42913, n42914, n42915, n42916, n42917,
+         n42918, n42919, n42920, n42921, n42922, n42923, n42924, n42925,
+         n42926, n42927, n42928, n42929, n42930, n42931, n42932, n42933,
+         n42934, n42935, n42936, n42937, n42938, n42939, n42940, n42941,
+         n42942, n42943, n42944, n42945, n42946, n42947, n42948, n42949,
+         n42950, n42951, n42952, n42953, n42954, n42955, n42956, n42957,
+         n42958, n42959, n42960, n42961, n42962, n42963, n42964, n42965,
+         n42966, n42967, n42968, n42969, n42970, n42971, n42972, n42973,
+         n42974, n42975, n42976, n42977, n42978, n42979, n42980, n42981,
+         n42982, n42983, n42984, n42985, n42986, n42987, n42988, n42989,
+         n42990, n42991, n42992, n42993, n42994, n42995, n42996, n42997,
+         n42998, n42999, n43000, n43001, n43002, n43003, n43004, n43005,
+         n43006, n43007, n43008, n43009, n43010, n43011, n43012, n43013,
+         n43014, n43015, n43016, n43017, n43018, n43019, n43020, n43021,
+         n43022, n43023, n43024, n43025, n43026, n43027, n43028, n43029,
+         n43030, n43031, n43032, n43033, n43034, n43035, n43036, n43037,
+         n43038, n43039, n43040, n43041, n43042, n43043, n43044, n43045,
+         n43046, n43047, n43048, n43049, n43050, n43051, n43052, n43053,
+         n43054, n43055, n43056, n43057, n43058, n43059, n43060, n43061,
+         n43062, n43063, n43064, n43065, n43066, n43067, n43068, n43069,
+         n43070, n43071, n43072, n43073, n43074, n43075, n43076, n43077,
+         n43078, n43079, n43080, n43081, n43082, n43083, n43084, n43085,
+         n43086, n43087, n43088, n43089, n43090, n43091, n43092, n43093,
+         n43094, n43095, n43096, n43097, n43098, n43099, n43100, n43101,
+         n43102, n43103, n43104, n43105, n43106, n43107, n43108, n43109,
+         n43110, n43111, n43112, n43113, n43114, n43115, n43116, n43117,
+         n43118, n43119, n43120, n43121, n43122, n43123, n43124, n43125,
+         n43126, n43127, n43128, n43129, n43130, n43131, n43132, n43133,
+         n43134, n43135, n43136, n43137, n43138, n43139, n43140, n43141,
+         n43142, n43143, n43144, n43145, n43146, n43147, n43148, n43149,
+         n43150, n43151, n43152, n43153, n43154, n43155, n43156, n43157,
+         n43158, n43159, n43160, n43161, n43162, n43163, n43164, n43165,
+         n43166, n43167, n43168, n43169, n43170, n43171, n43172, n43173,
+         n43174, n43175, n43176, n43177, n43178, n43179, n43180, n43181,
+         n43182, n43183, n43184, n43185, n43186, n43187, n43188, n43189,
+         n43190, n43191, n43192, n43193, n43194, n43195, n43196, n43197,
+         n43198, n43199, n43200, n43201, n43202, n43203, n43204, n43205,
+         n43206, n43207, n43208, n43209, n43210, n43211, n43212, n43213,
+         n43214, n43215, n43216, n43217, n43218, n43219, n43220, n43221,
+         n43222, n43223, n43224, n43225, n43226, n43227, n43228, n43229,
+         n43230, n43231, n43232, n43233, n43234, n43235, n43236, n43237,
+         n43238, n43239, n43240, n43241, n43242, n43243, n43244, n43245,
+         n43246, n43247, n43248, n43249, n43250, n43251, n43252, n43253,
+         n43254, n43255, n43256, n43257, n43258, n43259, n43260, n43261,
+         n43262, n43263, n43264, n43265, n43266, n43267, n43268, n43269,
+         n43270, n43271, n43272, n43273, n43274, n43275, n43276, n43277,
+         n43278, n43279, n43280, n43281, n43282, n43283, n43284, n43285,
+         n43286, n43287, n43288, n43289, n43290, n43291, n43292, n43293,
+         n43294, n43295, n43296, n43297, n43298, n43299, n43300, n43301,
+         n43302, n43303, n43304, n43305, n43306, n43307, n43308, n43309,
+         n43310, n43311, n43312, n43313, n43314, n43315, n43316, n43317,
+         n43318, n43319, n43320, n43321, n43322, n43323, n43324, n43325,
+         n43326, n43327, n43328, n43329, n43330, n43331, n43332, n43333,
+         n43334, n43335, n43336, n43337, n43338, n43339, n43340, n43341,
+         n43342, n43343, n43344, n43345, n43346, n43347, n43348, n43349,
+         n43350, n43351, n43352, n43353, n43354, n43355, n43356, n43357,
+         n43358, n43359, n43360, n43361, n43362, n43363, n43364, n43365,
+         n43366, n43367, n43368, n43369, n43370, n43371, n43372, n43373,
+         n43374, n43375, n43376, n43377, n43378, n43379, n43380, n43381,
+         n43382, n43383, n43384, n43385, n43386, n43387, n43388, n43389,
+         n43390, n43391, n43392, n43393, n43394, n43395, n43396, n43397,
+         n43398, n43399, n43400, n43401, n43402, n43403, n43404, n43405,
+         n43406, n43407, n43408, n43409, n43410, n43411, n43412, n43413,
+         n43414, n43415, n43416, n43417, n43418, n43419, n43420, n43421,
+         n43422, n43423, n43424, n43425, n43426, n43427, n43428, n43429,
+         n43430, n43431, n43432, n43433, n43434, n43435, n43436, n43437,
+         n43438, n43439, n43440, n43441, n43442, n43443, n43444, n43445,
+         n43446, n43447, n43448, n43449, n43450, n43451, n43452, n43453,
+         n43454, n43455, n43456, n43457, n43458, n43459, n43460, n43461,
+         n43462, n43463, n43464, n43465, n43466, n43467, n43468, n43469,
+         n43470, n43471, n43472, n43473, n43474, n43475, n43476, n43477,
+         n43478, n43479, n43480, n43481, n43482, n43483, n43484, n43485,
+         n43486, n43487, n43488, n43489, n43490, n43491, n43492, n43493,
+         n43494, n43495, n43496, n43497, n43498, n43499, n43500, n43501,
+         n43502, n43503, n43504, n43505, n43506, n43507, n43508, n43509,
+         n43510, n43511, n43512, n43513, n43514, n43515, n43516, n43517,
+         n43518, n43519, n43520, n43521, n43522, n43523, n43524, n43525,
+         n43526, n43527, n43528, n43529, n43530, n43531, n43532, n43533,
+         n43534, n43535, n43536, n43537, n43538, n43539, n43540, n43541,
+         n43542, n43543, n43544, n43545, n43546, n43547, n43548, n43549,
+         n43550, n43551, n43552, n43553, n43554, n43555, n43556, n43557,
+         n43558, n43559, n43560, n43561, n43562, n43563, n43564, n43565,
+         n43566, n43567, n43568, n43569, n43570, n43571, n43572, n43573,
+         n43574, n43575, n43576, n43577, n43578, n43579, n43580, n43581,
+         n43582, n43583, n43584, n43585, n43586, n43587, n43588, n43589,
+         n43590, n43591, n43592, n43593, n43594, n43595, n43596, n43597,
+         n43598, n43599, n43600, n43601, n43602, n43603, n43604, n43605,
+         n43606, n43607, n43608, n43609, n43610, n43611, n43612, n43613,
+         n43614, n43615, n43616, n43617, n43618, n43619, n43620, n43621,
+         n43622, n43623, n43624, n43625, n43626, n43627, n43628, n43629,
+         n43630, n43631, n43632, n43633, n43634, n43635, n43636, n43637,
+         n43638, n43639, n43640, n43641, n43642, n43643, n43644, n43645,
+         n43646, n43647, n43648, n43649, n43650, n43651, n43652, n43653,
+         n43654, n43655, n43656, n43657, n43658, n43659, n43660, n43661,
+         n43662, n43663, n43664, n43665, n43666, n43667, n43668, n43669,
+         n43670, n43671, n43672, n43673, n43674, n43675, n43676, n43677,
+         n43678, n43679, n43680, n43681, n43682, n43683, n43684, n43685,
+         n43686, n43687, n43688, n43689, n43690, n43691, n43692, n43693,
+         n43694, n43695, n43696, n43697, n43698, n43699, n43700, n43701,
+         n43702, n43703, n43704, n43705, n43706, n43707, n43708, n43709,
+         n43710, n43711, n43712, n43713, n43714, n43715, n43716, n43717,
+         n43718, n43719, n43720, n43721, n43722, n43723, n43724, n43725,
+         n43726, n43727, n43728, n43729, n43730, n43731, n43732, n43733,
+         n43734, n43735, n43736, n43737, n43738, n43739, n43740, n43741,
+         n43742, n43743, n43744, n43745, n43746, n43747, n43748, n43749,
+         n43750, n43751, n43752, n43753, n43754, n43755, n43756, n43757,
+         n43758, n43759, n43760, n43761, n43762, n43763, n43764, n43765,
+         n43766, n43767, n43768, n43769, n43770, n43771, n43772, n43773,
+         n43774, n43775, n43776, n43777, n43778, n43779, n43780, n43781,
+         n43782, n43783, n43784, n43785, n43786, n43787, n43788, n43789,
+         n43790, n43791, n43792, n43793, n43794, n43795, n43796, n43797,
+         n43798, n43799, n43800, n43801, n43802, n43803, n43804, n43805,
+         n43806, n43807, n43808, n43809, n43810, n43811, n43812, n43813,
+         n43814, n43815, n43816, n43817, n43818, n43819, n43820, n43821,
+         n43822, n43823, n43824, n43825, n43826, n43827, n43828, n43829,
+         n43830, n43831, n43832, n43833, n43834, n43835, n43836, n43837,
+         n43838, n43839, n43840, n43841, n43842, n43843, n43844, n43845,
+         n43846, n43847, n43848, n43849, n43850, n43851, n43852, n43853,
+         n43854, n43855, n43856, n43857, n43858, n43859, n43860, n43861,
+         n43862, n43863, n43864, n43865, n43866, n43867, n43868, n43869,
+         n43870, n43871, n43872, n43873, n43874, n43875, n43876, n43877,
+         n43878, n43879, n43880, n43881, n43882, n43883, n43884, n43885,
+         n43886, n43887, n43888, n43889, n43890, n43891, n43892, n43893,
+         n43894, n43895, n43896, n43897, n43898, n43899, n43900, n43901,
+         n43902, n43903, n43904, n43905, n43906, n43907, n43908, n43909,
+         n43910, n43911, n43912, n43913, n43914, n43915, n43916, n43917,
+         n43918, n43919, n43920, n43921, n43922, n43923, n43924, n43925,
+         n43926, n43927, n43928, n43929, n43930, n43931, n43932, n43933,
+         n43934, n43935, n43936, n43937, n43938, n43939, n43940, n43941,
+         n43942, n43943, n43944, n43945, n43946, n43947, n43948, n43949,
+         n43950, n43951, n43952, n43953, n43954, n43955, n43956, n43957,
+         n43958, n43959, n43960, n43961, n43962, n43963, n43964, n43965,
+         n43966, n43967, n43968, n43969, n43970, n43971, n43972, n43973,
+         n43974, n43975, n43976, n43977, n43978, n43979, n43980, n43981,
+         n43982, n43983, n43984, n43985, n43986, n43987, n43988, n43989,
+         n43990, n43991, n43992, n43993, n43994, n43995, n43996, n43997,
+         n43998, n43999, n44000, n44001, n44002, n44003, n44004, n44005,
+         n44006, n44007, n44008, n44009, n44010, n44011, n44012, n44013,
+         n44014, n44015, n44016, n44017, n44018, n44019, n44020, n44021,
+         n44022, n44023, n44024, n44025, n44026, n44027, n44028, n44029,
+         n44030, n44031, n44032, n44033, n44034, n44035, n44036, n44037,
+         n44038, n44039, n44040, n44041, n44042, n44043, n44044, n44045,
+         n44046, n44047, n44048, n44049, n44050, n44051, n44052, n44053,
+         n44054, n44055, n44056, n44057, n44058, n44059, n44060, n44061,
+         n44062, n44063, n44064, n44065, n44066, n44067, n44068, n44069,
+         n44070, n44071, n44072, n44073, n44074, n44075, n44076, n44077,
+         n44078, n44079, n44080, n44081, n44082, n44083, n44084, n44085,
+         n44086, n44087, n44088, n44089, n44090, n44091, n44092, n44093,
+         n44094, n44095, n44096, n44097, n44098, n44099, n44100, n44101,
+         n44102, n44103, n44104, n44105, n44106, n44107, n44108, n44109,
+         n44110, n44111, n44112, n44113, n44114, n44115, n44116, n44117,
+         n44118, n44119, n44120, n44121, n44122, n44123, n44124, n44125,
+         n44126, n44127, n44128, n44129, n44130, n44131, n44132, n44133,
+         n44134, n44135, n44136, n44137, n44138, n44139, n44140, n44141,
+         n44142, n44143, n44144, n44145, n44146, n44147, n44148, n44149,
+         n44150, n44151, n44152, n44153, n44154, n44155, n44156, n44157,
+         n44158, n44159, n44160, n44161, n44162, n44163, n44164, n44165,
+         n44166, n44167, n44168, n44169, n44170, n44171, n44172, n44173,
+         n44174, n44175, n44176, n44177, n44178, n44179, n44180, n44181,
+         n44182, n44183, n44184, n44185, n44186, n44187, n44188, n44189,
+         n44190, n44191, n44192, n44193, n44194, n44195, n44196, n44197,
+         n44198, n44199, n44200, n44201, n44202, n44203, n44204, n44205,
+         n44206, n44207, n44208, n44209, n44210, n44211, n44212, n44213,
+         n44214, n44215, n44216, n44217, n44218, n44219, n44220, n44221,
+         n44222, n44223, n44224, n44225, n44226, n44227, n44228, n44229,
+         n44230, n44231, n44232, n44233, n44234, n44235, n44236, n44237,
+         n44238, n44239, n44240, n44241, n44242, n44243, n44244, n44245,
+         n44246, n44247, n44248, n44249, n44250, n44251, n44252, n44253,
+         n44254, n44255, n44256, n44257, n44258, n44259, n44260, n44261,
+         n44262, n44263, n44264, n44265, n44266, n44267, n44268, n44269,
+         n44270, n44271, n44272, n44273, n44274, n44275, n44276, n44277,
+         n44278, n44279, n44280, n44281, n44282, n44283, n44284, n44285,
+         n44286, n44287, n44288, n44289, n44290, n44291, n44292, n44293,
+         n44294, n44295, n44296, n44297, n44298, n44299, n44300, n44301,
+         n44302, n44303, n44304, n44305, n44306, n44307, n44308, n44309,
+         n44310, n44311, n44312, n44313, n44314, n44315, n44316, n44317,
+         n44318, n44319, n44320, n44321, n44322, n44323, n44324, n44325,
+         n44326, n44327, n44328, n44329, n44330, n44331, n44332, n44333,
+         n44334, n44335, n44336, n44337, n44338, n44339, n44340, n44341,
+         n44342, n44343, n44344, n44345, n44346, n44347, n44348, n44349,
+         n44350, n44351, n44352, n44353, n44354, n44355, n44356, n44357,
+         n44358, n44359, n44360, n44361, n44362, n44363, n44364, n44365,
+         n44366, n44367, n44368, n44369, n44370, n44371, n44372, n44373,
+         n44374, n44375, n44376, n44377, n44378, n44379, n44380, n44381,
+         n44382, n44383, n44384, n44385, n44386, n44387, n44388, n44389,
+         n44390, n44391, n44392, n44393, n44394, n44395, n44396, n44397,
+         n44398, n44399, n44400, n44401, n44402, n44403, n44404, n44405,
+         n44406, n44407, n44408, n44409, n44410, n44411, n44412, n44413,
+         n44414, n44415, n44416, n44417, n44418, n44419, n44420, n44421,
+         n44422, n44423, n44424, n44425, n44426, n44427, n44428, n44429,
+         n44430, n44431, n44432, n44433, n44434, n44435, n44436, n44437,
+         n44438, n44439, n44440, n44441, n44442, n44443, n44444, n44445,
+         n44446, n44447, n44448, n44449, n44450, n44451, n44452, n44453,
+         n44454, n44455, n44456, n44457, n44458, n44459, n44460, n44461,
+         n44462, n44463, n44464, n44465, n44466, n44467, n44468, n44469,
+         n44470, n44471, n44472, n44473, n44474, n44475, n44476, n44477,
+         n44478, n44479, n44480, n44481, n44482, n44483, n44484, n44485,
+         n44486, n44487, n44488, n44489, n44490, n44491, n44492, n44493,
+         n44494, n44495, n44496, n44497, n44498, n44499, n44500, n44501,
+         n44502, n44503, n44504, n44505, n44506, n44507, n44508, n44509,
+         n44510, n44511, n44512, n44513, n44514, n44515, n44516, n44517,
+         n44518, n44519, n44520, n44521, n44522, n44523, n44524, n44525,
+         n44526, n44527, n44528, n44529, n44530, n44531, n44532, n44533,
+         n44534, n44535, n44536, n44537, n44538, n44539, n44540, n44541,
+         n44542, n44543, n44544, n44545, n44546, n44547, n44548, n44549,
+         n44550, n44551, n44552, n44553, n44554, n44555, n44556, n44557,
+         n44558, n44559, n44560, n44561, n44562, n44563, n44564, n44565,
+         n44566, n44567, n44568, n44569, n44570, n44571, n44572, n44573,
+         n44574, n44575, n44576, n44577, n44578, n44579, n44580, n44581,
+         n44582, n44583, n44584, n44585, n44586, n44587, n44588, n44589,
+         n44590, n44591, n44592, n44593, n44594, n44595, n44596, n44597,
+         n44598, n44599, n44600, n44601, n44602, n44603, n44604, n44605,
+         n44606, n44607, n44608, n44609, n44610, n44611, n44612, n44613,
+         n44614, n44615, n44616, n44617, n44618, n44619, n44620, n44621,
+         n44622, n44623, n44624, n44625, n44626, n44627, n44628, n44629,
+         n44630, n44631, n44632, n44633, n44634, n44635, n44636, n44637,
+         n44638, n44639, n44640, n44641, n44642, n44643, n44644, n44645,
+         n44646, n44647, n44648, n44649, n44650, n44651, n44652, n44653,
+         n44654, n44655, n44656, n44657, n44658, n44659, n44660, n44661,
+         n44662, n44663, n44664, n44665, n44666, n44667, n44668, n44669,
+         n44670, n44671, n44672, n44673, n44674, n44675, n44676, n44677,
+         n44678, n44679, n44680, n44681, n44682, n44683, n44684, n44685,
+         n44686, n44687, n44688, n44689, n44690, n44691, n44692, n44693,
+         n44694, n44695, n44696, n44697, n44698, n44699, n44700, n44701,
+         n44702, n44703, n44704, n44705, n44706, n44707, n44708, n44709,
+         n44710, n44711, n44712, n44713, n44714, n44715, n44716, n44717,
+         n44718, n44719, n44720, n44721, n44722, n44723, n44724, n44725,
+         n44726, n44727, n44728, n44729, n44730, n44731, n44732, n44733,
+         n44734, n44735, n44736, n44737, n44738, n44739, n44740, n44741,
+         n44742, n44743, n44744, n44745, n44746, n44747, n44748, n44749,
+         n44750, n44751, n44752, n44753, n44754, n44755, n44756, n44757,
+         n44758, n44759, n44760, n44761, n44762, n44763, n44764, n44765,
+         n44766, n44767, n44768, n44769, n44770, n44771, n44772, n44773,
+         n44774, n44775, n44776, n44777, n44778, n44779, n44780, n44781,
+         n44782, n44783, n44784, n44785, n44786, n44787, n44788, n44789,
+         n44790, n44791, n44792, n44793, n44794, n44795, n44796, n44797,
+         n44798, n44799, n44800, n44801, n44802, n44803, n44804, n44805,
+         n44806, n44807, n44808, n44809, n44810, n44811, n44812, n44813,
+         n44814, n44815, n44816, n44817, n44818, n44819, n44820, n44821,
+         n44822, n44823, n44824, n44825, n44826, n44827, n44828, n44829,
+         n44830, n44831, n44832, n44833, n44834, n44835, n44836, n44837,
+         n44838, n44839, n44840, n44841, n44842, n44843, n44844, n44845,
+         n44846, n44847, n44848, n44849, n44850, n44851, n44852, n44853,
+         n44854, n44855, n44856, n44857, n44858, n44859, n44860, n44861,
+         n44862, n44863, n44864, n44865, n44866, n44867, n44868, n44869,
+         n44870, n44871, n44872, n44873, n44874, n44875, n44876, n44877,
+         n44878, n44879, n44880, n44881, n44882, n44883, n44884, n44885,
+         n44886, n44887, n44888, n44889, n44890, n44891, n44892, n44893,
+         n44894, n44895, n44896, n44897, n44898, n44899, n44900, n44901,
+         n44902, n44903, n44904, n44905, n44906, n44907, n44908, n44909,
+         n44910, n44911, n44912, n44913, n44914, n44915, n44916, n44917,
+         n44918, n44919, n44920, n44921, n44922, n44923, n44924, n44925,
+         n44926, n44927, n44928, n44929, n44930, n44931, n44932, n44933,
+         n44934, n44935, n44936, n44937, n44938, n44939, n44940, n44941,
+         n44942, n44943, n44944, n44945, n44946, n44947, n44948, n44949,
+         n44950, n44951, n44952, n44953, n44954, n44955, n44956, n44957,
+         n44958, n44959, n44960, n44961, n44962, n44963, n44964, n44965,
+         n44966, n44967, n44968, n44969, n44970, n44971, n44972, n44973,
+         n44974, n44975, n44976, n44977, n44978, n44979, n44980, n44981,
+         n44982, n44983, n44984, n44985, n44986, n44987, n44988, n44989,
+         n44990, n44991, n44992, n44993, n44994, n44995, n44996, n44997,
+         n44998, n44999, n45000, n45001, n45002, n45003, n45004, n45005,
+         n45006, n45007, n45008, n45009, n45010, n45011, n45012, n45013,
+         n45014, n45015, n45016, n45017, n45018, n45019, n45020, n45021,
+         n45022, n45023, n45024, n45025, n45026, n45027, n45028, n45029,
+         n45030, n45031, n45032, n45033, n45034, n45035, n45036, n45037,
+         n45038, n45039, n45040, n45041, n45042, n45043, n45044, n45045,
+         n45046, n45047, n45048, n45049, n45050, n45051, n45052, n45053,
+         n45054, n45055, n45056, n45057, n45058, n45059, n45060, n45061,
+         n45062, n45063, n45064, n45065, n45066, n45067, n45068, n45069,
+         n45070, n45071, n45072, n45073, n45074, n45075, n45076, n45077,
+         n45078, n45079, n45080, n45081, n45082, n45083, n45084, n45085,
+         n45086, n45087, n45088, n45089, n45090, n45091, n45092, n45093,
+         n45094, n45095, n45096, n45097, n45098, n45099, n45100, n45101,
+         n45102, n45103, n45104, n45105, n45106, n45107, n45108, n45109,
+         n45110, n45111, n45112, n45113, n45114, n45115, n45116, n45117,
+         n45118, n45119, n45120, n45121, n45122, n45123, n45124, n45125,
+         n45126, n45127, n45128, n45129, n45130, n45131, n45132, n45133,
+         n45134, n45135, n45136, n45137, n45138, n45139, n45140, n45141,
+         n45142, n45143, n45144, n45145, n45146, n45147, n45148, n45149,
+         n45150, n45151, n45152, n45153, n45154, n45155, n45156, n45157,
+         n45158, n45159, n45160, n45161, n45162, n45163, n45164, n45165,
+         n45166, n45167, n45168, n45169, n45170, n45171, n45172, n45173,
+         n45174, n45175, n45176, n45177, n45178, n45179, n45180, n45181,
+         n45182, n45183, n45184, n45185, n45186, n45187, n45188, n45189,
+         n45190, n45191, n45192, n45193, n45194, n45195, n45196, n45197,
+         n45198, n45199, n45200, n45201, n45202, n45203, n45204, n45205,
+         n45206, n45207, n45208, n45209, n45210, n45211, n45212, n45213,
+         n45214, n45215, n45216, n45217, n45218, n45219, n45220, n45221,
+         n45222, n45223, n45224, n45225, n45226, n45227, n45228, n45229,
+         n45230, n45231, n45232, n45233, n45234, n45235, n45236, n45237,
+         n45238, n45239, n45240, n45241, n45242, n45243, n45244, n45245,
+         n45246, n45247, n45248, n45249, n45250, n45251, n45252, n45253,
+         n45254, n45255, n45256, n45257, n45258, n45259, n45260, n45261,
+         n45262, n45263, n45264, n45265, n45266, n45267, n45268, n45269,
+         n45270, n45271, n45272, n45273, n45274, n45275, n45276, n45277,
+         n45278, n45279, n45280, n45281, n45282, n45283, n45284, n45285,
+         n45286, n45287, n45288, n45289, n45290, n45291, n45292, n45293,
+         n45294, n45295, n45296, n45297, n45298, n45299, n45300, n45301,
+         n45302, n45303, n45304, n45305, n45306, n45307, n45308, n45309,
+         n45310, n45311, n45312, n45313, n45314, n45315, n45316, n45317,
+         n45318, n45319, n45320, n45321, n45322, n45323, n45324, n45325,
+         n45326, n45327, n45328, n45329, n45330, n45331, n45332, n45333,
+         n45334, n45335, n45336, n45337, n45338, n45339, n45340, n45341,
+         n45342, n45343, n45344, n45345, n45346, n45347, n45348, n45349,
+         n45350, n45351, n45352, n45353, n45354, n45355, n45356, n45357,
+         n45358, n45359, n45360, n45361, n45362, n45363, n45364, n45365,
+         n45366, n45367, n45368, n45369, n45370, n45371, n45372, n45373,
+         n45374, n45375, n45376, n45377, n45378, n45379, n45380, n45381,
+         n45382, n45383, n45384, n45385, n45386, n45387, n45388, n45389,
+         n45390, n45391, n45392, n45393, n45394, n45395, n45396, n45397,
+         n45398, n45399, n45400, n45401, n45402, n45403, n45404, n45405,
+         n45406, n45407, n45408, n45409, n45410, n45411, n45412, n45413,
+         n45414, n45415, n45416, n45417, n45418, n45419, n45420, n45421,
+         n45422, n45423, n45424, n45425, n45426, n45427, n45428, n45429,
+         n45430, n45431, n45432, n45433, n45434, n45435, n45436, n45437,
+         n45438, n45439, n45440, n45441, n45442, n45443, n45444, n45445,
+         n45446, n45447, n45448, n45449, n45450, n45451, n45452, n45453,
+         n45454, n45455, n45456, n45457, n45458, n45459, n45460, n45461,
+         n45462, n45463, n45464, n45465, n45466, n45467, n45468, n45469,
+         n45470, n45471, n45472, n45473, n45474, n45475, n45476, n45477,
+         n45478, n45479, n45480, n45481, n45482, n45483, n45484, n45485,
+         n45486, n45487, n45488, n45489, n45490, n45491, n45492, n45493,
+         n45494, n45495, n45496, n45497, n45498, n45499, n45500, n45501,
+         n45502, n45503, n45504, n45505, n45506, n45507, n45508, n45509,
+         n45510, n45511, n45512, n45513, n45514, n45515, n45516, n45517,
+         n45518, n45519, n45520, n45521, n45522, n45523, n45524, n45525,
+         n45526, n45527, n45528, n45529, n45530, n45531, n45532, n45533,
+         n45534, n45535, n45536, n45537, n45538, n45539, n45540, n45541,
+         n45542, n45543, n45544, n45545, n45546, n45547, n45548, n45549,
+         n45550, n45551, n45552, n45553, n45554, n45555, n45556, n45557,
+         n45558, n45559, n45560, n45561, n45562, n45563, n45564, n45565,
+         n45566, n45567, n45568, n45569, n45570, n45571, n45572, n45573,
+         n45574, n45575, n45576, n45577, n45578, n45579, n45580, n45581,
+         n45582, n45583, n45584, n45585, n45586, n45587, n45588, n45589,
+         n45590, n45591, n45592, n45593, n45594, n45595, n45596, n45597,
+         n45598, n45599, n45600, n45601, n45602, n45603, n45604, n45605,
+         n45606, n45607, n45608, n45609, n45610, n45611, n45612, n45613,
+         n45614, n45615, n45616, n45617, n45618, n45619, n45620, n45621,
+         n45622, n45623, n45624, n45625, n45626, n45627, n45628, n45629,
+         n45630, n45631, n45632, n45633, n45634, n45635, n45636, n45637,
+         n45638, n45639, n45640, n45641, n45642, n45643, n45644, n45645,
+         n45646, n45647, n45648, n45649, n45650, n45651, n45652, n45653,
+         n45654, n45655, n45656, n45657, n45658, n45659, n45660, n45661,
+         n45662, n45663, n45664, n45665, n45666, n45667, n45668, n45669,
+         n45670, n45671, n45672, n45673, n45674, n45675, n45676, n45677,
+         n45678, n45679, n45680, n45681, n45682, n45683, n45684, n45685,
+         n45686, n45687, n45688, n45689, n45690, n45691, n45692, n45693,
+         n45694, n45695, n45696, n45697, n45698, n45699, n45700, n45701,
+         n45702, n45703, n45704, n45705, n45706, n45707, n45708, n45709,
+         n45710, n45711, n45712, n45713, n45714, n45715, n45716, n45717,
+         n45718, n45719, n45720, n45721, n45722, n45723, n45724, n45725,
+         n45726, n45727, n45728, n45729, n45730, n45731, n45732, n45733,
+         n45734, n45735, n45736, n45737, n45738, n45739, n45740, n45741,
+         n45742, n45743, n45744, n45745, n45746, n45747, n45748, n45749,
+         n45750, n45751, n45752, n45753, n45754, n45755, n45756, n45757,
+         n45758, n45759, n45760, n45761, n45762, n45763, n45764, n45765,
+         n45766, n45767, n45768, n45769, n45770, n45771, n45772, n45773,
+         n45774, n45775, n45776, n45777, n45778, n45779, n45780, n45781,
+         n45782, n45783, n45784, n45785, n45786, n45787, n45788, n45789,
+         n45790, n45791, n45792, n45793, n45794, n45795, n45796, n45797,
+         n45798, n45799, n45800, n45801, n45802, n45803, n45804, n45805,
+         n45806, n45807, n45808, n45809, n45810, n45811, n45812, n45813,
+         n45814, n45815, n45816, n45817, n45818, n45819, n45820, n45821,
+         n45822, n45823, n45824, n45825, n45826, n45827, n45828, n45829,
+         n45830, n45831, n45832, n45833, n45834, n45835, n45836, n45837,
+         n45838, n45839, n45840, n45841, n45842, n45843, n45844, n45845,
+         n45846, n45847, n45848, n45849, n45850, n45851, n45852, n45853,
+         n45854, n45855, n45856, n45857, n45858, n45859, n45860, n45861,
+         n45862, n45863, n45864, n45865, n45866, n45867, n45868, n45869,
+         n45870, n45871, n45872, n45873, n45874, n45875, n45876, n45877,
+         n45878, n45879, n45880, n45881, n45882, n45883, n45884, n45885,
+         n45886, n45887, n45888, n45889, n45890, n45891, n45892, n45893,
+         n45894, n45895, n45896, n45897, n45898, n45899, n45900, n45901,
+         n45902, n45903, n45904, n45905, n45906, n45907, n45908, n45909,
+         n45910, n45911, n45912, n45913, n45914, n45915, n45916, n45917,
+         n45918, n45919, n45920, n45921, n45922, n45923, n45924, n45925,
+         n45926, n45927, n45928, n45929, n45930, n45931, n45932, n45933,
+         n45934, n45935, n45936, n45937, n45938, n45939, n45940, n45941,
+         n45942, n45943, n45944, n45945, n45946, n45947, n45948, n45949,
+         n45950, n45951, n45952, n45953, n45954, n45955, n45956, n45957,
+         n45958, n45959, n45960, n45961, n45962, n45963, n45964, n45965,
+         n45966, n45967, n45968, n45969, n45970, n45971, n45972, n45973,
+         n45974, n45975, n45976, n45977, n45978, n45979, n45980, n45981,
+         n45982, n45983, n45984, n45985, n45986, n45987, n45988, n45989,
+         n45990, n45991, n45992, n45993, n45994, n45995, n45996, n45997,
+         n45998, n45999, n46000, n46001, n46002, n46003, n46004, n46005,
+         n46006, n46007, n46008, n46009, n46010, n46011, n46012, n46013,
+         n46014, n46015, n46016, n46017, n46018, n46019, n46020, n46021,
+         n46022, n46023, n46024, n46025, n46026, n46027, n46028, n46029,
+         n46030, n46031, n46032, n46033, n46034, n46035, n46036, n46037,
+         n46038, n46039, n46040, n46041, n46042, n46043, n46044, n46045,
+         n46046, n46047, n46048, n46049, n46050, n46051, n46052, n46053,
+         n46054, n46055, n46056, n46057, n46058, n46059, n46060, n46061,
+         n46062, n46063, n46064, n46065, n46066, n46067, n46068, n46069,
+         n46070, n46071, n46072, n46073, n46074, n46075, n46076, n46077,
+         n46078, n46079, n46080, n46081, n46082, n46083, n46084, n46085,
+         n46086, n46087, n46088, n46089, n46090, n46091, n46092, n46093,
+         n46094, n46095, n46096, n46097, n46098, n46099, n46100, n46101,
+         n46102, n46103, n46104, n46105, n46106, n46107, n46108, n46109,
+         n46110, n46111, n46112, n46113, n46114, n46115, n46116, n46117,
+         n46118, n46119, n46120, n46121, n46122, n46123, n46124, n46125,
+         n46126, n46127, n46128, n46129, n46130, n46131, n46132, n46133,
+         n46134, n46135, n46136, n46137, n46138, n46139, n46140, n46141,
+         n46142, n46143, n46144, n46145, n46146, n46147, n46148, n46149,
+         n46150, n46151, n46152, n46153, n46154, n46155, n46156, n46157,
+         n46158, n46159, n46160, n46161, n46162, n46163, n46164, n46165,
+         n46166, n46167, n46168, n46169, n46170, n46171, n46172, n46173,
+         n46174, n46175, n46176, n46177, n46178, n46179, n46180, n46181,
+         n46182, n46183, n46184, n46185, n46186, n46187, n46188, n46189,
+         n46190, n46191, n46192, n46193, n46194, n46195, n46196, n46197,
+         n46198, n46199, n46200, n46201, n46202, n46203, n46204, n46205,
+         n46206, n46207, n46208, n46209, n46210, n46211, n46212, n46213,
+         n46214, n46215, n46216, n46217, n46218, n46219, n46220, n46221,
+         n46222, n46223, n46224, n46225, n46226, n46227, n46228, n46229,
+         n46230, n46231, n46232, n46233, n46234, n46235, n46236, n46237,
+         n46238, n46239, n46240, n46241, n46242, n46243, n46244, n46245,
+         n46246, n46247, n46248, n46249, n46250, n46251, n46252, n46253,
+         n46254, n46255, n46256, n46257, n46258, n46259, n46260, n46261,
+         n46262, n46263, n46264, n46265, n46266, n46267, n46268, n46269,
+         n46270, n46271, n46272, n46273, n46274, n46275, n46276, n46277,
+         n46278, n46279, n46280, n46281, n46282, n46283, n46284, n46285,
+         n46286, n46287, n46288, n46289, n46290, n46291, n46292, n46293,
+         n46294, n46295, n46296, n46297, n46298, n46299, n46300, n46301,
+         n46302, n46303, n46304, n46305, n46306, n46307, n46308, n46309,
+         n46310, n46311, n46312, n46313, n46314, n46315, n46316, n46317,
+         n46318, n46319, n46320, n46321, n46322, n46323, n46324, n46325,
+         n46326, n46327, n46328, n46329, n46330, n46331, n46332, n46333,
+         n46334, n46335, n46336, n46337, n46338, n46339, n46340, n46341,
+         n46342, n46343, n46344, n46345, n46346, n46347, n46348, n46349,
+         n46350, n46351, n46352, n46353, n46354, n46355, n46356, n46357,
+         n46358, n46359, n46360, n46361, n46362, n46363, n46364, n46365,
+         n46366, n46367, n46368, n46369, n46370, n46371, n46372, n46373,
+         n46374, n46375, n46376, n46377, n46378, n46379, n46380, n46381,
+         n46382, n46383, n46384, n46385, n46386, n46387, n46388, n46389,
+         n46390, n46391, n46392, n46393, n46394, n46395, n46396, n46397,
+         n46398, n46399, n46400, n46401, n46402, n46403, n46404, n46405,
+         n46406, n46407, n46408, n46409, n46410, n46411, n46412, n46413,
+         n46414, n46415, n46416, n46417, n46418, n46419, n46420, n46421,
+         n46422, n46423, n46424, n46425, n46426, n46427, n46428, n46429,
+         n46430, n46431, n46432, n46433, n46434, n46435, n46436, n46437,
+         n46438, n46439, n46440, n46441, n46442, n46443, n46444, n46445,
+         n46446, n46447, n46448, n46449, n46450, n46451, n46452, n46453,
+         n46454, n46455, n46456, n46457, n46458, n46459, n46460, n46461,
+         n46462, n46463, n46464, n46465, n46466, n46467, n46468, n46469,
+         n46470, n46471, n46472, n46473, n46474, n46475, n46476, n46477,
+         n46478, n46479, n46480, n46481, n46482, n46483, n46484, n46485,
+         n46486, n46487, n46488, n46489, n46490, n46491, n46492, n46493,
+         n46494, n46495, n46496, n46497, n46498, n46499, n46500, n46501,
+         n46502, n46503, n46504, n46505, n46506, n46507, n46508, n46509,
+         n46510, n46511, n46512, n46513, n46514, n46515, n46516, n46517,
+         n46518, n46519, n46520, n46521, n46522, n46523, n46524, n46525,
+         n46526, n46527, n46528, n46529, n46530, n46531, n46532, n46533,
+         n46534, n46535, n46536, n46537, n46538, n46539, n46540, n46541,
+         n46542, n46543, n46544, n46545, n46546, n46547, n46548, n46549,
+         n46550, n46551, n46552, n46553, n46554, n46555, n46556, n46557,
+         n46558, n46559, n46560, n46561, n46562, n46563, n46564, n46565,
+         n46566, n46567, n46568, n46569, n46570, n46571, n46572, n46573,
+         n46574, n46575, n46576, n46577, n46578, n46579, n46580, n46581,
+         n46582, n46583, n46584, n46585, n46586, n46587, n46588, n46589,
+         n46590, n46591, n46592, n46593, n46594, n46595, n46596, n46597,
+         n46598, n46599, n46600, n46601, n46602, n46603, n46604, n46605,
+         n46606, n46607, n46608, n46609, n46610, n46611, n46612, n46613,
+         n46614, n46615, n46616, n46617, n46618, n46619, n46620, n46621,
+         n46622, n46623, n46624, n46625, n46626, n46627, n46628, n46629,
+         n46630, n46631, n46632, n46633, n46634, n46635, n46636, n46637,
+         n46638, n46639, n46640, n46641, n46642, n46643, n46644, n46645,
+         n46646, n46647, n46648, n46649, n46650, n46651, n46652, n46653,
+         n46654, n46655, n46656, n46657, n46658, n46659, n46660, n46661,
+         n46662, n46663, n46664, n46665, n46666, n46667, n46668, n46669,
+         n46670, n46671, n46672, n46673, n46674, n46675, n46676, n46677,
+         n46678, n46679, n46680, n46681, n46682, n46683, n46684, n46685,
+         n46686, n46687, n46688, n46689, n46690, n46691, n46692, n46693,
+         n46694, n46695, n46696, n46697, n46698, n46699, n46700, n46701,
+         n46702, n46703, n46704, n46705, n46706, n46707, n46708, n46709,
+         n46710, n46711, n46712, n46713, n46714, n46715, n46716, n46717,
+         n46718, n46719, n46720, n46721, n46722, n46723, n46724, n46725,
+         n46726, n46727, n46728, n46729, n46730, n46731, n46732, n46733,
+         n46734, n46735, n46736, n46737, n46738, n46739, n46740, n46741,
+         n46742, n46743, n46744, n46745, n46746, n46747, n46748, n46749,
+         n46750, n46751, n46752, n46753, n46754, n46755, n46756, n46757,
+         n46758, n46759, n46760, n46761, n46762, n46763, n46764, n46765,
+         n46766, n46767, n46768, n46769, n46770, n46771, n46772, n46773,
+         n46774, n46775, n46776, n46777, n46778, n46779, n46780, n46781,
+         n46782, n46783, n46784, n46785, n46786, n46787, n46788, n46789,
+         n46790, n46791, n46792, n46793, n46794, n46795, n46796, n46797,
+         n46798, n46799, n46800, n46801, n46802, n46803, n46804, n46805,
+         n46806, n46807, n46808, n46809, n46810, n46811, n46812, n46813,
+         n46814, n46815, n46816, n46817, n46818, n46819, n46820, n46821,
+         n46822, n46823, n46824, n46825, n46826, n46827, n46828, n46829,
+         n46830, n46831, n46832, n46833, n46834, n46835, n46836, n46837,
+         n46838, n46839, n46840, n46841, n46842, n46843, n46844, n46845,
+         n46846, n46847, n46848, n46849, n46850, n46851, n46852, n46853,
+         n46854, n46855, n46856, n46857, n46858, n46859, n46860, n46861,
+         n46862, n46863, n46864, n46865, n46866, n46867, n46868, n46869,
+         n46870, n46871, n46872, n46873, n46874, n46875, n46876, n46877,
+         n46878, n46879, n46880, n46881, n46882, n46883, n46884, n46885,
+         n46886, n46887, n46888, n46889, n46890, n46891, n46892, n46893,
+         n46894, n46895, n46896, n46897, n46898, n46899, n46900, n46901,
+         n46902, n46903, n46904, n46905, n46906, n46907, n46908, n46909,
+         n46910, n46911, n46912, n46913, n46914, n46915, n46916, n46917,
+         n46918, n46919, n46920, n46921, n46922, n46923, n46924, n46925,
+         n46926, n46927, n46928, n46929, n46930, n46931, n46932, n46933,
+         n46934, n46935, n46936, n46937, n46938, n46939, n46940, n46941,
+         n46942, n46943, n46944, n46945, n46946, n46947, n46948, n46949,
+         n46950, n46951, n46952, n46953, n46954, n46955, n46956, n46957,
+         n46958, n46959, n46960, n46961, n46962, n46963, n46964, n46965,
+         n46966, n46967, n46968, n46969, n46970, n46971, n46972, n46973,
+         n46974, n46975, n46976, n46977, n46978, n46979, n46980, n46981,
+         n46982, n46983, n46984, n46985, n46986, n46987, n46988, n46989,
+         n46990, n46991, n46992, n46993, n46994, n46995, n46996, n46997,
+         n46998, n46999, n47000, n47001, n47002, n47003, n47004, n47005,
+         n47006, n47007, n47008, n47009, n47010, n47011, n47012, n47013,
+         n47014, n47015, n47016, n47017, n47018, n47019, n47020, n47021,
+         n47022, n47023, n47024, n47025, n47026, n47027, n47028, n47029,
+         n47030, n47031, n47032, n47033, n47034, n47035, n47036, n47037,
+         n47038, n47039, n47040, n47041, n47042, n47043, n47044, n47045,
+         n47046, n47047, n47048, n47049, n47050, n47051, n47052, n47053,
+         n47054, n47055, n47056, n47057, n47058, n47059, n47060, n47061,
+         n47062, n47063, n47064, n47065, n47066, n47067, n47068, n47069,
+         n47070, n47071, n47072, n47073, n47074, n47075, n47076, n47077,
+         n47078, n47079, n47080, n47081, n47082, n47083, n47084, n47085,
+         n47086, n47087, n47088, n47089, n47090, n47091, n47092, n47093,
+         n47094, n47095, n47096, n47097, n47098, n47099, n47100, n47101,
+         n47102, n47103, n47104, n47105, n47106, n47107, n47108, n47109,
+         n47110, n47111, n47112, n47113, n47114, n47115, n47116, n47117,
+         n47118, n47119, n47120, n47121, n47122, n47123, n47124, n47125,
+         n47126, n47127, n47128, n47129, n47130, n47131, n47132, n47133,
+         n47134, n47135, n47136, n47137, n47138, n47139, n47140, n47141,
+         n47142, n47143, n47144, n47145, n47146, n47147, n47148, n47149,
+         n47150, n47151, n47152, n47153, n47154, n47155, n47156, n47157,
+         n47158, n47159, n47160, n47161, n47162, n47163, n47164, n47165,
+         n47166, n47167, n47168, n47169, n47170, n47171, n47172, n47173,
+         n47174, n47175, n47176, n47177, n47178, n47179, n47180, n47181,
+         n47182, n47183, n47184, n47185, n47186, n47187, n47188, n47189,
+         n47190, n47191, n47192, n47193, n47194, n47195, n47196, n47197,
+         n47198, n47199, n47200, n47201, n47202, n47203, n47204, n47205,
+         n47206, n47207, n47208, n47209, n47210, n47211, n47212, n47213,
+         n47214, n47215, n47216, n47217, n47218, n47219, n47220, n47221,
+         n47222, n47223, n47224, n47225, n47226, n47227, n47228, n47229,
+         n47230, n47231, n47232, n47233, n47234, n47235, n47236, n47237,
+         n47238, n47239, n47240, n47241, n47242, n47243, n47244, n47245,
+         n47246, n47247, n47248, n47249, n47250, n47251, n47252, n47253,
+         n47254, n47255, n47256, n47257, n47258, n47259, n47260, n47261,
+         n47262, n47263, n47264, n47265, n47266, n47267, n47268, n47269,
+         n47270, n47271, n47272, n47273, n47274, n47275, n47276, n47277,
+         n47278, n47279, n47280, n47281, n47282, n47283, n47284, n47285,
+         n47286, n47287, n47288, n47289, n47290, n47291, n47292, n47293,
+         n47294, n47295, n47296, n47297, n47298, n47299, n47300, n47301,
+         n47302, n47303, n47304, n47305, n47306, n47307, n47308, n47309,
+         n47310, n47311, n47312, n47313, n47314, n47315, n47316, n47317,
+         n47318, n47319, n47320, n47321, n47322, n47323, n47324, n47325,
+         n47326, n47327, n47328, n47329, n47330, n47331, n47332, n47333,
+         n47334, n47335, n47336, n47337, n47338, n47339, n47340, n47341,
+         n47342, n47343, n47344, n47345, n47346, n47347, n47348, n47349,
+         n47350, n47351, n47352, n47353, n47354, n47355, n47356, n47357,
+         n47358, n47359, n47360, n47361, n47362, n47363, n47364, n47365,
+         n47366, n47367, n47368, n47369, n47370, n47371, n47372, n47373,
+         n47374, n47375, n47376, n47377, n47378, n47379, n47380, n47381,
+         n47382, n47383, n47384, n47385, n47386, n47387, n47388, n47389,
+         n47390, n47391, n47392, n47393, n47394, n47395, n47396, n47397,
+         n47398, n47399, n47400, n47401, n47402, n47403, n47404, n47405,
+         n47406, n47407, n47408, n47409, n47410, n47411, n47412, n47413,
+         n47414, n47415, n47416, n47417, n47418, n47419, n47420, n47421,
+         n47422, n47423, n47424, n47425, n47426, n47427, n47428, n47429,
+         n47430, n47431, n47432, n47433, n47434, n47435, n47436, n47437,
+         n47438, n47439, n47440, n47441, n47442, n47443, n47444, n47445,
+         n47446, n47447, n47448, n47449, n47450, n47451, n47452, n47453,
+         n47454, n47455, n47456, n47457, n47458, n47459, n47460, n47461,
+         n47462, n47463, n47464, n47465, n47466, n47467, n47468, n47469,
+         n47470, n47471, n47472, n47473, n47474, n47475, n47476, n47477,
+         n47478, n47479, n47480, n47481, n47482, n47483, n47484, n47485,
+         n47486, n47487, n47488, n47489, n47490, n47491, n47492, n47493,
+         n47494, n47495, n47496, n47497, n47498, n47499, n47500, n47501,
+         n47502, n47503, n47504, n47505, n47506, n47507, n47508, n47509,
+         n47510, n47511, n47512, n47513, n47514, n47515, n47516, n47517,
+         n47518, n47519, n47520, n47521, n47522, n47523, n47524, n47525,
+         n47526, n47527, n47528, n47529, n47530, n47531, n47532, n47533,
+         n47534, n47535, n47536, n47537, n47538, n47539, n47540, n47541,
+         n47542, n47543, n47544, n47545, n47546, n47547, n47548, n47549,
+         n47550, n47551, n47552, n47553, n47554, n47555, n47556, n47557,
+         n47558, n47559, n47560, n47561, n47562, n47563, n47564, n47565,
+         n47566, n47567, n47568, n47569, n47570, n47571, n47572, n47573,
+         n47574, n47575, n47576, n47577, n47578, n47579, n47580, n47581,
+         n47582, n47583, n47584, n47585, n47586, n47587, n47588, n47589,
+         n47590, n47591, n47592, n47593, n47594, n47595, n47596, n47597,
+         n47598, n47599, n47600, n47601, n47602, n47603, n47604, n47605,
+         n47606, n47607, n47608, n47609, n47610, n47611, n47612, n47613,
+         n47614, n47615, n47616, n47617, n47618, n47619, n47620, n47621,
+         n47622, n47623, n47624, n47625, n47626, n47627, n47628, n47629,
+         n47630, n47631, n47632, n47633, n47634, n47635, n47636, n47637,
+         n47638, n47639, n47640, n47641, n47642, n47643, n47644, n47645,
+         n47646, n47647, n47648, n47649, n47650, n47651, n47652, n47653,
+         n47654, n47655, n47656, n47657, n47658, n47659, n47660, n47661,
+         n47662, n47663, n47664, n47665, n47666, n47667, n47668, n47669,
+         n47670, n47671, n47672, n47673, n47674, n47675, n47676, n47677,
+         n47678, n47679, n47680, n47681, n47682, n47683, n47684, n47685,
+         n47686, n47687, n47688, n47689, n47690, n47691, n47692, n47693,
+         n47694, n47695, n47696, n47697, n47698, n47699, n47700, n47701,
+         n47702, n47703, n47704, n47705, n47706, n47707, n47708, n47709,
+         n47710, n47711, n47712, n47713, n47714, n47715, n47716, n47717,
+         n47718, n47719, n47720, n47721, n47722, n47723, n47724, n47725,
+         n47726, n47727, n47728, n47729, n47730, n47731, n47732, n47733,
+         n47734, n47735, n47736, n47737, n47738, n47739, n47740, n47741,
+         n47742, n47743, n47744, n47745, n47746, n47747, n47748, n47749,
+         n47750, n47751, n47752, n47753, n47754, n47755, n47756, n47757,
+         n47758, n47759, n47760, n47761, n47762, n47763, n47764, n47765,
+         n47766, n47767, n47768, n47769, n47770, n47771, n47772, n47773,
+         n47774, n47775, n47776, n47777, n47778, n47779, n47780, n47781,
+         n47782, n47783, n47784, n47785, n47786, n47787, n47788, n47789,
+         n47790, n47791, n47792, n47793, n47794, n47795, n47796, n47797,
+         n47798, n47799, n47800, n47801, n47802, n47803, n47804, n47805,
+         n47806, n47807, n47808, n47809, n47810, n47811, n47812, n47813,
+         n47814, n47815, n47816, n47817, n47818, n47819, n47820, n47821,
+         n47822, n47823, n47824, n47825, n47826, n47827, n47828, n47829,
+         n47830, n47831, n47832, n47833, n47834, n47835, n47836, n47837,
+         n47838, n47839, n47840, n47841, n47842, n47843, n47844, n47845,
+         n47846, n47847, n47848, n47849, n47850, n47851, n47852, n47853,
+         n47854, n47855, n47856, n47857, n47858, n47859, n47860, n47861,
+         n47862, n47863, n47864, n47865, n47866, n47867, n47868, n47869,
+         n47870, n47871, n47872, n47873, n47874, n47875, n47876, n47877,
+         n47878, n47879, n47880, n47881, n47882, n47883, n47884, n47885,
+         n47886, n47887, n47888, n47889, n47890, n47891, n47892, n47893,
+         n47894, n47895, n47896, n47897, n47898, n47899, n47900, n47901,
+         n47902, n47903, n47904, n47905, n47906, n47907, n47908, n47909,
+         n47910, n47911, n47912, n47913, n47914, n47915, n47916, n47917,
+         n47918, n47919, n47920, n47921, n47922, n47923, n47924, n47925,
+         n47926, n47927, n47928, n47929, n47930, n47931, n47932, n47933,
+         n47934, n47935, n47936, n47937, n47938, n47939, n47940, n47941,
+         n47942, n47943, n47944, n47945, n47946, n47947, n47948, n47949,
+         n47950, n47951, n47952, n47953, n47954, n47955, n47956, n47957,
+         n47958, n47959, n47960, n47961, n47962, n47963, n47964, n47965,
+         n47966, n47967, n47968, n47969, n47970, n47971, n47972, n47973,
+         n47974, n47975, n47976, n47977, n47978, n47979, n47980, n47981,
+         n47982, n47983, n47984, n47985, n47986, n47987, n47988, n47989,
+         n47990, n47991, n47992, n47993, n47994, n47995, n47996, n47997,
+         n47998, n47999, n48000, n48001, n48002, n48003, n48004, n48005,
+         n48006, n48007, n48008, n48009, n48010, n48011, n48012, n48013,
+         n48014, n48015, n48016, n48017, n48018, n48019, n48020, n48021,
+         n48022, n48023, n48024, n48025, n48026, n48027, n48028, n48029,
+         n48030, n48031, n48032, n48033, n48034, n48035, n48036, n48037,
+         n48038, n48039, n48040, n48041, n48042, n48043, n48044, n48045,
+         n48046, n48047, n48048, n48049, n48050, n48051, n48052, n48053,
+         n48054, n48055, n48056, n48057, n48058, n48059, n48060, n48061,
+         n48062, n48063, n48064, n48065, n48066, n48067, n48068, n48069,
+         n48070, n48071, n48072, n48073, n48074, n48075, n48076, n48077,
+         n48078, n48079, n48080, n48081, n48082, n48083, n48084, n48085,
+         n48086, n48087, n48088, n48089, n48090, n48091, n48092, n48093,
+         n48094, n48095, n48096, n48097, n48098, n48099, n48100, n48101,
+         n48102, n48103, n48104, n48105, n48106, n48107, n48108, n48109,
+         n48110, n48111, n48112, n48113, n48114, n48115, n48116, n48117,
+         n48118, n48119, n48120, n48121, n48122, n48123, n48124, n48125,
+         n48126, n48127, n48128, n48129, n48130, n48131, n48132, n48133,
+         n48134, n48135, n48136, n48137, n48138, n48139, n48140, n48141,
+         n48142, n48143, n48144, n48145, n48146, n48147, n48148, n48149,
+         n48150, n48151, n48152, n48153, n48154, n48155, n48156, n48157,
+         n48158, n48159, n48160, n48161, n48162, n48163, n48164, n48165,
+         n48166, n48167, n48168, n48169, n48170, n48171, n48172, n48173,
+         n48174, n48175, n48176, n48177, n48178, n48179, n48180, n48181,
+         n48182, n48183, n48184, n48185, n48186, n48187, n48188, n48189,
+         n48190, n48191, n48192, n48193, n48194, n48195, n48196, n48197,
+         n48198, n48199, n48200, n48201, n48202, n48203, n48204, n48205,
+         n48206, n48207, n48208, n48209, n48210, n48211, n48212, n48213,
+         n48214, n48215, n48216, n48217, n48218, n48219, n48220, n48221,
+         n48222, n48223, n48224, n48225, n48226, n48227, n48228, n48229,
+         n48230, n48231, n48232, n48233, n48234, n48235, n48236, n48237,
+         n48238, n48239, n48240, n48241, n48242, n48243, n48244, n48245,
+         n48246, n48247, n48248, n48249, n48250, n48251, n48252, n48253,
+         n48254, n48255, n48256, n48257, n48258, n48259, n48260, n48261,
+         n48262, n48263, n48264, n48265, n48266, n48267, n48268, n48269,
+         n48270, n48271, n48272, n48273, n48274, n48275, n48276, n48277,
+         n48278, n48279, n48280, n48281, n48282, n48283, n48284, n48285,
+         n48286, n48287, n48288, n48289, n48290, n48291, n48292, n48293,
+         n48294, n48295, n48296, n48297, n48298, n48299, n48300, n48301,
+         n48302, n48303, n48304, n48305, n48306, n48307, n48308, n48309,
+         n48310, n48311, n48312, n48313, n48314, n48315, n48316, n48317,
+         n48318, n48319, n48320, n48321, n48322, n48323, n48324, n48325,
+         n48326, n48327, n48328, n48329, n48330, n48331, n48332, n48333,
+         n48334, n48335, n48336, n48337, n48338, n48339, n48340, n48341,
+         n48342, n48343, n48344, n48345, n48346, n48347, n48348, n48349,
+         n48350, n48351, n48352, n48353, n48354, n48355, n48356, n48357,
+         n48358, n48359, n48360, n48361, n48362, n48363, n48364, n48365,
+         n48366, n48367, n48368, n48369, n48370, n48371, n48372, n48373,
+         n48374, n48375, n48376, n48377, n48378, n48379, n48380, n48381,
+         n48382, n48383, n48384, n48385, n48386, n48387, n48388, n48389,
+         n48390, n48391, n48392, n48393, n48394, n48395, n48396, n48397,
+         n48398, n48399, n48400, n48401, n48402, n48403, n48404, n48405,
+         n48406, n48407, n48408, n48409, n48410, n48411, n48412, n48413,
+         n48414, n48415, n48416, n48417, n48418, n48419, n48420, n48421,
+         n48422, n48423, n48424, n48425, n48426, n48427, n48428, n48429,
+         n48430, n48431, n48432, n48433, n48434, n48435, n48436, n48437,
+         n48438, n48439, n48440, n48441, n48442, n48443, n48444, n48445,
+         n48446, n48447, n48448, n48449, n48450, n48451, n48452, n48453,
+         n48454, n48455, n48456, n48457, n48458, n48459, n48460, n48461,
+         n48462, n48463, n48464, n48465, n48466, n48467, n48468, n48469,
+         n48470, n48471, n48472, n48473, n48474, n48475, n48476, n48477,
+         n48478, n48479, n48480, n48481, n48482, n48483, n48484, n48485,
+         n48486, n48487, n48488, n48489, n48490, n48491, n48492, n48493,
+         n48494, n48495, n48496, n48497, n48498, n48499, n48500, n48501,
+         n48502, n48503, n48504, n48505, n48506, n48507, n48508, n48509,
+         n48510, n48511, n48512, n48513, n48514, n48515, n48516, n48517,
+         n48518, n48519, n48520, n48521, n48522, n48523, n48524, n48525,
+         n48526, n48527, n48528, n48529, n48530, n48531, n48532, n48533,
+         n48534, n48535, n48536, n48537, n48538, n48539, n48540, n48541,
+         n48542, n48543, n48544, n48545, n48546, n48547, n48548, n48549,
+         n48550, n48551, n48552, n48553, n48554, n48555, n48556, n48557,
+         n48558, n48559, n48560, n48561, n48562, n48563, n48564, n48565,
+         n48566, n48567, n48568, n48569, n48570, n48571, n48572, n48573,
+         n48574, n48575, n48576, n48577, n48578, n48579, n48580, n48581,
+         n48582, n48583, n48584, n48585, n48586, n48587, n48588, n48589,
+         n48590, n48591, n48592, n48593, n48594, n48595, n48596, n48597,
+         n48598, n48599, n48600, n48601, n48602, n48603, n48604, n48605,
+         n48606, n48607, n48608, n48609, n48610, n48611, n48612, n48613,
+         n48614, n48615, n48616, n48617, n48618, n48619, n48620, n48621,
+         n48622, n48623, n48624, n48625, n48626, n48627, n48628, n48629,
+         n48630, n48631, n48632, n48633, n48634, n48635, n48636, n48637,
+         n48638, n48639, n48640, n48641, n48642, n48643, n48644, n48645,
+         n48646, n48647, n48648, n48649, n48650, n48651, n48652, n48653,
+         n48654, n48655, n48656, n48657, n48658, n48659, n48660, n48661,
+         n48662, n48663, n48664, n48665, n48666, n48667, n48668, n48669,
+         n48670, n48671, n48672, n48673, n48674, n48675, n48676, n48677,
+         n48678, n48679, n48680, n48681, n48682, n48683, n48684, n48685,
+         n48686, n48687, n48688, n48689, n48690, n48691, n48692, n48693,
+         n48694, n48695, n48696, n48697, n48698, n48699, n48700, n48701,
+         n48702, n48703, n48704, n48705, n48706, n48707, n48708, n48709,
+         n48710, n48711, n48712, n48713, n48714, n48715, n48716, n48717,
+         n48718, n48719, n48720, n48721, n48722, n48723, n48724, n48725,
+         n48726, n48727, n48728, n48729, n48730, n48731, n48732, n48733,
+         n48734, n48735, n48736, n48737, n48738, n48739, n48740, n48741,
+         n48742, n48743, n48744, n48745, n48746, n48747, n48748, n48749,
+         n48750, n48751, n48752, n48753, n48754, n48755, n48756, n48757,
+         n48758, n48759, n48760, n48761, n48762, n48763, n48764, n48765,
+         n48766, n48767, n48768, n48769, n48770, n48771, n48772, n48773,
+         n48774, n48775, n48776, n48777, n48778, n48779, n48780, n48781,
+         n48782, n48783, n48784, n48785, n48786, n48787, n48788, n48789,
+         n48790, n48791, n48792, n48793, n48794, n48795, n48796, n48797,
+         n48798, n48799, n48800, n48801, n48802, n48803, n48804, n48805,
+         n48806, n48807, n48808, n48809, n48810, n48811, n48812, n48813,
+         n48814, n48815, n48816, n48817, n48818, n48819, n48820, n48821,
+         n48822, n48823, n48824, n48825, n48826, n48827, n48828, n48829,
+         n48830, n48831, n48832, n48833, n48834, n48835, n48836, n48837,
+         n48838, n48839, n48840, n48841, n48842, n48843, n48844, n48845,
+         n48846, n48847, n48848, n48849, n48850, n48851, n48852, n48853,
+         n48854, n48855, n48856, n48857, n48858, n48859, n48860, n48861,
+         n48862, n48863, n48864, n48865, n48866, n48867, n48868, n48869,
+         n48870, n48871, n48872, n48873, n48874, n48875, n48876, n48877,
+         n48878, n48879, n48880, n48881, n48882, n48883, n48884, n48885,
+         n48886, n48887, n48888, n48889, n48890, n48891, n48892, n48893,
+         n48894, n48895, n48896, n48897, n48898, n48899, n48900, n48901,
+         n48902, n48903, n48904, n48905, n48906, n48907, n48908, n48909,
+         n48910, n48911, n48912, n48913, n48914, n48915, n48916, n48917,
+         n48918, n48919, n48920, n48921, n48922, n48923, n48925, n48926,
+         n48927, n48928, n48929, n48930, n48931, n48932, n48933, n48934,
+         n48935, n48936, n48937, n48938, n48939, n48940, n48941, n48942,
+         n48943, n48944, n48945, n48946, n48947, n48948, n48949, n48950,
+         n48951, n48952, n48953, n48954, n48955, n48956, n48957, n48958,
+         n48959, n48960, n48961, n48962, n48963, n48964, n48965, n48966,
+         n48967, n48968, n48969, n48970, n48971, n48972, n48973, n48974,
+         n48975, n48976, n48977, n48978, n48979, n48980, n48981, n48982,
+         n48983, n48984, n48985, n48986, n48987, n48988, n48989, n48990,
+         n48991, n48992, n48993, n48994, n48995, n48996, n48997, n48998,
+         n48999, n49000, n49001, n49002, n49003, n49004, n49005, n49006,
+         n49007, n49008, n49009, n49010, n49011, n49012, n49013, n49014,
+         n49015, n49016, n49017, n49018, n49019, n49020, n49021, n49022,
+         n49023, n49024, n49025, n49026, n49027, n49028, n49029, n49030,
+         n49031, n49032, n49033, n49034, n49035, n49036, n49037, n49038,
+         n49039, n49040, n49041, n49042, n49043, n49044, n49045, n49046,
+         n49047, n49048, n49049, n49050, n49051, n49052, n49053, n49054,
+         n49055, n49056, n49057, n49058, n49059, n49060, n49061, n49062,
+         n49063, n49064, n49065, n49066, n49067, n49068, n49069, n49070,
+         n49071, n49072, n49073, n49074, n49075, n49076, n49077, n49078,
+         n49079, n49080, n49081, n49082, n49083, n49084, n49085, n49086,
+         n49087, n49088, n49089, n49090, n49091, n49092, n49093, n49094,
+         n49095, n49096, n49097, n49098, n49099, n49100, n49101, n49102,
+         n49103, n49104, n49105, n49106, n49107, n49108, n49109, n49110,
+         n49111, n49112, n49113, n49114, n49115, n49116, n49117, n49118,
+         n49119, n49120, n49121, n49122, n49123, n49124, n49125, n49126,
+         n49127, n49128, n49129, n49130, n49131, n49132, n49133, n49134,
+         n49135, n49136, n49137, n49138, n49139, n49140, n49141, n49142,
+         n49143, n49144, n49145, n49146, n49147, n49148, n49149, n49150,
+         n49151, n49152, n49153, n49154, n49155, n49156, n49157, n49158,
+         n49159, n49160, n49161, n49162, n49163, n49164, n49165, n49166,
+         n49167, n49168, n49169, n49170, n49171, n49172, n49173, n49174,
+         n49175, n49176, n49177, n49178, n49179, n49180, n49181, n49182,
+         n49183, n49184, n49185, n49186, n49187, n49188, n49189, n49190,
+         n49191, n49192, n49193, n49194, n49195, n49196, n49197, n49198,
+         n49199, n49200, n49201, n49202, n49203, n49204, n49205, n49206,
+         n49207, n49208, n49209, n49210, n49211, n49212, n49213, n49214,
+         n49215, n49216, n49217, n49218, n49219, n49220, n49221, n49222,
+         n49223, n49224, n49225, n49226, n49227, n49228, n49229, n49230,
+         n49231, n49232, n49233, n49234, n49235, n49236, n49237, n49238,
+         n49239, n49240, n49241, n49242, n49243, n49244, n49245, n49246,
+         n49247, n49248, n49249, n49250, n49251, n49252, n49253, n49254,
+         n49255, n49256, n49257, n49258, n49259, n49260, n49261, n49262,
+         n49263, n49264, n49265, n49266, n49267, n49268, n49269, n49270,
+         n49271, n49272, n49273, n49274, n49275, n49276, n49277, n49278,
+         n49279, n49280, n49281, n49282, n49283, n49284, n49285, n49286,
+         n49287, n49288, n49289, n49290, n49291, n49292, n49293, n49294,
+         n49295, n49296, n49297, n49298, n49299, n49300, n49301, n49302,
+         n49303, n49304, n49305, n49306, n49307, n49308, n49309, n49310,
+         n49311, n49312, n49313, n49314, n49315, n49316, n49317, n49318,
+         n49319, n49320, n49321, n49322, n49323, n49324, n49325, n49326,
+         n49327, n49328, n49329, n49330, n49331, n49332, n49333, n49334,
+         n49335, n49336, n49337, n49338, n49339, n49340, n49341, n49342,
+         n49343, n49344, n49345, n49346, n49347, n49348, n49349, n49350,
+         n49351, n49352, n49353, n49354, n49355, n49356, n49357, n49358,
+         n49359, n49360, n49361, n49362, n49363, n49364, n49365, n49366,
+         n49367, n49368, n49369, n49370, n49371, n49372, n49373, n49374,
+         n49375, n49376, n49377, n49378, n49379, n49380, n49381, n49382,
+         n49383, n49384, n49385, n49386, n49387, n49388, n49389, n49390,
+         n49391, n49392, n49393, n49394, n49395, n49396, n49397, n49398,
+         n49399, n49400, n49401, n49402, n49403, n49404, n49405, n49406,
+         n49407, n49408, n49409, n49410, n49411, n49412, n49413, n49414,
+         n49415, n49416, n49417, n49418, n49419, n49420, n49421, n49422,
+         n49423, n49424, n49425, n49426, n49427, n49428, n49429, n49430,
+         n49431, n49432, n49433, n49434, n49435, n49436, n49437, n49438,
+         n49439, n49440, n49441, n49442, n49443, n49444, n49445, n49446,
+         n49447, n49448, n49449, n49450, n49451, n49452, n49453, n49454,
+         n49455, n49456, n49457, n49458, n49459, n49460, n49461, n49462,
+         n49463, n49464, n49465, n49466, n49467, n49468, n49469, n49470,
+         n49471, n49472, n49473, n49474, n49475, n49476, n49477, n49478,
+         n49479, n49480, n49481, n49482, n49483, n49484, n49485, n49486,
+         n49487, n49488, n49489, n49490, n49491, n49492, n49493, n49494,
+         n49495, n49496, n49497, n49498, n49499, n49500, n49501, n49502,
+         n49503, n49504, n49505, n49506, n49507, n49508, n49509, n49510,
+         n49511, n49512, n49513, n49514, n49515, n49516, n49517, n49518,
+         n49519, n49520, n49521, n49522, n49523, n49524, n49525, n49526,
+         n49527, n49528, n49529, n49530, n49531, n49532, n49533, n49534,
+         n49535, n49536, n49537, n49538, n49539, n49540, n49541, n49542,
+         n49543, n49544, n49545, n49546, n49547, n49548, n49549, n49550,
+         n49551, n49552, n49553, n49554, n49555, n49556, n49557, n49558,
+         n49559, n49560, n49561, n49562, n49563, n49564, n49565, n49566,
+         n49567, n49568, n49569, n49570, n49571, n49572, n49573, n49574,
+         n49575, n49576, n49577, n49578, n49579, n49580, n49581, n49582,
+         n49583, n49584, n49585, n49586, n49587, n49588, n49589, n49590,
+         n49591, n49592, n49593, n49594, n49595, n49596, n49597, n49598,
+         n49599, n49600, n49601, n49602, n49603, n49604, n49605, n49606,
+         n49607, n49608, n49609, n49610, n49611, n49612, n49613, n49614,
+         n49615, n49616, n49617, n49618, n49619, n49620, n49621, n49622,
+         n49623, n49624, n49625, n49626, n49627, n49628, n49629, n49630,
+         n49631, n49632, n49633, n49634, n49635, n49636, n49637, n49638,
+         n49639, n49640, n49641, n49642, n49643, n49644, n49645, n49646,
+         n49647, n49648, n49649, n49650, n49651, n49652, n49653, n49654,
+         n49655, n49656, n49657, n49658, n49659, n49660, n49661, n49662,
+         n49663, n49664, n49665, n49666, n49667, n49668, n49669, n49670,
+         n49671, n49672, n49673, n49674, n49675, n49676, n49677, n49678,
+         n49679, n49680, n49681, n49682, n49683, n49684, n49685, n49686,
+         n49687, n49688, n49689, n49690, n49691, n49692, n49693, n49694,
+         n49695, n49696, n49697, n49698, n49699, n49700, n49701, n49702,
+         n49703, n49704, n49705, n49706, n49707, n49708, n49709, n49710,
+         n49711, n49712, n49713, n49714, n49715, n49716, n49717, n49718,
+         n49719, n49720, n49721, n49722, n49723, n49724, n49725, n49726,
+         n49727, n49728, n49729, n49730, n49731, n49732, n49733, n49734,
+         n49735, n49736, n49737, n49738, n49739, n49740, n49741, n49742,
+         n49743, n49744, n49745, n49746, n49747, n49748, n49749, n49750,
+         n49751, n49752, n49753, n49754, n49755, n49756, n49757, n49758,
+         n49759, n49760, n49761, n49762, n49763, n49764, n49765, n49766,
+         n49767, n49768, n49769, n49770, n49771, n49772, n49773, n49774,
+         n49775, n49776, n49777, n49778, n49779, n49780, n49781, n49782,
+         n49783, n49784, n49785, n49786, n49787, n49788, n49789, n49790,
+         n49791, n49792, n49793, n49794, n49795, n49796, n49797, n49798,
+         n49799, n49800, n49801, n49802, n49803, n49804, n49805, n49806,
+         n49807, n49808, n49809, n49810, n49811, n49812, n49813, n49814,
+         n49815, n49816, n49817, n49818, n49819, n49820, n49821, n49822,
+         n49823, n49824, n49825, n49826, n49827, n49828, n49829, n49830,
+         n49831, n49832, n49833, n49834, n49835, n49836, n49837, n49838,
+         n49839, n49840, n49841, n49842, n49843, n49844, n49845, n49846,
+         n49847, n49848, n49849, n49850, n49851, n49852, n49853, n49854,
+         n49855, n49856, n49857, n49858, n49859, n49860, n49861, n49862,
+         n49863, n49864, n49865, n49866, n49867, n49868, n49869, n49870,
+         n49871, n49872, n49873, n49874, n49875, n49876, n49877, n49878,
+         n49879, n49880, n49881, n49882, n49883, n49884, n49885, n49886,
+         n49887, n49888, n49889, n49890, n49891, n49892, n49893, n49894,
+         n49895, n49896, n49897, n49898, n49899, n49900, n49901, n49902,
+         n49903, n49904, n49905, n49906, n49907, n49908, n49909, n49910,
+         n49911, n49912, n49913, n49914, n49915, n49916, n49917, n49918,
+         n49919, n49920, n49921, n49922, n49923, n49924, n49925, n49926,
+         n49927, n49928, n49929, n49930, n49931, n49932, n49933, n49934,
+         n49935, n49936, n49937, n49938, n49939, n49940, n49941, n49942,
+         n49943, n49944, n49945, n49946, n49947, n49948, n49949, n49950,
+         n49951, n49952, n49953, n49954, n49955, n49956, n49957, n49958,
+         n49959, n49960, n49961, n49962, n49963, n49964, n49965, n49966,
+         n49967, n49968, n49969, n49970, n49971, n49972, n49973, n49974,
+         n49975, n49976, n49977, n49978, n49979, n49980, n49981, n49982,
+         n49983, n49984, n49985, n49986, n49987, n49988, n49989, n49990,
+         n49991, n49992, n49993, n49994, n49995, n49996, n49997, n49998,
+         n49999, n50000, n50001, n50002, n50003, n50004, n50005, n50006,
+         n50007, n50008, n50009, n50010, n50011, n50012, n50013, n50014,
+         n50015, n50016, n50017, n50018, n50019, n50020, n50021, n50022,
+         n50023, n50024, n50025, n50026, n50027, n50028, n50029, n50030,
+         n50031, n50032, n50033, n50034, n50035, n50036, n50037, n50038,
+         n50039, n50040, n50041, n50042, n50043, n50044, n50045, n50046,
+         n50047, n50048, n50049, n50050, n50051, n50052, n50053, n50054,
+         n50055, n50056, n50057, n50058, n50059, n50060, n50061, n50062,
+         n50063, n50064, n50065, n50066, n50067, n50068, n50069, n50070,
+         n50071, n50072, n50073, n50074, n50075, n50076, n50077, n50078,
+         n50079, n50080, n50081, n50082, n50083, n50084, n50085, n50086,
+         n50087, n50088, n50089, n50090, n50091, n50092, n50093, n50094,
+         n50095, n50096, n50097, n50098, n50099, n50100, n50101, n50102,
+         n50103, n50104, n50105, n50106, n50107, n50108, n50109, n50110,
+         n50111, n50112, n50113, n50114, n50115, n50116, n50117, n50118,
+         n50119, n50120, n50121, n50122, n50123, n50124, n50125, n50126,
+         n50127, n50128, n50129, n50130, n50131, n50132, n50133, n50134,
+         n50135, n50136, n50137, n50138, n50139, n50140, n50141, n50142,
+         n50143, n50144, n50145, n50146, n50147, n50148, n50149, n50150,
+         n50151, n50152, n50153, n50154, n50155, n50156, n50157, n50158,
+         n50159, n50160, n50161, n50162, n50163, n50164, n50165, n50166,
+         n50167, n50168, n50169, n50170, n50171, n50172, n50173, n50174,
+         n50175, n50176, n50177, n50178, n50179, n50180, n50181, n50182,
+         n50183, n50184, n50185, n50186, n50187, n50188, n50189, n50190,
+         n50191, n50192, n50193, n50194, n50195, n50196, n50197, n50198,
+         n50199, n50200, n50201, n50202, n50203, n50204, n50205, n50206,
+         n50207, n50208, n50209, n50210, n50211, n50212, n50213, n50214,
+         n50215, n50216, n50217, n50218, n50219, n50220, n50221, n50222,
+         n50223, n50224, n50225, n50226, n50227, n50228, n50229, n50230,
+         n50231, n50232, n50233, n50234, n50235, n50236, n50237, n50238,
+         n50239, n50240, n50241, n50242, n50243, n50244, n50245, n50246,
+         n50247, n50248, n50249, n50250, n50251, n50252, n50253, n50254,
+         n50255, n50256, n50257, n50258, n50259, n50260, n50261, n50262,
+         n50263, n50264, n50265, n50266, n50267, n50268, n50269, n50270,
+         n50271, n50272, n50273, n50274, n50275, n50276, n50277, n50278,
+         n50279, n50280, n50281, n50282, n50283, n50284, n50285, n50286,
+         n50287, n50288, n50289, n50290, n50291, n50292, n50293, n50294,
+         n50295, n50296, n50297, n50298, n50299, n50300, n50301, n50302,
+         n50303, n50304, n50305, n50306, n50307, n50308, n50309, n50310,
+         n50311, n50312, n50313, n50314, n50315, n50316, n50317, n50318,
+         n50319, n50320, n50321, n50322, n50323, n50324, n50325, n50326,
+         n50327, n50328, n50329, n50330, n50331, n50332, n50333, n50334,
+         n50335, n50336, n50337, n50338, n50339, n50340, n50341, n50342,
+         n50343, n50344, n50345, n50346, n50347, n50348, n50349, n50350,
+         n50351, n50352, n50353, n50354, n50355, n50356, n50357, n50358,
+         n50359, n50360, n50361, n50362, n50363, n50364, n50365, n50366,
+         n50367, n50368, n50369, n50370, n50371, n50372, n50373, n50374,
+         n50375, n50376, n50377, n50378, n50379, n50380, n50381, n50382,
+         n50383, n50384, n50385, n50386, n50387, n50388, n50389, n50390,
+         n50391, n50392, n50393, n50394, n50395, n50396, n50397, n50398,
+         n50399, n50400, n50401, n50402, n50403, n50404, n50405, n50406,
+         n50407, n50408, n50409, n50410, n50411, n50412, n50413, n50414,
+         n50415, n50416, n50417, n50418, n50419, n50420, n50421, n50422,
+         n50423, n50424, n50425, n50426, n50427, n50428, n50429, n50430,
+         n50431, n50432, n50433, n50434, n50435, n50436, n50437, n50438,
+         n50439, n50440, n50441, n50442, n50443, n50444, n50445, n50446,
+         n50447, n50448, n50449, n50450, n50451, n50452, n50453, n50454,
+         n50455, n50456, n50457, n50458, n50459, n50460, n50461, n50462,
+         n50463, n50464, n50465, n50466, n50467, n50468, n50469, n50470,
+         n50471, n50472, n50473, n50474, n50475, n50476, n50477, n50479,
+         n50480, n50481, n50482, n50483, n50484, n50485, n50486, n50487,
+         n50488, n50489, n50490, n50491, n50492, n50493, n50494, n50495,
+         n50496, n50497, n50498, n50499, n50500, n50501, n50502, n50503,
+         n50504, n50505, n50506, n50507, n50508, n50509, n50510, n50511,
+         n50512, n50513, n50514, n50515, n50516, n50517, n50518, n50519,
+         n50520, n50521, n50522, n50523, n50524, n50525, n50526, n50527,
+         n50528, n50529, n50530, n50531, n50532, n50533, n50534, n50535,
+         n50536, n50537, n50538, n50539, n50540, n50541, n50542, n50543,
+         n50544, n50545, n50546, n50547, n50548, n50549, n50550, n50551,
+         n50552, n50553, n50554, n50555, n50556, n50557, n50558, n50559,
+         n50560, n50561, n50562, n50563, n50564, n50565, n50566, n50567,
+         n50568, n50569, n50570, n50571, n50572, n50573, n50574, n50575,
+         n50576, n50577, n50578, n50579, n50580, n50581, n50582, n50583,
+         n50584, n50585, n50586, n50587, n50588, n50589, n50590, n50591,
+         n50592, n50593, n50594, n50595, n50596, n50597, n50598, n50599,
+         n50600, n50601, n50602, n50603, n50604, n50605, n50606, n50607,
+         n50608, n50609, n50610, n50611, n50612, n50613, n50614, n50615,
+         n50616, n50617, n50618, n50619, n50620, n50621, n50622, n50623,
+         n50624, n50625, n50626, n50627, n50628, n50629, n50630, n50631,
+         n50632, n50633, n50634, n50635, n50636, n50637, n50638, n50639,
+         n50640, n50641, n50642, n50643, n50644, n50645, n50646, n50647,
+         n50648, n50649, n50650, n50651, n50652, n50653, n50654, n50655,
+         n50656, n50657, n50658, n50659, n50660, n50661, n50662, n50663,
+         n50664, n50665, n50666, n50667, n50668, n50669, n50670, n50671,
+         n50672, n50673, n50674, n50675, n50676, n50677, n50678, n50679,
+         n50680, n50681, n50682, n50683, n50684, n50685, n50686, n50687,
+         n50688, n50689, n50690, n50691, n50692, n50693, n50694, n50695,
+         n50696, n50697, n50698, n50699, n50700, n50701, n50702, n50703,
+         n50704, n50705, n50706, n50707, n50708, n50709, n50710, n50711,
+         n50712, n50713, n50714, n50715, n50716, n50717, n50718, n50719,
+         n50720, n50721, n50722, n50723, n50724, n50725, n50726, n50727,
+         n50728, n50729, n50730, n50731, n50732, n50733, n50734, n50735,
+         n50736, n50737, n50738, n50739, n50740, n50741, n50742, n50743,
+         n50744, n50745, n50746, n50747, n50748, n50749, n50750, n50751,
+         n50752, n50753, n50754, n50755, n50756, n50757, n50758, n50759,
+         n50760, n50761, n50762, n50763, n50764, n50765, n50766, n50767,
+         n50768, n50769, n50770, n50771, n50772, n50773, n50774, n50775,
+         n50776, n50777, n50778, n50779, n50780, n50781, n50782, n50783,
+         n50784, n50785, n50786, n50787, n50788, n50789, n50790, n50791,
+         n50792, n50793, n50794, n50795, n50796, n50797, n50798, n50799,
+         n50800, n50801, n50802, n50803, n50804, n50805, n50806, n50807,
+         n50808, n50809, n50810, n50811, n50812, n50813, n50814, n50815,
+         n50816, n50817, n50818, n50819, n50820, n50821, n50822, n50823,
+         n50824, n50825, n50826, n50827, n50828, n50829, n50830, n50831,
+         n50832, n50833, n50834, n50835, n50836, n50837, n50838, n50839,
+         n50840, n50841, n50842, n50843, n50844, n50845, n50846, n50847,
+         n50848, n50849, n50850, n50851, n50852, n50853, n50854, n50855,
+         n50856, n50857, n50858, n50859, n50860, n50861, n50862, n50863,
+         n50864, n50865, n50866, n50867, n50868, n50869, n50870, n50871,
+         n50872, n50873, n50874, n50875, n50876, n50877, n50878, n50879,
+         n50880, n50881, n50882, n50883, n50884, n50885, n50886, n50887,
+         n50888, n50889, n50890, n50891, n50892, n50893, n50894, n50895,
+         n50896, n50897, n50898, n50899, n50900, n50901, n50902, n50903,
+         n50904, n50905, n50906, n50907, n50908, n50909, n50910, n50911,
+         n50912, n50913, n50914, n50915, n50916, n50917, n50918, n50919,
+         n50920, n50921, n50922, n50923, n50924, n50925, n50926, n50927,
+         n50928, n50929, n50930, n50931, n50932, n50933, n50934, n50935,
+         n50936, n50937, n50938, n50939, n50940, n50941, n50942, n50943,
+         n50944, n50945, n50946, n50947, n50948, n50949, n50950, n50951,
+         n50952, n50953, n50954, n50955, n50956, n50957, n50958, n50959,
+         n50960, n50961, n50962, n50963, n50964, n50965, n50966, n50967,
+         n50968, n50969, n50970, n50971, n50972, n50973, n50974, n50975,
+         n50976, n50977, n50978, n50979, n50980, n50981, n50982, n50983,
+         n50984, n50985, n50986, n50987, n50988, n50989, n50990, n50991,
+         n50992, n50993, n50994, n50995, n50996, n50997, n50998, n50999,
+         n51000, n51001, n51002, n51003, n51004, n51005, n51006, n51007,
+         n51008, n51009, n51010, n51011, n51012, n51013, n51014, n51015,
+         n51016, n51017, n51018, n51019, n51020, n51021, n51022, n51023,
+         n51024, n51025, n51026, n51027, n51028, n51029, n51030, n51031,
+         n51032, n51033, n51034, n51035, n51036, n51037, n51038, n51039,
+         n51040, n51041, n51042, n51043, n51044, n51045, n51046, n51047,
+         n51048, n51049, n51050, n51051, n51052, n51053, n51054, n51055,
+         n51056, n51057, n51058, n51059, n51060, n51061, n51062, n51063,
+         n51064, n51065, n51066, n51067, n51068, n51069, n51070, n51071,
+         n51072, n51073, n51074, n51075, n51076, n51077, n51078, n51079,
+         n51080, n51081, n51082, n51083, n51084, n51085, n51086, n51087,
+         n51088, n51089, n51090, n51091, n51092, n51093, n51094, n51095,
+         n51096, n51097, n51098, n51099, n51100, n51101, n51102, n51103,
+         n51104, n51105, n51106, n51107, n51108, n51109, n51110, n51111,
+         n51112, n51113, n51114, n51115, n51116, n51117, n51118, n51119,
+         n51120, n51121, n51122, n51123, n51124, n51125, n51126, n51127,
+         n51128, n51129, n51130, n51131, n51132, n51133, n51134, n51135,
+         n51136, n51137, n51138, n51139, n51140, n51141, n51142, n51143,
+         n51144, n51145, n51146, n51147, n51148, n51149, n51150, n51151,
+         n51152, n51153, n51154, n51155, n51156, n51157, n51158, n51159,
+         n51160, n51161, n51162, n51163, n51164, n51165, n51166, n51167,
+         n51168, n51169, n51170, n51171, n51172, n51173, n51174, n51175,
+         n51176, n51177, n51178, n51179, n51180, n51181, n51182, n51183,
+         n51184, n51185, n51186, n51187, n51188, n51189, n51190, n51191,
+         n51192, n51193, n51194, n51195, n51196, n51197, n51198, n51199,
+         n51200, n51201, n51202, n51203, n51204, n51205, n51206, n51207,
+         n51208, n51209, n51210, n51211, n51212, n51213, n51214, n51215,
+         n51216, n51217, n51218, n51219, n51220, n51221, n51222, n51223,
+         n51224, n51225, n51226, n51227, n51228, n51229, n51230, n51231,
+         n51232, n51233, n51234, n51235, n51236, n51237, n51238, n51239,
+         n51240, n51241, n51242, n51243, n51244, n51245, n51246, n51247,
+         n51248, n51249, n51250, n51251, n51252, n51253, n51254, n51255,
+         n51256, n51257, n51258, n51259, n51260, n51261, n51262, n51263,
+         n51264, n51265, n51266, n51267, n51268, n51269, n51270, n51271,
+         n51272, n51273, n51274, n51275, n51276, n51277, n51278, n51279,
+         n51280, n51281, n51282, n51283, n51284, n51285, n51286, n51287,
+         n51288, n51289, n51290, n51291, n51292, n51293, n51294, n51295,
+         n51296, n51297, n51298, n51299, n51300, n51301, n51302, n51303,
+         n51304, n51305, n51306, n51307, n51308, n51309, n51310, n51311,
+         n51312, n51313, n51314, n51315, n51316, n51317, n51318, n51319,
+         n51320, n51321, n51322, n51323, n51324, n51325, n51326, n51327,
+         n51328, n51329, n51330, n51331, n51332, n51333, n51334, n51335,
+         n51336, n51337, n51338, n51339, n51340, n51341, n51342, n51343,
+         n51344, n51345, n51346, n51347, n51348, n51349, n51350, n51351,
+         n51352, n51353, n51354, n51355, n51356, n51357, n51358, n51359,
+         n51360, n51361, n51362, n51363, n51364, n51365, n51366, n51367,
+         n51368, n51369, n51370, n51371, n51372, n51373, n51374, n51375,
+         n51376, n51377, n51378, n51379, n51380, n51381, n51382, n51383,
+         n51384, n51385, n51386, n51387, n51388, n51389, n51390, n51391,
+         n51392, n51393, n51394, n51395, n51396, n51397, n51398, n51399,
+         n51400, n51401, n51402, n51403, n51404, n51405, n51406, n51407,
+         n51408, n51409, n51410, n51411, n51412, n51413, n51414, n51415,
+         n51416, n51417, n51418, n51419, n51420, n51421, n51422, n51423,
+         n51424, n51425, n51426, n51427, n51428, n51429, n51430, n51431,
+         n51432, n51433, n51434, n51435, n51436, n51437, n51438, n51439,
+         n51440, n51441, n51442, n51443, n51444, n51445, n51446, n51447,
+         n51448, n51449, n51450, n51451, n51452, n51453, n51454, n51455,
+         n51456, n51457, n51458, n51459, n51460, n51461, n51462, n51463,
+         n51464, n51465, n51466, n51467, n51468, n51469, n51470, n51471,
+         n51472, n51473, n51474, n51475, n51476, n51477, n51478, n51479,
+         n51480, n51481, n51482, n51483, n51484, n51485, n51486, n51487,
+         n51488, n51489, n51490, n51491, n51492, n51493, n51494, n51495,
+         n51496, n51497, n51498, n51499, n51500, n51501, n51502, n51503,
+         n51504, n51505, n51506, n51507, n51508, n51509, n51510, n51511,
+         n51512, n51513, n51514, n51515, n51516, n51517, n51518, n51519,
+         n51520, n51521, n51522, n51523, n51524, n51525, n51526, n51527,
+         n51528, n51529, n51530, n51531, n51532, n51533, n51534, n51535,
+         n51536, n51537, n51538, n51539, n51540, n51541, n51542, n51543,
+         n51544, n51545, n51546, n51547, n51548, n51549, n51550, n51551,
+         n51552, n51553, n51554, n51555, n51556, n51557, n51558, n51559,
+         n51560, n51561, n51562, n51563, n51564, n51565, n51566, n51567,
+         n51568, n51569, n51570, n51571, n51572, n51573, n51574, n51575,
+         n51576, n51577, n51578, n51579, n51580, n51581, n51582, n51583,
+         n51584, n51585, n51586, n51587, n51588, n51589, n51590, n51591,
+         n51592, n51593, n51594, n51595, n51596, n51597, n51598, n51599,
+         n51600, n51601, n51602, n51603, n51604, n51605, n51606, n51607,
+         n51608, n51609, n51610, n51611, n51612, n51613, n51614, n51615,
+         n51616, n51617, n51618, n51619, n51620, n51621, n51622, n51623,
+         n51624, n51625, n51626, n51627, n51628, n51629, n51630, n51631,
+         n51632, n51633, n51634, n51635, n51636, n51637, n51638, n51639,
+         n51640, n51641, n51642, n51643, n51644, n51645, n51646, n51647,
+         n51648, n51649, n51650, n51651, n51652, n51653, n51654, n51655,
+         n51656, n51657, n51658, n51659, n51660, n51661, n51662, n51663,
+         n51664, n51665, n51666, n51667, n51668, n51669, n51670, n51671,
+         n51672, n51673, n51674, n51675, n51676, n51677, n51678, n51679,
+         n51680, n51681, n51682, n51683, n51684, n51685, n51686, n51687,
+         n51688, n51689, n51690, n51691, n51692, n51693, n51694, n51695,
+         n51696, n51697, n51698, n51699, n51700, n51701, n51702, n51703,
+         n51704, n51705, n51706, n51707, n51708, n51709, n51710, n51711,
+         n51712, n51713, n51714, n51715, n51716, n51717, n51718, n51719,
+         n51720, n51721, n51722, n51723, n51724, n51725, n51726, n51727,
+         n51728, n51729, n51730, n51731, n51732, n51733, n51734, n51735,
+         n51736, n51737, n51738, n51739, n51740, n51741, n51742, n51743,
+         n51744, n51745, n51746, n51747, n51748, n51749, n51750, n51751,
+         n51752, n51753, n51754, n51755, n51756, n51757, n51758, n51759,
+         n51760, n51761, n51762, n51763, n51764, n51765, n51766, n51767,
+         n51768, n51769, n51770, n51771, n51772, n51773, n51774, n51775,
+         n51776, n51777, n51778, n51779, n51780, n51781, n51782, n51783,
+         n51784, n51785, n51786, n51787, n51788, n51789, n51790, n51791,
+         n51792, n51793, n51794, n51795, n51796, n51797, n51798, n51799,
+         n51800, n51801, n51802, n51803, n51804, n51805, n51806, n51807,
+         n51808, n51809, n51810, n51811, n51812, n51813, n51814, n51815,
+         n51816, n51817, n51818, n51819, n51820, n51821, n51822, n51823,
+         n51824, n51825, n51826, n51827, n51828, n51829, n51830, n51831,
+         n51832, n51833, n51834, n51835, n51836, n51837, n51838, n51839,
+         n51840, n51841, n51842, n51843, n51844, n51845, n51846, n51847,
+         n51848, n51849, n51850, n51851, n51852, n51853, n51854, n51855,
+         n51856, n51857, n51858, n51859, n51860, n51861, n51862, n51863,
+         n51864, n51865, n51866, n51867, n51868, n51869, n51870, n51871,
+         n51872, n51873, n51874, n51875, n51876, n51877, n51878, n51879,
+         n51880, n51881, n51882, n51883, n51884, n51885, n51886, n51887,
+         n51888, n51889, n51890, n51891, n51892, n51893, n51894, n51895,
+         n51896, n51897, n51898, n51899, n51900, n51901, n51902, n51903,
+         n51904, n51905, n51906, n51907, n51908, n51909, n51910, n51911,
+         n51912, n51913, n51914, n51915, n51916, n51917, n51918, n51919,
+         n51920, n51921, n51922, n51923, n51924, n51925, n51926, n51927,
+         n51928, n51929, n51930, n51931, n51932, n51933, n51934, n51935,
+         n51936, n51937, n51938, n51939, n51940, n51941, n51942, n51943,
+         n51944, n51945, n51946, n51947, n51948, n51949, n51950, n51951,
+         n51952, n51953, n51954, n51955, n51956, n51957, n51958, n51959,
+         n51960, n51961, n51962, n51963, n51964, n51965, n51966, n51967,
+         n51968, n51969, n51970, n51971, n51972, n51973, n51974, n51975,
+         n51976, n51977, n51978, n51979, n51980, n51981, n51982, n51983,
+         n51984, n51985, n51986, n51987, n51988, n51989, n51990, n51991,
+         n51992, n51993, n51994, n51995, n51996, n51997, n51998, n51999,
+         n52000, n52001, n52002, n52003, n52004, n52005, n52006, n52007,
+         n52008, n52009, n52010, n52011, n52012, n52013, n52014, n52015,
+         n52016, n52017, n52018, n52019, n52020, n52021, n52022, n52023,
+         n52024, n52025, n52026, n52027, n52028, n52029, n52030, n52031,
+         n52032, n52033, n52034, n52035, n52036, n52037, n52038, n52039,
+         n52040, n52041, n52042, n52043, n52044, n52045, n52046, n52047,
+         n52048, n52049, n52050, n52051, n52052, n52053, n52054, n52055,
+         n52056, n52057, n52058, n52059, n52060, n52061, n52062, n52063,
+         n52064, n52065, n52066, n52067, n52068, n52069, n52070, n52071,
+         n52072, n52073, n52074, n52075, n52076, n52077, n52078, n52079,
+         n52080, n52081, n52082, n52083, n52084, n52085, n52086, n52087,
+         n52088, n52089, n52090, n52091, n52092, n52093, n52094, n52095,
+         n52096, n52097, n52098, n52099, n52100, n52101, n52102, n52103,
+         n52104, n52105, n52106, n52107, n52108, n52109, n52110, n52111,
+         n52112, n52113, n52114, n52115, n52116, n52117, n52118, n52119,
+         n52120, n52121, n52122, n52123, n52124, n52125, n52126, n52127,
+         n52128, n52129, n52130, n52131, n52132, n52133, n52134, n52135,
+         n52136, n52137, n52138, n52139, n52140, n52141, n52142, n52143,
+         n52144, n52145, n52146, n52147, n52148, n52149, n52150, n52151,
+         n52152, n52153, n52154, n52155, n52156, n52157, n52158, n52159,
+         n52160, n52161, n52162, n52163, n52164, n52165, n52166, n52167,
+         n52168, n52169, n52170, n52171, n52172, n52173, n52174, n52175,
+         n52176, n52177, n52178, n52179, n52180, n52181, n52182, n52183,
+         n52184, n52185, n52186, n52187, n52188, n52189, n52190, n52191,
+         n52192, n52193, n52194, n52195, n52196, n52197, n52198, n52199,
+         n52200, n52201, n52202, n52203, n52204, n52205, n52206, n52207,
+         n52208, n52209, n52210, n52211, n52212, n52213, n52214, n52215,
+         n52216, n52217, n52218, n52219, n52220, n52221, n52222, n52223,
+         n52224, n52225, n52226, n52227, n52228, n52229, n52230, n52231,
+         n52232, n52233, n52234, n52235, n52236, n52237, n52238, n52239,
+         n52240, n52241, n52242, n52243, n52244, n52245, n52246, n52247,
+         n52248, n52249, n52250, n52251, n52252, n52253, n52254, n52255,
+         n52256, n52257, n52258, n52259, n52260, n52261, n52262, n52263,
+         n52264, n52265, n52266, n52267, n52268, n52269, n52270, n52271,
+         n52272, n52273, n52274, n52275, n52276, n52277, n52278, n52279,
+         n52280, n52281, n52282, n52283, n52284, n52285, n52286, n52287,
+         n52288, n52289, n52290, n52291, n52292, n52293, n52294, n52295,
+         n52296, n52297, n52298, n52299, n52300, n52301, n52302, n52303,
+         n52304, n52305, n52306, n52307, n52308, n52309, n52310, n52311,
+         n52312, n52313, n52314, n52315, n52316, n52317, n52318, n52319,
+         n52320, n52321, n52322, n52323, n52324, n52325, n52326, n52327,
+         n52328, n52329, n52330, n52331, n52332, n52333, n52334, n52335,
+         n52336, n52337, n52338, n52339, n52340, n52341, n52342, n52343,
+         n52344, n52345, n52346, n52347, n52348, n52349, n52350, n52351,
+         n52352, n52353, n52354, n52355, n52356, n52357, n52358, n52359,
+         n52360, n52361, n52362, n52363, n52364, n52365, n52366, n52367,
+         n52368, n52369, n52370, n52371, n52372, n52373, n52374, n52375,
+         n52376, n52377, n52378, n52379, n52380, n52381, n52382, n52383,
+         n52384, n52386, n52387, n52388, n52389, n52390, n52391, n52392,
+         n52393, n52394, n52395, n52396, n52397, n52398, n52399, n52400,
+         n52401, n52402, n52403, n52404, n52405, n52406, n52407, n52408,
+         n52409, n52410, n52411, n52412, n52413, n52414, n52415, n52416,
+         n52417, n52418, n52419, n52420, n52421, n52422, n52423, n52424,
+         n52425, n52426, n52427, n52428, n52429, n52430, n52431, n52432,
+         n52433, n52434, n52435, n52436, n52437, n52438, n52439, n52440,
+         n52441, n52442, n52443, n52444, n52445, n52446, n52447, n52448,
+         n52449, n52450, n52451, n52452, n52453, n52454, n52455, n52456,
+         n52457, n52458, n52459, n52460, n52461, n52462, n52463, n52464,
+         n52465, n52466, n52467, n52468, n52469, n52470, n52471, n52472,
+         n52473, n52474, n52475, n52476, n52477, n52478, n52479, n52480,
+         n52481, n52482, n52483, n52484, n52485, n52486, n52487, n52488,
+         n52489, n52490, n52491, n52492, n52493, n52494, n52495, n52496,
+         n52497, n52498, n52499, n52500, n52501, n52502, n52503, n52504,
+         n52505, n52506, n52507, n52508, n52509, n52510, n52511, n52512,
+         n52513, n52514, n52515, n52516, n52517, n52518, n52519, n52520,
+         n52521, n52522, n52523, n52524, n52525, n52526, n52527, n52528,
+         n52529, n52530, n52531, n52532, n52533, n52534, n52535, n52536,
+         n52537, n52538, n52539, n52540, n52541, n52542, n52543, n52544,
+         n52545, n52546, n52547, n52548, n52549, n52550, n52551, n52552,
+         n52553, n52554, n52555, n52556, n52557, n52558, n52559, n52560,
+         n52561, n52562, n52563, n52564, n52565, n52566, n52567, n52568,
+         n52569, n52570, n52571, n52572, n52573, n52574, n52575, n52576,
+         n52577, n52578, n52579, n52580, n52581, n52582, n52583, n52584,
+         n52585, n52586, n52587, n52588, n52589, n52590, n52591, n52592,
+         n52593, n52594, n52595, n52596, n52597, n52598, n52599, n52600,
+         n52601, n52602, n52603, n52604, n52605, n52606, n52607, n52608,
+         n52609, n52610, n52611, n52612, n52613, n52614, n52615, n52616,
+         n52617, n52618, n52619, n52620, n52621, n52622, n52623, n52624,
+         n52625, n52626, n52627, n52628, n52629, n52630, n52631, n52632,
+         n52633, n52634, n52635, n52636, n52637, n52638, n52639, n52640,
+         n52641, n52642, n52643, n52644, n52645, n52646, n52647, n52648,
+         n52649, n52650, n52651, n52652, n52653, n52654, n52655, n52656,
+         n52657, n52658, n52659, n52660, n52661, n52662, n52663, n52664,
+         n52665, n52666, n52667, n52668, n52669, n52670, n52671, n52672,
+         n52673, n52674, n52675, n52676, n52677, n52678, n52679, n52680,
+         n52681, n52682, n52683, n52684, n52685, n52686, n52687, n52688,
+         n52689, n52690, n52691, n52692, n52693, n52694, n52695, n52696,
+         n52697, n52698, n52699, n52700, n52701, n52702, n52703, n52704,
+         n52705, n52706, n52707, n52708, n52709, n52710, n52711, n52712,
+         n52713, n52714, n52715, n52716, n52717, n52718, n52719, n52720,
+         n52721, n52722, n52723, n52724, n52725, n52726, n52727, n52728,
+         n52729, n52730, n52731, n52732, n52733, n52734, n52735, n52736,
+         n52737, n52738, n52739, n52740, n52741, n52742, n52743, n52744,
+         n52745, n52746, n52747, n52748, n52749, n52750, n52751, n52752,
+         n52753, n52754, n52755, n52756, n52757, n52758, n52759, n52760,
+         n52761, n52762, n52763, n52764, n52765, n52766, n52767, n52768,
+         n52769, n52770, n52771, n52772, n52773, n52774, n52775, n52776,
+         n52777, n52778, n52779, n52780, n52781, n52782, n52783, n52784,
+         n52785, n52786, n52787, n52788, n52789, n52790, n52791, n52792,
+         n52793, n52794, n52795, n52796, n52797, n52798, n52799, n52800,
+         n52801, n52802, n52803, n52804, n52805, n52806, n52807, n52808,
+         n52809, n52810, n52811, n52812, n52813, n52814, n52815, n52816,
+         n52817, n52818, n52819, n52820, n52821, n52822, n52823, n52824,
+         n52825, n52826, n52827, n52828, n52829, n52830, n52831, n52832,
+         n52833, n52834, n52835, n52836, n52837, n52838, n52839, n52840,
+         n52841, n52842, n52843, n52844, n52845, n52846, n52847, n52848,
+         n52849, n52850, n52851, n52852, n52853, n52854, n52855, n52856,
+         n52857, n52858, n52859, n52860, n52861, n52862, n52863, n52864,
+         n52865, n52866, n52867, n52868, n52869, n52870, n52871, n52872,
+         n52873, n52874, n52875, n52876, n52877, n52878, n52879, n52880,
+         n52881, n52882, n52883, n52884, n52885, n52886, n52887, n52888,
+         n52889, n52890, n52891, n52892, n52893, n52894, n52895, n52896,
+         n52897, n52898, n52899, n52900, n52901, n52902, n52903, n52904,
+         n52905, n52906, n52907, n52908, n52909, n52910, n52911, n52912,
+         n52913, n52914, n52915, n52916, n52917, n52918, n52919, n52920,
+         n52921, n52922, n52923, n52924, n52925, n52926, n52927, n52928,
+         n52929, n52930, n52931, n52932, n52933, n52934, n52935, n52936,
+         n52937, n52938, n52939, n52940, n52941, n52942, n52943, n52944,
+         n52945, n52946, n52947, n52948, n52949, n52950, n52951, n52952,
+         n52953, n52954, n52955, n52956, n52957, n52958, n52959, n52960,
+         n52961, n52962, n52963, n52964, n52965, n52966, n52967, n52968,
+         n52969, n52970, n52971, n52972, n52973, n52974, n52975, n52976,
+         n52977, n52978, n52979, n52980, n52981, n52982, n52983, n52984,
+         n52985, n52986, n52987, n52988, n52989, n52990, n52991, n52992,
+         n52993, n52994, n52995, n52996, n52997, n52998, n52999, n53000,
+         n53001, n53002, n53003, n53004, n53005, n53006, n53007, n53008,
+         n53009, n53010, n53011, n53012, n53013, n53014, n53015, n53016,
+         n53017, n53018, n53019, n53020, n53021, n53022, n53023, n53024,
+         n53025, n53026, n53027, n53028, n53029, n53030, n53031, n53032,
+         n53033, n53034, n53035, n53036, n53037, n53038, n53039, n53040,
+         n53041, n53042, n53043, n53044, n53045, n53046, n53047, n53048,
+         n53049, n53050, n53051, n53052, n53053, n53054, n53055, n53056,
+         n53057, n53058, n53059, n53060, n53061, n53062, n53063, n53064,
+         n53065, n53066, n53067, n53068, n53069, n53070, n53071, n53072,
+         n53073, n53074, n53075, n53076, n53077, n53078, n53079, n53080,
+         n53081, n53082, n53083, n53084, n53085, n53086, n53087, n53088,
+         n53089, n53090, n53091, n53092, n53093, n53094, n53095, n53096,
+         n53097, n53098, n53099, n53100, n53101, n53102, n53103, n53104,
+         n53105, n53106, n53107, n53108, n53109, n53110, n53111, n53112,
+         n53113, n53114, n53115, n53116, n53117, n53118, n53119, n53120,
+         n53121, n53122, n53123, n53124, n53125, n53126, n53127, n53128,
+         n53129, n53130, n53131, n53132, n53133, n53134, n53135, n53136,
+         n53137, n53138, n53139, n53140, n53141, n53142, n53143, n53144,
+         n53145, n53146, n53147, n53148, n53149, n53150, n53151, n53152,
+         n53153, n53154, n53155, n53156, n53157, n53158, n53159, n53160,
+         n53161, n53162, n53163, n53164, n53165, n53166, n53167, n53168,
+         n53169, n53170, n53171, n53172, n53173, n53174, n53175, n53176,
+         n53177, n53178, n53179, n53180, n53181, n53182, n53183, n53184,
+         n53185, n53186, n53187, n53188, n53189, n53190, n53191, n53192,
+         n53193, n53194, n53195, n53196, n53197, n53198, n53199, n53200,
+         n53201, n53202, n53203, n53204, n53205, n53206, n53207, n53208,
+         n53209, n53210, n53211, n53212, n53213, n53214, n53215, n53216,
+         n53217, n53218, n53219, n53220, n53221, n53222, n53223, n53224,
+         n53225, n53226, n53227, n53228, n53229, n53230, n53231, n53232,
+         n53233, n53234, n53235, n53236, n53237, n53238, n53239, n53240,
+         n53241, n53242, n53243, n53244, n53245, n53246, n53247, n53248,
+         n53249, n53250, n53251, n53252, n53253, n53254, n53255, n53256,
+         n53257, n53258, n53259, n53260, n53261, n53262, n53263, n53264,
+         n53265, n53266, n53267, n53268, n53269, n53270, n53271, n53272,
+         n53273, n53274, n53275, n53276, n53277, n53278, n53279, n53280,
+         n53281, n53282, n53283, n53284, n53285, n53286, n53287, n53288,
+         n53289, n53290, n53291, n53292, n53293, n53294, n53295, n53296,
+         n53297, n53298, n53299, n53300, n53301, n53302, n53303, n53304,
+         n53305, n53306, n53307, n53308, n53309, n53310, n53311, n53312,
+         n53313, n53314, n53315, n53316, n53317, n53318, n53319, n53320,
+         n53321, n53322, n53323, n53324, n53325, n53326, n53327, n53328,
+         n53329, n53330, n53331, n53332, n53333, n53334, n53335, n53336,
+         n53337, n53338, n53339, n53340, n53341, n53342, n53343, n53344,
+         n53345, n53346, n53347, n53348, n53349, n53350, n53351, n53352,
+         n53353, n53354, n53355, n53356, n53357, n53358, n53359, n53360,
+         n53361, n53362, n53363, n53364, n53365, n53366, n53367, n53368,
+         n53369, n53370, n53371, n53372, n53373, n53374, n53375, n53376,
+         n53377, n53378, n53379, n53380, n53381, n53382, n53383, n53384,
+         n53385, n53386, n53387, n53388, n53389, n53390, n53391, n53392,
+         n53393, n53394, n53395, n53396, n53397, n53398, n53399, n53400,
+         n53401, n53402, n53403, n53404, n53405, n53406, n53407, n53408,
+         n53409, n53410, n53411, n53412, n53413, n53414, n53415, n53416,
+         n53417, n53418, n53419, n53420, n53421, n53422, n53423, n53424,
+         n53425, n53426, n53427, n53428, n53429, n53430, n53431, n53432,
+         n53433, n53434, n53435, n53436, n53437, n53438, n53439, n53440,
+         n53441, n53442, n53443, n53444, n53445, n53446, n53447, n53448,
+         n53449, n53450, n53451, n53452, n53453, n53454, n53455, n53456,
+         n53457, n53458, n53459, n53460, n53461, n53462, n53463, n53464,
+         n53465, n53466, n53467, n53468, n53469, n53470, n53471, n53472,
+         n53473, n53474, n53475, n53476, n53477, n53478, n53479, n53480,
+         n53481, n53482, n53483, n53484, n53485, n53486, n53487, n53488,
+         n53489, n53490, n53491, n53492, n53493, n53494, n53495, n53496,
+         n53497, n53498, n53499, n53500, n53501, n53502, n53503, n53504,
+         n53505, n53506, n53507, n53508, n53509, n53510, n53511, n53512,
+         n53513, n53514, n53515, n53516, n53517, n53518, n53519, n53520,
+         n53521, n53522, n53523, n53524, n53525, n53526, n53527, n53528,
+         n53529, n53530, n53531, n53532, n53533, n53534, n53535, n53536,
+         n53537, n53538, n53539, n53540, n53541, n53542, n53543, n53544,
+         n53545, n53546, n53547, n53548, n53549, n53550, n53551, n53552,
+         n53553, n53554, n53555, n53556, n53557, n53558, n53559, n53560,
+         n53561, n53562, n53563, n53564, n53565, n53566, n53567, n53568,
+         n53569, n53570, n53571, n53572, n53573, n53574, n53575, n53576,
+         n53577, n53578, n53579, n53580, n53581, n53582, n53583, n53584,
+         n53585, n53586, n53587, n53588, n53589, n53590, n53591, n53592,
+         n53593, n53594, n53595, n53596, n53597, n53598, n53599, n53600,
+         n53601, n53602, n53603, n53604, n53605, n53606, n53607, n53608,
+         n53609, n53610, n53611, n53612, n53613, n53614, n53615, n53616,
+         n53617, n53618, n53619, n53620, n53621, n53622, n53623, n53624,
+         n53625, n53626, n53627, n53628, n53629, n53630, n53631, n53632,
+         n53633, n53634, n53635, n53636, n53637, n53638, n53639, n53640,
+         n53641, n53642, n53643, n53644, n53645, n53646, n53647, n53648,
+         n53649, n53650, n53651, n53652, n53653, n53654, n53655, n53656,
+         n53657, n53658, n53659, n53660, n53661, n53662, n53663, n53664,
+         n53665, n53666, n53667, n53668, n53669, n53670, n53671, n53672,
+         n53673, n53674, n53675, n53676, n53677, n53678, n53679, n53680,
+         n53681, n53682, n53683, n53684, n53685, n53686, n53687, n53688,
+         n53689, n53690, n53691, n53692, n53693, n53694, n53695, n53696,
+         n53697, n53698, n53699, n53700, n53701, n53702, n53703, n53704,
+         n53705, n53706, n53707, n53708, n53709, n53710, n53711, n53712,
+         n53713, n53714, n53715, n53716, n53717, n53718, n53719, n53720,
+         n53721, n53722, n53723, n53724, n53725, n53726, n53727, n53728,
+         n53729, n53730, n53731, n53732, n53733, n53734, n53735, n53736,
+         n53737, n53738, n53739, n53740, n53741, n53742, n53743, n53744,
+         n53745, n53746, n53747, n53748, n53749, n53750, n53751, n53752,
+         n53753, n53754, n53755, n53756, n53757, n53758, n53759, n53760,
+         n53761, n53762, n53763, n53764, n53765, n53766, n53767, n53768,
+         n53769, n53770, n53771, n53772, n53773, n53774, n53775, n53776,
+         n53777, n53778, n53779, n53780, n53781, n53782, n53783, n53784,
+         n53785, n53786, n53787, n53788, n53789, n53790, n53791, n53792,
+         n53793, n53794, n53795, n53796, n53797, n53798, n53799, n53800,
+         n53801, n53802, n53803, n53804, n53805, n53806, n53807, n53808,
+         n53809, n53810, n53811, n53812, n53813, n53814, n53815, n53816,
+         n53817, n53818, n53819, n53820, n53821, n53822, n53823, n53824,
+         n53825, n53826, n53827, n53828, n53829, n53830, n53831, n53832,
+         n53833, n53834, n53835, n53836, n53837, n53838, n53839, n53840,
+         n53841, n53842, n53843, n53844, n53845, n53846, n53847, n53848,
+         n53849, n53850, n53851, n53852, n53853, n53854, n53855, n53856,
+         n53857, n53858, n53859, n53860, n53861, n53862, n53863, n53864,
+         n53865, n53866, n53867, n53868, n53869, n53870, n53871, n53872,
+         n53873, n53874, n53875, n53876, n53877, n53878, n53879, n53880,
+         n53881, n53882, n53883, n53884, n53885, n53886, n53887, n53888,
+         n53889, n53890, n53891, n53892, n53893, n53894, n53895, n53896,
+         n53897, n53898, n53899, n53900, n53901, n53902, n53903, n53904,
+         n53905, n53906, n53907, n53908, n53909, n53910, n53911, n53912,
+         n53913, n53914, n53915, n53916, n53917, n53918, n53919, n53921,
+         n53922, n53923, n53924, n53925, n53926, n53927, n53928, n53929,
+         n53930, n53931, n53932, n53933, n53934, n53935, n53936, n53937,
+         n53938, n53939, n53940, n53941, n53942, n53943, n53944, n53945,
+         n53946, n53947, n53948, n53949, n53950, n53951, n53952, n53953,
+         n53954, n53955, n53956, n53957, n53958, n53959, n53960, n53961,
+         n53962, n53963, n53964, n53965, n53966, n53967, n53968, n53969,
+         n53970, n53971, n53972, n53973, n53974, n53975, n53976, n53977,
+         n53978, n53979, n53980, n53981, n53982, n53983, n53984, n53985,
+         n53986, n53987, n53988, n53989, n53990, n53991, n53992, n53993,
+         n53994, n53995, n53996, n53997, n53998, n53999, n54000, n54001,
+         n54002, n54003, n54004, n54005, n54006, n54007, n54008, n54009,
+         n54010, n54011, n54012, n54013, n54014, n54015, n54016, n54017,
+         n54018, n54019, n54020, n54021, n54022, n54023, n54024, n54025,
+         n54026, n54027, n54028, n54029, n54030, n54031, n54032, n54033,
+         n54034, n54035, n54036, n54037, n54038, n54039, n54040, n54041,
+         n54042, n54043, n54044, n54045, n54046, n54047, n54048, n54049,
+         n54050, n54051, n54052, n54053, n54054, n54055, n54056, n54057,
+         n54058, n54059, n54060, n54061, n54062, n54063, n54064, n54065,
+         n54066, n54067, n54068, n54069, n54070, n54071, n54072, n54073,
+         n54074, n54075, n54076, n54077, n54078, n54079, n54080, n54081,
+         n54082, n54083, n54084, n54085, n54086, n54087, n54088, n54089,
+         n54090, n54091, n54092, n54093, n54094, n54095, n54096, n54097,
+         n54098, n54099, n54100, n54101, n54102, n54103, n54104, n54105,
+         n54106, n54107, n54108, n54109, n54110, n54111, n54112, n54113,
+         n54114, n54115, n54116, n54117, n54118, n54119, n54120, n54121,
+         n54122, n54123, n54124, n54125, n54126, n54127, n54128, n54129,
+         n54130, n54131, n54132, n54133, n54134, n54135, n54136, n54137,
+         n54138, n54139, n54140, n54141, n54142, n54143, n54144, n54145,
+         n54146, n54147, n54148, n54149, n54150, n54151, n54152, n54153,
+         n54154, n54155, n54156, n54157, n54158, n54159, n54160, n54161,
+         n54162, n54163, n54164, n54165, n54166, n54167, n54168, n54169,
+         n54170, n54171, n54172, n54173, n54174, n54175, n54176, n54177,
+         n54178, n54179, n54180, n54181, n54182, n54183, n54184, n54185,
+         n54186, n54187, n54188, n54189, n54190, n54191, n54192, n54193,
+         n54194, n54195, n54196, n54197, n54198, n54199, n54200, n54201,
+         n54202, n54203, n54204, n54205, n54206, n54207, n54208, n54209,
+         n54210, n54211, n54212, n54213, n54214, n54215, n54216, n54217,
+         n54218, n54219, n54220, n54221, n54222, n54223, n54224, n54225,
+         n54226, n54227, n54228, n54229, n54230, n54231, n54232, n54233,
+         n54234, n54235, n54236, n54237, n54238, n54239, n54240, n54241,
+         n54242, n54243, n54244, n54245, n54246, n54247, n54248, n54249,
+         n54250, n54251, n54252, n54253, n54254, n54255, n54256, n54257,
+         n54258, n54259, n54260, n54261, n54262, n54263, n54264, n54265,
+         n54266, n54267, n54268, n54269, n54270, n54271, n54272, n54273,
+         n54274, n54275, n54276, n54277, n54278, n54279, n54280, n54281,
+         n54282, n54283, n54284, n54285, n54286, n54287, n54288, n54289,
+         n54290, n54291, n54292, n54293, n54294, n54295, n54296, n54297,
+         n54298, n54299, n54300, n54301, n54302, n54303, n54304, n54305,
+         n54306, n54307, n54308, n54309, n54310, n54311, n54312, n54313,
+         n54314, n54315, n54316, n54317, n54318, n54319, n54320, n54321,
+         n54322, n54323, n54324, n54325, n54326, n54327, n54328, n54329,
+         n54330, n54331, n54332, n54333, n54334, n54335, n54336, n54337,
+         n54338, n54339, n54340, n54341, n54342, n54343, n54344, n54345,
+         n54346, n54347, n54348, n54349, n54350, n54351, n54352, n54353,
+         n54354, n54355, n54356, n54357, n54358, n54359, n54360, n54361,
+         n54362, n54363, n54364, n54365, n54366, n54367, n54368, n54369,
+         n54370, n54371, n54372, n54373, n54374, n54375, n54376, n54377,
+         n54378, n54379, n54380, n54381, n54382, n54383, n54384, n54385,
+         n54386, n54387, n54388, n54389, n54390, n54391, n54392, n54393,
+         n54394, n54395, n54396, n54397, n54398, n54399, n54400, n54401,
+         n54402, n54403, n54404, n54405, n54406, n54407, n54408, n54409,
+         n54410, n54411, n54412, n54413, n54414, n54415, n54416, n54417,
+         n54418, n54419, n54420, n54421, n54422, n54423, n54424, n54425,
+         n54426, n54427, n54428, n54429, n54430, n54431, n54432, n54433,
+         n54434, n54435, n54436, n54437, n54438, n54439, n54440, n54441,
+         n54442, n54443, n54444, n54445, n54446, n54447, n54448, n54449,
+         n54450, n54451, n54452, n54453, n54454, n54455, n54456, n54457,
+         n54458, n54459, n54460, n54461, n54462, n54463, n54464, n54465,
+         n54466, n54467, n54468, n54469, n54470, n54471, n54472, n54473,
+         n54474, n54475, n54476, n54477, n54478, n54479, n54480, n54481,
+         n54482, n54483, n54484, n54485, n54486, n54487, n54488, n54489,
+         n54490, n54491, n54492, n54493, n54494, n54495, n54496, n54497,
+         n54498, n54499, n54500, n54501, n54502, n54503, n54504, n54505,
+         n54506, n54507, n54508, n54509, n54510, n54511, n54512, n54513,
+         n54514, n54515, n54516, n54517, n54518, n54519, n54520, n54521,
+         n54522, n54523, n54524, n54525, n54526, n54527, n54528, n54529,
+         n54530, n54531, n54532, n54533, n54534, n54535, n54536, n54537,
+         n54538, n54539, n54540, n54541, n54542, n54543, n54544, n54545,
+         n54546, n54547, n54548, n54549, n54550, n54551, n54552, n54553,
+         n54554, n54555, n54556, n54557, n54558, n54559, n54560, n54561,
+         n54562, n54563, n54564, n54565, n54566, n54567, n54568, n54569,
+         n54570, n54571, n54572, n54573, n54574, n54575, n54576, n54577,
+         n54578, n54579, n54580, n54581, n54582, n54583, n54584, n54585,
+         n54586, n54587, n54588, n54589, n54590, n54591, n54592, n54593,
+         n54594, n54595, n54596, n54597, n54598, n54599, n54600, n54601,
+         n54602, n54603, n54604, n54605, n54606, n54607, n54608, n54609,
+         n54610, n54611, n54612, n54613, n54614, n54615, n54616, n54617,
+         n54618, n54619, n54620, n54621, n54622, n54623, n54624, n54625,
+         n54626, n54627, n54628, n54629, n54630, n54631, n54632, n54633,
+         n54634, n54635, n54636, n54637, n54638, n54639, n54640, n54641,
+         n54642, n54643, n54644, n54645, n54646, n54647, n54648, n54649,
+         n54650, n54651, n54652, n54653, n54654, n54655, n54656, n54657,
+         n54658, n54659, n54660, n54661, n54662, n54663, n54664, n54665,
+         n54666, n54667, n54668, n54669, n54670, n54671, n54672, n54673,
+         n54674, n54675, n54676, n54677, n54678, n54679, n54680, n54681,
+         n54682, n54683, n54684, n54685, n54686, n54687, n54688, n54689,
+         n54690, n54691, n54692, n54693, n54694, n54695, n54696, n54697,
+         n54698, n54699, n54700, n54701, n54702, n54703, n54704, n54705,
+         n54706, n54707, n54708, n54709, n54710, n54711, n54712, n54713,
+         n54714, n54715, n54716, n54717, n54718, n54719, n54720, n54721,
+         n54722, n54723, n54724, n54725, n54726, n54727, n54728, n54729,
+         n54730, n54731, n54732, n54733, n54734, n54735, n54736, n54737,
+         n54738, n54739, n54740, n54741, n54742, n54743, n54744, n54745,
+         n54746, n54747, n54748, n54749, n54750, n54751, n54752, n54753,
+         n54754, n54755, n54756, n54757, n54758, n54759, n54760, n54761,
+         n54762, n54763, n54764, n54765, n54766, n54767, n54768, n54769,
+         n54770, n54771, n54772, n54773, n54774, n54775, n54776, n54777,
+         n54778, n54779, n54780, n54781, n54782, n54783, n54784, n54785,
+         n54786, n54787, n54788, n54789, n54790, n54791, n54792, n54793,
+         n54794, n54795, n54796, n54797, n54798, n54799, n54800, n54801,
+         n54802, n54803, n54804, n54805, n54806, n54807, n54808, n54809,
+         n54810, n54811, n54812, n54813, n54814, n54815, n54816, n54817,
+         n54818, n54819, n54820, n54821, n54822, n54823, n54824, n54825,
+         n54826, n54827, n54828, n54829, n54830, n54831, n54832, n54833,
+         n54834, n54835, n54836, n54837, n54838, n54839, n54840, n54841,
+         n54842, n54843, n54844, n54845, n54846, n54847, n54848, n54849,
+         n54850, n54851, n54852, n54853, n54854, n54855, n54856, n54857,
+         n54858, n54859, n54860, n54861, n54862, n54863, n54864, n54865,
+         n54866, n54867, n54868, n54869, n54870, n54871, n54872, n54873,
+         n54874, n54875, n54876, n54877, n54878, n54879, n54880, n54881,
+         n54882, n54883, n54884, n54885, n54886, n54887, n54888, n54889,
+         n54890, n54891, n54892, n54893, n54894, n54895, n54896, n54897,
+         n54898, n54899, n54900, n54901, n54902, n54903, n54904, n54905,
+         n54906, n54907, n54908, n54909, n54910, n54911, n54912, n54913,
+         n54914, n54915, n54916, n54917, n54918, n54919, n54920, n54921,
+         n54922, n54923, n54924, n54925, n54926, n54927, n54928, n54929,
+         n54930, n54931, n54932, n54933, n54934, n54935, n54936, n54937,
+         n54938, n54939, n54940, n54941, n54942, n54943, n54944, n54945,
+         n54946, n54947, n54948, n54949, n54950, n54951, n54952, n54953,
+         n54954, n54955, n54956, n54957, n54958, n54959, n54960, n54961,
+         n54962, n54963, n54964, n54965, n54966, n54967, n54968, n54969,
+         n54970, n54971, n54972, n54973, n54974, n54975, n54976, n54977,
+         n54978, n54979, n54980, n54981, n54982, n54983, n54984, n54985,
+         n54986, n54987, n54988, n54989, n54990, n54991, n54992, n54993,
+         n54994, n54995, n54996, n54997, n54998, n54999, n55000, n55001,
+         n55002, n55003, n55004, n55005, n55006, n55007, n55008, n55009,
+         n55010, n55011, n55012, n55013, n55014, n55015, n55016, n55017,
+         n55018, n55019, n55020, n55021, n55022, n55023, n55024, n55025,
+         n55026, n55027, n55028, n55029, n55030, n55031, n55032, n55033,
+         n55034, n55035, n55036, n55037, n55038, n55039, n55040, n55041,
+         n55042, n55043, n55044, n55045, n55046, n55047, n55048, n55049,
+         n55050, n55051, n55052, n55053, n55054, n55055, n55056, n55057,
+         n55058, n55059, n55060, n55061, n55062, n55063, n55064, n55065,
+         n55066, n55067, n55068, n55069, n55070, n55071, n55072, n55073,
+         n55074, n55075, n55076, n55077, n55078, n55079, n55080, n55081,
+         n55082, n55083, n55084, n55085, n55086, n55087, n55088, n55089,
+         n55090, n55091, n55092, n55093, n55094, n55095, n55096, n55097,
+         n55098, n55099, n55100, n55101, n55102, n55103, n55104, n55105,
+         n55106, n55107, n55108, n55109, n55110, n55111, n55112, n55113,
+         n55114, n55115, n55116, n55117, n55118, n55119, n55120, n55121,
+         n55122, n55123, n55124, n55125, n55126, n55127, n55128, n55129,
+         n55130, n55131, n55132, n55133, n55134, n55135, n55136, n55137,
+         n55138, n55139, n55140, n55141, n55142, n55143, n55144, n55145,
+         n55146, n55147, n55148, n55149, n55150, n55151, n55152, n55153,
+         n55154, n55155, n55156, n55157, n55158, n55159, n55160, n55161,
+         n55162, n55163, n55164, n55165, n55166, n55167, n55168, n55169,
+         n55170, n55171, n55172, n55173, n55174, n55175, n55176, n55177,
+         n55178, n55179, n55180, n55181, n55182, n55183, n55184, n55185,
+         n55186, n55187, n55188, n55189, n55190, n55191, n55192, n55193,
+         n55194, n55195, n55196, n55197, n55198, n55199, n55200, n55201,
+         n55202, n55203, n55204, n55205, n55206, n55207, n55208, n55209,
+         n55210, n55211, n55212, n55213, n55214, n55215, n55216, n55217,
+         n55218, n55219, n55220, n55221, n55222, n55223, n55224, n55225,
+         n55226, n55227, n55228, n55229, n55230, n55231, n55232, n55233,
+         n55234, n55235, n55236, n55237, n55238, n55239, n55240, n55241,
+         n55242, n55243, n55244, n55245, n55246, n55247, n55248, n55249,
+         n55250, n55251, n55252, n55253, n55254, n55255, n55256, n55257,
+         n55258, n55259, n55260, n55261, n55262, n55263, n55264, n55265,
+         n55266, n55267, n55268, n55269, n55270, n55271, n55272, n55273,
+         n55274, n55275, n55276, n55277, n55278, n55279, n55280, n55281,
+         n55282, n55283, n55284, n55285, n55286, n55287, n55289, n55290,
+         n55291, n55292, n55293, n55294, n55295, n55296, n55297, n55298,
+         n55299, n55300, n55301, n55302, n55303, n55304, n55305, n55306,
+         n55307, n55308, n55309, n55310, n55311, n55312, n55313, n55314,
+         n55315, n55316, n55317, n55318, n55319, n55320, n55321, n55322,
+         n55323, n55324, n55325, n55326, n55327, n55328, n55329, n55330,
+         n55331, n55332, n55333, n55334, n55335, n55336, n55337, n55338,
+         n55339, n55340, n55341, n55342, n55343, n55344, n55345, n55346,
+         n55347, n55348, n55349, n55350, n55351, n55352, n55353, n55354,
+         n55355, n55356, n55357, n55358, n55359, n55360, n55361, n55362,
+         n55363, n55364, n55365, n55366, n55367, n55368, n55369, n55370,
+         n55371, n55372, n55373, n55374, n55375, n55376, n55377, n55378,
+         n55379, n55380, n55381, n55382, n55383, n55384, n55385, n55386,
+         n55387, n55388, n55389, n55390, n55391, n55392, n55393, n55394,
+         n55395, n55396, n55397, n55398, n55399, n55400, n55401, n55402,
+         n55403, n55404, n55405, n55406, n55407, n55408, n55409, n55410,
+         n55411, n55412, n55413, n55414, n55415, n55416, n55417, n55418,
+         n55419, n55420, n55421, n55422, n55423, n55424, n55425, n55426,
+         n55427, n55428, n55429, n55430, n55431, n55432, n55433, n55434,
+         n55435, n55436, n55437, n55438, n55439, n55440, n55441, n55442,
+         n55443, n55444, n55445, n55446, n55447, n55448, n55449, n55450,
+         n55451, n55452, n55453, n55454, n55455, n55456, n55457, n55458,
+         n55459, n55460, n55461, n55462, n55463, n55464, n55465, n55466,
+         n55467, n55468, n55469, n55470, n55471, n55472, n55473, n55474,
+         n55475, n55476, n55477, n55478, n55479, n55480, n55481, n55482,
+         n55483, n55484, n55485, n55486, n55487, n55488, n55489, n55490,
+         n55491, n55492, n55493, n55494, n55495, n55496, n55497, n55498,
+         n55499, n55500, n55501, n55502, n55503, n55504, n55505, n55506,
+         n55507, n55508, n55509, n55510, n55511, n55512, n55513, n55514,
+         n55515, n55516, n55517, n55518, n55519, n55520, n55521, n55522,
+         n55523, n55524, n55525, n55526, n55527, n55528, n55529, n55530,
+         n55531, n55532, n55533, n55534, n55535, n55536, n55537, n55538,
+         n55539, n55540, n55541, n55542, n55543, n55544, n55545, n55546,
+         n55547, n55548, n55549, n55550, n55551, n55552, n55553, n55554,
+         n55555, n55556, n55557, n55558, n55559, n55560, n55561, n55562,
+         n55563, n55564, n55565, n55566, n55567, n55568, n55569, n55570,
+         n55571, n55572, n55573, n55574, n55575, n55576, n55577, n55578,
+         n55579, n55580, n55581, n55582, n55583, n55584, n55585, n55586,
+         n55587, n55588, n55589, n55590, n55591, n55592, n55593, n55594,
+         n55595, n55596, n55597, n55598, n55599, n55600, n55601, n55602,
+         n55603, n55604, n55605, n55606, n55607, n55608, n55609, n55610,
+         n55611, n55612, n55613, n55614, n55615, n55616, n55617, n55618,
+         n55619, n55620, n55621, n55622, n55623, n55624, n55625, n55626,
+         n55627, n55628, n55629, n55630, n55631, n55632, n55633, n55634,
+         n55635, n55636, n55637, n55638, n55639, n55640, n55641, n55642,
+         n55643, n55644, n55645, n55646, n55647, n55648, n55649, n55650,
+         n55651, n55652, n55653, n55654, n55655, n55656, n55657, n55658,
+         n55659, n55660, n55661, n55662, n55663, n55664, n55665, n55666,
+         n55667, n55668, n55669, n55670, n55671, n55672, n55673, n55674,
+         n55675, n55676, n55677, n55678, n55679, n55680, n55681, n55682,
+         n55683, n55684, n55685, n55686, n55687, n55688, n55689, n55690,
+         n55691, n55692, n55693, n55694, n55695, n55696, n55697, n55698,
+         n55699, n55700, n55701, n55702, n55703, n55704, n55705, n55706,
+         n55707, n55708, n55709, n55710, n55711, n55712, n55713, n55714,
+         n55715, n55716, n55717, n55718, n55719, n55720, n55721, n55722,
+         n55723, n55724, n55725, n55726, n55727, n55728, n55729, n55730,
+         n55731, n55732, n55733, n55734, n55735, n55736, n55737, n55738,
+         n55739, n55740, n55741, n55742, n55743, n55744, n55745, n55746,
+         n55747, n55748, n55749, n55750, n55751, n55752, n55753, n55754,
+         n55755, n55756, n55757, n55758, n55759, n55760, n55761, n55762,
+         n55763, n55764, n55765, n55766, n55767, n55768, n55769, n55770,
+         n55771, n55772, n55773, n55774, n55775, n55776, n55777, n55778,
+         n55779, n55780, n55781, n55782, n55783, n55784, n55785, n55786,
+         n55787, n55788, n55789, n55790, n55791, n55792, n55793, n55794,
+         n55795, n55796, n55797, n55798, n55799, n55800, n55801, n55802,
+         n55803, n55804, n55805, n55806, n55807, n55808, n55809, n55810,
+         n55811, n55812, n55813, n55814, n55815, n55816, n55817, n55818,
+         n55819, n55820, n55821, n55822, n55823, n55824, n55825, n55826,
+         n55827, n55828, n55829, n55830, n55831, n55832, n55833, n55834,
+         n55835, n55836, n55837, n55838, n55839, n55840, n55841, n55842,
+         n55843, n55844, n55845, n55846, n55847, n55848, n55849, n55850,
+         n55851, n55852, n55853, n55854, n55855, n55856, n55857, n55858,
+         n55859, n55860, n55861, n55862, n55863, n55864, n55865, n55866,
+         n55867, n55868, n55869, n55870, n55871, n55872, n55873, n55874,
+         n55875, n55876, n55877, n55878, n55879, n55880, n55881, n55882,
+         n55883, n55884, n55885, n55886, n55887, n55888, n55889, n55890,
+         n55891, n55892, n55893, n55894, n55895, n55896, n55897, n55898,
+         n55899, n55900, n55901, n55902, n55903, n55904, n55905, n55906,
+         n55907, n55908, n55909, n55910, n55911, n55912, n55913, n55914,
+         n55915, n55916, n55917, n55918, n55919, n55920, n55921, n55922,
+         n55923, n55924, n55925, n55926, n55927, n55928, n55929, n55930,
+         n55931, n55932, n55933, n55934, n55935, n55936, n55937, n55938,
+         n55939, n55940, n55941, n55942, n55943, n55944, n55945, n55946,
+         n55947, n55948, n55949, n55950, n55951, n55952, n55953, n55954,
+         n55955, n55956, n55957, n55958, n55959, n55960, n55961, n55962,
+         n55963, n55964, n55965, n55966, n55967, n55968, n55969, n55970,
+         n55971, n55972, n55973, n55974, n55975, n55976, n55977, n55978,
+         n55979, n55980, n55981, n55982, n55983, n55984, n55985, n55986,
+         n55987, n55988, n55989, n55990, n55991, n55992, n55993, n55994,
+         n55995, n55996, n55997, n55998, n55999, n56000, n56001, n56002,
+         n56003, n56004, n56005, n56006, n56007, n56008, n56009, n56010,
+         n56011, n56012, n56013, n56014, n56015, n56016, n56017, n56018,
+         n56019, n56020, n56021, n56022, n56023, n56024, n56025, n56026,
+         n56027, n56028, n56029, n56030, n56031, n56032, n56033, n56034,
+         n56035, n56036, n56037, n56038, n56039, n56040, n56041, n56042,
+         n56043, n56044, n56045, n56046, n56047, n56048, n56049, n56050,
+         n56051, n56052, n56053, n56054, n56055, n56056, n56057, n56058,
+         n56059, n56060, n56061, n56062, n56063, n56064, n56065, n56066,
+         n56067, n56068, n56069, n56070, n56071, n56072, n56073, n56074,
+         n56075, n56076, n56077, n56078, n56079, n56080, n56081, n56082,
+         n56083, n56084, n56085, n56086, n56087, n56088, n56089, n56090,
+         n56091, n56092, n56093, n56094, n56095, n56096, n56097, n56098,
+         n56099, n56100, n56102, n56103, n56104, n56105, n56106, n56107,
+         n56108, n56109, n56110, n56111, n56112, n56113, n56114, n56115,
+         n56116, n56117, n56118, n56119, n56120, n56121, n56122, n56123,
+         n56124, n56125, n56126, n56127, n56128, n56129, n56130, n56131,
+         n56132, n56133, n56134, n56135, n56136, n56137, n56138, n56139,
+         n56140, n56141, n56142, n56143, n56144, n56145, n56146, n56147,
+         n56148, n56149, n56150, n56151, n56152, n56153, n56154, n56155,
+         n56156, n56157, n56158, n56159, n56160, n56161, n56162, n56163,
+         n56164, n56165, n56166, n56167, n56168, n56169, n56170, n56171,
+         n56172, n56173, n56174, n56175, n56176, n56177, n56178, n56179,
+         n56180, n56181, n56182, n56183, n56184, n56185, n56186, n56187,
+         n56188, n56189, n56190, n56191, n56192, n56193, n56194, n56195,
+         n56196, n56197, n56198, n56199, n56200, n56201, n56202, n56203,
+         n56204, n56205, n56206, n56207, n56208, n56209, n56210, n56211,
+         n56212, n56213, n56214, n56215, n56216, n56217, n56218, n56219,
+         n56220, n56221, n56222, n56223, n56224, n56225, n56226, n56227,
+         n56228, n56229, n56230, n56231, n56232, n56233, n56234, n56235,
+         n56236, n56237, n56238, n56239, n56240, n56241, n56242, n56243,
+         n56244, n56245, n56246, n56247, n56248, n56249, n56250, n56251,
+         n56252, n56253, n56254, n56255, n56256, n56257, n56258, n56259,
+         n56260, n56261, n56262, n56263, n56264, n56265, n56266, n56267,
+         n56268, n56269, n56270, n56271, n56272, n56273, n56274, n56275,
+         n56276, n56277, n56278, n56279, n56280, n56281, n56282, n56283,
+         n56284, n56285, n56286, n56287, n56288, n56289, n56290, n56291,
+         n56292, n56293, n56294, n56296, n56297, n56298, n56299, n56300,
+         n56301, n56302, n56303, n56304, n56305, n56306, n56307, n56308,
+         n56309, n56310, n56311, n56312, n56313, n56314, n56315, n56316,
+         n56317, n56318, n56319, n56320, n56321, n56322, n56323, n56324,
+         n56325, n56326, n56327, n56328, n56329, n56330, n56331, n56332,
+         n56333, n56334, n56335, n56336, n56337, n56338, n56339, n56340,
+         n56341, n56342, n56343, n56344, n56345, n56346, n56347, n56348,
+         n56349, n56350, n56351, n56352, n56353, n56354, n56355, n56356,
+         n56357, n56358, n56359, n56360, n56361, n56362, n56363, n56364,
+         n56365, n56366, n56367, n56368, n56369, n56370, n56371, n56372,
+         n56373, n56374, n56375, n56376, n56377, n56378, n56379, n56380,
+         n56381, n56382, n56383, n56384, n56385, n56386, n56387, n56388,
+         n56389, n56390, n56391, n56392, n56393, n56394, n56395, n56396,
+         n56397, n56398, n56399, n56400, n56401, n56402, n56403, n56404,
+         n56405, n56406, n56407, n56408, n56409, n56410, n56411, n56412,
+         n56413, n56414, n56415, n56416, n56417, n56418, n56419, n56420,
+         n56421, n56422, n56423, n56424, n56425, n56426, n56427, n56428,
+         n56429, n56430, n56431, n56432, n56433, n56434, n56435, n56436,
+         n56437, n56438, n56439, n56440, n56441, n56442, n56443, n56444,
+         n56445, n56446, n56447, n56448, n56449, n56450, n56451, n56452,
+         n56453, n56454, n56455, n56456, n56457, n56458, n56459, n56460,
+         n56461, n56462, n56463, n56464, n56465, n56466, n56467, n56468,
+         n56469, n56470, n56471, n56472, n56473, n56474, n56475, n56476,
+         n56477, n56478, n56479, n56480, n56481, n56482, n56483, n56484,
+         n56485, n56486, n56487, n56488, n56489, n56490, n56491, n56492,
+         n56493, n56494, n56495, n56496, n56497, n56498, n56499, n56500,
+         n56501, n56502, n56503, n56504, n56505, n56506, n56507, n56508,
+         n56509, n56510, n56511, n56512, n56513, n56514, n56515, n56516,
+         n56517, n56518, n56519, n56520, n56521, n56522, n56523, n56524,
+         n56525, n56526, n56527, n56528, n56529, n56530, n56531, n56532,
+         n56533, n56534, n56535, n56536, n56537, n56538, n56539, n56540,
+         n56541, n56542, n56543, n56544, n56545, n56546, n56547, n56548,
+         n56549, n56550, n56551, n56552, n56553, n56554, n56555, n56556,
+         n56557, n56558, n56559, n56560, n56561, n56562, n56563, n56564,
+         n56565, n56566, n56567, n56568, n56569, n56570, n56571, n56572,
+         n56573, n56574, n56575, n56576, n56577, n56578, n56579, n56580,
+         n56581, n56582, n56583, n56584, n56585, n56586, n56587, n56588,
+         n56589, n56590, n56592, n56593, n56594, n56595, n56596, n56597,
+         n56598, n56599, n56600, n56601, n56602, n56603, n56604, n56605,
+         n56606, n56607, n56608, n56609, n56610, n56611, n56612, n56613,
+         n56614, n56615, n56616, n56617, n56618, n56619, n56620, n56621,
+         n56622, n56623, n56624, n56625, n56626, n56627, n56628, n56629,
+         n56630, n56631, n56632, n56633, n56634, n56635, n56636, n56637,
+         n56638, n56639, n56640, n56641, n56642, n56643, n56644, n56645,
+         n56646, n56647, n56648, n56649, n56650, n56651, n56652, n56653,
+         n56654, n56655, n56656, n56657, n56658, n56659, n56660, n56661,
+         n56662, n56663, n56664, n56665, n56666, n56667, n56668, n56669,
+         n56670, n56671, n56672, n56673, n56674, n56675, n56676, n56677,
+         n56678, n56679, n56680, n56681, n56682, n56683, n56684, n56685,
+         n56686, n56687, n56688, n56689, n56690, n56691, n56692, n56693,
+         n56694, n56695, n56696, n56697, n56698, n56699, n56700, n56701,
+         n56702, n56703, n56704, n56705, n56706, n56707, n56708, n56709,
+         n56710, n56711, n56712, n56713, n56714, n56715, n56716, n56717,
+         n56718, n56719, n56720, n56721, n56722, n56723, n56724, n56725,
+         n56726, n56727, n56728, n56729, n56730, n56731, n56732, n56733,
+         n56734, n56735, n56736, n56737, n56738, n56739, n56740, n56741,
+         n56742, n56743, n56744, n56746, n56747, n56748, n56749, n56750,
+         n56751, n56752, n56753, n56754, n56755, n56756, n56757, n56758,
+         n56759, n56760, n56761, n56762, n56763, n56764, n56765, n56766,
+         n56767, n56768, n56769, n56770, n56771, n56772, n56773, n56774,
+         n56775, n56776, n56777, n56778, n56779, n56780, n56781, n56782,
+         n56783, n56784, n56785, n56786, n56787, n56788, n56789, n56790,
+         n56791, n56792, n56793, n56794, n56795, n56796, n56797, n56798,
+         n56799, n56800, n56801, n56802, n56803, n56804, n56805, n56806,
+         n56807, n56808, n56809, n56810, n56811, n56812, n56813, n56814,
+         n56815, n56816, n56817, n56818, n56819, n56820, n56821, n56822,
+         n56823, n56824, n56825, n56826, n56827, n56828, n56829, n56830,
+         n56831, n56832, n56833, n56834, n56835, n56836, n56837, n56838,
+         n56839, n56840, n56841, n56842, n56843, n56844, n56845, n56846,
+         n56847, n56848, n56849, n56850, n56851, n56852, n56853, n56854,
+         n56855, n56856, n56857, n56858, n56859, n56860, n56861, n56862,
+         n56863, n56864, n56865, n56866, n56867, n56868, n56869, n56870,
+         n56871, n56872, n56873, n56874, n56875, n56876, n56877, n56878,
+         n56879, n56880, n56881, n56882, n56883, n56884, n56885, n56886,
+         n56887, n56888, n56889, n56890, n56891, n56892, n56893, n56894,
+         n56895, n56896, n56897, n56898, n56899, n56900, n56901, n56902,
+         n56903, n56904, n56905, n56906, n56907, n56908, n56909, n56910,
+         n56911, n56912, n56913, n56914, n56915, n56916, n56917, n56918,
+         n56919, n56920, n56921, n56922, n56923, n56924, n56925, n56926,
+         n56927, n56928, n56929, n56930, n56932, n56933, n56934, n56935,
+         n56936, n56937, n56938, n56939, n56940, n56941, n56942, n56943,
+         n56944, n56945, n56946, n56947, n56948, n56949, n56950, n56951,
+         n56952, n56953, n56954, n56955, n56956, n56957, n56958, n56959,
+         n56960, n56961, n56962, n56963, n56964, n56965, n56966, n56967,
+         n56968, n56969, n56970, n56971, n56972, n56973, n56974, n56975,
+         n56976, n56977, n56978, n56979, n56980, n56981, n56982, n56983,
+         n56984, n56985, n56986, n56987, n56988, n56989, n56990, n56991,
+         n56992, n56993, n56994, n56995, n56996, n56997, n56998, n56999,
+         n57000, n57001, n57002, n57003, n57004, n57005, n57006, n57007,
+         n57008, n57009, n57010, n57011, n57012, n57013, n57014, n57015,
+         n57016, n57017, n57018, n57019, n57020, n57021, n57022, n57023,
+         n57024, n57025, n57026, n57027, n57028, n57029, n57030, n57031,
+         n57032, n57033, n57034, n57035, n57036, n57037, n57038, n57039,
+         n57040, n57041, n57042, n57043, n57044, n57045, n57046, n57047,
+         n57048, n57049, n57050, n57051, n57052, n57053, n57054, n57055,
+         n57056, n57057, n57058, n57059, n57060, n57061, n57062, n57063,
+         n57064, n57065, n57066, n57067, n57068, n57069, n57070, n57071,
+         n57072, n57073, n57074, n57075, n57076, n57077, n57078, n57079,
+         n57080, n57081, n57082, n57083, n57084, n57085, n57086, n57087,
+         n57088, n57089, n57090, n57091, n57092, n57093, n57094, n57095,
+         n57096, n57097, n57098, n57099, n57100, n57101, n57102, n57103,
+         n57104, n57105, n57106, n57107, n57108, n57109, n57110, n57111,
+         n57112, n57113, n57114, n57115, n57116, n57117, n57118, n57119,
+         n57120, n57121, n57122, n57123, n57124, n57125, n57126, n57127,
+         n57128, n57129, n57130, n57131, n57132, n57133, n57134, n57135,
+         n57136, n57137, n57138, n57139, n57140, n57141, n57142, n57143,
+         n57144, n57145, n57146, n57147, n57148, n57149, n57150, n57151,
+         n57152, n57153, n57154, n57155, n57156, n57157, n57158, n57159,
+         n57160, n57161, n57162, n57163, n57164, n57165, n57166, n57167,
+         n57168, n57169, n57170, n57171, n57172, n57173, n57174, n57175,
+         n57176, n57177, n57178, n57179, n57180, n57181, n57182, n57183,
+         n57184, n57185, n57186, n57187, n57188, n57189, n57190, n57191,
+         n57192, n57193, n57194, n57195, n57196, n57197, n57198, n57199,
+         n57200, n57201, n57202, n57203, n57204, n57205, n57206, n57207,
+         n57208, n57209, n57210, n57211, n57212, n57213, n57214, n57215,
+         n57216, n57217, n57218, n57219, n57220, n57221, n57222, n57223,
+         n57224, n57225, n57226, n57227, n57228, n57229, n57230, n57231,
+         n57232, n57233, n57234, n57235, n57236, n57237, n57238, n57239,
+         n57240, n57241, n57242, n57243, n57244, n57245, n57246, n57247,
+         n57248, n57249, n57250, n57251, n57252, n57253, n57254, n57255,
+         n57256, n57257, n57258, n57259, n57260, n57261, n57262, n57263,
+         n57264, n57265, n57266, n57267, n57268, n57269, n57270, n57271,
+         n57272, n57273, n57274, n57275, n57276, n57277, n57278, n57279,
+         n57280, n57281, n57282, n57283, n57284, n57285, n57286, n57287,
+         n57288, n57289, n57290, n57291, n57292, n57293, n57294, n57295,
+         n57296, n57297, n57298, n57299, n57300, n57301, n57302, n57303,
+         n57304, n57305, n57306, n57307, n57308, n57309, n57310, n57311,
+         n57312, n57313, n57314, n57315, n57316, n57317, n57318, n57319,
+         n57320, n57321, n57322, n57323, n57324, n57325, n57326, n57327,
+         n57328, n57329, n57330, n57331, n57332, n57333, n57334, n57335,
+         n57336, n57337, n57338, n57339, n57340, n57341, n57342, n57343,
+         n57344, n57345, n57346, n57347, n57348, n57349, n57350, n57351,
+         n57352, n57353, n57354, n57355, n57356, n57357, n57358, n57359,
+         n57360, n57361, n57362, n57363, n57364, n57365, n57366, n57367,
+         n57368, n57369, n57370, n57371, n57372, n57373, n57374, n57375,
+         n57376, n57377, n57378, n57379, n57380, n57381, n57382, n57383,
+         n57384, n57385, n57386, n57387, n57388, n57389, n57390, n57391,
+         n57392, n57393, n57394, n57395, n57396, n57397, n57398, n57399,
+         n57400, n57401, n57402, n57403, n57404, n57405, n57406, n57407,
+         n57408, n57409, n57410, n57411, n57412, n57413, n57414, n57415,
+         n57416, n57417, n57418, n57419, n57420, n57421, n57422, n57423,
+         n57424, n57425, n57426, n57427, n57428, n57429, n57430, n57431,
+         n57432, n57433, n57434, n57435, n57436, n57437, n57438, n57439,
+         n57440, n57441, n57442, n57443, n57444, n57445, n57446, n57447,
+         n57448, n57449, n57450, n57451, n57452, n57453, n57454, n57455,
+         n57456, n57457, n57458, n57459, n57460, n57461, n57462, n57463,
+         n57464, n57465, n57466, n57467, n57468, n57469, n57470, n57471,
+         n57472, n57473, n57474, n57475, n57476, n57477, n57478, n57479,
+         n57480, n57481, n57482, n57483, n57484, n57485, n57486, n57487,
+         n57488, n57489, n57490, n57491, n57492, n57493, n57494, n57495,
+         n57496, n57497, n57498, n57499, n57500, n57501, n57502, n57503,
+         n57504, n57505, n57506, n57507, n57508, n57509, n57510, n57511,
+         n57512, n57513, n57514, n57515, n57516, n57517, n57518, n57519,
+         n57520, n57521, n57522, n57523, n57524, n57525, n57526, n57527,
+         n57528, n57529, n57530, n57531, n57532, n57533, n57534, n57535,
+         n57536, n57537, n57538, n57539, n57540, n57541, n57542, n57543,
+         n57544, n57545, n57546, n57547, n57548, n57549, n57550, n57551,
+         n57552, n57553, n57554, n57555, n57556, n57557, n57558, n57559,
+         n57560, n57561, n57562, n57563, n57564, n57565, n57566, n57567,
+         n57568, n57569, n57570, n57571, n57572, n57573, n57574, n57575,
+         n57576, n57577, n57578, n57579, n57580, n57581, n57582, n57583,
+         n57584, n57585, n57586, n57587, n57588, n57589, n57590, n57591,
+         n57592, n57593, n57594, n57595, n57596, n57597, n57598, n57599,
+         n57600, n57601, n57602, n57603, n57604, n57605, n57606, n57607,
+         n57608, n57609, n57610, n57611, n57612, n57613, n57614, n57615,
+         n57616, n57617, n57618, n57619, n57620, n57621, n57622, n57623,
+         n57624, n57625, n57626, n57627, n57628, n57629, n57630, n57631,
+         n57632, n57633, n57634, n57635, n57636, n57637, n57638, n57639,
+         n57640, n57641, n57642, n57643, n57644, n57645, n57646, n57647,
+         n57648, n57649, n57650, n57651, n57652, n57653, n57654, n57655,
+         n57656, n57657, n57658, n57659, n57660, n57661, n57662, n57663,
+         n57664, n57665, n57666, n57667, n57668, n57669, n57670, n57671,
+         n57672, n57673, n57674, n57675, n57676, n57677, n57678, n57679,
+         n57680, n57681, n57682, n57683, n57684, n57685, n57686, n57687,
+         n57688, n57689, n57690, n57691, n57692, n57693, n57694, n57695,
+         n57696, n57697, n57698, n57699, n57700, n57701, n57702, n57703,
+         n57704, n57705, n57706, n57707, n57708, n57709, n57710, n57711,
+         n57712, n57713, n57714, n57715, n57716, n57717, n57718, n57719,
+         n57720, n57721, n57722, n57723, n57724, n57725, n57726, n57727,
+         n57728, n57729, n57730, n57731, n57732, n57733, n57734, n57735,
+         n57736, n57737, n57738, n57739, n57740, n57741, n57742, n57743,
+         n57744, n57745, n57746, n57747, n57748, n57749, n57750, n57751,
+         n57752, n57753, n57754, n57755, n57757, n57758, n57759, n57760,
+         n57761, n57762, n57763, n57764, n57765, n57766, n57767, n57768,
+         n57769, n57770, n57771, n57772, n57773, n57774, n57775, n57776,
+         n57777, n57778, n57779, n57780, n57781, n57782, n57783, n57784,
+         n57785, n57786, n57787, n57788, n57789, n57790, n57791, n57792,
+         n57793, n57794, n57795, n57796, n57797, n57798, n57799, n57800,
+         n57801, n57802, n57803, n57804, n57805, n57806, n57807, n57808,
+         n57809, n57810, n57811, n57812, n57813, n57814, n57815, n57816,
+         n57817, n57818, n57819, n57820, n57821, n57822, n57823, n57824,
+         n57825, n57826, n57827, n57828, n57829, n57830, n57831, n57832,
+         n57833, n57834, n57835, n57836, n57837, n57838, n57839, n57840,
+         n57841, n57843, n57844, n57845, n57846, n57847, n57848, n57849,
+         n57850, n57851, n57852, n57853, n57854, n57855, n57856, n57857,
+         n57858, n57859, n57860, n57861, n57862, n57863, n57864, n57865,
+         n57866, n57867, n57868, n57869, n57870, n57871, n57872, n57873,
+         n57874, n57875, n57876, n57877, n57878, n57879, n57880, n57881,
+         n57882, n57883, n57884, n57885, n57886, n57887, n57888, n57889,
+         n57890, n57891, n57892, n57893, n57894, n57895, n57896, n57897,
+         n57898, n57899, n57900, n57901, n57902, n57903, n57904, n57905,
+         n57906, n57907, n57908, n57909, n57910, n57911, n57912, n57913,
+         n57914, n57915, n57916, n57917, n57918, n57919, n57920, n57921,
+         n57922, n57923, n57924, n57925, n57926, n57927, n57928, n57929,
+         n57930, n57931, n57932, n57933, n57934, n57935, n57936, n57937,
+         n57938, n57939, n57940, n57941, n57942, n57943, n57944, n57945,
+         n57946, n57947, n57948, n57949, n57950, n57951, n57952, n57953,
+         n57954, n57955, n57956, n57957, n57958, n57959, n57960, n57961,
+         n57962, n57963, n57964, n57965, n57966, n57967, n57968, n57969,
+         n57970, n57971, n57972, n57973, n57974, n57975, n57976, n57977,
+         n57978, n57979, n57980, n57981, n57982, n57983, n57984, n57985,
+         n57986, n57987, n57988, n57989, n57990, n57991, n57992, n57993,
+         n57994, n57995, n57996, n57997, n57998, n57999, n58000, n58001,
+         n58002, n58003, n58004, n58005, n58006, n58007, n58008, n58009,
+         n58010, n58011, n58012, n58013, n58014, n58015, n58016, n58017,
+         n58018, n58019, n58020, n58021, n58022, n58023, n58024, n58025,
+         n58026, n58027, n58028, n58029, n58030, n58031, n58032, n58033,
+         n58034, n58035, n58036, n58037, n58038, n58039, n58040, n58041,
+         n58042, n58043, n58044, n58045, n58046, n58047, n58048, n58049,
+         n58050, n58051, n58052, n58053, n58054, n58055, n58056, n58057,
+         n58058, n58059, n58060, n58061, n58062, n58063, n58064, n58065,
+         n58066, n58067, n58068, n58069, n58070, n58071, n58072, n58073,
+         n58074, n58075, n58076, n58077, n58078, n58079, n58080, n58081,
+         n58082, n58083, n58084, n58085, n58086, n58087, n58088, n58089,
+         n58090, n58091, n58092, n58093, n58094, n58095, n58096, n58097,
+         n58098, n58099, n58100, n58101, n58102, n58103, n58104, n58105,
+         n58106, n58107, n58108, n58109, n58110, n58111, n58112, n58113,
+         n58114, n58115, n58116, n58117, n58118, n58119, n58120, n58121,
+         n58122, n58123, n58124, n58125, n58126, n58127, n58128, n58129,
+         n58130, n58131, n58132, n58133, n58134, n58135, n58136, n58137,
+         n58138, n58139, n58140, n58141, n58142, n58143, n58144, n58145,
+         n58146, n58147, n58148, n58149, n58150, n58151, n58152, n58153,
+         n58154, n58155, n58156, n58157, n58158, n58159, n58160, n58161,
+         n58162, n58163, n58164, n58165, n58166, n58167, n58168, n58169,
+         n58170, n58171, n58172, n58173, n58174, n58175, n58176, n58177,
+         n58178, n58179, n58180, n58181, n58182, n58183, n58184, n58185,
+         n58186, n58187, n58188, n58189, n58190, n58191, n58192, n58193,
+         n58194, n58195, n58196, n58197, n58198, n58199, n58200, n58201,
+         n58202, n58203, n58204, n58205, n58206, n58207, n58208, n58209,
+         n58210, n58211, n58212, n58213, n58214, n58215, n58216, n58217,
+         n58218, n58219, n58220, n58221, n58222, n58223, n58224, n58225,
+         n58226, n58227, n58228, n58229, n58230, n58231, n58232, n58233,
+         n58234, n58235, n58236, n58237, n58238, n58239, n58240, n58241,
+         n58242, n58243, n58244, n58245, n58246, n58247, n58248, n58249,
+         n58250, n58251, n58252, n58253, n58254, n58255, n58256, n58257,
+         n58258, n58259, n58260, n58261, n58262, n58263, n58264, n58265,
+         n58266, n58267, n58268, n58269, n58270, n58271, n58272, n58273,
+         n58274, n58275, n58276, n58277, n58278, n58279, n58280, n58281,
+         n58282, n58283, n58284, n58285, n58286, n58287, n58288, n58289,
+         n58290, n58291, n58292, n58293, n58294, n58295, n58296, n58297,
+         n58298, n58299, n58300, n58301, n58302, n58303, n58304, n58305,
+         n58306, n58307, n58308, n58309, n58310, n58311, n58312, n58313,
+         n58314, n58315, n58316, n58317, n58318, n58319, n58320, n58321,
+         n58322, n58323, n58324, n58325, n58326, n58327, n58328, n58329,
+         n58330, n58331, n58332, n58333, n58334, n58335, n58336, n58337,
+         n58338, n58339, n58340, n58341, n58342, n58343, n58344, n58345,
+         n58346, n58347, n58348, n58349, n58350, n58351, n58352, n58353,
+         n58354, n58355, n58356, n58357, n58358, n58359, n58360, n58361,
+         n58362, n58363, n58364, n58365, n58366, n58367, n58368, n58369,
+         n58370, n58371, n58372, n58373, n58374, n58375, n58376, n58377,
+         n58378, n58379, n58380, n58381, n58382, n58383, n58384, n58385,
+         n58386, n58387, n58388, n58389, n58390, n58391, n58392, n58393,
+         n58394, n58395, n58396, n58397, n58398, n58399, n58400, n58401,
+         n58402, n58403, n58404, n58405, n58406, n58407, n58408, n58409,
+         n58410, n58411, n58412, n58413, n58414, n58415, n58416, n58417,
+         n58418, n58419, n58420, n58421, n58422, n58423, n58424, n58425,
+         n58426, n58427, n58428, n58429, n58430, n58431, n58432, n58433,
+         n58434, n58435, n58436, n58437, n58438, n58439, n58440, n58441,
+         n58442, n58443, n58444, n58445, n58446, n58447, n58448, n58449,
+         n58450, n58451, n58452, n58453, n58454, n58455, n58456, n58457,
+         n58458, n58459, n58460, n58461, n58462, n58463, n58464, n58465,
+         n58466, n58467, n58468, n58469, n58470, n58471, n58472, n58473,
+         n58474, n58475, n58476, n58477, n58478, n58479, n58480, n58481,
+         n58482, n58483, n58484, n58485, n58486, n58487, n58488, n58489,
+         n58490, n58491, n58492, n58493, n58494, n58495, n58496, n58497,
+         n58498, n58499, n58500, n58501, n58502, n58503, n58504, n58505,
+         n58506, n58507, n58508, n58509, n58510, n58511, n58512, n58513,
+         n58514, n58515, n58516, n58517, n58518, n58519, n58520, n58521,
+         n58522, n58523, n58524, n58525, n58526, n58527, n58528, n58529,
+         n58530, n58531, n58532, n58533, n58534, n58535, n58536, n58537,
+         n58538, n58539, n58540, n58541, n58542, n58543, n58544, n58545,
+         n58546, n58547, n58548, n58549, n58550, n58551, n58552, n58553,
+         n58554, n58555, n58556, n58557, n58558, n58559, n58560, n58561,
+         n58562, n58563, n58564, n58565, n58566, n58567, n58568, n58569,
+         n58570, n58571, n58572, n58573, n58574, n58575, n58576, n58577,
+         n58578, n58579, n58580, n58581, n58582, n58583, n58584, n58585,
+         n58586, n58587, n58588, n58589, n58590, n58591, n58592, n58593,
+         n58594, n58595, n58596, n58597, n58598, n58599, n58600, n58601,
+         n58602, n58603, n58604, n58605, n58606, n58607, n58608, n58609,
+         n58610, n58611, n58612, n58613, n58614, n58615, n58616, n58617,
+         n58618, n58619, n58620, n58621, n58622, n58623, n58624, n58625,
+         n58626, n58627, n58628, n58629, n58630, n58631, n58632, n58633,
+         n58634, n58635, n58636, n58637, n58638, n58639, n58640, n58641,
+         n58642, n58643, n58644, n58645, n58646, n58647, n58648, n58649,
+         n58650, n58651, n58652, n58653, n58654, n58655, n58656, n58657,
+         n58658, n58659, n58660, n58661, n58662, n58663, n58664, n58665,
+         n58666, n58667, n58668, n58669, n58670, n58671, n58672, n58673,
+         n58674, n58675, n58676, n58677, n58678, n58679, n58680, n58681,
+         n58682, n58683, n58684, n58685, n58686, n58687, n58688, n58689,
+         n58690, n58691, n58692, n58693, n58694, n58695, n58696, n58697,
+         n58698, n58699, n58700, n58701, n58702, n58703, n58704, n58705,
+         n58706, n58707, n58708, n58709, n58710, n58711, n58712, n58713,
+         n58714, n58715, n58716, n58717, n58718, n58719, n58720, n58721,
+         n58722, n58723, n58724, n58725, n58726, n58727, n58728, n58729,
+         n58730, n58731, n58732, n58733, n58734, n58735, n58736, n58737,
+         n58738, n58739, n58740, n58741, n58742, n58743, n58744, n58745,
+         n58746, n58747, n58748, n58749, n58750, n58751, n58752, n58753,
+         n58754, n58755, n58756, n58757, n58758, n58759, n58760, n58761,
+         n58762, n58763, n58764, n58765, n58766, n58767, n58768, n58769,
+         n58770, n58771, n58772, n58773, n58774, n58775, n58776, n58777,
+         n58778, n58779, n58780, n58781, n58782, n58783, n58784, n58785,
+         n58786, n58787, n58788, n58789, n58790, n58791, n58792, n58793,
+         n58794, n58795, n58796, n58797, n58798, n58799, n58800, n58801,
+         n58802, n58803, n58804, n58805, n58806, n58807, n58808, n58809,
+         n58810, n58811, n58812, n58813, n58814, n58815, n58816, n58817,
+         n58818, n58819, n58820, n58821, n58822, n58823, n58824, n58825,
+         n58826, n58827, n58828, n58829, n58830, n58831, n58832, n58833,
+         n58834, n58835, n58836, n58837, n58838, n58839, n58840, n58841,
+         n58842, n58843, n58844, n58845, n58846, n58847, n58848, n58849,
+         n58850, n58851, n58852, n58853, n58854, n58855, n58856, n58857,
+         n58858, n58860, n58861, n58862, n58863, n58864, n58865, n58866,
+         n58867, n58868, n58869, n58870, n58871, n58872, n58873, n58874,
+         n58875, n58876, n58877, n58878, n58879, n58880, n58881, n58882,
+         n58883, n58884, n58885, n58886, n58887, n58888, n58889, n58890,
+         n58891, n58892, n58893, n58894, n58895, n58896, n58897, n58898,
+         n58899, n58900, n58901, n58902, n58903, n58904, n58905, n58906,
+         n58907, n58908, n58909, n58910, n58911, n58912, n58913, n58914,
+         n58915, n58916, n58917, n58918, n58919, n58920, n58921, n58922,
+         n58923, n58924, n58925, n58926, n58927, n58928, n58929, n58930,
+         n58931, n58932, n58933, n58934, n58935, n58936, n58937, n58938,
+         n58939, n58940, n58941, n58942, n58943, n58944, n58945, n58946,
+         n58947, n58948, n58949, n58950, n58951, n58952, n58953, n58954,
+         n58955, n58956, n58957, n58958, n58959, n58960, n58961, n58962,
+         n58963, n58964, n58965, n58966, n58967, n58968, n58969, n58970,
+         n58971, n58972, n58973, n58974, n58975, n58976, n58977, n58978,
+         n58979, n58980, n58981, n58982, n58983, n58984, n58985, n58986,
+         n58987, n58988, n58989, n58990, n58991, n58992, n58993, n58994,
+         n58995, n58996, n58997, n58998, n58999, n59000, n59001, n59002,
+         n59003, n59004, n59005, n59006, n59007, n59008, n59009, n59010,
+         n59011, n59012, n59013, n59014, n59015, n59016, n59017, n59018,
+         n59019, n59020, n59021, n59022, n59023, n59024, n59025, n59026,
+         n59027, n59028, n59029, n59030, n59031, n59032, n59033, n59034,
+         n59035, n59036, n59037, n59038, n59039, n59040, n59041, n59042,
+         n59043, n59044, n59045, n59046, n59047, n59048, n59049, n59050,
+         n59051, n59052, n59053, n59054, n59055, n59056, n59057, n59058,
+         n59059, n59060, n59061, n59062, n59063, n59064, n59065, n59066,
+         n59067, n59068, n59069, n59070, n59071, n59072, n59073, n59074,
+         n59075, n59076, n59077, n59078, n59079, n59080, n59081, n59082,
+         n59083, n59084, n59085, n59086, n59087, n59088, n59089, n59090,
+         n59091, n59092, n59093, n59094, n59095, n59096, n59097, n59098,
+         n59099, n59100, n59101, n59102, n59103, n59104, n59105, n59106,
+         n59107, n59108, n59109, n59110, n59111, n59112, n59113, n59114,
+         n59115, n59116, n59117, n59118, n59119, n59120, n59121, n59122,
+         n59123, n59124, n59125, n59126, n59127, n59128, n59129, n59130,
+         n59131, n59132, n59133, n59134, n59135, n59136, n59137, n59138,
+         n59139, n59140, n59141, n59142, n59143, n59144, n59145, n59146,
+         n59147, n59148, n59149, n59150, n59151, n59152, n59153, n59154,
+         n59155, n59156, n59157, n59158, n59159, n59160, n59161, n59162,
+         n59163, n59164, n59165, n59166, n59167, n59168, n59169, n59170,
+         n59171, n59172, n59173, n59174, n59175, n59176, n59177, n59178,
+         n59179, n59180, n59181, n59182, n59183, n59184, n59185, n59186,
+         n59187, n59188, n59189, n59190, n59191, n59192, n59193, n59194,
+         n59195, n59196, n59197, n59198, n59199, n59200, n59201, n59202,
+         n59203, n59204, n59205, n59206, n59207, n59208, n59209, n59210,
+         n59211, n59212, n59213, n59214, n59215, n59216, n59217, n59218,
+         n59219, n59220, n59221, n59222, n59223, n59224, n59225, n59226,
+         n59227, n59228, n59229, n59230, n59231, n59232, n59233, n59234,
+         n59235, n59236, n59237, n59238, n59239, n59240, n59241, n59242,
+         n59243, n59244, n59245, n59246, n59247, n59248, n59249, n59250,
+         n59251, n59252, n59253, n59254, n59255, n59256, n59257, n59258,
+         n59259, n59260, n59261, n59262, n59263, n59264, n59265, n59266,
+         n59267, n59268, n59269, n59270, n59271, n59272, n59273, n59274,
+         n59275, n59276, n59277, n59278, n59279, n59280, n59281, n59282,
+         n59283, n59284, n59285, n59286, n59287, n59288, n59289, n59290,
+         n59291, n59292, n59293, n59294, n59295, n59296, n59297, n59298,
+         n59299, n59300, n59301, n59302, n59303, n59304, n59305, n59306,
+         n59307, n59308, n59309, n59310, n59311, n59312, n59313, n59314,
+         n59315, n59316, n59317, n59318, n59319, n59320, n59321, n59322,
+         n59323, n59324, n59325, n59326, n59327, n59328, n59329, n59330,
+         n59331, n59332, n59333, n59334, n59335, n59336, n59337, n59338,
+         n59339, n59340, n59341, n59342, n59343, n59344, n59345, n59346,
+         n59347, n59348, n59349, n59350, n59351, n59352, n59353, n59354,
+         n59355, n59356, n59357, n59358, n59359, n59360, n59361, n59362,
+         n59363, n59364, n59365, n59366, n59367, n59368, n59369, n59370,
+         n59371, n59372, n59373, n59374, n59375, n59376, n59377, n59378,
+         n59379, n59380, n59381, n59382, n59383, n59384, n59385, n59386,
+         n59387, n59388, n59389, n59390, n59391, n59392, n59393, n59394,
+         n59395, n59396, n59397, n59398, n59399, n59400, n59401, n59402,
+         n59403, n59404, n59405, n59406, n59407, n59408, n59409, n59410,
+         n59411, n59412, n59413, n59414, n59415, n59416, n59417, n59418,
+         n59419, n59420, n59421, n59422, n59423, n59424, n59425, n59426,
+         n59427, n59428, n59429, n59430, n59431, n59432, n59433, n59434,
+         n59435, n59436, n59437, n59438, n59439, n59440, n59441, n59442,
+         n59443, n59444, n59445, n59446, n59447, n59448, n59449, n59450,
+         n59451, n59452, n59453, n59454, n59455, n59456, n59457, n59458,
+         n59459, n59460, n59461, n59462, n59463, n59464, n59465, n59466,
+         n59467, n59468, n59469, n59470, n59471, n59472, n59473, n59474,
+         n59475, n59476, n59477, n59478, n59479, n59480, n59481, n59482,
+         n59483, n59484, n59485, n59486, n59487, n59488, n59489, n59490,
+         n59491, n59492, n59493, n59494, n59495, n59496, n59497, n59498,
+         n59499, n59500, n59501, n59502, n59503, n59504, n59505, n59506,
+         n59507, n59508, n59509, n59510, n59511, n59512, n59513, n59514,
+         n59515, n59516, n59517, n59518, n59519, n59520, n59521, n59522,
+         n59523, n59524, n59525, n59526, n59527, n59528, n59529, n59530,
+         n59531, n59532, n59533, n59534, n59535, n59536, n59537, n59538,
+         n59539, n59540, n59541, n59542, n59543, n59544, n59545, n59546,
+         n59547, n59548, n59549, n59550, n59551, n59552, n59553, n59554,
+         n59555, n59556, n59557, n59558, n59559, n59560, n59561, n59562,
+         n59563, n59564, n59565, n59566, n59567, n59568, n59569, n59570,
+         n59571, n59572, n59573, n59574, n59575, n59576, n59577, n59578,
+         n59579, n59580, n59581, n59582, n59583, n59584, n59585, n59586,
+         n59587, n59588, n59589, n59590, n59591, n59592, n59593, n59594,
+         n59595, n59596, n59597, n59598, n59599, n59600, n59601, n59602,
+         n59603, n59604, n59605, n59606, n59607, n59608, n59609, n59610,
+         n59611, n59612, n59613, n59614, n59615, n59616, n59617, n59618,
+         n59619, n59620, n59621, n59622, n59623, n59624, n59625, n59626,
+         n59627, n59628, n59629, n59630, n59631, n59632, n59633, n59634,
+         n59635, n59636, n59637, n59638, n59639, n59640, n59641, n59642,
+         n59643, n59644, n59645, n59646, n59647, n59648, n59649, n59650,
+         n59651, n59652, n59653, n59654, n59655, n59656, n59657, n59658,
+         n59659, n59660, n59661, n59662, n59663, n59664, n59665, n59666,
+         n59667, n59668, n59669, n59670, n59671, n59672, n59673, n59674,
+         n59675, n59676, n59677, n59678, n59679, n59680, n59681, n59682,
+         n59683, n59684, n59685, n59686, n59687, n59688, n59689, n59690,
+         n59691, n59692, n59693, n59694, n59695, n59696, n59697, n59698,
+         n59699, n59700, n59701, n59702, n59703, n59704, n59705, n59706,
+         n59707, n59708, n59709, n59710, n59711, n59712, n59713, n59714,
+         n59715, n59716, n59717, n59718, n59719, n59720, n59721, n59722,
+         n59723, n59724, n59725, n59726, n59727, n59728, n59729, n59730,
+         n59731, n59732, n59733, n59734, n59735, n59736, n59737, n59738,
+         n59739, n59740, n59741, n59742, n59743, n59744, n59745, n59746,
+         n59747, n59748, n59749, n59750, n59751, n59752, n59753, n59754,
+         n59755, n59756, n59757, n59758, n59759, n59760, n59761, n59762,
+         n59763, n59764, n59765, n59766, n59767, n59768, n59769, n59770,
+         n59771, n59772, n59773, n59774, n59775, n59776, n59777, n59778,
+         n59779, n59780, n59781, n59782, n59783, n59784, n59785, n59786,
+         n59787, n59788, n59789, n59790, n59791, n59792, n59793, n59794,
+         n59795, n59796, n59797, n59798, n59799, n59800, n59801, n59802,
+         n59803, n59804, n59805, n59806, n59807, n59808, n59809, n59810,
+         n59811, n59812, n59813, n59814, n59815, n59816, n59817, n59818,
+         n59819, n59820, n59821, n59822, n59823, n59824, n59825, n59826,
+         n59827, n59828, n59829, n59830, n59831, n59832, n59833, n59834,
+         n59835, n59836, n59837, n59838, n59839, n59840, n59841, n59842,
+         n59843, n59844, n59845, n59846, n59847, n59848, n59849, n59850,
+         n59851, n59852, n59853, n59854, n59855, n59856, n59857, n59858,
+         n59859, n59860, n59861, n59862, n59863, n59864, n59865, n59866,
+         n59867, n59868, n59869, n59870, n59871, n59872, n59873, n59874,
+         n59875, n59876, n59877, n59878, n59879, n59880, n59881, n59882,
+         n59883, n59884, n59885, n59886, n59887, n59888, n59889, n59890,
+         n59891, n59892, n59893, n59894, n59895, n59896, n59897, n59898,
+         n59899, n59900, n59901, n59902, n59903, n59904, n59905, n59906,
+         n59907, n59908, n59909, n59910, n59911, n59912, n59913, n59914,
+         n59915, n59916, n59917, n59918, n59919, n59920, n59921, n59922,
+         n59923, n59924, n59925, n59926, n59927, n59928, n59929, n59930,
+         n59931, n59932, n59933, n59934, n59935, n59936, n59937, n59938,
+         n59939, n59940, n59941, n59942, n59943, n59944, n59945, n59946,
+         n59947, n59948, n59949, n59950, n59951, n59952, n59953, n59954,
+         n59955, n59956, n59957, n59958, n59959, n59960, n59961, n59962,
+         n59963, n59964, n59965, n59966, n59967, n59968, n59969, n59970,
+         n59971, n59972, n59973, n59974, n59975, n59976, n59977, n59978,
+         n59979, n59980, n59981, n59982, n59983, n59984, n59985, n59986,
+         n59987, n59988, n59989, n59990, n59991, n59992, n59993, n59994,
+         n59995, n59996, n59997, n59998, n59999, n60000, n60001, n60002,
+         n60003, n60004, n60005, n60006, n60007, n60008, n60009, n60010,
+         n60011, n60012, n60013, n60014, n60015, n60016, n60017, n60018,
+         n60019, n60020, n60021, n60022, n60023, n60024, n60025, n60026,
+         n60027, n60028, n60029, n60030, n60031, n60032, n60033, n60034,
+         n60035, n60036, n60037, n60038, n60039, n60040, n60041, n60042,
+         n60043, n60044, n60045, n60046, n60047, n60048, n60049, n60050,
+         n60051, n60052, n60053, n60054, n60055, n60056, n60057, n60058,
+         n60059, n60060, n60061, n60062, n60063, n60064, n60065, n60066,
+         n60067, n60068, n60069, n60070, n60071, n60072, n60073, n60074,
+         n60075, n60076, n60077, n60078, n60079, n60080, n60081, n60082,
+         n60083, n60084, n60085, n60086, n60087, n60088, n60089, n60090,
+         n60091, n60092, n60093, n60094, n60095, n60096, n60097, n60098,
+         n60099, n60100, n60101, n60102, n60103, n60104, n60105, n60106,
+         n60107, n60108, n60109, n60110, n60111, n60112, n60113, n60114,
+         n60115, n60116, n60117, n60118, n60119, n60120, n60121, n60122,
+         n60123, n60124, n60125, n60126, n60127, n60128, n60129, n60130,
+         n60131, n60132, n60133, n60134, n60135, n60136, n60137, n60138,
+         n60139, n60140, n60141, n60142, n60143, n60144, n60145, n60146,
+         n60147, n60148, n60149, n60150, n60151, n60152, n60153, n60154,
+         n60155, n60156, n60157, n60158, n60159, n60160, n60161, n60162,
+         n60163, n60164, n60165, n60166, n60167, n60168, n60169, n60170,
+         n60171, n60172, n60173, n60174, n60175, n60176, n60177, n60178,
+         n60179, n60180, n60181, n60182, n60183, n60184, n60185, n60186,
+         n60187, n60188, n60189, n60190, n60191, n60192, n60193, n60194,
+         n60195, n60196, n60197, n60198, n60199, n60200, n60201, n60202,
+         n60203, n60204, n60205, n60206, n60207, n60208, n60209, n60210,
+         n60211, n60212, n60213, n60214, n60215, n60216, n60217, n60218,
+         n60219, n60220, n60221, n60222, n60223, n60224, n60225, n60226,
+         n60227, n60228, n60229, n60230, n60231, n60232, n60233, n60234,
+         n60235, n60236, n60237, n60238, n60239, n60240, n60241, n60242,
+         n60243, n60244, n60245, n60246, n60247, n60248, n60249, n60250,
+         n60251, n60252, n60253, n60254, n60255, n60256, n60257, n60258,
+         n60259, n60260, n60261, n60262, n60263, n60264, n60265, n60266,
+         n60267, n60268, n60269, n60270, n60271, n60272, n60273, n60274,
+         n60275, n60276, n60277, n60278, n60279, n60280, n60281, n60282,
+         n60283, n60284, n60285, n60286, n60287, n60288, n60289, n60290,
+         n60291, n60292, n60293, n60294, n60295, n60296, n60297, n60298,
+         n60299, n60300, n60301, n60302, n60303, n60304, n60305, n60306,
+         n60307, n60308, n60309, n60310, n60311, n60312, n60313, n60314,
+         n60315, n60316, n60317, n60318, n60319, n60320, n60321, n60322,
+         n60323, n60324, n60325, n60326, n60327, n60328, n60329, n60330,
+         n60331, n60332, n60333, n60334, n60335, n60336, n60337, n60338,
+         n60339, n60340, n60341, n60342, n60343, n60344, n60345, n60346,
+         n60347, n60348, n60349, n60350, n60351, n60352, n60353, n60354,
+         n60355, n60356, n60357, n60358, n60359, n60360, n60361, n60362,
+         n60363, n60364, n60365, n60366, n60367, n60368, n60369, n60370,
+         n60371, n60372, n60373, n60374, n60375, n60376, n60377, n60378,
+         n60379, n60380, n60381, n60382, n60383, n60384, n60385, n60386,
+         n60387, n60388, n60389, n60390, n60391, n60392, n60393, n60394,
+         n60395, n60396, n60397, n60398, n60399, n60400, n60401, n60402,
+         n60403, n60404, n60405, n60406, n60407, n60408, n60409, n60410,
+         n60411, n60412, n60413, n60414, n60415, n60416, n60417, n60418,
+         n60419, n60420, n60421, n60422, n60423, n60424, n60425, n60426,
+         n60427, n60428, n60429, n60430, n60431, n60432, n60433, n60434,
+         n60435, n60436, n60437, n60438, n60439, n60440, n60441, n60442,
+         n60443, n60444, n60445, n60446, n60447, n60448, n60449, n60450,
+         n60451, n60452, n60453, n60455, n60456, n60457, n60458, n60459,
+         n60460, n60461, n60462, n60463, n60464, n60465, n60466, n60467,
+         n60468, n60469, n60470, n60471, n60472, n60473, n60474, n60475,
+         n60476, n60477, n60478, n60479, n60480, n60481, n60482, n60483,
+         n60484, n60485, n60486, n60487, n60488, n60489, n60490, n60491,
+         n60492, n60493, n60494, n60495, n60496, n60497, n60498, n60499,
+         n60500, n60501, n60502, n60503, n60504, n60505, n60506, n60507,
+         n60508, n60509, n60510, n60511, n60512, n60513, n60514, n60515,
+         n60516, n60517, n60518, n60519, n60520, n60521, n60522, n60523,
+         n60524, n60525, n60526, n60527, n60528, n60529, n60530, n60531,
+         n60532, n60533, n60534, n60535, n60536, n60537, n60538, n60539,
+         n60540, n60541, n60542, n60543, n60544, n60545, n60546, n60547,
+         n60548, n60549, n60550, n60551, n60552, n60553, n60554, n60555,
+         n60556, n60557, n60558, n60559, n60560, n60561, n60562, n60563,
+         n60564, n60565, n60566, n60567, n60568, n60569, n60570, n60571,
+         n60572, n60573, n60574, n60575, n60576, n60577, n60578, n60579,
+         n60580, n60581, n60582, n60583, n60584, n60585, n60586, n60587,
+         n60588, n60589, n60590, n60591, n60592, n60593, n60594, n60595,
+         n60596, n60597, n60598, n60599, n60600, n60601, n60602, n60603,
+         n60604, n60605, n60606, n60607, n60608, n60609, n60610, n60611,
+         n60612, n60613, n60614, n60615, n60616, n60617, n60618, n60619,
+         n60620, n60621, n60622, n60623, n60624, n60625, n60626, n60627,
+         n60628, n60629, n60630, n60631, n60632, n60633, n60634, n60635,
+         n60636, n60637, n60638, n60639, n60640, n60641, n60642, n60643,
+         n60644, n60645, n60646, n60647, n60648, n60649, n60650, n60651,
+         n60652, n60653, n60654, n60655, n60656, n60657, n60658, n60659,
+         n60660, n60661, n60662, n60663, n60664, n60665, n60666, n60667,
+         n60668, n60669, n60670, n60671, n60672, n60673, n60674, n60675,
+         n60676, n60677, n60678, n60679, n60680, n60681, n60682, n60683,
+         n60684, n60685, n60686, n60687, n60688, n60689, n60690, n60691,
+         n60692, n60693, n60694, n60695, n60696, n60697, n60698, n60699,
+         n60700, n60701, n60702, n60703, n60704, n60705, n60706, n60707,
+         n60708, n60709, n60710, n60711, n60712, n60713, n60714, n60715,
+         n60716, n60717, n60718, n60719, n60720, n60721, n60722, n60723,
+         n60724, n60725, n60726, n60727, n60728, n60729, n60730, n60731,
+         n60732, n60733, n60734, n60735, n60736, n60737, n60738, n60739,
+         n60740, n60741, n60742, n60743, n60744, n60745, n60746, n60747,
+         n60748, n60749, n60750, n60751, n60752, n60753, n60754, n60755,
+         n60756, n60757, n60758, n60759, n60760, n60761, n60762, n60763,
+         n60764, n60765, n60766, n60767, n60768, n60769, n60770, n60771,
+         n60772, n60773, n60774, n60775, n60776, n60777, n60778, n60779,
+         n60780, n60781, n60782, n60783, n60784, n60785, n60786, n60787,
+         n60788, n60789, n60790, n60791, n60792, n60793, n60794, n60795,
+         n60796, n60797, n60798, n60799, n60800, n60801, n60802, n60803,
+         n60804, n60805, n60806, n60807, n60808, n60809, n60810, n60811,
+         n60812, n60813, n60814, n60815, n60816, n60817, n60818, n60819,
+         n60820, n60821, n60822, n60823, n60824, n60825, n60826, n60827,
+         n60828, n60829, n60830, n60831, n60832, n60833, n60834, n60835,
+         n60836, n60837, n60838, n60839, n60840, n60841, n60842, n60843,
+         n60844, n60845, n60846, n60847, n60848, n60849, n60850, n60851,
+         n60852, n60853, n60854, n60855, n60856, n60857, n60858, n60859,
+         n60860, n60861, n60862, n60863, n60864, n60865, n60866, n60867,
+         n60868, n60869, n60870, n60871, n60872, n60873, n60874, n60875,
+         n60876, n60877, n60878, n60879, n60880, n60881, n60882, n60883,
+         n60884, n60885, n60886, n60887, n60888, n60889, n60890, n60891,
+         n60892, n60893, n60894, n60895, n60896, n60897, n60898, n60899,
+         n60900, n60901, n60902, n60903, n60904, n60905, n60906, n60907,
+         n60908, n60909, n60910, n60911, n60912, n60913, n60914, n60915,
+         n60916, n60917, n60918, n60919, n60920, n60921, n60922, n60923,
+         n60924, n60925, n60926, n60927, n60928, n60929, n60930, n60931,
+         n60932, n60933, n60934, n60935, n60936, n60937, n60938, n60939,
+         n60940, n60941, n60942, n60943, n60944, n60945, n60946, n60947,
+         n60948, n60949, n60950, n60951, n60952, n60953, n60954, n60955,
+         n60956, n60957, n60958, n60959, n60960, n60961, n60962, n60963,
+         n60964, n60965, n60966, n60967, n60968, n60969, n60970, n60971,
+         n60972, n60973, n60974, n60975, n60976, n60977, n60978, n60979,
+         n60980, n60981, n60982, n60983, n60984, n60985, n60986, n60987,
+         n60988, n60989, n60990, n60991, n60992, n60993, n60994, n60995,
+         n60996, n60997, n60998, n60999, n61000, n61001, n61002, n61003,
+         n61004, n61005, n61006, n61007, n61008, n61009, n61010, n61011,
+         n61012, n61013, n61014, n61015, n61016, n61017, n61018, n61019,
+         n61020, n61021, n61022, n61023, n61024, n61025, n61026, n61027,
+         n61028, n61029, n61030, n61031, n61032, n61033, n61034, n61035,
+         n61036, n61037, n61038, n61039, n61040, n61041, n61042, n61043,
+         n61044, n61045, n61046, n61047, n61048, n61049, n61050, n61051,
+         n61052, n61053, n61054, n61055, n61056, n61057, n61058, n61059,
+         n61060, n61061, n61062, n61063, n61064, n61065, n61066, n61067,
+         n61068, n61069, n61070, n61071, n61072, n61073, n61074, n61075,
+         n61076, n61077, n61078, n61079, n61080, n61081, n61082, n61083,
+         n61084, n61085, n61086, n61087, n61088, n61089, n61090, n61091,
+         n61092, n61093, n61094, n61095, n61096, n61097, n61098, n61099,
+         n61100, n61101, n61102, n61103, n61104, n61105, n61106, n61107,
+         n61108, n61109, n61110, n61111, n61112, n61113, n61114, n61115,
+         n61116, n61117, n61118, n61119, n61120, n61121, n61122, n61123,
+         n61124, n61125, n61126, n61127, n61128, n61129, n61130, n61131,
+         n61132, n61133, n61134, n61135, n61136, n61137, n61138, n61139,
+         n61140, n61141, n61142, n61143, n61144, n61145, n61146, n61147,
+         n61148, n61149, n61150, n61151, n61152, n61153, n61154, n61155,
+         n61156, n61157, n61158, n61159, n61160, n61161, n61162, n61163,
+         n61164, n61165, n61166, n61167, n61168, n61169, n61170, n61171,
+         n61172, n61173, n61174, n61175, n61176, n61177, n61178, n61179,
+         n61180, n61181, n61182, n61183, n61184, n61185, n61186, n61187,
+         n61188, n61189, n61190, n61191, n61192, n61193, n61194, n61195,
+         n61196, n61197, n61198, n61199, n61200, n61201, n61202, n61203,
+         n61204, n61205, n61206, n61207, n61208, n61209, n61210, n61211,
+         n61212, n61213, n61214, n61215, n61216, n61217, n61218, n61219,
+         n61220, n61221, n61222, n61223, n61224, n61225, n61226, n61227,
+         n61228, n61229, n61230, n61231, n61232, n61233, n61234, n61235,
+         n61236, n61237, n61238, n61239, n61240, n61241, n61242, n61243,
+         n61244, n61245, n61246, n61247, n61248, n61249, n61250, n61251,
+         n61252, n61253, n61254, n61255, n61256, n61257, n61258, n61259,
+         n61260, n61261, n61262, n61263, n61264, n61265, n61266, n61267,
+         n61268, n61269, n61270, n61271, n61272, n61273, n61274, n61275,
+         n61276, n61277, n61278, n61279, n61280, n61281, n61282, n61283,
+         n61284, n61285, n61286, n61287, n61288, n61289, n61290, n61291,
+         n61292, n61293, n61294, n61295, n61296, n61297, n61298, n61299,
+         n61300, n61301, n61302, n61303, n61304, n61305, n61306, n61307,
+         n61308, n61309, n61310, n61311, n61312, n61313, n61314, n61315,
+         n61316, n61317, n61318, n61319, n61320, n61321, n61322, n61323,
+         n61324, n61325, n61326, n61327, n61328, n61329, n61330, n61331,
+         n61332, n61333, n61334, n61335, n61336, n61337, n61338, n61339,
+         n61340, n61341, n61342, n61343, n61344, n61345, n61346, n61347,
+         n61348, n61349, n61350, n61351, n61352, n61353, n61354, n61355,
+         n61356, n61357, n61358, n61359, n61360, n61361, n61362, n61363,
+         n61364, n61365, n61366, n61367, n61368, n61369, n61370, n61371,
+         n61372, n61373, n61374, n61375, n61376, n61377, n61378, n61379,
+         n61380, n61381, n61382, n61383, n61384, n61385, n61386, n61387,
+         n61388, n61389, n61390, n61391, n61392, n61393, n61394, n61395,
+         n61396, n61397, n61398, n61399, n61400, n61401, n61402, n61403,
+         n61404, n61405, n61406, n61407, n61408, n61409, n61410, n61411,
+         n61412, n61413, n61414, n61415, n61416, n61417, n61418, n61419,
+         n61420, n61421, n61422, n61423, n61424, n61425, n61426, n61427,
+         n61428, n61429, n61430, n61431, n61432, n61433, n61434, n61435,
+         n61436, n61437, n61438, n61439, n61440, n61441, n61442, n61443,
+         n61444, n61445, n61446, n61447, n61448, n61449, n61450, n61451,
+         n61452, n61453, n61454, n61455, n61456, n61457, n61458, n61459,
+         n61460, n61461, n61462, n61463, n61464, n61465, n61466, n61467,
+         n61468, n61469, n61470, n61471, n61472, n61473, n61474, n61475,
+         n61476, n61477, n61478, n61479, n61480, n61481, n61482, n61483,
+         n61484, n61485, n61486, n61487, n61488, n61489, n61490, n61491,
+         n61492, n61493, n61494, n61495, n61496, n61497, n61498, n61499,
+         n61500, n61501, n61502, n61503, n61504, n61505, n61506, n61507,
+         n61508, n61509, n61510, n61511, n61512, n61513, n61514, n61515,
+         n61516, n61517, n61518, n61519, n61520, n61521, n61522, n61523,
+         n61524, n61525, n61526, n61527, n61528, n61529, n61530, n61531,
+         n61532, n61533, n61534, n61535, n61536, n61537, n61538, n61539,
+         n61540, n61541, n61542, n61543, n61544, n61545, n61546, n61547,
+         n61548, n61549, n61550, n61551, n61552, n61553, n61554, n61555,
+         n61556, n61557, n61558, n61559, n61560, n61561, n61562, n61563,
+         n61564, n61565, n61566, n61567, n61568, n61569, n61570, n61571,
+         n61572, n61573, n61574, n61575, n61576, n61577, n61578, n61579,
+         n61580, n61581, n61582, n61583, n61584, n61585, n61586, n61587,
+         n61588, n61589, n61590, n61591, n61592, n61593, n61594, n61595,
+         n61596, n61597, n61598, n61599, n61600, n61601, n61602, n61603,
+         n61604, n61605, n61606, n61607, n61608, n61609, n61610, n61611,
+         n61612, n61613, n61614, n61615, n61616, n61617, n61618, n61619,
+         n61620, n61621, n61622, n61623, n61624, n61625, n61626, n61627,
+         n61628, n61629, n61630, n61631, n61632, n61633, n61634, n61635,
+         n61636, n61637, n61638, n61639, n61640, n61641, n61642, n61643,
+         n61644, n61645, n61646, n61647, n61648, n61649, n61650, n61651,
+         n61652, n61653, n61654, n61655, n61656, n61657, n61658, n61659,
+         n61660, n61661, n61662, n61663, n61664, n61665, n61666, n61667,
+         n61668, n61669, n61670, n61671, n61672, n61673, n61674, n61675,
+         n61676, n61677, n61678, n61679, n61680, n61681, n61682, n61683,
+         n61684, n61685, n61686, n61687, n61688, n61689, n61690, n61691,
+         n61692, n61693, n61694, n61695, n61696, n61697, n61698, n61699,
+         n61700, n61701, n61702, n61703, n61704, n61705, n61706, n61707,
+         n61708, n61709, n61710, n61711, n61712, n61713, n61714, n61715,
+         n61716, n61717, n61718, n61719, n61720, n61721, n61722, n61723,
+         n61724, n61725, n61726, n61727, n61728, n61729, n61730, n61731,
+         n61732, n61733, n61734, n61735, n61736, n61737, n61738, n61739,
+         n61740, n61741, n61742, n61743, n61744, n61745, n61746, n61747,
+         n61748, n61749, n61750, n61751, n61752, n61753, n61754, n61755,
+         n61756, n61757, n61758, n61759, n61760, n61761, n61762, n61763,
+         n61764, n61765, n61766, n61767, n61768, n61769, n61770, n61771,
+         n61772, n61773, n61774, n61775, n61776, n61777, n61778, n61779,
+         n61780, n61781, n61782, n61783, n61784, n61785, n61786, n61787,
+         n61788, n61789, n61790, n61791, n61792, n61793, n61794, n61795,
+         n61796, n61797, n61798, n61799, n61800, n61801, n61802, n61803,
+         n61804, n61805, n61806, n61807, n61808, n61809, n61810, n61811,
+         n61812, n61813, n61814, n61815, n61816, n61817, n61818, n61819,
+         n61820, n61821, n61822, n61823, n61824, n61825, n61826, n61827,
+         n61828, n61829, n61830, n61831, n61832, n61833, n61834, n61835,
+         n61836, n61837, n61838, n61839, n61840, n61841, n61842, n61843,
+         n61844, n61845, n61846, n61847, n61848, n61849, n61850, n61851,
+         n61852, n61853, n61854, n61855, n61856, n61857, n61858, n61859,
+         n61860, n61861, n61862, n61863, n61864, n61865, n61866, n61867,
+         n61868, n61869, n61870, n61871, n61872, n61873, n61874, n61875,
+         n61876, n61877, n61878, n61879, n61880, n61881, n61882, n61883,
+         n61884, n61885, n61886, n61887, n61888, n61889, n61890, n61891,
+         n61892, n61893, n61894, n61895, n61896, n61897, n61898, n61899,
+         n61900, n61901, n61902, n61903, n61904, n61905, n61906, n61907,
+         n61908, n61909, n61910, n61911, n61912, n61913, n61914, n61915,
+         n61916, n61917, n61918, n61919, n61920, n61921, n61922, n61923,
+         n61924, n61925, n61926, n61927, n61928, n61929, n61930, n61931,
+         n61932, n61933, n61934, n61935, n61936, n61937, n61938, n61939,
+         n61940, n61941, n61942, n61943, n61944, n61945, n61946, n61947,
+         n61948, n61949, n61950, n61951, n61952, n61953, n61954, n61955,
+         n61956, n61957, n61958, n61959, n61960, n61961, n61962, n61963,
+         n61964, n61965, n61966, n61967, n61968, n61969, n61970, n61971,
+         n61972, n61973, n61974, n61975, n61976, n61977, n61978, n61979,
+         n61980, n61981, n61982, n61983, n61984, n61985, n61986, n61987,
+         n61988, n61989, n61990, n61991, n61992, n61993, n61994, n61995,
+         n61996, n61997, n61998, n61999, n62000, n62001, n62002, n62003,
+         n62004, n62005, n62006, n62007, n62008, n62009, n62010, n62011,
+         n62012, n62013, n62014, n62015, n62016, n62017, n62018, n62019,
+         n62020, n62021, n62022, n62023, n62024, n62025, n62026, n62027,
+         n62028, n62029, n62030, n62031, n62032, n62033, n62034, n62035,
+         n62036, n62037, n62038, n62039, n62040, n62041, n62042, n62043,
+         n62044, n62045, n62046, n62047, n62048, n62049, n62050, n62051,
+         n62052, n62053, n62054, n62055, n62056, n62057, n62058, n62059,
+         n62060, n62061, n62062, n62063, n62064, n62065, n62066, n62067,
+         n62068, n62069, n62070, n62071, n62072, n62073, n62074, n62075,
+         n62076, n62077, n62078, n62079, n62080, n62081, n62082, n62083,
+         n62084, n62085, n62086, n62087, n62088, n62089, n62090, n62091,
+         n62092, n62093, n62094, n62095, n62096, n62097, n62098, n62099,
+         n62100, n62101, n62102, n62103, n62104, n62105, n62106, n62107,
+         n62108, n62109, n62110, n62111, n62112, n62113, n62114, n62115,
+         n62116, n62117, n62118, n62119, n62120, n62121, n62122, n62123,
+         n62124, n62125, n62126, n62127, n62128, n62129, n62130, n62131,
+         n62132, n62133, n62134, n62135, n62136, n62137, n62138, n62139,
+         n62140, n62141, n62142, n62143, n62144, n62145, n62146, n62147,
+         n62148, n62149, n62150, n62151, n62152, n62153, n62154, n62155,
+         n62156, n62157, n62158, n62159, n62160, n62161, n62162, n62163,
+         n62164, n62165, n62166, n62167, n62168, n62169, n62170, n62171,
+         n62172, n62173, n62174, n62175, n62176, n62177, n62178, n62179,
+         n62180, n62181, n62182, n62183, n62184, n62185, n62186, n62187,
+         n62188, n62189, n62190, n62191, n62192, n62193, n62194, n62195,
+         n62196, n62197, n62198, n62199, n62200, n62201, n62202, n62203,
+         n62204, n62205, n62206, n62207, n62208, n62209, n62210, n62211,
+         n62212, n62213, n62214, n62215, n62216, n62217, n62218, n62219,
+         n62220, n62221, n62222, n62223, n62224, n62225, n62226, n62227,
+         n62228, n62229, n62230, n62231, n62232, n62233, n62234, n62235,
+         n62236, n62237, n62238, n62239, n62240, n62241, n62242, n62243,
+         n62244, n62245, n62246, n62247, n62248, n62249, n62250, n62251,
+         n62252, n62253, n62254, n62255, n62256, n62257, n62258, n62259,
+         n62260, n62261, n62262, n62263, n62264, n62265, n62266, n62267,
+         n62268, n62269, n62270, n62271, n62272, n62273, n62274, n62275,
+         n62276, n62277, n62278, n62279, n62280, n62281, n62282, n62283,
+         n62284, n62285, n62286, n62287, n62288, n62289, n62290, n62291,
+         n62292, n62293, n62294, n62295, n62296, n62297, n62298, n62299,
+         n62300, n62301, n62302, n62303, n62304, n62305, n62306, n62307,
+         n62308, n62309, n62310, n62311, n62312, n62313, n62314, n62315,
+         n62316, n62317, n62318, n62319, n62320, n62321, n62322, n62323,
+         n62324, n62325, n62326, n62327, n62328, n62329, n62330, n62331,
+         n62332, n62333, n62334, n62335, n62336, n62337, n62338, n62339,
+         n62340, n62341, n62342, n62343, n62344, n62345, n62346, n62347,
+         n62348, n62349, n62350, n62351, n62352, n62353, n62354, n62355,
+         n62356, n62357, n62358, n62359, n62360, n62361, n62362, n62363,
+         n62364, n62365, n62366, n62367, n62368, n62369, n62370, n62371,
+         n62372, n62373, n62374, n62375, n62376, n62377, n62378, n62379,
+         n62380, n62381, n62382, n62383, n62384, n62385, n62386, n62387,
+         n62388, n62389, n62390, n62391, n62392, n62393, n62394, n62395,
+         n62396, n62397, n62398, n62399, n62400, n62401, n62402, n62403,
+         n62404, n62405, n62406, n62407, n62408, n62409, n62410, n62411,
+         n62412, n62413, n62414, n62415, n62416, n62417, n62418, n62419,
+         n62420, n62421, n62422, n62423, n62424, n62425, n62426, n62427,
+         n62428, n62429, n62430, n62431, n62432, n62433, n62434, n62435,
+         n62436, n62437, n62438, n62439, n62440, n62441, n62442, n62443,
+         n62444, n62445, n62446, n62447, n62448, n62449, n62450, n62451,
+         n62452, n62453, n62454, n62455, n62456, n62457, n62458, n62459,
+         n62460, n62461, n62462, n62463, n62464, n62465, n62466, n62467,
+         n62468, n62469, n62470, n62471, n62472, n62473, n62474, n62475,
+         n62476, n62477, n62478, n62479, n62480, n62481, n62482, n62483,
+         n62484, n62485, n62486, n62487, n62488, n62489, n62490, n62491,
+         n62492, n62493, n62494, n62495, n62496, n62497, n62498, n62499,
+         n62500, n62501, n62502, n62503, n62504, n62505, n62506, n62507,
+         n62508, n62509, n62510, n62511, n62512, n62513, n62514, n62515,
+         n62516, n62517, n62518, n62519, n62520, n62521, n62522, n62523,
+         n62524, n62525, n62526, n62527, n62528, n62529, n62530, n62531,
+         n62532, n62533, n62534, n62535, n62536, n62537, n62538, n62539,
+         n62540, n62541, n62542, n62543, n62544, n62545, n62546, n62547,
+         n62548, n62549, n62550, n62551, n62552, n62553, n62554, n62555,
+         n62556, n62557, n62558, n62559, n62560, n62561, n62562, n62563,
+         n62564, n62565, n62566, n62567, n62568, n62569, n62570, n62571,
+         n62572, n62573, n62574, n62575, n62576, n62577, n62578, n62579,
+         n62580, n62581, n62582, n62583, n62584, n62585, n62586, n62587,
+         n62588, n62589, n62590, n62591, n62592, n62593, n62594, n62595,
+         n62596, n62597, n62598, n62599, n62600, n62601, n62602, n62603,
+         n62604, n62605, n62606, n62607, n62608, n62609, n62610, n62611,
+         n62612, n62613, n62614, n62615, n62616, n62617, n62618, n62619,
+         n62620, n62621, n62622, n62623, n62624, n62625, n62626, n62627,
+         n62628, n62629, n62630, n62631, n62632, n62633, n62634, n62635,
+         n62636, n62637, n62638, n62639, n62640, n62641, n62642, n62643,
+         n62644, n62645, n62646, n62647, n62648, n62649, n62650, n62651,
+         n62652, n62653, n62654, n62655, n62656, n62657, n62658, n62659,
+         n62660, n62661, n62662, n62663, n62664, n62665, n62666, n62667,
+         n62668, n62669, n62670, n62671, n62672, n62673, n62674, n62675,
+         n62676, n62677, n62678, n62679, n62680, n62681, n62682, n62683,
+         n62684, n62685, n62686, n62687, n62688, n62689, n62690, n62691,
+         n62692, n62693, n62694, n62695, n62696, n62697, n62698, n62699,
+         n62700, n62701, n62702, n62703, n62704, n62705, n62706, n62707,
+         n62708, n62709, n62710, n62711, n62712, n62713, n62714, n62715,
+         n62716, n62717, n62718, n62719, n62720, n62721, n62722, n62723,
+         n62724, n62725, n62726, n62727, n62728, n62729, n62730, n62731,
+         n62732, n62733, n62734, n62735, n62736, n62737, n62738, n62739,
+         n62740, n62741, n62742, n62743, n62744, n62745, n62746, n62747,
+         n62748, n62749, n62750, n62751, n62752, n62753, n62754, n62755,
+         n62756, n62757, n62758, n62759, n62760, n62761, n62762, n62763,
+         n62764, n62765, n62766, n62767, n62768, n62769, n62770, n62771,
+         n62772, n62773, n62774, n62775, n62776, n62777, n62778, n62779,
+         n62780, n62781, n62782, n62783, n62784, n62785, n62786, n62787,
+         n62788, n62789, n62790, n62791, n62792, n62793, n62794, n62795,
+         n62796, n62797, n62798, n62799, n62800, n62801, n62802, n62803,
+         n62804, n62805, n62806, n62807, n62808, n62809, n62810, n62811,
+         n62812, n62813, n62814, n62815, n62816, n62817, n62818, n62819,
+         n62820, n62821, n62822, n62823, n62824, n62825, n62826, n62827,
+         n62828, n62829, n62830, n62831, n62832, n62833, n62834, n62835,
+         n62836, n62837, n62838, n62839, n62840, n62841, n62842, n62843,
+         n62844, n62845, n62846, n62847, n62848, n62849, n62850, n62851,
+         n62852, n62853, n62854, n62855, n62856, n62857, n62858, n62859,
+         n62860, n62861, n62862, n62863, n62864, n62865, n62866, n62867,
+         n62868, n62869, n62870, n62871, n62872, n62873, n62874, n62875,
+         n62876, n62877, n62878, n62879, n62880, n62881, n62882, n62883,
+         n62884, n62885, n62886, n62887, n62888, n62889, n62890, n62891,
+         n62892, n62893, n62894, n62895, n62896, n62897, n62898, n62899,
+         n62900, n62901, n62902, n62903, n62904, n62905, n62906, n62907,
+         n62908, n62909, n62910, n62911, n62912, n62913, n62914, n62915,
+         n62916, n62917, n62918, n62919, n62920, n62921, n62922, n62923,
+         n62924, n62925, n62926, n62927, n62928, n62929, n62930, n62931,
+         n62932, n62933, n62934, n62935, n62936, n62937, n62938, n62939,
+         n62940, n62941, n62942, n62943, n62944, n62945, n62946, n62947,
+         n62948, n62949, n62950, n62951, n62952, n62953, n62954, n62955,
+         n62956, n62957, n62958, n62959, n62960, n62961, n62962, n62963,
+         n62964, n62965, n62966, n62967, n62968, n62969, n62970, n62971,
+         n62972, n62973, n62974, n62975, n62976, n62977, n62978, n62979,
+         n62980, n62981, n62982, n62983, n62984, n62985, n62986, n62987,
+         n62988, n62989, n62990, n62991, n62992, n62993, n62994, n62995,
+         n62996, n62997, n62998, n62999, n63000, n63001, n63002, n63003,
+         n63004, n63005, n63006, n63007, n63008, n63009, n63010, n63011,
+         n63012, n63013, n63014, n63015, n63016, n63017, n63018, n63019,
+         n63020, n63021, n63022, n63023, n63024, n63025, n63026, n63027,
+         n63028, n63029, n63030, n63031, n63032, n63033, n63034, n63035,
+         n63036, n63037, n63038, n63039, n63040, n63041, n63042, n63043,
+         n63044, n63045, n63046, n63047, n63048, n63049, n63050, n63051,
+         n63052, n63053, n63054, n63055, n63056, n63057, n63058, n63059,
+         n63060, n63061, n63062, n63063, n63064, n63065, n63066, n63067,
+         n63068, n63069, n63070, n63071, n63072, n63073, n63074, n63075,
+         n63076, n63077, n63078, n63079, n63080, n63081, n63082, n63083,
+         n63084, n63085, n63086, n63087, n63088, n63089, n63090, n63091,
+         n63092, n63093, n63094, n63095, n63096, n63097, n63098, n63099,
+         n63100, n63101, n63102, n63103, n63104, n63105, n63106, n63107,
+         n63108, n63109, n63110, n63111, n63112, n63113, n63114, n63115,
+         n63116, n63117, n63118, n63119, n63120, n63121, n63122, n63123,
+         n63124, n63125, n63126, n63127, n63128, n63129, n63130, n63131,
+         n63132, n63133, n63134, n63135, n63136, n63137, n63138, n63139,
+         n63140, n63141, n63142, n63143, n63144, n63145, n63146, n63147,
+         n63148, n63149, n63150, n63151, n63152, n63153, n63154, n63155,
+         n63156, n63157, n63158, n63159, n63160, n63161, n63162, n63163,
+         n63164, n63165, n63166, n63167, n63168, n63169, n63170, n63171,
+         n63172, n63173, n63174, n63175, n63176, n63177, n63178, n63179,
+         n63180, n63181, n63182, n63183, n63184, n63185, n63186, n63187,
+         n63188, n63189, n63190, n63191, n63192, n63193, n63194, n63195,
+         n63196, n63197, n63198, n63199, n63200, n63201, n63202, n63203,
+         n63204, n63205, n63206, n63207, n63208, n63209, n63210, n63211,
+         n63212, n63213, n63214, n63215, n63216, n63217, n63218, n63219,
+         n63220, n63221, n63222, n63223, n63224, n63225, n63226, n63227,
+         n63228, n63229, n63230, n63231, n63232, n63233, n63234, n63235,
+         n63236, n63237, n63238, n63239, n63240, n63241, n63242, n63243,
+         n63244, n63245, n63246, n63247, n63248, n63249, n63250, n63251,
+         n63252, n63253, n63254, n63255, n63256, n63257, n63258, n63259,
+         n63260, n63261, n63262, n63263, n63264, n63265, n63266, n63267,
+         n63268, n63269, n63270, n63271, n63272, n63273, n63274, n63275,
+         n63276, n63277, n63278, n63279, n63280, n63281, n63282, n63283,
+         n63284, n63285, n63286, n63287, n63288, n63289, n63290, n63291,
+         n63292, n63293, n63294, n63295, n63296, n63297, n63298, n63299,
+         n63300, n63301, n63302, n63303, n63304, n63305, n63306, n63307,
+         n63308, n63309, n63310, n63311, n63312, n63313, n63314, n63315,
+         n63316, n63317, n63318, n63319, n63320, n63321, n63322, n63323,
+         n63324, n63325, n63326, n63327, n63328, n63329, n63330, n63331,
+         n63332, n63333, n63334, n63335, n63336, n63337, n63338, n63339,
+         n63340, n63341, n63342, n63343, n63344, n63345, n63346, n63347,
+         n63348, n63349, n63350, n63351, n63352, n63353, n63354, n63355,
+         n63356, n63357, n63358, n63359, n63360, n63361, n63362, n63363,
+         n63364, n63365, n63366, n63367, n63368, n63369, n63370, n63371,
+         n63372, n63373, n63374, n63375, n63376, n63377, n63378, n63379,
+         n63380, n63381, n63382, n63383, n63384, n63385, n63386, n63387,
+         n63388, n63389, n63390, n63391, n63392, n63393, n63394, n63395,
+         n63396, n63397, n63398, n63399, n63400, n63401, n63402, n63403,
+         n63404, n63405, n63406, n63407, n63408, n63409, n63410, n63411,
+         n63412, n63413, n63414, n63415, n63416, n63417, n63418, n63419,
+         n63420, n63421, n63422, n63423, n63424, n63425, n63426, n63427,
+         n63428, n63429, n63430, n63431, n63432, n63433, n63434, n63435,
+         n63436, n63437, n63438, n63439, n63440, n63441, n63442, n63443,
+         n63444, n63445, n63446, n63447, n63448, n63449, n63450, n63451,
+         n63452, n63453, n63454, n63455, n63456, n63457, n63458, n63459,
+         n63460, n63461, n63462, n63463, n63464, n63465, n63466, n63467,
+         n63468, n63469, n63470, n63471, n63472, n63473, n63474, n63475,
+         n63476, n63477, n63478, n63479, n63480, n63481, n63482, n63483,
+         n63484, n63485, n63486, n63487, n63488, n63489, n63490, n63491,
+         n63492, n63493, n63494, n63495, n63496, n63497, n63498, n63499,
+         n63500, n63501, n63502, n63503, n63504, n63505, n63506, n63507,
+         n63508, n63509, n63510, n63511, n63512, n63513, n63514, n63515,
+         n63516, n63517, n63518, n63519, n63520, n63521, n63522, n63523,
+         n63524, n63525, n63526, n63527, n63528, n63529, n63530, n63531,
+         n63532, n63533, n63534, n63535, n63536, n63537, n63538, n63539,
+         n63540, n63541, n63542, n63543, n63544, n63545, n63546, n63547,
+         n63548, n63549, n63550, n63551, n63552, n63553, n63554, n63555,
+         n63556, n63557, n63558, n63559, n63560, n63561, n63562, n63563,
+         n63564, n63565, n63566, n63567, n63568, n63569, n63570, n63571,
+         n63572, n63573, n63574, n63575, n63576, n63577, n63578, n63579,
+         n63580, n63581, n63582, n63583, n63584, n63585, n63586, n63587,
+         n63588, n63589, n63590, n63591, n63592, n63593, n63594, n63595,
+         n63596, n63597, n63598, n63599, n63600, n63601, n63602, n63603,
+         n63604, n63605, n63606, n63607, n63608, n63609, n63610, n63611,
+         n63612, n63613, n63614, n63615, n63616, n63617, n63618, n63619,
+         n63620, n63621, n63622, n63623, n63624, n63625, n63626, n63627,
+         n63628, n63629, n63630, n63631, n63632, n63633, n63634, n63635,
+         n63636, n63637, n63638, n63639, n63640, n63641, n63642, n63643,
+         n63644, n63645, n63646, n63647, n63648, n63649, n63650, n63651,
+         n63652, n63653, n63654, n63655, n63656, n63657, n63659, n63660,
+         n63661, n63662, n63663, n63664, n63665, n63666, n63667, n63668,
+         n63669, n63670, n63671, n63672, n63673, n63674, n63675, n63676,
+         n63677, n63678, n63679, n63680, n63681, n63682, n63683, n63684,
+         n63685, n63686, n63687, n63688, n63689, n63690, n63691, n63692,
+         n63693, n63694, n63695, n63696, n63697, n63698, n63699, n63700,
+         n63701, n63702, n63703, n63704, n63705, n63706, n63707, n63708,
+         n63709, n63710, n63711, n63712, n63713, n63714, n63715, n63716,
+         n63717, n63718, n63719, n63720, n63721, n63722, n63723, n63724,
+         n63725, n63726, n63727, n63728, n63729, n63730, n63731, n63732,
+         n63733, n63734, n63735, n63736, n63737, n63738, n63739, n63740,
+         n63741, n63742, n63743, n63744, n63745, n63746, n63747, n63748,
+         n63749, n63750, n63751, n63752, n63753, n63754, n63755, n63756,
+         n63757, n63758, n63759, n63760, n63761, n63762, n63763, n63764,
+         n63765, n63766, n63767, n63768, n63769, n63770, n63771, n63772,
+         n63773, n63774, n63775, n63776, n63777, n63778, n63779, n63780,
+         n63781, n63782, n63783, n63784, n63785, n63786, n63787, n63788,
+         n63789, n63790, n63791, n63792, n63793, n63794, n63795, n63796,
+         n63797, n63798, n63799, n63800, n63801, n63802, n63803, n63804,
+         n63805, n63806, n63807, n63808, n63809, n63810, n63811, n63812,
+         n63813, n63814, n63815, n63816, n63817, n63818, n63819, n63820,
+         n63821, n63822, n63823, n63824, n63825, n63826, n63827, n63828,
+         n63829, n63830, n63831, n63832, n63833, n63834, n63835, n63836,
+         n63837, n63838, n63839, n63840, n63841, n63842, n63843, n63844,
+         n63845, n63846, n63847, n63848, n63849, n63850, n63851, n63852,
+         n63853, n63854, n63855, n63856, n63857, n63858, n63859, n63860,
+         n63861, n63862, n63863, n63864, n63865, n63866, n63867, n63868,
+         n63869, n63870, n63871, n63872, n63873, n63874, n63875, n63876,
+         n63877, n63878, n63879, n63880, n63881, n63882, n63883, n63884,
+         n63885, n63886, n63887, n63888, n63889, n63890, n63891, n63892,
+         n63893, n63894, n63895, n63896, n63897, n63898, n63899, n63900,
+         n63901, n63902, n63903, n63904, n63905, n63906, n63907, n63908,
+         n63909, n63910, n63911, n63912, n63913, n63914, n63915, n63916,
+         n63917, n63918, n63919, n63920, n63921, n63922, n63923, n63924,
+         n63925, n63926, n63927, n63928, n63929, n63930, n63931, n63932,
+         n63933, n63934, n63935, n63936, n63937, n63938, n63939, n63940,
+         n63941, n63942, n63943, n63944, n63945, n63946, n63947, n63948,
+         n63949, n63950, n63951, n63952, n63953, n63954, n63955, n63956,
+         n63957, n63958, n63959, n63960, n63961, n63962, n63963, n63964,
+         n63965, n63966, n63967, n63968, n63969, n63970, n63971, n63972,
+         n63973, n63974, n63975, n63976, n63977, n63978, n63979, n63980,
+         n63981, n63982, n63983, n63984, n63985, n63986, n63987, n63988,
+         n63989, n63990, n63991, n63992, n63993, n63994, n63995, n63996,
+         n63997, n63998, n63999, n64000, n64001, n64002, n64003, n64004,
+         n64005, n64006, n64007, n64008, n64009, n64010, n64011, n64012,
+         n64013, n64014, n64015, n64016, n64017, n64018, n64019, n64020,
+         n64021, n64022, n64023, n64024, n64025, n64026, n64027, n64028,
+         n64029, n64030, n64031, n64032, n64033, n64034, n64035, n64036,
+         n64037, n64038, n64039, n64040, n64041, n64042, n64043, n64044,
+         n64045, n64046, n64047, n64048, n64049, n64050, n64051, n64052,
+         n64053, n64054, n64055, n64056, n64057, n64058, n64059, n64060,
+         n64061, n64062, n64063, n64064, n64065, n64066, n64067, n64068,
+         n64069, n64070, n64071, n64072, n64073, n64074, n64075, n64076,
+         n64077, n64078, n64079, n64080, n64081, n64082, n64083, n64084,
+         n64085, n64086, n64087, n64088, n64089, n64090, n64091, n64092,
+         n64093, n64094, n64095, n64096, n64097, n64098, n64099, n64100,
+         n64101, n64102, n64103, n64104, n64105, n64106, n64107, n64108,
+         n64109, n64110, n64111, n64112, n64113, n64114, n64115, n64116,
+         n64117, n64118, n64119, n64120, n64121, n64122, n64123, n64124,
+         n64125, n64126, n64127, n64128, n64129, n64130, n64131, n64132,
+         n64133, n64134, n64135, n64136, n64137, n64138, n64139, n64140,
+         n64141, n64142, n64143, n64144, n64145, n64146, n64147, n64148,
+         n64149, n64150, n64151, n64152, n64153, n64154, n64155, n64156,
+         n64157, n64158, n64159, n64160, n64161, n64162, n64163, n64164,
+         n64165, n64166, n64167, n64168, n64169, n64170, n64171, n64172,
+         n64173, n64174, n64175, n64176, n64177, n64178, n64179, n64180,
+         n64181, n64182, n64183, n64184, n64185, n64186, n64187, n64188,
+         n64189, n64190, n64191, n64192, n64193, n64194, n64195, n64196,
+         n64197, n64198, n64199, n64200, n64201, n64202, n64203, n64204,
+         n64205, n64206, n64207, n64208, n64209, n64210, n64211, n64212,
+         n64213, n64214, n64215, n64216, n64217, n64218, n64219, n64220,
+         n64221, n64222, n64223, n64224, n64225, n64226, n64227, n64228,
+         n64229, n64230, n64231, n64232, n64233, n64234, n64235, n64236,
+         n64237, n64238, n64239, n64240, n64241, n64242, n64243, n64244,
+         n64245, n64246, n64247, n64248, n64249, n64250, n64251, n64252,
+         n64253, n64254, n64255, n64256, n64257, n64258, n64259, n64260,
+         n64261, n64262, n64263, n64264, n64265, n64266, n64267, n64268,
+         n64269, n64270, n64271, n64272, n64273, n64274, n64275, n64276,
+         n64277, n64278, n64279, n64280, n64281, n64282, n64283, n64284,
+         n64285, n64286, n64287, n64288, n64289, n64290, n64291, n64292,
+         n64293, n64294, n64295, n64296, n64297, n64298, n64299, n64300,
+         n64301, n64302, n64303, n64304, n64305, n64306, n64307, n64308,
+         n64309, n64310, n64311, n64312, n64313, n64314, n64315, n64316,
+         n64317, n64318, n64319, n64320, n64321, n64322, n64323, n64324,
+         n64325, n64326, n64327, n64328, n64329, n64330, n64331, n64332,
+         n64333, n64334, n64335, n64336, n64337, n64338, n64339, n64340,
+         n64341, n64342, n64343, n64344, n64345, n64346, n64347, n64348,
+         n64349, n64350, n64351, n64352, n64353, n64354, n64355, n64356,
+         n64357, n64358, n64359, n64360, n64361, n64362, n64363, n64364,
+         n64365, n64366, n64367, n64368, n64369, n64370, n64371, n64372,
+         n64373, n64374, n64375, n64376, n64377, n64378, n64379, n64380,
+         n64381, n64382, n64383, n64384, n64385, n64386, n64387, n64388,
+         n64389, n64390, n64391, n64392, n64393, n64394, n64395, n64396,
+         n64397, n64398, n64399, n64400, n64401, n64402, n64403, n64404,
+         n64405, n64406, n64407, n64408, n64409, n64410, n64411, n64412,
+         n64413, n64414, n64415, n64416, n64417, n64418, n64419, n64420,
+         n64421, n64422, n64423, n64424, n64425, n64426, n64427, n64428,
+         n64429, n64430, n64431, n64432, n64433, n64434, n64435, n64436,
+         n64437, n64438, n64439, n64440, n64441, n64442, n64443, n64444,
+         n64445, n64446, n64447, n64448, n64449, n64450, n64451, n64452,
+         n64453, n64454, n64455, n64456, n64457, n64458, n64459, n64460,
+         n64461, n64462, n64463, n64464, n64465, n64466, n64467, n64468,
+         n64469, n64470, n64471, n64472, n64473, n64474, n64475, n64476,
+         n64477, n64478, n64479, n64480, n64481, n64482, n64483, n64484,
+         n64485, n64486, n64487, n64488, n64489, n64490, n64491, n64492,
+         n64493, n64494, n64495, n64496, n64497, n64498, n64499, n64500,
+         n64501, n64502, n64503, n64504, n64505, n64506, n64507, n64508,
+         n64509, n64510, n64511, n64512, n64513, n64514, n64515, n64516,
+         n64517, n64518, n64519, n64520, n64521, n64522, n64523, n64524,
+         n64525, n64526, n64527, n64528, n64529, n64530, n64531, n64532,
+         n64533, n64534, n64535, n64536, n64537, n64538, n64539, n64540,
+         n64541, n64542, n64543, n64544, n64545, n64546, n64547, n64548,
+         n64549, n64550, n64551, n64552, n64553, n64554, n64555, n64556,
+         n64557, n64558, n64559, n64560, n64561, n64562, n64563, n64564,
+         n64565, n64566, n64567, n64568, n64569, n64570, n64571, n64572,
+         n64573, n64574, n64575, n64576, n64577, n64578, n64579, n64580,
+         n64581, n64582, n64583, n64584, n64585, n64586, n64587, n64588,
+         n64589, n64590, n64591, n64592, n64593, n64594, n64595, n64596,
+         n64597, n64598, n64599, n64600, n64601, n64602, n64603, n64604,
+         n64605, n64606, n64607, n64608, n64609, n64610, n64611, n64612,
+         n64613, n64614, n64615, n64616, n64617, n64618, n64619, n64620,
+         n64621, n64622, n64623, n64624, n64625, n64626, n64627, n64628,
+         n64629, n64630, n64631, n64632, n64633, n64634, n64635, n64636,
+         n64637, n64638, n64639, n64640, n64641, n64642, n64643, n64644,
+         n64645, n64646, n64647, n64648, n64649, n64650, n64651, n64652,
+         n64653, n64654, n64655, n64656, n64657, n64658, n64659, n64660,
+         n64661, n64662, n64663, n64664, n64665, n64666, n64667, n64668,
+         n64669, n64670, n64671, n64672, n64673, n64674, n64675, n64676,
+         n64677, n64678, n64679, n64680, n64681, n64682, n64683, n64684,
+         n64685, n64686, n64687, n64688, n64689, n64690, n64691, n64692,
+         n64693, n64694, n64695, n64696, n64697, n64698, n64699, n64700,
+         n64701, n64702, n64703, n64704, n64705, n64706, n64707, n64708,
+         n64709, n64710, n64711, n64712, n64713, n64714, n64715, n64716,
+         n64717, n64718, n64719, n64720, n64721, n64722, n64723, n64724,
+         n64725, n64726, n64727, n64728, n64729, n64730, n64731, n64732,
+         n64733, n64734, n64735, n64736, n64737, n64738, n64739, n64740,
+         n64741, n64742, n64743, n64744, n64745, n64746, n64747, n64748,
+         n64749, n64750, n64751, n64752, n64753, n64754, n64755, n64756,
+         n64757, n64758, n64759, n64760, n64761, n64762, n64763, n64764,
+         n64765, n64766, n64767, n64768, n64769, n64770, n64771, n64772,
+         n64773, n64774, n64775, n64776, n64777, n64778, n64779, n64780,
+         n64781, n64782, n64783, n64784, n64785, n64786, n64787, n64788,
+         n64789, n64790, n64791, n64792, n64793, n64794, n64795, n64796,
+         n64797, n64798, n64799, n64800, n64801, n64802, n64803, n64804,
+         n64805, n64806, n64807, n64808, n64809, n64810, n64811, n64812,
+         n64813, n64814, n64815, n64816, n64817, n64818, n64819, n64820,
+         n64821, n64822, n64823, n64824, n64825, n64826, n64827, n64828,
+         n64829, n64830, n64831, n64832, n64833, n64834, n64835, n64836,
+         n64837, n64838, n64839, n64840, n64841, n64842, n64843, n64844,
+         n64845, n64846, n64847, n64848, n64849, n64850, n64851, n64852,
+         n64853, n64854, n64855, n64856, n64857, n64858, n64859, n64860,
+         n64861, n64862, n64863, n64864, n64865, n64866, n64867, n64868,
+         n64869, n64870, n64871, n64872, n64873, n64874, n64875, n64876,
+         n64877, n64878, n64879, n64880, n64881, n64882, n64883, n64884,
+         n64885, n64886, n64887, n64888, n64889, n64890, n64891, n64892,
+         n64893, n64894, n64895, n64896, n64897, n64898, n64899, n64900,
+         n64901, n64902, n64903, n64904, n64905, n64906, n64907, n64908,
+         n64909, n64910, n64911, n64912, n64913, n64914, n64915, n64916,
+         n64917, n64918, n64919, n64920, n64921, n64922, n64923, n64924,
+         n64925, n64926, n64927, n64928, n64929, n64930, n64931, n64932,
+         n64933, n64934, n64935, n64936, n64937, n64938, n64939, n64940,
+         n64941, n64942, n64943, n64944, n64945, n64946, n64947, n64948,
+         n64949, n64950, n64951, n64952, n64953, n64954, n64955, n64956,
+         n64957, n64958, n64959, n64960, n64961, n64962, n64963, n64964,
+         n64965, n64966, n64967, n64968, n64969, n64970, n64971, n64972,
+         n64973, n64974, n64975, n64976, n64977, n64978, n64979, n64980,
+         n64981, n64982, n64983, n64984, n64985, n64986, n64987, n64988,
+         n64989, n64990, n64991, n64992, n64993, n64994, n64995, n64996,
+         n64997, n64998, n64999, n65000, n65001, n65002, n65003, n65004,
+         n65005, n65006, n65007, n65008, n65009, n65010, n65011, n65012,
+         n65013, n65014, n65015, n65016, n65017, n65018, n65019, n65020,
+         n65021, n65022, n65023, n65024, n65025, n65026, n65027, n65028,
+         n65029, n65030, n65031, n65032, n65033, n65034, n65035, n65036,
+         n65037, n65038, n65039, n65040, n65041, n65042, n65043, n65044,
+         n65045, n65046, n65047, n65048, n65049, n65050, n65051, n65052,
+         n65053, n65054, n65055, n65056, n65057, n65058, n65059, n65060,
+         n65061, n65062, n65063, n65064, n65065, n65066, n65067, n65068,
+         n65069, n65070, n65071, n65072, n65073, n65074, n65075, n65076,
+         n65077, n65078, n65079, n65080, n65081, n65082, n65083, n65084,
+         n65085, n65086, n65087, n65088, n65089, n65090, n65091, n65092,
+         n65093, n65094, n65095, n65096, n65097, n65098, n65099, n65100,
+         n65101, n65102, n65103, n65104, n65105, n65106, n65107, n65108,
+         n65109, n65110, n65111, n65112, n65113, n65114, n65115, n65116,
+         n65117, n65118, n65119, n65120, n65121, n65122, n65123, n65124,
+         n65125, n65126, n65127, n65128, n65129, n65130, n65131, n65132,
+         n65133, n65134, n65135, n65136, n65137, n65138, n65139, n65140,
+         n65141, n65142, n65143, n65144, n65145, n65146, n65147, n65148,
+         n65149, n65150, n65151, n65152, n65153, n65154, n65155, n65156,
+         n65157, n65158, n65159, n65160, n65161, n65162, n65163, n65164,
+         n65165, n65166, n65167, n65168, n65169, n65170, n65171, n65172,
+         n65173, n65174, n65175, n65176, n65177, n65178, n65179, n65180,
+         n65181, n65182, n65183, n65184, n65185, n65186, n65187, n65188,
+         n65189, n65190, n65191, n65192, n65193, n65194, n65195, n65196,
+         n65197, n65198, n65199, n65200, n65201, n65202, n65203, n65204,
+         n65205, n65206, n65207, n65208, n65209, n65210, n65211, n65212,
+         n65213, n65214, n65215, n65216, n65217, n65218, n65219, n65220,
+         n65221, n65222, n65223, n65224, n65225, n65226, n65227, n65228,
+         n65229, n65230, n65231, n65233, n65234, n65235, n65236, n65237,
+         n65238, n65239, n65240, n65241, n65242, n65243, n65244, n65245,
+         n65246, n65247, n65248, n65249, n65250, n65251, n65252, n65253,
+         n65254, n65255, n65256, n65257, n65258, n65259, n65260, n65261,
+         n65262, n65263, n65264, n65265, n65266, n65267, n65268, n65269,
+         n65270, n65271, n65272, n65273, n65274, n65275, n65276, n65277,
+         n65278, n65279, n65280, n65281, n65282, n65283, n65284, n65285,
+         n65286, n65287, n65288, n65289, n65290, n65291, n65292, n65293,
+         n65294, n65295, n65296, n65297, n65298, n65299, n65300, n65301,
+         n65302, n65303, n65304, n65305, n65306, n65307, n65308, n65309,
+         n65310, n65311, n65312, n65313, n65314, n65315, n65316, n65317,
+         n65318, n65319, n65320, n65321, n65322, n65323, n65324, n65325,
+         n65326, n65327, n65328, n65329, n65330, n65331, n65332, n65333,
+         n65334, n65335, n65336, n65337, n65338, n65339, n65340, n65341,
+         n65342, n65343, n65344, n65345, n65346, n65347, n65348, n65349,
+         n65350, n65351, n65352, n65353, n65354, n65355, n65356, n65357,
+         n65358, n65359, n65360, n65361, n65362, n65363, n65364, n65365,
+         n65366, n65367, n65368, n65369, n65370, n65371, n65372, n65373,
+         n65374, n65375, n65376, n65377, n65378, n65379, n65380, n65381,
+         n65382, n65383, n65384, n65385, n65386, n65387, n65388, n65389,
+         n65390, n65391, n65392, n65393, n65394, n65395, n65396, n65397,
+         n65398, n65399, n65400, n65401, n65402, n65403, n65404, n65405,
+         n65406, n65407, n65408, n65409, n65410, n65411, n65412, n65413,
+         n65414, n65415, n65416, n65417, n65418, n65419, n65420, n65421,
+         n65422, n65423, n65424, n65425, n65426, n65427, n65428, n65429,
+         n65430, n65431, n65432, n65433, n65434, n65435, n65436, n65437,
+         n65438, n65439, n65440, n65441, n65442, n65443, n65444, n65445,
+         n65446, n65447, n65448, n65449, n65450, n65451, n65452, n65453,
+         n65454, n65455, n65456, n65457, n65458, n65459, n65460, n65461,
+         n65462, n65463, n65464, n65465, n65466, n65467, n65468, n65469,
+         n65470, n65471, n65472, n65473, n65474, n65475, n65476, n65477,
+         n65478, n65479, n65480, n65481, n65482, n65483, n65484, n65485,
+         n65486, n65487, n65488, n65489, n65490, n65491, n65492, n65493,
+         n65494, n65495, n65496, n65497, n65498, n65499, n65500, n65501,
+         n65502, n65503, n65504, n65505, n65506, n65507, n65508, n65509,
+         n65510, n65511, n65512, n65513, n65514, n65515, n65516, n65517,
+         n65518, n65519, n65520, n65521, n65522, n65523, n65524, n65525,
+         n65526, n65527, n65528, n65529, n65530, n65531, n65532, n65533,
+         n65534, n65535, n65536, n65537, n65538, n65539, n65540, n65541,
+         n65542, n65543, n65544, n65545, n65546, n65547, n65548, n65549,
+         n65550, n65551, n65552, n65553, n65554, n65555, n65556, n65557,
+         n65558, n65559, n65560, n65561, n65562, n65563, n65564, n65565,
+         n65566, n65567, n65568, n65569, n65570, n65571, n65572, n65573,
+         n65574, n65575, n65576, n65577, n65578, n65579, n65580, n65581,
+         n65582, n65583, n65584, n65585, n65586, n65587, n65588, n65589,
+         n65590, n65591, n65592, n65593, n65594, n65595, n65596, n65597,
+         n65598, n65599, n65600, n65601, n65602, n65603, n65604, n65605,
+         n65606, n65607, n65608, n65609, n65610, n65611, n65612, n65613,
+         n65614, n65615, n65616, n65617, n65618, n65619, n65620, n65621,
+         n65622, n65623, n65624, n65625, n65626, n65627, n65628, n65629,
+         n65630, n65631, n65632, n65633, n65634, n65635, n65636, n65637,
+         n65638, n65639, n65640, n65641, n65642, n65643, n65644, n65645,
+         n65646, n65647, n65648, n65649, n65650, n65651, n65652, n65653,
+         n65654, n65655, n65656, n65657, n65658, n65659, n65660, n65661,
+         n65662, n65663, n65664, n65665, n65666, n65667, n65668, n65669,
+         n65670, n65671, n65672, n65673, n65674, n65675, n65676, n65677,
+         n65678, n65679, n65680, n65681, n65682, n65683, n65684, n65685,
+         n65686, n65687, n65688, n65689, n65690, n65691, n65692, n65693,
+         n65694, n65695, n65696, n65697, n65698, n65699, n65700, n65701,
+         n65702, n65703, n65704, n65705, n65706, n65707, n65708, n65709,
+         n65710, n65711, n65712, n65713, n65714, n65715, n65716, n65717,
+         n65718, n65719, n65720, n65721, n65722, n65723, n65724, n65725,
+         n65726, n65727, n65728, n65729, n65730, n65731, n65732, n65733,
+         n65734, n65735, n65736, n65737, n65738, n65739, n65740, n65741,
+         n65742, n65743, n65744, n65745, n65746, n65747, n65748, n65749,
+         n65750, n65751, n65752, n65753, n65754, n65755, n65756, n65757,
+         n65758, n65759, n65760, n65761, n65762, n65763, n65764, n65765,
+         n65766, n65767, n65768, n65769, n65770, n65771, n65772, n65773,
+         n65774, n65775, n65776, n65777, n65778, n65779, n65780, n65781,
+         n65782, n65783, n65784, n65785, n65786, n65787, n65788, n65789,
+         n65790, n65791, n65792, n65793, n65794, n65795, n65796, n65797,
+         n65798, n65799, n65800, n65801, n65802, n65803, n65804, n65805,
+         n65806, n65807, n65808, n65809, n65810, n65811, n65812, n65813,
+         n65814, n65815, n65816, n65817, n65818, n65819, n65820, n65821,
+         n65822, n65823, n65824, n65825, n65826, n65827, n65828, n65829,
+         n65830, n65831, n65832, n65833, n65834, n65835, n65836, n65837,
+         n65838, n65839, n65840, n65841, n65842, n65843, n65844, n65845,
+         n65846, n65847, n65848, n65849, n65850, n65851, n65852, n65853,
+         n65854, n65855, n65856, n65857, n65858, n65859, n65860, n65861,
+         n65862, n65863, n65864, n65865, n65866, n65867, n65868, n65869,
+         n65870, n65871, n65872, n65873, n65874, n65875, n65876, n65877,
+         n65878, n65879, n65880, n65881, n65882, n65883, n65884, n65885,
+         n65886, n65887, n65888, n65889, n65890, n65891, n65892, n65893,
+         n65894, n65895, n65896, n65897, n65898, n65899, n65900, n65901,
+         n65902, n65903, n65904, n65905, n65906, n65907, n65908, n65909,
+         n65910, n65911, n65912, n65913, n65914, n65915, n65916, n65917,
+         n65918, n65919, n65920, n65921, n65922, n65923, n65924, n65925,
+         n65926, n65927, n65928, n65929, n65930, n65931, n65932, n65933,
+         n65934, n65935, n65936, n65937, n65938, n65939, n65940, n65941,
+         n65942, n65943, n65944, n65945, n65946, n65947, n65948, n65949,
+         n65950, n65951, n65952, n65953, n65954, n65955, n65956, n65957,
+         n65958, n65959, n65960, n65961, n65962, n65963, n65964, n65965,
+         n65966, n65967, n65968, n65969, n65970, n65971, n65972, n65973,
+         n65974, n65975, n65976, n65977, n65978, n65979, n65980, n65981,
+         n65982, n65983, n65984, n65985, n65986, n65987, n65988, n65989,
+         n65990, n65991, n65992, n65993, n65994, n65995, n65996, n65997,
+         n65998, n65999, n66000, n66001, n66002, n66003, n66004, n66005,
+         n66006, n66007, n66008, n66009, n66010, n66011, n66012, n66013,
+         n66014, n66015, n66016, n66017, n66018, n66019, n66020, n66021,
+         n66022, n66023, n66024, n66025, n66026, n66027, n66028, n66029,
+         n66030, n66031, n66032, n66033, n66034, n66035, n66036, n66037,
+         n66038, n66039, n66040, n66041, n66042, n66043, n66044, n66045,
+         n66046, n66047, n66048, n66049, n66050, n66051, n66052, n66053,
+         n66054, n66055, n66056, n66057, n66058, n66059, n66060, n66061,
+         n66062, n66063, n66064, n66065, n66066, n66067, n66068, n66069,
+         n66070, n66071, n66072, n66073, n66074, n66075, n66076, n66077,
+         n66078, n66079, n66080, n66081, n66082, n66083, n66084, n66085,
+         n66086, n66087, n66088, n66089, n66090, n66091, n66092, n66093,
+         n66094, n66095, n66096, n66097, n66098, n66099, n66100, n66101,
+         n66102, n66103, n66104, n66105, n66106, n66107, n66108, n66109,
+         n66110, n66111, n66112, n66113, n66114, n66115, n66116, n66117,
+         n66118, n66119, n66120, n66121, n66122, n66123, n66124, n66125,
+         n66126, n66127, n66128, n66129, n66130, n66131, n66132, n66133,
+         n66134, n66135, n66136, n66137, n66138, n66139, n66140, n66141,
+         n66142, n66143, n66144, n66145, n66146, n66147, n66148, n66149,
+         n66150, n66151, n66152, n66153, n66154, n66155, n66156, n66157,
+         n66158, n66159, n66160, n66161, n66162, n66163, n66164, n66165,
+         n66166, n66167, n66168, n66169, n66170, n66171, n66172, n66173,
+         n66174, n66175, n66176, n66177, n66178, n66179, n66180, n66181,
+         n66182, n66183, n66184, n66185, n66186, n66187, n66188, n66189,
+         n66190, n66191, n66192, n66193, n66194, n66195, n66196, n66197,
+         n66198, n66199, n66200, n66201, n66202, n66203, n66204, n66205,
+         n66206, n66207, n66208, n66209, n66210, n66211, n66212, n66213,
+         n66214, n66215, n66216, n66217, n66218, n66219, n66220, n66221,
+         n66222, n66223, n66224, n66225, n66226, n66227, n66228, n66229,
+         n66230, n66231, n66232, n66233, n66234, n66235, n66236, n66237,
+         n66238, n66239, n66240, n66241, n66242, n66243, n66244, n66245,
+         n66246, n66247, n66248, n66249, n66250, n66251, n66252, n66253,
+         n66254, n66255, n66256, n66257, n66258, n66259, n66260, n66261,
+         n66262, n66263, n66264, n66265, n66266, n66267, n66268, n66269,
+         n66270, n66271, n66272, n66273, n66274, n66275, n66276, n66277,
+         n66278, n66279, n66280, n66281, n66282, n66283, n66284, n66285,
+         n66286, n66287, n66288, n66289, n66290, n66291, n66292, n66293,
+         n66294, n66295, n66296, n66297, n66298, n66299, n66300, n66301,
+         n66302, n66303, n66304, n66305, n66306, n66307, n66308, n66309,
+         n66310, n66311, n66312, n66313, n66314, n66315, n66316, n66317,
+         n66318, n66319, n66320, n66321, n66322, n66323, n66324, n66325,
+         n66326, n66327, n66328, n66329, n66330, n66331, n66332, n66333,
+         n66334, n66335, n66336, n66337, n66338, n66339, n66340, n66341,
+         n66342, n66343, n66344, n66345, n66346, n66347, n66348, n66349,
+         n66350, n66351, n66352, n66353, n66354, n66355, n66356, n66357,
+         n66358, n66359, n66360, n66361, n66362, n66363, n66364, n66365,
+         n66366, n66367, n66368, n66369, n66370, n66371, n66372, n66373,
+         n66374, n66375, n66376, n66377, n66378, n66379, n66380, n66381,
+         n66382, n66383, n66384, n66385, n66386, n66387, n66388, n66389,
+         n66390, n66391, n66392, n66393, n66394, n66395, n66396, n66397,
+         n66398, n66399, n66400, n66401, n66402, n66403, n66404, n66405,
+         n66406, n66407, n66408, n66409, n66410, n66411, n66412, n66413,
+         n66414, n66415, n66416, n66417, n66418, n66419, n66420, n66421,
+         n66422, n66423, n66424, n66425, n66426, n66427, n66428, n66429,
+         n66430, n66431, n66432, n66433, n66434, n66435, n66436, n66437,
+         n66438, n66439, n66440, n66441, n66442, n66443, n66444, n66445,
+         n66446, n66447, n66448, n66449, n66450, n66451, n66452, n66453,
+         n66454, n66455, n66456, n66457, n66458, n66459, n66460, n66461,
+         n66462, n66463, n66464, n66465, n66466, n66467, n66468, n66469,
+         n66470, n66471, n66472, n66473, n66474, n66475, n66476, n66477,
+         n66478, n66479, n66480, n66481, n66482, n66483, n66484, n66485,
+         n66486, n66487, n66488, n66489, n66490, n66491, n66492, n66493,
+         n66494, n66495, n66496, n66497, n66498, n66499, n66500, n66501,
+         n66502, n66503, n66504, n66505, n66506, n66507, n66508, n66509,
+         n66510, n66511, n66512, n66513, n66514, n66515, n66516, n66517,
+         n66518, n66519, n66520, n66521, n66522, n66523, n66524, n66525,
+         n66526, n66527, n66528, n66529, n66530, n66531, n66532, n66533,
+         n66534, n66535, n66536, n66537, n66538, n66539, n66540, n66541,
+         n66542, n66543, n66544, n66545, n66546, n66547, n66548, n66549,
+         n66550, n66551, n66552, n66553, n66554, n66555, n66556, n66557,
+         n66558, n66559, n66560, n66561, n66562, n66563, n66564, n66565,
+         n66566, n66567, n66568, n66569, n66570, n66571, n66572, n66573,
+         n66574, n66575, n66576, n66577, n66578, n66579, n66580, n66581,
+         n66582, n66583, n66584, n66585, n66586, n66587, n66588, n66589,
+         n66590, n66591, n66592, n66593, n66594, n66595, n66596, n66597,
+         n66598, n66599, n66600, n66601, n66602, n66603, n66604, n66605,
+         n66606, n66607, n66608, n66609, n66610, n66611, n66612, n66613,
+         n66614, n66615, n66616, n66617, n66618, n66619, n66620, n66621,
+         n66622, n66623, n66624, n66625, n66626, n66627, n66628, n66629,
+         n66630, n66631, n66632, n66633, n66634, n66635, n66636, n66637,
+         n66638, n66639, n66640, n66641, n66642, n66643, n66644, n66645,
+         n66646, n66647, n66648, n66649, n66650, n66651, n66652, n66653,
+         n66654, n66655, n66656, n66657, n66658, n66659, n66660, n66661,
+         n66662, n66663, n66664, n66665, n66666, n66667, n66668, n66669,
+         n66670, n66671, n66672, n66673, n66674, n66675, n66676, n66677,
+         n66678, n66679, n66680, n66681, n66682, n66683, n66684, n66685,
+         n66686, n66687, n66688, n66689, n66690, n66691, n66692, n66693,
+         n66694, n66695, n66696, n66697, n66698, n66699, n66700, n66701,
+         n66702, n66703, n66704, n66705, n66706, n66707, n66708, n66709,
+         n66710, n66711, n66712, n66713, n66714, n66715, n66716, n66717,
+         n66718, n66719, n66720, n66721, n66722, n66723, n66724, n66725,
+         n66726, n66727, n66728, n66729, n66730, n66731, n66732, n66733,
+         n66734, n66735, n66736, n66737, n66738, n66739, n66740, n66741,
+         n66742, n66743, n66744, n66745, n66746, n66747, n66748, n66749,
+         n66750, n66751, n66752, n66753, n66754, n66755, n66756, n66757,
+         n66758, n66759, n66760, n66761, n66762, n66763, n66764, n66765,
+         n66766, n66767, n66768, n66769, n66770, n66771, n66772, n66773,
+         n66774, n66775, n66776, n66777, n66778, n66779, n66780, n66781,
+         n66782, n66783, n66784, n66785, n66786, n66787, n66788, n66789,
+         n66790, n66791, n66792, n66793, n66794, n66795, n66796, n66797,
+         n66798, n66799, n66800, n66801, n66802, n66803, n66804, n66805,
+         n66806, n66807, n66808, n66809, n66810, n66811, n66812, n66813,
+         n66814, n66815, n66816, n66817, n66818, n66819, n66820, n66821,
+         n66822, n66823, n66824, n66825, n66826, n66827, n66828, n66829,
+         n66830, n66831, n66832, n66833, n66834, n66835, n66836, n66837,
+         n66838, n66839, n66840, n66841, n66842, n66843, n66844, n66845,
+         n66846, n66847, n66848, n66849, n66850, n66851, n66852, n66853,
+         n66854, n66855, n66856, n66857, n66858, n66859, n66860, n66861,
+         n66862, n66863, n66864, n66865, n66866, n66867, n66868, n66869,
+         n66870, n66871, n66872, n66873, n66874, n66875, n66876, n66877,
+         n66878, n66879, n66880, n66881, n66882, n66883, n66884, n66885,
+         n66886, n66887, n66888, n66889, n66890, n66891, n66892, n66893,
+         n66894, n66895, n66896, n66897, n66898, n66899, n66900, n66901,
+         n66902, n66903, n66904, n66905, n66906, n66907, n66908, n66909,
+         n66910, n66911, n66912, n66913, n66914, n66915, n66916, n66917,
+         n66918, n66919, n66920, n66921, n66922, n66923, n66924, n66925,
+         n66926, n66927, n66928, n66929, n66930, n66931, n66932, n66933,
+         n66934, n66935, n66936, n66937, n66938, n66939, n66940, n66941,
+         n66942, n66943, n66944, n66945, n66946, n66947, n66948, n66949,
+         n66950, n66951, n66952, n66953, n66954, n66955, n66956, n66957,
+         n66958, n66959, n66960, n66961, n66962, n66963, n66964, n66965,
+         n66966, n66967, n66968, n66969, n66970, n66971, n66972, n66973,
+         n66974, n66975, n66976, n66977, n66978, n66979, n66980, n66981,
+         n66982, n66983, n66984, n66985, n66986, n66987, n66988, n66989,
+         n66990, n66991, n66992, n66993, n66994, n66995, n66996, n66997,
+         n66998, n66999, n67000, n67001, n67002, n67003, n67004, n67005,
+         n67006, n67007, n67008, n67009, n67010, n67011, n67012, n67013,
+         n67014, n67015, n67016, n67017, n67018, n67019, n67020, n67021,
+         n67022, n67023, n67024, n67025, n67026, n67027, n67028, n67029,
+         n67030, n67031, n67032, n67033, n67034, n67035, n67036, n67037,
+         n67038, n67039, n67040, n67041, n67042, n67043, n67044, n67045,
+         n67046, n67047, n67048, n67049, n67050, n67051, n67052, n67053,
+         n67054, n67055, n67056, n67057, n67058, n67059, n67060, n67061,
+         n67062, n67063, n67064, n67065, n67066, n67067, n67068, n67069,
+         n67070, n67071, n67072, n67073, n67074, n67075, n67076, n67077,
+         n67078, n67079, n67080, n67081, n67082, n67083, n67084, n67085,
+         n67086, n67087, n67088, n67089, n67090, n67091, n67092, n67093,
+         n67094, n67095, n67096, n67097, n67098, n67099, n67100, n67101,
+         n67102, n67103, n67104, n67105, n67106, n67107, n67108, n67109,
+         n67110, n67111, n67112, n67113, n67114, n67115, n67116, n67117,
+         n67118, n67119, n67120, n67121, n67122, n67123, n67124, n67125,
+         n67126, n67127, n67128, n67129, n67130, n67131, n67132, n67133,
+         n67134, n67135, n67136, n67137, n67138, n67139, n67140, n67141,
+         n67142, n67143, n67144, n67145, n67146, n67147, n67148, n67149,
+         n67150, n67151, n67152, n67153, n67154, n67155, n67156, n67157,
+         n67158, n67159, n67160, n67161, n67162, n67163, n67164, n67165,
+         n67166, n67167, n67168, n67169, n67170, n67171, n67172, n67173,
+         n67174, n67175, n67176, n67177, n67178, n67179, n67180, n67181,
+         n67182, n67183, n67184, n67185, n67186, n67187, n67188, n67189,
+         n67190, n67191, n67192, n67193, n67194, n67195, n67196, n67197,
+         n67198, n67199, n67200, n67201, n67202, n67203, n67204, n67205,
+         n67206, n67207, n67208, n67209, n67210, n67211, n67212, n67213,
+         n67214, n67215, n67216, n67217, n67218, n67219, n67220, n67221,
+         n67222, n67223, n67224, n67225, n67226, n67227, n67228, n67229,
+         n67230, n67231, n67232, n67233, n67234, n67235, n67236, n67237,
+         n67238, n67239, n67240, n67241, n67242, n67243, n67244, n67245,
+         n67246, n67247, n67248, n67249, n67250, n67251, n67252, n67253,
+         n67254, n67255, n67256, n67257, n67258, n67259, n67260, n67261,
+         n67262, n67263, n67264, n67265, n67266, n67267, n67268, n67269,
+         n67270, n67271, n67272, n67273, n67274, n67275, n67276, n67277,
+         n67278, n67279, n67280, n67281, n67282, n67283, n67284, n67285,
+         n67286, n67287, n67288, n67289, n67290, n67291, n67292, n67293,
+         n67294, n67295, n67296, n67297, n67298, n67299, n67300, n67301,
+         n67302, n67303, n67304, n67305, n67306, n67307, n67308, n67309,
+         n67310, n67311, n67312, n67313, n67314, n67315, n67316, n67317,
+         n67318, n67319, n67320, n67321, n67322, n67323, n67324, n67325,
+         n67326, n67327, n67328, n67329, n67330, n67331, n67332, n67333,
+         n67334, n67335, n67336, n67337, n67338, n67339, n67340, n67341,
+         n67342, n67343, n67344, n67345, n67346, n67347, n67348, n67349,
+         n67350, n67351, n67352, n67353, n67354, n67355, n67356, n67357,
+         n67358, n67359, n67360, n67361, n67362, n67363, n67364, n67365,
+         n67366, n67367, n67368, n67369, n67370, n67371, n67372, n67373,
+         n67374, n67375, n67376, n67377, n67378, n67379, n67380, n67381,
+         n67382, n67383, n67384, n67385, n67386, n67387, n67388, n67389,
+         n67390, n67391, n67392, n67393, n67394, n67395, n67396, n67397,
+         n67398, n67399, n67400, n67401, n67402, n67403, n67404, n67405,
+         n67406, n67407, n67408, n67409, n67410, n67411, n67412, n67413,
+         n67414, n67415, n67416, n67417, n67418, n67419, n67420, n67421,
+         n67422, n67423, n67424, n67425, n67426, n67427, n67428, n67429,
+         n67430, n67431, n67432, n67433, n67434, n67435, n67436, n67437,
+         n67438, n67439, n67440, n67441, n67442, n67443, n67444, n67445,
+         n67446, n67447, n67448, n67449, n67450, n67451, n67452, n67453,
+         n67454, n67455, n67456, n67457, n67458, n67459, n67460, n67461,
+         n67462, n67463, n67464, n67465, n67466, n67467, n67468, n67469,
+         n67470, n67471, n67472, n67473, n67474, n67475, n67476, n67477,
+         n67478, n67479, n67480, n67481, n67482, n67483, n67484, n67485,
+         n67486, n67487, n67488, n67489, n67490, n67491, n67492, n67493,
+         n67494, n67495, n67496, n67497, n67498, n67499, n67500, n67501,
+         n67502, n67503, n67504, n67505, n67506, n67507, n67508, n67509,
+         n67510, n67511, n67512, n67513, n67514, n67515, n67516, n67517,
+         n67518, n67519, n67520, n67521, n67522, n67523, n67524, n67525,
+         n67526, n67527, n67528, n67529, n67530, n67531, n67532, n67533,
+         n67534, n67535, n67536, n67537, n67538, n67539, n67540, n67541,
+         n67542, n67543, n67544, n67545, n67546, n67547, n67548, n67549,
+         n67550, n67551, n67552, n67553, n67554, n67555, n67556, n67557,
+         n67558, n67559, n67560, n67561, n67562, n67563, n67564, n67565,
+         n67566, n67567, n67568, n67569, n67570, n67571, n67572, n67573,
+         n67574, n67575, n67576, n67577, n67578, n67579, n67580, n67581,
+         n67582, n67583, n67584, n67585, n67586, n67587, n67588, n67589,
+         n67590, n67591, n67592, n67593, n67594, n67595, n67596, n67597,
+         n67598, n67599, n67600, n67601, n67602, n67603, n67604, n67605,
+         n67606, n67607, n67608, n67609, n67610, n67611, n67612, n67613,
+         n67614, n67615, n67616, n67617, n67618, n67619, n67620, n67621,
+         n67622, n67623, n67624, n67625, n67626, n67627, n67628, n67629,
+         n67630, n67631, n67632, n67633, n67634, n67635, n67636, n67637,
+         n67638, n67639, n67640, n67641, n67642, n67643, n67644, n67645,
+         n67646, n67647, n67648, n67649, n67650, n67651, n67652, n67653,
+         n67654, n67655, n67656, n67657, n67658, n67659, n67660, n67661,
+         n67662, n67663, n67664, n67665, n67666, n67667, n67668, n67669,
+         n67670, n67671, n67672, n67673, n67674, n67675, n67676, n67677,
+         n67678, n67679, n67680, n67681, n67682, n67683, n67684, n67685,
+         n67686, n67687, n67688, n67689, n67690, n67691, n67692, n67693,
+         n67694, n67695, n67696, n67697, n67698, n67699, n67700, n67701,
+         n67702, n67703, n67704, n67705, n67706, n67707, n67708, n67709,
+         n67710, n67711, n67712, n67713, n67714, n67715, n67716, n67717,
+         n67718, n67719, n67720, n67721, n67722, n67723, n67724, n67725,
+         n67726, n67727, n67728, n67729, n67730, n67731, n67732, n67733,
+         n67734, n67735, n67736, n67737, n67738, n67739, n67740, n67741,
+         n67742, n67743, n67744, n67745, n67746, n67747, n67748, n67749,
+         n67750, n67751, n67752, n67753, n67754, n67755, n67756, n67757,
+         n67758, n67759, n67760, n67761, n67762, n67763, n67764, n67765,
+         n67766, n67767, n67768, n67769, n67770, n67771, n67772, n67773,
+         n67774, n67775, n67776, n67777, n67778, n67779, n67780, n67781,
+         n67782, n67783, n67784, n67785, n67786, n67787, n67788, n67789,
+         n67790, n67791, n67792, n67793, n67794, n67795, n67796, n67797,
+         n67798, n67799, n67800, n67801, n67802, n67803, n67804, n67805,
+         n67806, n67807, n67808, n67809, n67810, n67811, n67812, n67813,
+         n67814, n67815, n67816, n67817, n67818, n67819, n67820, n67821,
+         n67822, n67823, n67824, n67825, n67826, n67827, n67828, n67829,
+         n67830, n67831, n67832, n67833, n67834, n67835, n67836, n67837,
+         n67838, n67839, n67840, n67841, n67842, n67843, n67844, n67845,
+         n67846, n67847, n67848, n67849, n67850, n67851, n67852, n67853,
+         n67854, n67855, n67856, n67857, n67858, n67859, n67860, n67861,
+         n67862, n67863, n67864, n67865, n67866, n67867, n67868, n67869,
+         n67870, n67871, n67872, n67873, n67874, n67875, n67876, n67877,
+         n67878, n67879, n67880, n67881, n67882, n67883, n67884, n67885,
+         n67886, n67887, n67888, n67889, n67890, n67891, n67892, n67893,
+         n67894, n67895, n67896, n67897, n67898, n67899, n67900, n67901,
+         n67902, n67903, n67904, n67905, n67906, n67907, n67908, n67909,
+         n67910, n67911, n67912, n67913, n67914, n67915, n67916, n67917,
+         n67918, n67919, n67920, n67921, n67922, n67923, n67924, n67925,
+         n67926, n67927, n67928, n67929, n67930, n67931, n67932, n67933,
+         n67934, n67935, n67936, n67937, n67938, n67939, n67940, n67941,
+         n67942, n67943, n67944, n67945, n67946, n67947, n67948, n67949,
+         n67950, n67951, n67952, n67953, n67954, n67955, n67956, n67957,
+         n67958, n67959, n67960, n67961, n67962, n67963, n67964, n67965,
+         n67966, n67967, n67968, n67969, n67970, n67971, n67972, n67973,
+         n67974, n67975, n67976, n67977, n67978, n67979, n67980, n67981,
+         n67982, n67983, n67984, n67985, n67986, n67987, n67988, n67989,
+         n67990, n67991, n67992, n67993, n67994, n67995, n67996, n67997,
+         n67998, n67999, n68000, n68001, n68002, n68003, n68004, n68005,
+         n68006, n68007, n68008, n68009, n68010, n68011, n68012, n68013,
+         n68014, n68015, n68016, n68017, n68018, n68019, n68020, n68021,
+         n68022, n68023, n68024, n68025, n68026, n68027, n68028, n68029,
+         n68030, n68031, n68032, n68033, n68034, n68035, n68036, n68037,
+         n68038, n68039, n68040, n68041, n68042, n68043, n68044, n68045,
+         n68046, n68047, n68048, n68049, n68050, n68051, n68052, n68053,
+         n68054, n68055, n68056, n68057, n68058, n68059, n68060, n68061,
+         n68062, n68063, n68064, n68065, n68066, n68067, n68068, n68069,
+         n68070, n68071, n68072, n68073, n68074, n68075, n68076, n68077,
+         n68078, n68079, n68080, n68081, n68082, n68083, n68084, n68085,
+         n68086, n68087, n68088, n68089, n68090, n68091, n68092, n68093,
+         n68094, n68095, n68096, n68097, n68098, n68099, n68100, n68101,
+         n68102, n68103, n68104, n68105, n68106, n68107, n68108, n68109,
+         n68110, n68111, n68112, n68113, n68114, n68115, n68116, n68117,
+         n68118, n68119, n68120, n68121, n68122, n68123, n68124, n68125,
+         n68126, n68127, n68128, n68129, n68130, n68131, n68132, n68133,
+         n68134, n68135, n68136, n68137, n68138, n68139, n68140, n68141,
+         n68142, n68143, n68144, n68145, n68146, n68147, n68148, n68149,
+         n68150, n68151, n68152, n68153, n68154, n68155, n68156, n68157,
+         n68158, n68159, n68160, n68161, n68162, n68163, n68164, n68165,
+         n68166, n68167, n68168, n68169, n68170, n68171, n68172, n68173,
+         n68174, n68175, n68176, n68177, n68178, n68179, n68180, n68181,
+         n68182, n68183, n68184, n68185, n68186, n68187, n68188, n68189,
+         n68190, n68191, n68192, n68193, n68194, n68195, n68196, n68197,
+         n68198, n68199, n68200, n68201, n68202, n68203, n68204, n68205,
+         n68206, n68207, n68208, n68209, n68210, n68211, n68212, n68213,
+         n68214, n68215, n68216, n68217, n68218, n68219, n68220, n68221,
+         n68222, n68223, n68224, n68225, n68226, n68227, n68228, n68229,
+         n68230, n68231, n68232, n68233, n68234, n68235, n68236, n68237,
+         n68238, n68239, n68240, n68241, n68242, n68243, n68244, n68245,
+         n68246, n68247, n68248, n68249, n68250, n68251, n68252, n68253,
+         n68254, n68255, n68256, n68257, n68258, n68259, n68260, n68261,
+         n68262, n68263, n68264, n68265, n68266, n68267, n68268, n68269,
+         n68270, n68271, n68272, n68273, n68274, n68275, n68276, n68277,
+         n68278, n68279, n68280, n68281, n68282, n68283, n68284, n68285,
+         n68286, n68287, n68288, n68289, n68290, n68291, n68292, n68293,
+         n68294, n68295, n68296, n68297, n68298, n68299, n68300, n68301,
+         n68302, n68303, n68304, n68305, n68306, n68307, n68308, n68309,
+         n68310, n68311, n68312, n68313, n68314, n68315, n68316, n68317,
+         n68318, n68319, n68320, n68321, n68322, n68323, n68324, n68325,
+         n68326, n68327, n68328, n68329, n68330, n68331, n68332, n68333,
+         n68334, n68335, n68336, n68337, n68338, n68339, n68340, n68341,
+         n68342, n68343, n68344, n68345, n68346, n68347, n68348, n68349,
+         n68350, n68351, n68352, n68353, n68354, n68355, n68356, n68357,
+         n68358, n68359, n68360, n68361, n68362, n68363, n68364, n68365,
+         n68366, n68367, n68368, n68369, n68370, n68371, n68372, n68373,
+         n68374, n68375, n68376, n68377, n68378, n68379, n68380, n68381,
+         n68382, n68383, n68384, n68385, n68386, n68387, n68388, n68389,
+         n68390, n68391, n68392, n68393, n68394, n68395, n68396, n68397,
+         n68398, n68399, n68400, n68401, n68402, n68403, n68404, n68405,
+         n68406, n68407, n68408, n68409, n68410, n68411, n68412, n68413,
+         n68414, n68415, n68416, n68417, n68418, n68419, n68420, n68421,
+         n68422, n68423, n68424, n68425, n68426, n68427, n68428, n68429,
+         n68430, n68431, n68432, n68433, n68434, n68435, n68436, n68437,
+         n68438, n68439, n68440, n68441, n68442, n68443, n68444, n68445,
+         n68446, n68447, n68448, n68449, n68450, n68451, n68452, n68453,
+         n68454, n68455, n68456, n68457, n68458, n68459, n68460, n68461,
+         n68462, n68463, n68464, n68465, n68466, n68467, n68468, n68469,
+         n68470, n68471, n68472, n68473, n68474, n68475, n68476, n68477,
+         n68478, n68479, n68480, n68481, n68482, n68483, n68484, n68485,
+         n68486, n68487, n68488, n68489, n68490, n68491, n68492, n68493,
+         n68494, n68495, n68496, n68497, n68498, n68499, n68500, n68501,
+         n68502, n68503, n68504, n68505, n68506, n68507, n68508, n68509,
+         n68510, n68511, n68512, n68513, n68514, n68515, n68516, n68517,
+         n68518, n68519, n68520, n68521, n68522, n68523, n68524, n68525,
+         n68526, n68527, n68528, n68529, n68530, n68531, n68532, n68533,
+         n68534, n68535, n68536, n68537, n68538, n68539, n68540, n68541,
+         n68542, n68543, n68544, n68545, n68546, n68547, n68548, n68549,
+         n68550, n68551, n68552, n68553, n68554, n68555, n68556, n68557,
+         n68558, n68559, n68560, n68561, n68562, n68563, n68564, n68565,
+         n68566, n68567, n68568, n68569, n68570, n68571, n68572, n68573,
+         n68574, n68575, n68576, n68577, n68578, n68579, n68580, n68581,
+         n68582, n68583, n68584, n68585, n68586, n68587, n68588, n68589,
+         n68590, n68591, n68592, n68593, n68594, n68595, n68596, n68597,
+         n68598, n68599, n68600, n68601, n68602, n68603, n68604, n68605,
+         n68606, n68607, n68608, n68609, n68610, n68611, n68612, n68613,
+         n68614, n68615, n68616, n68617, n68618, n68619, n68620, n68621,
+         n68622, n68623, n68624, n68625, n68626, n68627, n68628, n68629,
+         n68630, n68631, n68632, n68633, n68634, n68635, n68636, n68637,
+         n68638, n68639, n68640, n68641, n68642, n68643, n68644, n68645,
+         n68646, n68647, n68648, n68649, n68650, n68651, n68652, n68653,
+         n68654, n68655, n68656, n68657, n68658, n68659, n68660, n68661,
+         n68662, n68663, n68664, n68665, n68666, n68667, n68668, n68669,
+         n68670, n68671, n68672, n68673, n68674, n68675, n68676, n68677,
+         n68678, n68679, n68680, n68681, n68682, n68683, n68684, n68685,
+         n68686, n68687, n68688, n68689, n68690, n68691, n68692, n68693,
+         n68694, n68695, n68696, n68697, n68698, n68699, n68700, n68701,
+         n68702, n68703, n68704, n68705, n68706, n68707, n68708, n68709,
+         n68710, n68711, n68712, n68713, n68714, n68715, n68716, n68717,
+         n68718, n68719, n68720, n68721, n68722, n68723, n68724, n68725,
+         n68726, n68727, n68728, n68729, n68730, n68731, n68732, n68733,
+         n68734, n68735, n68736, n68737, n68738, n68739, n68740, n68741,
+         n68742, n68743, n68744, n68745, n68746, n68747, n68748, n68749,
+         n68750, n68751, n68752, n68753, n68754, n68755, n68756, n68757,
+         n68758, n68759, n68760, n68761, n68762, n68763, n68764, n68765,
+         n68766, n68767, n68768, n68769, n68770, n68771, n68772, n68773,
+         n68774, n68775, n68776, n68777, n68778, n68779, n68780, n68781,
+         n68782, n68783, n68784, n68785, n68786, n68787, n68788, n68789,
+         n68790, n68791, n68792, n68793, n68794, n68795, n68796, n68797,
+         n68798, n68799, n68800, n68801, n68802, n68803, n68804, n68805,
+         n68806, n68807, n68808, n68809, n68810, n68811, n68812, n68813,
+         n68814, n68815, n68816, n68817, n68818, n68819, n68820, n68821,
+         n68822, n68823, n68824, n68825, n68826, n68827, n68828, n68829,
+         n68830, n68831, n68832, n68833, n68834, n68835, n68836, n68837,
+         n68838, n68839, n68840, n68841, n68842, n68843, n68844, n68845,
+         n68846, n68847, n68848, n68849, n68850, n68851, n68852, n68853,
+         n68854, n68855, n68856, n68857, n68858, n68859, n68860, n68861,
+         n68862, n68863, n68864, n68865, n68866, n68867, n68868, n68869,
+         n68870, n68871, n68872, n68873, n68874, n68875, n68876, n68877,
+         n68878, n68879, n68880, n68881, n68882, n68883, n68884, n68885,
+         n68886, n68887, n68888, n68889, n68890, n68891, n68892, n68893,
+         n68894, n68895, n68896, n68897, n68898, n68899, n68900, n68901,
+         n68902, n68903, n68904, n68905, n68906, n68907, n68908, n68909,
+         n68910, n68911, n68912, n68913, n68914, n68915, n68916, n68917,
+         n68918, n68919, n68920, n68921, n68922, n68923, n68924, n68925,
+         n68926, n68927, n68928, n68929, n68930, n68931, n68932, n68933,
+         n68934, n68935, n68936, n68937, n68938, n68939, n68940, n68941,
+         n68942, n68943, n68944, n68945, n68946, n68947, n68948, n68949,
+         n68950, n68951, n68952, n68953, n68954, n68955, n68956, n68957,
+         n68958, n68959, n68960, n68961, n68962, n68963, n68964, n68965,
+         n68966, n68967, n68968, n68969, n68970, n68971, n68972, n68973,
+         n68974, n68975, n68976, n68977, n68978, n68979, n68980, n68981,
+         n68982, n68983, n68984, n68985, n68986, n68987, n68988, n68989,
+         n68990, n68991, n68992, n68993, n68994, n68995, n68996, n68997,
+         n68998, n68999, n69000, n69001, n69002, n69003, n69004, n69005,
+         n69006, n69007, n69008, n69009, n69010, n69011, n69012, n69013,
+         n69014, n69015, n69016, n69017, n69018, n69019, n69020, n69021,
+         n69022, n69023, n69024, n69025, n69026, n69027, n69028, n69029,
+         n69030, n69031, n69032, n69033, n69034, n69035, n69036, n69037,
+         n69038, n69039, n69040, n69041, n69042, n69043, n69044, n69045,
+         n69046, n69047, n69048, n69049, n69050, n69051, n69052, n69053,
+         n69054, n69055, n69056, n69057, n69058, n69059, n69060, n69061,
+         n69062, n69063, n69064, n69065, n69066, n69067, n69068, n69069,
+         n69070, n69071, n69072, n69073, n69074, n69075, n69076, n69077,
+         n69078, n69079, n69080, n69081, n69082, n69083, n69084, n69085,
+         n69086, n69087, n69088, n69089, n69090, n69091, n69092, n69093,
+         n69094, n69095, n69096, n69097, n69098, n69099, n69100, n69101,
+         n69102, n69103, n69104, n69105, n69106, n69107, n69108, n69109,
+         n69110, n69111, n69112, n69113, n69114, n69115, n69116, n69117,
+         n69118, n69119, n69120, n69121, n69122, n69123, n69124, n69125,
+         n69126, n69127, n69128, n69129, n69130, n69131, n69132, n69133,
+         n69134, n69135, n69136, n69137, n69138, n69139, n69140, n69141,
+         n69142, n69143, n69144, n69145, n69146, n69147, n69148, n69149,
+         n69150, n69151, n69152, n69153, n69154, n69155, n69156, n69157,
+         n69158, n69159, n69160, n69161, n69162, n69163, n69164, n69165,
+         n69166, n69167, n69168, n69169, n69170, n69171, n69172, n69173,
+         n69174, n69175, n69176, n69177, n69178, n69179, n69180, n69181,
+         n69182, n69183, n69184, n69185, n69186, n69187, n69188, n69189,
+         n69190, n69191, n69192, n69193, n69194, n69195, n69196, n69197,
+         n69198, n69199, n69200, n69201, n69202, n69203, n69204, n69205,
+         n69206, n69207, n69208, n69209, n69210, n69211, n69212, n69213,
+         n69214, n69215, n69216, n69217, n69218, n69219, n69220, n69221,
+         n69222, n69223, n69224, n69225, n69226, n69227, n69228, n69229,
+         n69230, n69231, n69232, n69233, n69234, n69235, n69236, n69237,
+         n69238, n69239, n69240, n69241, n69242, n69243, n69244, n69245,
+         n69246, n69247, n69248, n69249, n69250, n69251, n69252, n69253,
+         n69254, n69255, n69256, n69257, n69258, n69259, n69260, n69261,
+         n69262, n69263, n69264, n69265, n69266, n69267, n69268, n69269,
+         n69270, n69271, n69272, n69273, n69274, n69275, n69276, n69277,
+         n69278, n69279, n69280, n69281, n69282, n69283, n69284, n69285,
+         n69286, n69287, n69288, n69289, n69290, n69291, n69292, n69293,
+         n69294, n69295, n69296, n69297, n69298, n69299, n69300, n69301,
+         n69302, n69303, n69304, n69305, n69306, n69307, n69308, n69309,
+         n69310, n69311, n69312, n69313, n69314, n69315, n69316, n69317,
+         n69318, n69319, n69320, n69321, n69322, n69323, n69324, n69325,
+         n69326, n69327, n69328, n69329, n69330, n69331, n69332, n69333,
+         n69334, n69335, n69336, n69337, n69338, n69339, n69340, n69341,
+         n69342, n69343, n69344, n69345, n69346, n69347, n69348, n69349,
+         n69350, n69351, n69352, n69353, n69354, n69355, n69356, n69357,
+         n69358, n69359, n69360, n69361, n69362, n69363, n69364, n69365,
+         n69366, n69367, n69368, n69369, n69370, n69371, n69372, n69373,
+         n69374, n69375, n69376, n69377, n69378, n69379, n69380, n69381,
+         n69382, n69383, n69384, n69385, n69386, n69387, n69388, n69389,
+         n69390, n69391, n69392, n69393, n69394, n69395, n69396, n69397,
+         n69398, n69399, n69400, n69401, n69402, n69403, n69404, n69405,
+         n69406, n69407, n69408, n69409, n69410, n69411, n69412, n69413,
+         n69414, n69415, n69416, n69417, n69418, n69419, n69420, n69421,
+         n69422, n69423, n69424, n69425, n69426, n69427, n69428, n69429,
+         n69430, n69431, n69432, n69433, n69434, n69435, n69436, n69437,
+         n69438, n69439, n69440, n69441, n69442, n69443, n69444, n69445,
+         n69446, n69447, n69448, n69449, n69450, n69451, n69452, n69453,
+         n69454, n69455, n69456, n69457, n69458, n69459, n69460, n69461,
+         n69462, n69463, n69464, n69465, n69466, n69467, n69468, n69469,
+         n69470, n69471, n69472, n69473, n69474, n69475, n69476, n69477,
+         n69478, n69479, n69480, n69481, n69482, n69483, n69484, n69485,
+         n69486, n69487, n69488, n69489, n69490, n69491, n69492, n69493,
+         n69494, n69495, n69496, n69497, n69498, n69499, n69500, n69501,
+         n69502, n69503, n69504, n69505, n69506, n69507, n69508, n69509,
+         n69510, n69511, n69512, n69513, n69514, n69515, n69516, n69517,
+         n69518, n69519, n69520, n69521, n69522, n69523, n69524, n69525,
+         n69526, n69527, n69528, n69529, n69530, n69531, n69532, n69533,
+         n69534, n69535, n69536, n69537, n69538, n69539, n69540, n69541,
+         n69542, n69543, n69544, n69545, n69546, n69547, n69548, n69549,
+         n69550, n69551, n69552, n69553, n69554, n69555, n69556, n69557,
+         n69558, n69559, n69560, n69561, n69562, n69563, n69564, n69565,
+         n69566, n69567, n69568, n69569, n69570, n69571, n69572, n69573,
+         n69574, n69575, n69576, n69577, n69578, n69580, n69581, n69582,
+         n69583, n69584, n69585, n69586, n69587, n69588, n69589, n69590,
+         n69591, n69592, n69593, n69594, n69595, n69596, n69597, n69598,
+         n69599, n69600, n69601, n69602, n69603, n69604, n69605, n69606,
+         n69607, n69608, n69609, n69610, n69611, n69612, n69613, n69614,
+         n69615, n69616, n69617, n69618, n69619, n69620, n69621, n69622,
+         n69623, n69624, n69625, n69626, n69627, n69628, n69629, n69630,
+         n69631, n69632, n69633, n69634, n69635, n69636, n69637, n69638,
+         n69639, n69640, n69641, n69642, n69643, n69644, n69645, n69646,
+         n69647, n69648, n69649, n69650, n69651, n69652, n69653, n69654,
+         n69655, n69656, n69657, n69658, n69659, n69660, n69661, n69662,
+         n69663, n69664, n69665, n69666, n69667, n69668, n69669, n69670,
+         n69671, n69672, n69673, n69674, n69675, n69676, n69677, n69678,
+         n69679, n69680, n69681, n69682, n69683, n69684, n69685, n69686,
+         n69687, n69688, n69689, n69690, n69691, n69692, n69693, n69694,
+         n69695, n69696, n69697, n69698, n69699, n69700, n69701, n69702,
+         n69703, n69704, n69705, n69706, n69707, n69708, n69709, n69710,
+         n69711, n69712, n69713, n69714, n69715, n69716, n69717, n69718,
+         n69719, n69720, n69721, n69722, n69723, n69724, n69725, n69726,
+         n69727, n69728, n69729, n69730, n69731, n69732, n69733, n69734,
+         n69735, n69736, n69737, n69738, n69739, n69740, n69741, n69742,
+         n69743, n69744, n69745, n69746, n69747, n69748, n69749, n69750,
+         n69751, n69752, n69753, n69754, n69755, n69756, n69757, n69758,
+         n69759, n69760, n69761, n69762, n69763, n69764, n69765, n69766,
+         n69767, n69768, n69769, n69770, n69771, n69772, n69773, n69774,
+         n69775, n69776, n69777, n69778, n69779, n69780, n69781, n69782,
+         n69783, n69784, n69785, n69786, n69787, n69788, n69789, n69790,
+         n69791, n69792, n69793, n69794, n69795, n69796, n69797, n69798,
+         n69799, n69800, n69801, n69802, n69803, n69804, n69805, n69806,
+         n69807, n69808, n69809, n69810, n69811, n69812, n69813, n69814,
+         n69815, n69816, n69817, n69818, n69819, n69820, n69821, n69822,
+         n69823, n69824, n69825, n69826, n69827, n69828, n69829, n69830,
+         n69831, n69832, n69833, n69834, n69835, n69836, n69837, n69838,
+         n69839, n69840, n69841, n69842, n69843, n69844, n69845, n69846,
+         n69847, n69848, n69849, n69850, n69851, n69852, n69853, n69854,
+         n69855, n69856, n69857, n69858, n69859, n69860, n69861, n69862,
+         n69863, n69864, n69865, n69866, n69867, n69868, n69869, n69870,
+         n69871, n69872, n69873, n69874, n69875, n69876, n69877, n69878,
+         n69879, n69880, n69881, n69882, n69883, n69884, n69885, n69886,
+         n69887, n69888, n69889, n69890, n69891, n69892, n69893, n69894,
+         n69895, n69896, n69897, n69898, n69899, n69900, n69901, n69902,
+         n69903, n69904, n69905, n69906, n69907, n69908, n69909, n69910,
+         n69911, n69912, n69913, n69914, n69915, n69916, n69917, n69918,
+         n69919, n69920, n69921, n69922, n69923, n69924, n69925, n69926,
+         n69927, n69928, n69929, n69930, n69931, n69932, n69933, n69934,
+         n69935, n69936, n69937, n69938, n69939, n69940, n69941, n69942,
+         n69943, n69944, n69945, n69946, n69947, n69948, n69949, n69950,
+         n69951, n69952, n69953, n69954, n69955, n69956, n69957, n69958,
+         n69959, n69960, n69961, n69962, n69963, n69964, n69965, n69966,
+         n69967, n69968, n69969, n69970, n69971, n69972, n69973, n69974,
+         n69975, n69976, n69977, n69978, n69979, n69980, n69981, n69982,
+         n69983, n69984, n69985, n69986, n69987, n69988, n69989, n69990,
+         n69991, n69992, n69993, n69994, n69995, n69996, n69997, n69998,
+         n69999, n70000, n70001, n70002, n70003, n70004, n70005, n70006,
+         n70007, n70008, n70009, n70010, n70011, n70012, n70013, n70014,
+         n70015, n70016, n70017, n70018, n70019, n70020, n70021, n70022,
+         n70023, n70024, n70025, n70026, n70027, n70028, n70029, n70030,
+         n70031, n70032, n70033, n70034, n70035, n70036, n70037, n70038,
+         n70039, n70040, n70041, n70042, n70043, n70044, n70045, n70046,
+         n70047, n70048, n70049, n70050, n70051, n70052, n70053, n70054,
+         n70055, n70056, n70057, n70058, n70059, n70060, n70061, n70062,
+         n70063, n70064, n70065, n70066, n70067, n70068, n70069, n70070,
+         n70071, n70072, n70073, n70074, n70075, n70076, n70077, n70078,
+         n70079, n70080, n70081, n70082, n70083, n70084, n70085, n70086,
+         n70087, n70088, n70089, n70090, n70091, n70092, n70093, n70094,
+         n70095, n70096, n70097, n70098, n70099, n70100, n70101, n70103,
+         n70104, n70105, n70106, n70107, n70108, n70109, n70110, n70111,
+         n70112, n70113, n70114, n70115, n70116, n70117, n70118, n70119,
+         n70120, n70121, n70122, n70123, n70124, n70125, n70126, n70127,
+         n70128, n70129, n70130, n70131, n70132, n70133, n70134, n70135,
+         n70136, n70137, n70138, n70139, n70140, n70141, n70142, n70143,
+         n70144, n70145, n70146, n70147, n70148, n70149, n70150, n70151,
+         n70152, n70153, n70154, n70155, n70156, n70157, n70158, n70159,
+         n70160, n70161, n70162, n70163, n70164, n70165, n70166, n70167,
+         n70168, n70169, n70170, n70171, n70172, n70173, n70174, n70175,
+         n70176, n70177, n70178, n70179, n70180, n70181, n70182, n70183,
+         n70184, n70185, n70186, n70187, n70188, n70189, n70190, n70191,
+         n70192, n70193, n70194, n70195, n70196, n70197, n70198, n70199,
+         n70200, n70201, n70202, n70203, n70204, n70205, n70206, n70207,
+         n70208, n70209, n70210, n70211, n70212, n70213, n70214, n70215,
+         n70216, n70217, n70218, n70219, n70220, n70221, n70222, n70223,
+         n70224, n70225, n70226, n70227, n70228, n70229, n70230, n70231,
+         n70232, n70233, n70234, n70235, n70236, n70237, n70238, n70239,
+         n70240, n70241, n70242, n70243, n70244, n70245, n70246, n70247,
+         n70248, n70249, n70250, n70251, n70252, n70253, n70254, n70255,
+         n70256, n70257, n70258, n70259, n70260, n70261, n70262, n70263,
+         n70264, n70265, n70266, n70267, n70268, n70269, n70270, n70271,
+         n70272, n70273, n70274, n70275, n70276, n70277, n70278, n70279,
+         n70280, n70281, n70282, n70283, n70284, n70285, n70286, n70287,
+         n70288, n70289, n70290, n70291, n70292, n70293, n70294, n70295,
+         n70296, n70297, n70298, n70299, n70300, n70301, n70302, n70303,
+         n70304, n70305, n70306, n70307, n70308, n70309, n70310, n70311,
+         n70312, n70313, n70314, n70315, n70316, n70317, n70318, n70319,
+         n70320, n70321, n70322, n70323, n70324, n70325, n70326, n70327,
+         n70328, n70329, n70330, n70331, n70332, n70333, n70334, n70335,
+         n70336, n70337, n70338, n70339, n70340, n70341, n70342, n70343,
+         n70344, n70345, n70346, n70347, n70348, n70349, n70350, n70351,
+         n70352, n70353, n70354, n70355, n70356, n70357, n70358, n70359,
+         n70360, n70361, n70362, n70363, n70364, n70365, n70366, n70367,
+         n70368, n70369, n70370, n70371, n70372, n70373, n70374, n70375,
+         n70376, n70377, n70378, n70379, n70380, n70381, n70382, n70383,
+         n70384, n70385, n70386, n70387, n70388, n70389, n70390, n70391,
+         n70392, n70393, n70394, n70395, n70396, n70397, n70398, n70399,
+         n70400, n70401, n70402, n70403, n70404, n70405, n70406, n70407,
+         n70408, n70409, n70410, n70411, n70412, n70413, n70414, n70415,
+         n70416, n70417, n70418, n70419, n70420, n70421, n70422, n70423,
+         n70424, n70425, n70426, n70427, n70428, n70429, n70430, n70431,
+         n70432, n70433, n70434, n70435, n70436, n70437, n70438, n70439,
+         n70440, n70441, n70442, n70443, n70444, n70445, n70446, n70447,
+         n70448, n70449, n70450, n70451, n70452, n70453, n70454, n70455,
+         n70456, n70457, n70458, n70459, n70460, n70461, n70462, n70463,
+         n70464, n70465, n70466, n70467, n70468, n70469, n70470, n70471,
+         n70472, n70473, n70474, n70475, n70476, n70477, n70478, n70479,
+         n70480, n70481, n70482, n70483, n70484, n70485, n70486, n70487,
+         n70488, n70489, n70490, n70491, n70492, n70493, n70494, n70495,
+         n70496, n70497, n70498, n70499, n70500, n70501, n70502, n70503,
+         n70504, n70505, n70506, n70507, n70508, n70509, n70510, n70511,
+         n70512, n70513, n70514, n70515, n70516, n70517, n70518, n70519,
+         n70520, n70521, n70522, n70523, n70524, n70525, n70526, n70528,
+         n70529, n70530, n70531, n70532, n70533, n70534, n70535, n70536,
+         n70537, n70538, n70539, n70540, n70541, n70542, n70543, n70544,
+         n70545, n70546, n70547, n70548, n70549, n70550, n70551, n70552,
+         n70553, n70554, n70555, n70556, n70557, n70558, n70559, n70560,
+         n70561, n70562, n70563, n70564, n70565, n70566, n70567, n70568,
+         n70569, n70570, n70571, n70572, n70573, n70574, n70575, n70576,
+         n70577, n70578, n70579, n70580, n70581, n70582, n70583, n70584,
+         n70585, n70586, n70587, n70588, n70589, n70590, n70591, n70592,
+         n70593, n70594, n70595, n70596, n70597, n70598, n70599, n70600,
+         n70601, n70602, n70603, n70604, n70605, n70606, n70607, n70608,
+         n70609, n70610, n70611, n70612, n70613, n70614, n70615, n70616,
+         n70617, n70618, n70619, n70620, n70621, n70622, n70623, n70624,
+         n70625, n70626, n70627, n70628, n70629, n70630, n70631, n70632,
+         n70633, n70634, n70635, n70636, n70637, n70638, n70639, n70640,
+         n70641, n70642, n70643, n70644, n70645, n70646, n70647, n70648,
+         n70649, n70650, n70651, n70652, n70653, n70654, n70655, n70656,
+         n70657, n70658, n70659, n70660, n70661, n70662, n70663, n70664,
+         n70665, n70666, n70667, n70668, n70669, n70670, n70671, n70672,
+         n70673, n70674, n70675, n70676, n70677, n70678, n70679, n70680,
+         n70681, n70682, n70683, n70684, n70685, n70686, n70687, n70688,
+         n70689, n70690, n70691, n70692, n70693, n70694, n70695, n70696,
+         n70697, n70698, n70699, n70700, n70701, n70702, n70703, n70704,
+         n70705, n70706, n70707, n70708, n70709, n70710, n70711, n70712,
+         n70713, n70714, n70715, n70716, n70717, n70718, n70719, n70720,
+         n70721, n70722, n70723, n70724, n70725, n70726, n70727, n70728,
+         n70729, n70730, n70731, n70732, n70733, n70734, n70735, n70736,
+         n70737, n70738, n70739, n70740, n70741, n70742, n70743, n70744,
+         n70745, n70746, n70747, n70748, n70749, n70750, n70751, n70752,
+         n70753, n70754, n70755, n70756, n70757, n70758, n70759, n70760,
+         n70761, n70762, n70763, n70764, n70765, n70766, n70767, n70768,
+         n70769, n70770, n70771, n70772, n70773, n70774, n70775, n70776,
+         n70777, n70778, n70779, n70780, n70781, n70782, n70783, n70784,
+         n70785, n70786, n70787, n70788, n70789, n70790, n70791, n70792,
+         n70793, n70794, n70795, n70796, n70797, n70798, n70799, n70800,
+         n70801, n70802, n70803, n70804, n70805, n70806, n70807, n70808,
+         n70809, n70810, n70811, n70812, n70813, n70814, n70815, n70816,
+         n70817, n70818, n70819, n70820, n70821, n70822, n70823, n70824,
+         n70825, n70826, n70827, n70828, n70829, n70830, n70831, n70832,
+         n70833, n70834, n70835, n70836, n70837, n70838, n70839, n70840,
+         n70841, n70842, n70843, n70844, n70845, n70846, n70847, n70848,
+         n70849, n70850, n70851, n70852, n70853, n70854, n70855, n70856,
+         n70857, n70858, n70859, n70860, n70861, n70862, n70863, n70864,
+         n70865, n70866, n70867, n70868, n70869, n70870, n70871, n70872,
+         n70873, n70874, n70875, n70876, n70877, n70878, n70879, n70880,
+         n70881, n70882, n70883, n70884, n70885, n70886, n70887, n70888,
+         n70889, n70890, n70891, n70892, n70893, n70894, n70895, n70896,
+         n70897, n70898, n70899, n70900, n70901, n70902, n70903, n70904,
+         n70905, n70906, n70907, n70908, n70909, n70910, n70911, n70912,
+         n70913, n70914, n70915, n70916, n70917, n70918, n70919, n70920,
+         n70921, n70922, n70923, n70924, n70925, n70926, n70927, n70928,
+         n70929, n70930, n70931, n70932, n70933, n70934, n70935, n70936,
+         n70937, n70938, n70939, n70940, n70941, n70942, n70943, n70944,
+         n70945, n70946, n70947, n70948, n70949, n70950, n70951, n70952,
+         n70953, n70954, n70955, n70956, n70957, n70958, n70959, n70960,
+         n70961, n70962, n70963, n70964, n70965, n70966, n70967, n70968,
+         n70969, n70970, n70971, n70972, n70973, n70974, n70975, n70976,
+         n70977, n70978, n70979, n70980, n70981, n70982, n70983, n70984,
+         n70985, n70986, n70987, n70988, n70989, n70990, n70991, n70992,
+         n70993, n70994, n70995, n70996, n70997, n70998, n70999, n71000,
+         n71001, n71002, n71003, n71004, n71005, n71006, n71007, n71008,
+         n71009, n71010, n71011, n71012, n71013, n71014, n71015, n71016,
+         n71017, n71018, n71019, n71020, n71021, n71022, n71023, n71024,
+         n71025, n71026, n71027, n71028, n71029, n71030, n71031, n71032,
+         n71033, n71034, n71035, n71036, n71037, n71038, n71039, n71040,
+         n71041, n71042, n71043, n71044, n71045, n71046, n71047, n71048,
+         n71049, n71050, n71051, n71052, n71053, n71054, n71055, n71056,
+         n71057, n71058, n71059, n71060, n71061, n71062, n71063, n71064,
+         n71065, n71066, n71067, n71068, n71069, n71070, n71071, n71072,
+         n71073, n71074, n71075, n71076, n71077, n71078, n71079, n71080,
+         n71081, n71082, n71083, n71084, n71085, n71086, n71087, n71088,
+         n71089, n71090, n71091, n71092, n71093, n71094, n71095, n71096,
+         n71097, n71098, n71099, n71100, n71101, n71102, n71103, n71104,
+         n71105, n71106, n71107, n71108, n71109, n71110, n71111, n71112,
+         n71113, n71114, n71115, n71116, n71117, n71118, n71119, n71120,
+         n71121, n71122, n71123, n71124, n71125, n71126, n71127, n71128,
+         n71129, n71130, n71131, n71132, n71133, n71134, n71135, n71136,
+         n71137, n71138, n71139, n71140, n71141, n71142, n71143, n71144,
+         n71145, n71146, n71147, n71148, n71149, n71150, n71151, n71152,
+         n71153, n71154, n71155, n71156, n71157, n71158, n71159, n71160,
+         n71161, n71162, n71163, n71164, n71165, n71166, n71167, n71168,
+         n71169, n71170, n71171, n71172, n71173, n71174, n71175, n71176,
+         n71177, n71178, n71179, n71180, n71181, n71182, n71183, n71184,
+         n71185, n71186, n71187, n71188, n71189, n71190, n71191, n71192,
+         n71193, n71194, n71195, n71196, n71197, n71198, n71199, n71200,
+         n71201, n71202, n71203, n71204, n71205, n71206, n71207, n71208,
+         n71209, n71210, n71211, n71212, n71213, n71214, n71215, n71216,
+         n71217, n71218, n71219, n71220, n71221, n71222, n71223, n71224,
+         n71225, n71226, n71227, n71228, n71229, n71230, n71231, n71232,
+         n71233, n71234, n71235, n71236, n71237, n71238, n71239, n71240,
+         n71241, n71242, n71243, n71244, n71245, n71246, n71247, n71248,
+         n71249, n71250, n71251, n71252, n71253, n71254, n71255, n71256,
+         n71257, n71258, n71259, n71260, n71261, n71262, n71263, n71264,
+         n71265, n71266, n71267, n71268, n71269, n71270, n71271, n71272,
+         n71273, n71274, n71275, n71276, n71277, n71278, n71279, n71280,
+         n71281, n71282, n71283, n71284, n71285, n71286, n71287, n71288,
+         n71289, n71290, n71291, n71292, n71293, n71294, n71295, n71296,
+         n71297, n71298, n71299, n71300, n71301, n71302, n71303, n71304,
+         n71305, n71306, n71307, n71308, n71309, n71310, n71311, n71312,
+         n71313, n71314, n71315, n71316, n71317, n71318, n71319, n71320,
+         n71321, n71322, n71323, n71324, n71325, n71326, n71327, n71328,
+         n71329, n71330, n71331, n71332, n71333, n71334, n71335, n71336,
+         n71337, n71338, n71339, n71340, n71341, n71342, n71343, n71344,
+         n71345, n71346, n71347, n71348, n71349, n71350, n71351, n71352,
+         n71353, n71354, n71355, n71356, n71357, n71358, n71359, n71360,
+         n71361, n71362, n71363, n71364, n71365, n71366, n71367, n71368,
+         n71369, n71370, n71371, n71372, n71373, n71374, n71375, n71376,
+         n71377, n71378, n71379, n71380, n71381, n71382, n71383, n71384,
+         n71385, n71386, n71387, n71388, n71389, n71390, n71391, n71392,
+         n71393, n71394, n71395, n71396, n71397, n71398, n71399, n71400,
+         n71401, n71402, n71403, n71404, n71405, n71406, n71407, n71408,
+         n71409, n71410, n71411, n71412, n71413, n71414, n71415, n71416,
+         n71417, n71418, n71419, n71420, n71421, n71422, n71423, n71424,
+         n71425, n71426, n71427, n71428, n71429, n71430, n71431, n71432,
+         n71433, n71434, n71435, n71436, n71437, n71438, n71439, n71440,
+         n71441, n71442, n71443, n71444, n71445, n71446, n71447, n71448,
+         n71449, n71450, n71451, n71452, n71453, n71454, n71455, n71456,
+         n71457, n71458, n71459, n71460, n71461, n71462, n71463, n71464,
+         n71465, n71466, n71467, n71468, n71469, n71470, n71471, n71472,
+         n71473, n71474, n71475, n71476, n71477, n71478, n71479, n71480,
+         n71481, n71482, n71483, n71484, n71485, n71486, n71487, n71488,
+         n71489, n71490, n71491, n71492, n71493, n71494, n71495, n71496,
+         n71497, n71498, n71499, n71500, n71501, n71502, n71503, n71504,
+         n71505, n71506, n71507, n71508, n71509, n71510, n71511, n71512,
+         n71513, n71514, n71515, n71516, n71517, n71518, n71519, n71520,
+         n71521, n71522, n71523, n71524, n71525, n71526, n71527, n71528,
+         n71529, n71530, n71531, n71532, n71533, n71534, n71535, n71536,
+         n71537, n71538, n71539, n71540, n71541, n71542, n71543, n71544,
+         n71545, n71546, n71547, n71548, n71549, n71550, n71551, n71552,
+         n71553, n71554, n71555, n71556, n71557, n71558, n71559, n71560,
+         n71561, n71562, n71563, n71564, n71565, n71566, n71567, n71568,
+         n71569, n71570, n71571, n71572, n71573, n71574, n71575, n71576,
+         n71577, n71578, n71579, n71580, n71581, n71582, n71583, n71584,
+         n71585, n71586, n71587, n71588, n71589, n71590, n71591, n71592,
+         n71593, n71594, n71595, n71596, n71597, n71598, n71599, n71600,
+         n71601, n71602, n71603, n71604, n71605, n71606, n71607, n71608,
+         n71609, n71610, n71611, n71612, n71613, n71614, n71615, n71616,
+         n71617, n71618, n71619, n71620, n71621, n71622, n71623, n71624,
+         n71625, n71626, n71627, n71628, n71629, n71630, n71631, n71632,
+         n71633, n71634, n71635, n71636, n71637, n71638, n71639, n71640,
+         n71641, n71642, n71643, n71644, n71645, n71646, n71647, n71648,
+         n71649, n71650, n71651, n71652, n71653, n71654, n71655, n71656,
+         n71657, n71658, n71659, n71660, n71661, n71662, n71663, n71664,
+         n71665, n71666, n71667, n71668, n71669, n71670, n71671, n71672,
+         n71673, n71674, n71675, n71676, n71677, n71678, n71679, n71680,
+         n71681, n71682, n71683, n71684, n71685, n71686, n71687, n71688,
+         n71689, n71690, n71691, n71692, n71693, n71694, n71695, n71696,
+         n71697, n71698, n71699, n71700, n71701, n71702, n71703, n71704,
+         n71705, n71706, n71707, n71708, n71709, n71710, n71711, n71712,
+         n71713, n71714, n71715, n71716, n71717, n71718, n71719, n71720,
+         n71721, n71722, n71723, n71724, n71725, n71726, n71727, n71728,
+         n71729, n71730, n71731, n71732, n71733, n71734, n71735, n71736,
+         n71737, n71738, n71739, n71740, n71741, n71742, n71743, n71744,
+         n71745, n71746, n71747, n71748, n71749, n71750, n71751, n71752,
+         n71753, n71754, n71755, n71756, n71757, n71758, n71759, n71760,
+         n71761, n71762, n71763, n71764, n71765, n71766, n71767, n71768,
+         n71769, n71770, n71771, n71772, n71773, n71774, n71775, n71776,
+         n71777, n71778, n71779, n71780, n71781, n71782, n71783, n71784,
+         n71785, n71786, n71787, n71788, n71789, n71790, n71791, n71792,
+         n71793, n71794, n71795, n71796, n71797, n71798, n71799, n71800,
+         n71801, n71802, n71803, n71804, n71805, n71806, n71807, n71808,
+         n71809, n71810, n71811, n71812, n71813, n71814, n71815, n71816,
+         n71817, n71818, n71819, n71820, n71821, n71822, n71823, n71824,
+         n71825, n71826, n71827, n71828, n71829, n71830, n71831, n71832,
+         n71833, n71834, n71835, n71836, n71837, n71838, n71839, n71840,
+         n71841, n71842, n71843, n71844, n71845, n71846, n71847, n71848,
+         n71849, n71850, n71851, n71852, n71853, n71854, n71855, n71856,
+         n71857, n71858, n71859, n71860, n71861, n71862, n71863, n71864,
+         n71865, n71866, n71867, n71868, n71869, n71870, n71871, n71872,
+         n71873, n71874, n71875, n71876, n71877, n71878, n71879, n71880,
+         n71881, n71882, n71883, n71884, n71885, n71886, n71887, n71888,
+         n71889, n71890, n71891, n71892, n71893, n71894, n71895, n71896,
+         n71897, n71898, n71899, n71900, n71901, n71902, n71903, n71904,
+         n71905, n71906, n71907, n71908, n71909, n71910, n71911, n71912,
+         n71913, n71914, n71915, n71916, n71917, n71918, n71919, n71920,
+         n71921, n71922, n71923, n71924, n71925, n71926, n71927, n71928,
+         n71929, n71930, n71931, n71932, n71933, n71934, n71935, n71936,
+         n71937, n71938, n71939, n71940, n71941, n71942, n71943, n71944,
+         n71945, n71946, n71947, n71948, n71949, n71950, n71951, n71952,
+         n71953, n71954, n71955, n71956, n71957, n71958, n71959, n71960,
+         n71961, n71962, n71963, n71964, n71965, n71966, n71967, n71968,
+         n71969, n71970, n71971, n71972, n71973, n71974, n71975, n71976,
+         n71977, n71978, n71979, n71980, n71981, n71982, n71983, n71984,
+         n71985, n71986, n71987, n71988, n71989, n71990, n71991, n71992,
+         n71993, n71994, n71995, n71996, n71997, n71998, n71999, n72000,
+         n72001, n72002, n72003, n72004, n72005, n72006, n72007, n72008,
+         n72009, n72010, n72011, n72012, n72013, n72014, n72015, n72016,
+         n72017, n72018, n72019, n72020, n72021, n72022, n72023, n72024,
+         n72025, n72026, n72027, n72028, n72029, n72030, n72031, n72032,
+         n72033, n72034, n72035, n72036, n72037, n72038, n72039, n72040,
+         n72041, n72042, n72043, n72044, n72045, n72046, n72047, n72048,
+         n72049, n72050, n72051, n72052, n72053, n72054, n72055, n72056,
+         n72057, n72058, n72059, n72060, n72061, n72062, n72063, n72064,
+         n72065, n72066, n72067, n72068, n72069, n72070, n72071, n72072,
+         n72073, n72074, n72075, n72076, n72077, n72078, n72079, n72080,
+         n72081, n72082, n72083, n72084, n72085, n72086, n72087, n72088,
+         n72089, n72090, n72091, n72092, n72093, n72094, n72095, n72096,
+         n72097, n72098, n72099, n72100, n72101, n72102, n72103, n72104,
+         n72105, n72106, n72107, n72108, n72109, n72110, n72111, n72112,
+         n72113, n72114, n72115, n72116, n72117, n72118, n72119, n72120,
+         n72121, n72122, n72123, n72124, n72125, n72126, n72127, n72128,
+         n72129, n72130, n72131, n72132, n72133, n72134, n72135, n72136,
+         n72137, n72138, n72139, n72140, n72141, n72142, n72143, n72144,
+         n72145, n72146, n72147, n72148, n72149, n72150, n72151, n72152,
+         n72153, n72154, n72155, n72156, n72157, n72158, n72159, n72160,
+         n72161, n72162, n72163, n72164, n72165, n72166, n72167, n72168,
+         n72169, n72170, n72171, n72172, n72173, n72174, n72175, n72176,
+         n72177, n72178, n72179, n72180, n72181, n72182, n72183, n72184,
+         n72185, n72186, n72187, n72188, n72189, n72190, n72191, n72192,
+         n72193, n72194, n72195, n72196, n72197, n72198, n72199, n72200,
+         n72201, n72202, n72203, n72204, n72205, n72206, n72207, n72208,
+         n72209, n72210, n72211, n72212, n72213, n72214, n72215, n72216,
+         n72217, n72218, n72219, n72220, n72221, n72222, n72223, n72224,
+         n72225, n72226, n72227, n72228, n72229, n72230, n72231, n72232,
+         n72233, n72234, n72235, n72236, n72237, n72238, n72239, n72240,
+         n72241, n72242, n72243, n72244, n72245, n72246, n72247, n72248,
+         n72249, n72250, n72251, n72252, n72253, n72254, n72255, n72256,
+         n72257, n72258, n72259, n72260, n72261, n72262, n72263, n72264,
+         n72265, n72266, n72267, n72268, n72269, n72270, n72271, n72272,
+         n72273, n72274, n72275, n72276, n72277, n72278, n72279, n72280,
+         n72281, n72282, n72283, n72284, n72285, n72286, n72287, n72288,
+         n72289, n72290, n72291, n72292, n72293, n72294, n72295, n72296,
+         n72297, n72298, n72299, n72300, n72301, n72302, n72303, n72304,
+         n72305, n72306, n72307, n72308, n72309, n72310, n72311, n72312,
+         n72313, n72314, n72315, n72316, n72317, n72318, n72319, n72320,
+         n72321, n72322, n72323, n72324, n72325, n72326, n72327, n72328,
+         n72329, n72330, n72331, n72332, n72333, n72334, n72335, n72336,
+         n72337, n72338, n72339, n72340, n72341, n72342, n72343, n72344,
+         n72345, n72346, n72347, n72348, n72349, n72350, n72351, n72352,
+         n72353, n72354, n72355, n72356, n72357, n72358, n72359, n72360,
+         n72361, n72362, n72363, n72364, n72365, n72366, n72367, n72368,
+         n72369, n72370, n72371, n72372, n72373, n72374, n72375, n72376,
+         n72377, n72378, n72379, n72380, n72381, n72382, n72383, n72384,
+         n72385, n72386, n72387, n72388, n72389, n72390, n72391, n72392,
+         n72393, n72394, n72395, n72396, n72397, n72398, n72399, n72400,
+         n72401, n72402, n72403, n72404, n72405, n72406, n72407, n72408,
+         n72409, n72410, n72411, n72412, n72413, n72414, n72415, n72416,
+         n72417, n72418, n72419, n72420, n72421, n72422, n72423, n72424,
+         n72425, n72426, n72427, n72428, n72429, n72430, n72431, n72432,
+         n72433, n72434, n72435, n72436, n72437, n72438, n72439, n72440,
+         n72441, n72442, n72443, n72444, n72445, n72446, n72447, n72448,
+         n72449, n72450, n72451, n72452, n72453, n72454, n72455, n72456,
+         n72457, n72458, n72459, n72460, n72461, n72462, n72463, n72464,
+         n72465, n72466, n72467, n72468, n72469, n72470, n72471, n72472,
+         n72473, n72474, n72475, n72476, n72477, n72478, n72479, n72480,
+         n72481, n72482, n72483, n72484, n72485, n72486, n72487, n72488,
+         n72489, n72490, n72491, n72492, n72493, n72494, n72495, n72496,
+         n72497, n72498, n72499, n72500, n72501, n72502, n72503, n72504,
+         n72505, n72506, n72507, n72508, n72509, n72510, n72511, n72512,
+         n72513, n72514, n72515, n72516, n72517, n72518, n72519, n72520,
+         n72521, n72522, n72523, n72524, n72525, n72526, n72527, n72528,
+         n72529, n72530, n72531, n72532, n72533, n72534, n72535, n72536,
+         n72537, n72538, n72539, n72540, n72541, n72542, n72543, n72544,
+         n72545, n72546, n72547, n72548, n72549, n72550, n72551, n72552,
+         n72553, n72554, n72555, n72556, n72557, n72558, n72559, n72560,
+         n72561, n72562, n72563, n72564, n72565, n72566, n72567, n72568,
+         n72569, n72570, n72571, n72572, n72573, n72574, n72575, n72576,
+         n72577, n72578, n72579, n72580, n72581, n72582, n72583, n72584,
+         n72585, n72586, n72587, n72588, n72589, n72590, n72591, n72592,
+         n72593, n72594, n72595, n72596, n72597, n72598, n72599, n72600,
+         n72601, n72602, n72603, n72604, n72605, n72606, n72607, n72608,
+         n72609, n72610, n72611, n72612, n72613, n72614, n72615, n72616,
+         n72617, n72618, n72619, n72620, n72621, n72622, n72623, n72624,
+         n72625, n72626, n72627, n72628, n72629, n72630, n72631, n72632,
+         n72633, n72634, n72635, n72636, n72637, n72638, n72639, n72640,
+         n72641, n72642, n72643, n72644, n72645, n72646, n72647, n72648,
+         n72649, n72650, n72651, n72652, n72653, n72654, n72655, n72656,
+         n72657, n72658, n72659, n72660, n72661, n72662, n72663, n72664,
+         n72665, n72666, n72667, n72668, n72669, n72670, n72671, n72672,
+         n72673, n72674, n72675, n72676, n72677, n72678, n72679, n72680,
+         n72681, n72682, n72683, n72684, n72685, n72686, n72687, n72688,
+         n72689, n72690, n72691, n72692, n72693, n72694, n72695, n72696,
+         n72697, n72698, n72699, n72700, n72701, n72702, n72703, n72704,
+         n72705, n72706, n72707, n72708, n72709, n72710, n72711, n72712,
+         n72713, n72714, n72715, n72716, n72717, n72718, n72719, n72720,
+         n72721, n72722, n72723, n72724, n72725, n72726, n72727, n72728,
+         n72729, n72730, n72731, n72732, n72733, n72734, n72735, n72736,
+         n72737, n72738, n72739, n72740, n72741, n72742, n72743, n72744,
+         n72745, n72746, n72747, n72748, n72749, n72750, n72751, n72752,
+         n72753, n72754, n72755, n72756, n72757, n72758, n72759, n72760,
+         n72761, n72762, n72763, n72764, n72765, n72766, n72767, n72768,
+         n72769, n72770, n72771, n72772, n72773, n72774, n72775, n72776,
+         n72777, n72778, n72779, n72780, n72781, n72782, n72783, n72784,
+         n72785, n72786, n72787, n72788, n72789, n72790, n72791, n72792,
+         n72793, n72794, n72795, n72796, n72797, n72798, n72799, n72800,
+         n72801, n72802, n72803, n72804, n72805, n72806, n72807, n72808,
+         n72809, n72810, n72811, n72812, n72813, n72814, n72815, n72816,
+         n72817, n72818, n72819, n72820, n72821, n72822, n72823, n72824,
+         n72825, n72826, n72827, n72828, n72829, n72830, n72831, n72832,
+         n72833, n72834, n72835, n72836, n72837, n72838, n72839, n72840,
+         n72841, n72842, n72843, n72844, n72845, n72846, n72847, n72848,
+         n72849, n72850, n72851, n72852, n72853, n72854, n72855, n72856,
+         n72857, n72858, n72859, n72860, n72861, n72862, n72863, n72864,
+         n72865, n72866, n72867, n72868, n72869, n72870, n72871, n72872,
+         n72873, n72874, n72875, n72876, n72877, n72878, n72879, n72880,
+         n72881, n72882, n72883, n72884, n72885, n72886, n72887, n72888,
+         n72889, n72890, n72891, n72892, n72893, n72894, n72895, n72896,
+         n72897, n72898, n72899, n72900, n72901, n72902, n72903, n72904,
+         n72905, n72906, n72907, n72908, n72909, n72910, n72911, n72912,
+         n72913, n72914, n72915, n72916, n72917, n72918, n72919, n72920,
+         n72921, n72922, n72923, n72924, n72925, n72926, n72927, n72928,
+         n72929, n72930, n72931, n72932, n72933, n72934, n72935, n72936,
+         n72937, n72938, n72939, n72940, n72941, n72942, n72943, n72944,
+         n72945, n72946, n72947, n72948, n72949, n72950, n72951, n72952,
+         n72953, n72954, n72955, n72956, n72957, n72958, n72959, n72960,
+         n72961, n72962, n72963, n72964, n72965, n72966, n72967, n72968,
+         n72969, n72970, n72971, n72972, n72973, n72974, n72975, n72976,
+         n72977, n72978, n72979, n72980, n72981, n72982, n72983, n72984,
+         n72985, n72986, n72987, n72988, n72989, n72990, n72991, n72992,
+         n72993, n72994, n72995, n72996, n72997, n72998, n72999, n73000,
+         n73001, n73002, n73003, n73004, n73005, n73006, n73007, n73008,
+         n73009, n73010, n73011, n73012, n73013, n73014, n73015, n73016,
+         n73017, n73018, n73019, n73020, n73021, n73022, n73023, n73024,
+         n73025, n73026, n73027, n73028, n73029, n73030, n73031, n73032,
+         n73033, n73034, n73035, n73036, n73037, n73038, n73039, n73040,
+         n73041, n73042, n73043, n73044, n73045, n73046, n73047, n73048,
+         n73049, n73050, n73051, n73052, n73053, n73054, n73055, n73056,
+         n73057, n73058, n73059, n73060, n73061, n73062, n73063, n73064,
+         n73065, n73066, n73067, n73068, n73069, n73070, n73071, n73072,
+         n73073, n73074, n73075, n73076, n73077, n73078, n73079, n73080,
+         n73081, n73082, n73083, n73084, n73085, n73086, n73087, n73088,
+         n73089, n73090, n73091, n73092, n73093, n73094, n73095, n73096,
+         n73097, n73098, n73099, n73100, n73101, n73102, n73103, n73104,
+         n73105, n73106, n73107, n73108, n73109, n73110, n73111, n73112,
+         n73113, n73114, n73115, n73116, n73117, n73118, n73119, n73120,
+         n73121, n73122, n73123, n73124, n73125, n73126, n73127, n73128,
+         n73129, n73130, n73131, n73132, n73133, n73134, n73135, n73136,
+         n73137, n73138, n73139, n73140, n73141, n73142, n73143, n73144,
+         n73145, n73146, n73147, n73148, n73149, n73150, n73151, n73152,
+         n73153, n73154, n73155, n73156, n73157, n73158, n73159, n73160,
+         n73161, n73162, n73163, n73164, n73165, n73166, n73167, n73168,
+         n73169, n73170, n73171, n73172, n73173, n73174, n73175, n73176,
+         n73177, n73178, n73179, n73180, n73181, n73182, n73183, n73184,
+         n73185, n73186, n73187, n73188, n73189, n73190, n73191, n73192,
+         n73193, n73194, n73195, n73196, n73197, n73198, n73199, n73200,
+         n73201, n73202, n73203, n73204, n73205, n73206, n73207, n73208,
+         n73209, n73210, n73211, n73212, n73213, n73214, n73215, n73216,
+         n73217, n73218, n73219, n73220, n73221, n73222, n73223, n73224,
+         n73225, n73226, n73227, n73228, n73229, n73230, n73231, n73232,
+         n73233, n73234, n73235, n73236, n73237, n73238, n73239, n73240,
+         n73241, n73242, n73243, n73244, n73245, n73246, n73247, n73248,
+         n73249, n73250, n73251, n73252, n73253, n73254, n73255, n73256,
+         n73257, n73258, n73259, n73260, n73261, n73262, n73263, n73264,
+         n73265, n73266, n73267, n73268, n73269, n73270, n73271, n73272,
+         n73273, n73274, n73275, n73276, n73277, n73278, n73279, n73280,
+         n73281, n73282, n73283, n73284, n73285, n73286, n73287, n73288,
+         n73289, n73290, n73291, n73292, n73293, n73294, n73295, n73296,
+         n73297, n73298, n73299, n73300, n73301, n73302, n73303, n73304,
+         n73305, n73306, n73307, n73308, n73309, n73310, n73311, n73312,
+         n73313, n73314, n73315, n73316, n73317, n73318, n73319, n73320,
+         n73321, n73322, n73323, n73324, n73325, n73326, n73327, n73328,
+         n73329, n73330, n73331, n73332, n73333, n73335, n73336, n73337,
+         n73338, n73339, n73340, n73341, n73342, n73343, n73344, n73345,
+         n73346, n73347, n73348, n73349, n73350, n73351, n73352, n73353,
+         n73354, n73355, n73356, n73357, n73358, n73359, n73360, n73361,
+         n73362, n73363, n73364, n73365, n73366, n73367, n73368, n73369,
+         n73370, n73371, n73372, n73373, n73374, n73375, n73376, n73377,
+         n73378, n73379, n73380, n73381, n73382, n73383, n73384, n73385,
+         n73386, n73387, n73388, n73389, n73390, n73391, n73392, n73393,
+         n73394, n73395, n73396, n73397, n73398, n73399, n73400, n73401,
+         n73402, n73403, n73404, n73405, n73406, n73407, n73408, n73409,
+         n73410, n73411, n73412, n73413, n73414, n73415, n73416, n73417,
+         n73418, n73419, n73420, n73421, n73422, n73423, n73424, n73425,
+         n73426, n73427, n73428, n73429, n73430, n73431, n73432, n73433,
+         n73434, n73435, n73436, n73437, n73438, n73439, n73440, n73441,
+         n73442, n73443, n73444, n73445, n73446, n73447, n73448, n73449,
+         n73450, n73451, n73452, n73453, n73454, n73455, n73456, n73457,
+         n73458, n73459, n73460, n73461, n73462, n73463, n73464, n73465,
+         n73466, n73467, n73468, n73469, n73470, n73471, n73472, n73473,
+         n73474, n73475, n73476, n73477, n73478, n73479, n73480, n73481,
+         n73482, n73483, n73484, n73485, n73486, n73487, n73488, n73489,
+         n73490, n73491, n73492, n73493, n73494, n73495, n73496, n73497,
+         n73498, n73499, n73500, n73501, n73502, n73503, n73504, n73505,
+         n73506, n73507, n73508, n73509, n73510, n73511, n73512, n73513,
+         n73514, n73515, n73516, n73517, n73518, n73519, n73520, n73521,
+         n73522, n73523, n73524, n73525, n73526, n73527, n73528, n73529,
+         n73530, n73531, n73532, n73533, n73534, n73535, n73536, n73537,
+         n73538, n73539, n73540, n73541, n73542, n73543, n73544, n73545,
+         n73546, n73547, n73548, n73549, n73550, n73551, n73552, n73553,
+         n73554, n73555, n73556, n73557, n73558, n73559, n73560, n73561,
+         n73562, n73563, n73564, n73565, n73566, n73567, n73568, n73569,
+         n73570, n73571, n73572, n73573, n73574, n73575, n73576, n73577,
+         n73578, n73579, n73580, n73581, n73582, n73583, n73584, n73585,
+         n73586, n73587, n73588, n73589, n73590, n73591, n73592, n73593,
+         n73594, n73595, n73596, n73597, n73598, n73599, n73600, n73601,
+         n73602, n73603, n73604, n73605, n73606, n73607, n73608, n73609,
+         n73610, n73611, n73612, n73613, n73614, n73615, n73616, n73617,
+         n73618, n73619, n73620, n73621, n73622, n73623, n73624, n73625,
+         n73626, n73627, n73628, n73629, n73630, n73631, n73632, n73633,
+         n73634, n73635, n73636, n73637, n73638, n73639, n73640, n73641,
+         n73642, n73643, n73644, n73645, n73646, n73647, n73648, n73649,
+         n73650, n73651, n73652, n73653, n73654, n73655, n73656, n73657,
+         n73658, n73659, n73660, n73661, n73662, n73663, n73664, n73665,
+         n73666, n73667, n73668, n73669, n73670, n73671, n73672, n73673,
+         n73674, n73675, n73676, n73677, n73678, n73679, n73680, n73681,
+         n73682, n73683, n73684, n73685, n73686, n73687, n73688, n73689,
+         n73690, n73691, n73692, n73693, n73694, n73695, n73696, n73697,
+         n73698, n73699, n73700, n73701, n73702, n73703, n73704, n73705,
+         n73706, n73707, n73708, n73709, n73710, n73711, n73712, n73713,
+         n73714, n73715, n73716, n73717, n73718, n73719, n73720, n73721,
+         n73722, n73723, n73724, n73725, n73726, n73727, n73728, n73729,
+         n73730, n73731, n73732, n73733, n73734, n73735, n73736, n73737,
+         n73738, n73739, n73740, n73741, n73742, n73743, n73744, n73745,
+         n73746, n73747, n73748, n73749, n73750, n73751, n73752, n73753,
+         n73754, n73755, n73756, n73757, n73758, n73759, n73760, n73761,
+         n73762, n73763, n73764, n73765, n73766, n73767, n73768, n73769,
+         n73770, n73771, n73772, n73773, n73774, n73775, n73776, n73777,
+         n73778, n73779, n73780, n73781, n73782, n73783, n73784, n73785,
+         n73786, n73787, n73788, n73789, n73790, n73791, n73792, n73793,
+         n73794, n73795, n73796, n73797, n73798, n73799, n73800, n73801,
+         n73802, n73803, n73804, n73805, n73806, n73807, n73808, n73809,
+         n73810, n73811, n73812, n73813, n73814, n73815, n73816, n73817,
+         n73818, n73819, n73820, n73821, n73822, n73823, n73824, n73825,
+         n73826, n73827, n73828, n73829, n73830, n73831, n73832, n73833,
+         n73834, n73835, n73836, n73837, n73838, n73839, n73840, n73841,
+         n73842, n73843, n73844, n73845, n73846, n73847, n73848, n73849,
+         n73850, n73851, n73852, n73853, n73854, n73855, n73856, n73857,
+         n73858, n73859, n73860, n73861, n73862, n73863, n73864, n73865,
+         n73866, n73867, n73868, n73869, n73870, n73871, n73872, n73873,
+         n73874, n73875, n73876, n73877, n73878, n73879, n73880, n73881,
+         n73882, n73883, n73884, n73885, n73886, n73887, n73888, n73889,
+         n73890, n73891, n73892, n73893, n73894, n73895, n73896, n73897,
+         n73898, n73899, n73900, n73901, n73902, n73903, n73904, n73905,
+         n73906, n73907, n73908, n73909, n73910, n73911, n73912, n73913,
+         n73914, n73915, n73916, n73917, n73918, n73919, n73920, n73921,
+         n73922, n73923, n73924, n73925, n73926, n73927, n73928, n73929,
+         n73930, n73931, n73932, n73933, n73934, n73935, n73936, n73937,
+         n73938, n73939, n73940, n73941, n73942, n73943, n73944, n73945,
+         n73946, n73947, n73948, n73949, n73950, n73951, n73952, n73953,
+         n73954, n73955, n73956, n73957, n73958, n73959, n73960, n73961,
+         n73962, n73963, n73964, n73965, n73966, n73967, n73968, n73969,
+         n73970, n73971, n73972, n73973, n73974, n73975, n73976, n73977,
+         n73978, n73979, n73980, n73981, n73982, n73983, n73984, n73985,
+         n73986, n73987, n73988, n73989, n73990, n73991, n73992, n73993,
+         n73994, n73995, n73996, n73997, n73998, n73999, n74000, n74001,
+         n74002, n74003, n74004, n74005, n74006, n74007, n74008, n74009,
+         n74010, n74011, n74012, n74013, n74014, n74015, n74016, n74017,
+         n74018, n74019, n74020, n74021, n74022, n74023, n74024, n74025,
+         n74026, n74027, n74028, n74029, n74030, n74031, n74032, n74033,
+         n74034, n74035, n74036, n74037, n74038, n74039, n74040, n74041,
+         n74042, n74043, n74044, n74045, n74046, n74047, n74048, n74049,
+         n74050, n74051, n74052, n74053, n74054, n74055, n74056, n74057,
+         n74058, n74059, n74060, n74061, n74062, n74063, n74064, n74065,
+         n74066, n74067, n74068, n74069, n74070, n74071, n74072, n74073,
+         n74074, n74075, n74076, n74077, n74078, n74079, n74080, n74081,
+         n74082, n74083, n74084, n74085, n74086, n74087, n74088, n74089,
+         n74090, n74091, n74092, n74093, n74094, n74095, n74096, n74097,
+         n74098, n74099, n74100, n74101, n74102, n74103, n74104, n74105,
+         n74106, n74107, n74108, n74109, n74110, n74111, n74112, n74113,
+         n74114, n74115, n74116, n74117, n74118, n74119, n74120, n74121,
+         n74122, n74123, n74124, n74125, n74126, n74127, n74128, n74129,
+         n74130, n74131, n74132, n74133, n74134, n74135, n74136, n74137,
+         n74138, n74139, n74140, n74141, n74142, n74143, n74144, n74145,
+         n74146, n74147, n74148, n74149, n74150, n74151, n74152, n74153,
+         n74154, n74155, n74156, n74157, n74158, n74159, n74160, n74161,
+         n74162, n74163, n74164, n74165, n74166, n74167, n74168, n74169,
+         n74170, n74171, n74172, n74173, n74174, n74175, n74176, n74177,
+         n74178, n74179, n74180, n74181, n74182, n74183, n74184, n74185,
+         n74186, n74187, n74188, n74189, n74190, n74191, n74192, n74193,
+         n74194, n74195, n74196, n74197, n74198, n74199, n74200, n74201,
+         n74202, n74203, n74204, n74205, n74206, n74207, n74208, n74209,
+         n74210, n74211, n74212, n74213, n74214, n74215, n74216, n74217,
+         n74218, n74219, n74220, n74221, n74222, n74223, n74224, n74225,
+         n74226, n74227, n74228, n74229, n74230, n74231, n74232, n74233,
+         n74234, n74235, n74236, n74237, n74238, n74239, n74240, n74241,
+         n74242, n74243, n74244, n74245, n74246, n74247, n74248, n74249,
+         n74250, n74251, n74252, n74253, n74254, n74255, n74256, n74257,
+         n74258, n74259, n74260, n74261, n74262, n74263, n74264, n74265,
+         n74266, n74267, n74268, n74269, n74270, n74271, n74272, n74273,
+         n74274, n74275, n74276, n74277, n74278, n74279, n74280, n74281,
+         n74282, n74283, n74284, n74285, n74286, n74287, n74288, n74289,
+         n74290, n74291, n74292, n74293, n74294, n74295, n74296, n74297,
+         n74298, n74299, n74300, n74301, n74302, n74303, n74304, n74305,
+         n74306, n74307, n74308, n74309, n74310, n74311, n74312, n74313,
+         n74314, n74315, n74316, n74317, n74318, n74319, n74320, n74321,
+         n74322, n74323, n74324, n74325, n74326, n74327, n74328, n74329,
+         n74330, n74331, n74332, n74333, n74334, n74335, n74336, n74337,
+         n74338, n74339, n74340, n74341, n74342, n74343, n74344, n74345,
+         n74346, n74347, n74348, n74349, n74350, n74351, n74352, n74353,
+         n74354, n74355, n74356, n74357, n74358, n74359, n74360, n74361,
+         n74362, n74363, n74364, n74365, n74366, n74367, n74368, n74369,
+         n74370, n74371, n74372, n74373, n74374, n74375, n74376, n74377,
+         n74378, n74379, n74380, n74381, n74382, n74383, n74384, n74385,
+         n74386, n74387, n74388, n74389, n74390, n74391, n74392, n74393,
+         n74394, n74395, n74396, n74397, n74398, n74399, n74400, n74401,
+         n74402, n74403, n74404, n74405, n74406, n74407, n74408, n74409,
+         n74410, n74411, n74412, n74413, n74414, n74415, n74416, n74417,
+         n74418, n74419, n74420, n74421, n74422, n74423, n74424, n74425,
+         n74426, n74427, n74428, n74429, n74430, n74431, n74432, n74433,
+         n74434, n74435, n74436, n74437, n74438, n74439, n74440, n74441,
+         n74442, n74443, n74444, n74445, n74446, n74447, n74448, n74449,
+         n74450, n74451, n74452, n74453, n74454, n74455, n74456, n74457,
+         n74458, n74459, n74460, n74461, n74462, n74463, n74464, n74465,
+         n74466, n74467, n74468, n74469, n74470, n74471, n74472, n74473,
+         n74474, n74475, n74476, n74477, n74478, n74479, n74480, n74481,
+         n74482, n74483, n74484, n74485, n74486, n74487, n74488, n74489,
+         n74490, n74491, n74492, n74493, n74494, n74495, n74496, n74497,
+         n74498, n74499, n74500, n74501, n74502, n74503, n74504, n74505,
+         n74506, n74507, n74508, n74509, n74510, n74511, n74512, n74513,
+         n74514, n74515, n74516, n74517, n74518, n74519, n74520, n74521,
+         n74522, n74523, n74524, n74525, n74526, n74527, n74528, n74529,
+         n74530, n74531, n74532, n74533, n74534, n74535, n74536, n74537,
+         n74538, n74539, n74540, n74541, n74542, n74543, n74544, n74545,
+         n74546, n74547, n74548, n74549, n74550, n74551, n74552, n74553,
+         n74554, n74555, n74556, n74557, n74558, n74559, n74560, n74561,
+         n74562, n74563, n74564, n74565, n74566, n74567, n74568, n74569,
+         n74570, n74571, n74572, n74573, n74574, n74575, n74576, n74577,
+         n74578, n74579, n74580, n74581, n74582, n74583, n74584, n74585,
+         n74586, n74587, n74588, n74589, n74590, n74591, n74592, n74593,
+         n74594, n74595, n74596, n74597, n74598, n74599, n74600, n74601,
+         n74602, n74603, n74604, n74605, n74606, n74607, n74608, n74609,
+         n74610, n74611, n74612, n74613, n74614, n74615, n74616, n74617,
+         n74618, n74619, n74620, n74621, n74622, n74623, n74624, n74625,
+         n74626, n74627, n74628, n74629, n74630, n74631, n74632, n74633,
+         n74634, n74635, n74636, n74637, n74638, n74639, n74640, n74641,
+         n74642, n74643, n74644, n74645, n74646, n74647, n74648, n74649,
+         n74650, n74651, n74652, n74653, n74654, n74655, n74656, n74657,
+         n74658, n74659, n74660, n74661, n74662, n74663, n74664, n74665,
+         n74666, n74667, n74668, n74669, n74670, n74671, n74672, n74673,
+         n74674, n74675, n74676, n74677, n74678, n74679, n74680, n74681,
+         n74682, n74683, n74684, n74685, n74686, n74687, n74688, n74689,
+         n74690, n74691, n74692, n74693, n74694, n74695, n74696, n74697,
+         n74698, n74699, n74700, n74701, n74702, n74703, n74704, n74705,
+         n74706, n74707, n74708, n74709, n74710, n74711, n74712, n74713,
+         n74714, n74715, n74716, n74717, n74718, n74719, n74720, n74721,
+         n74722, n74723, n74724, n74725, n74726, n74727, n74728, n74729,
+         n74730, n74731, n74732, n74733, n74734, n74735, n74736, n74737,
+         n74738, n74739, n74740, n74741, n74742, n74743, n74744, n74745,
+         n74746, n74747, n74748, n74749, n74750, n74751, n74752, n74753,
+         n74754, n74755, n74756, n74757, n74758, n74759, n74760, n74761,
+         n74762, n74763, n74764, n74765, n74766, n74767, n74768, n74769,
+         n74770, n74771, n74772, n74773, n74774, n74775, n74776, n74777,
+         n74778, n74779, n74780, n74781, n74782, n74783, n74784, n74785,
+         n74786, n74787, n74788, n74789, n74790, n74791, n74792, n74793,
+         n74794, n74795, n74796, n74797, n74798, n74799, n74800, n74801,
+         n74802, n74803, n74804, n74805, n74806, n74807, n74808, n74809,
+         n74810, n74811, n74812, n74813, n74814, n74815, n74816, n74817,
+         n74818, n74819, n74820, n74821, n74822, n74823, n74824, n74825,
+         n74826, n74827, n74828, n74829, n74830, n74831, n74832, n74833,
+         n74834, n74835, n74836, n74837, n74838, n74839, n74840, n74841,
+         n74842, n74843, n74844, n74845, n74846, n74847, n74848, n74849,
+         n74850, n74851, n74852, n74853, n74854, n74855, n74856, n74857,
+         n74858, n74859, n74860, n74861, n74862, n74863, n74864, n74865,
+         n74866, n74867, n74868, n74869, n74870, n74871, n74872, n74873,
+         n74874, n74875, n74876, n74877, n74878, n74879, n74880, n74881,
+         n74882, n74883, n74884, n74885, n74886, n74887, n74888, n74889,
+         n74890, n74891, n74892, n74893, n74894, n74895, n74896, n74897,
+         n74898, n74899, n74900, n74901, n74902, n74903, n74904, n74905,
+         n74906, n74907, n74908, n74909, n74910, n74911, n74912, n74913,
+         n74914, n74915, n74916, n74917, n74918, n74919, n74920, n74921,
+         n74922, n74923, n74924, n74925, n74926, n74927, n74928, n74929,
+         n74930, n74931, n74932, n74933, n74934, n74935, n74936, n74937,
+         n74938, n74939, n74940, n74941, n74942, n74943, n74944, n74945,
+         n74946, n74947, n74948, n74949, n74950, n74951, n74952, n74953,
+         n74954, n74955, n74956, n74957, n74958, n74959, n74960, n74961,
+         n74962, n74963, n74964, n74965, n74966, n74967, n74968, n74969,
+         n74970, n74971, n74972, n74973, n74974, n74975, n74976, n74977,
+         n74978, n74979, n74980, n74981, n74982, n74983, n74984, n74985,
+         n74986, n74987, n74988, n74989, n74990, n74991, n74992, n74993,
+         n74994, n74995, n74996, n74997, n74998, n74999, n75000, n75001,
+         n75002, n75003, n75004, n75005, n75006, n75007, n75008, n75009,
+         n75010, n75011, n75012, n75013, n75014, n75015, n75016, n75017,
+         n75018, n75019, n75020, n75021, n75022, n75023, n75024, n75025,
+         n75026, n75027, n75028, n75029, n75030, n75031, n75032, n75033,
+         n75034, n75035, n75036, n75037, n75038, n75039, n75040, n75041,
+         n75042, n75043, n75044, n75045, n75046, n75047, n75048, n75049,
+         n75050, n75051, n75052, n75053, n75054, n75055, n75056, n75057,
+         n75058, n75059, n75060, n75061, n75062, n75063, n75064, n75065,
+         n75066, n75067, n75068, n75069, n75070, n75071, n75072, n75073,
+         n75074, n75075, n75076, n75077, n75078, n75079, n75080, n75081,
+         n75082, n75083, n75084, n75085, n75086, n75087, n75088, n75089,
+         n75090, n75091, n75092, n75093, n75094, n75095, n75096, n75097,
+         n75098, n75099, n75100, n75101, n75102, n75103, n75104, n75105,
+         n75106, n75107, n75108, n75109, n75110, n75111, n75112, n75113,
+         n75114, n75115, n75116, n75117, n75118, n75119, n75120, n75121,
+         n75122, n75123, n75124, n75125, n75126, n75127, n75128, n75129,
+         n75130, n75131, n75132, n75133, n75134, n75135, n75136, n75137,
+         n75138, n75139, n75140, n75141, n75142, n75143, n75144, n75145,
+         n75146, n75147, n75148, n75149, n75150, n75151, n75152, n75153,
+         n75154, n75155, n75156, n75157, n75158, n75159, n75160, n75161,
+         n75162, n75163, n75164, n75165, n75166, n75167, n75168, n75169,
+         n75170, n75171, n75172, n75173, n75174, n75175, n75176, n75177,
+         n75178, n75179, n75180, n75181, n75182, n75183, n75184, n75185,
+         n75186, n75187, n75188, n75189, n75190, n75191, n75192, n75193,
+         n75194, n75195, n75196, n75197, n75198, n75199, n75200, n75201,
+         n75202, n75203, n75204, n75205, n75206, n75207, n75208, n75209,
+         n75210, n75211, n75212, n75213, n75214, n75215, n75216, n75217,
+         n75218, n75219, n75220, n75221, n75222, n75223, n75224, n75225,
+         n75226, n75227, n75228, n75229, n75230, n75231, n75232, n75233,
+         n75234, n75235, n75236, n75237, n75238, n75239, n75240, n75241,
+         n75242, n75243, n75244, n75245, n75246, n75247, n75248, n75249,
+         n75250, n75251, n75252, n75253, n75254, n75255, n75256, n75257,
+         n75258, n75259, n75260, n75261, n75262, n75263, n75264, n75265,
+         n75266, n75267, n75268, n75269, n75270, n75271, n75272, n75273,
+         n75274, n75275, n75276, n75277, n75278, n75279, n75280, n75281,
+         n75282, n75283, n75284, n75285, n75286, n75287, n75288, n75289,
+         n75290, n75291, n75292, n75293, n75294, n75295, n75296, n75297,
+         n75298, n75299, n75300, n75301, n75302, n75303, n75304, n75305,
+         n75306, n75307, n75308, n75309, n75310, n75311, n75312, n75313,
+         n75314, n75315, n75316, n75317, n75318, n75319, n75320, n75321,
+         n75322, n75323, n75324, n75325, n75326, n75327, n75328, n75329,
+         n75330, n75331, n75332, n75333, n75334, n75335, n75336, n75337,
+         n75338, n75339, n75340, n75341, n75342, n75343, n75344, n75345,
+         n75346, n75347, n75348, n75349, n75350, n75351, n75352, n75353,
+         n75354, n75355, n75356, n75357, n75358, n75359, n75360, n75361,
+         n75362, n75363, n75364, n75365, n75366, n75367, n75368, n75369,
+         n75370, n75371, n75372, n75373, n75374, n75375, n75376, n75377,
+         n75378, n75379, n75380, n75381, n75382, n75383, n75384, n75385,
+         n75386, n75387, n75388, n75389, n75390, n75391, n75392, n75393,
+         n75394, n75395, n75396, n75397, n75398, n75399, n75400, n75401,
+         n75402, n75403, n75404, n75405, n75406, n75407, n75408, n75409,
+         n75410, n75411, n75412, n75413, n75414, n75415, n75416, n75417,
+         n75418, n75419, n75420, n75421, n75422, n75423, n75424, n75425,
+         n75426, n75427, n75428, n75429, n75430, n75431, n75432, n75433,
+         n75434, n75435, n75436, n75437, n75438, n75439, n75440, n75441,
+         n75442, n75443, n75444, n75445, n75446, n75447, n75448, n75449,
+         n75450, n75451, n75452, n75453, n75454, n75455, n75456, n75457,
+         n75458, n75459, n75460, n75461, n75462, n75463, n75464, n75465,
+         n75466, n75467, n75468, n75469, n75470, n75471, n75472, n75473,
+         n75474, n75475, n75476, n75477, n75478, n75479, n75480, n75481,
+         n75482, n75483, n75484, n75485, n75486, n75487, n75488, n75489,
+         n75490, n75491, n75492, n75493, n75494, n75495, n75496, n75497,
+         n75498, n75499, n75500, n75501, n75502, n75503, n75504, n75505,
+         n75506, n75507, n75508, n75509, n75510, n75511, n75512, n75513,
+         n75514, n75515, n75516, n75517, n75518, n75519, n75520, n75521,
+         n75522, n75523, n75524, n75525, n75526, n75527, n75528, n75529,
+         n75530, n75531, n75532, n75533, n75534, n75535, n75536, n75537,
+         n75538, n75539, n75540, n75541, n75542, n75543, n75544, n75545,
+         n75546, n75547, n75548, n75549, n75550, n75551, n75552, n75553,
+         n75554, n75555, n75556, n75557, n75558, n75559, n75560, n75561,
+         n75562, n75563, n75564, n75565, n75566, n75567, n75568, n75569,
+         n75570, n75571, n75572, n75573, n75574, n75575, n75576, n75577,
+         n75578, n75579, n75580, n75581, n75582, n75583, n75584, n75585,
+         n75586, n75587, n75588, n75589, n75590, n75591, n75592, n75593,
+         n75594, n75595, n75596, n75597, n75598, n75599, n75600, n75601,
+         n75602, n75603, n75604, n75605, n75606, n75607, n75608, n75609,
+         n75610, n75611, n75612, n75613, n75614, n75615, n75616, n75617,
+         n75618, n75619, n75620, n75621, n75622, n75623, n75624, n75625,
+         n75626, n75627, n75628, n75629, n75630, n75631, n75632, n75633,
+         n75634, n75635, n75636, n75637, n75638, n75639, n75640, n75641,
+         n75642, n75643, n75644, n75645, n75646, n75647, n75648, n75649,
+         n75650, n75651, n75652, n75653, n75654, n75655, n75656, n75657,
+         n75658, n75659, n75660, n75661, n75662, n75663, n75664, n75665,
+         n75666, n75667, n75668, n75669, n75670, n75671, n75672, n75673,
+         n75674, n75675, n75676, n75677, n75678, n75679, n75680, n75681,
+         n75682, n75683, n75684, n75685, n75686, n75687, n75688, n75689,
+         n75690, n75691, n75692, n75693, n75694, n75695, n75696, n75697,
+         n75698, n75699, n75700, n75701, n75702, n75703, n75704, n75705,
+         n75706, n75707, n75708, n75709, n75710, n75711, n75712, n75713,
+         n75714, n75715, n75716, n75717, n75718, n75719, n75720, n75721,
+         n75722, n75723, n75724, n75725, n75726, n75727, n75728, n75729,
+         n75730, n75731, n75732, n75733, n75734, n75735, n75736, n75737,
+         n75738, n75739, n75740, n75741, n75742, n75743, n75744, n75745,
+         n75746, n75747, n75748, n75749, n75750, n75751, n75752, n75753,
+         n75754, n75755, n75756, n75757, n75758, n75759, n75760, n75761,
+         n75762, n75763, n75764, n75765, n75766, n75767, n75768, n75769,
+         n75770, n75771, n75772, n75773, n75774, n75775, n75776, n75777,
+         n75778, n75779, n75780, n75781, n75782, n75783, n75784, n75785,
+         n75786, n75787, n75788, n75789, n75790, n75791, n75792, n75793,
+         n75794, n75795, n75796, n75797, n75798, n75799, n75800, n75801,
+         n75802, n75803, n75804, n75805, n75806, n75807, n75808, n75809,
+         n75810, n75811, n75812, n75813, n75814, n75815, n75816, n75817,
+         n75818, n75819, n75820, n75821, n75822, n75823, n75824, n75825,
+         n75826, n75827, n75828, n75829, n75830, n75831, n75832, n75833,
+         n75834, n75835, n75836, n75837, n75838, n75839, n75840, n75841,
+         n75842, n75843, n75844, n75845, n75846, n75847, n75848, n75849,
+         n75850, n75851, n75852, n75853, n75854, n75855, n75856, n75857,
+         n75858, n75859, n75860, n75861, n75862, n75863, n75864, n75865,
+         n75866, n75867, n75868, n75869, n75870, n75871, n75872, n75873,
+         n75874, n75875, n75876, n75877, n75878, n75879, n75880, n75881,
+         n75882, n75883, n75884, n75885, n75886, n75887, n75888, n75889,
+         n75890, n75891, n75892, n75893, n75894, n75895, n75896, n75897,
+         n75898, n75899, n75900, n75901, n75902, n75903, n75904, n75905,
+         n75906, n75907, n75908, n75909, n75910, n75911, n75912, n75913,
+         n75914, n75915, n75916, n75917, n75918, n75919, n75920, n75921,
+         n75922, n75923, n75924, n75925, n75926, n75927, n75928, n75929,
+         n75930, n75931, n75932, n75933, n75934, n75935, n75936, n75937,
+         n75938, n75939, n75940, n75941, n75942, n75943, n75944, n75945,
+         n75946, n75947, n75948, n75949, n75950, n75951, n75952, n75953,
+         n75954, n75955, n75956, n75957, n75958, n75959, n75960, n75961,
+         n75962, n75963, n75964, n75965, n75966, n75967, n75968, n75969,
+         n75970, n75971, n75972, n75973, n75974, n75975, n75976, n75977,
+         n75978, n75979, n75980, n75981, n75982, n75983, n75984, n75985,
+         n75986, n75987, n75988, n75989, n75990, n75991, n75992, n75993,
+         n75994, n75995, n75996, n75997, n75998, n75999, n76000, n76001,
+         n76002, n76003, n76004, n76005, n76006, n76007, n76008, n76009,
+         n76010, n76011, n76012, n76013, n76014, n76015, n76016, n76017,
+         n76018, n76019, n76020, n76021, n76022, n76023, n76024, n76025,
+         n76026, n76027, n76028, n76029, n76030, n76031, n76032, n76033,
+         n76034, n76035, n76036, n76037, n76038, n76039, n76040, n76041,
+         n76042, n76043, n76044, n76045, n76046, n76047, n76048, n76049,
+         n76050, n76051, n76052, n76053, n76054, n76055, n76056, n76057,
+         n76058, n76059, n76060, n76061, n76062, n76063, n76064, n76065,
+         n76066, n76067, n76068, n76069, n76070, n76071, n76072, n76073,
+         n76074, n76075, n76076, n76077, n76078, n76079, n76080, n76081,
+         n76082, n76083, n76084, n76085, n76086, n76087, n76088, n76089,
+         n76090, n76091, n76092, n76093, n76094, n76095, n76096, n76097,
+         n76098, n76099, n76100, n76101, n76102, n76103, n76104, n76105,
+         n76106, n76107, n76108, n76109, n76110, n76111, n76112, n76113,
+         n76114, n76115, n76116, n76117, n76118, n76119, n76120, n76121,
+         n76122, n76123, n76124, n76125, n76126, n76127, n76128, n76129,
+         n76130, n76131, n76132, n76133, n76134, n76135, n76136, n76137,
+         n76138, n76139, n76140, n76141, n76142, n76143, n76144, n76145,
+         n76146, n76147, n76148, n76149, n76150, n76151, n76152, n76153,
+         n76154, n76155, n76156, n76157, n76158, n76159, n76160, n76161,
+         n76162, n76163, n76164, n76165, n76166, n76167, n76168, n76169,
+         n76170, n76171, n76172, n76173, n76174, n76175, n76176, n76177,
+         n76178, n76179, n76180, n76181, n76182, n76183, n76184, n76185,
+         n76186, n76187, n76188, n76189, n76190, n76191, n76192, n76193,
+         n76194, n76195, n76196, n76197, n76198, n76199, n76200, n76201,
+         n76202, n76203, n76204, n76205, n76206, n76207, n76208, n76209,
+         n76210, n76211, n76212, n76213, n76214, n76215, n76216, n76217,
+         n76218, n76219, n76220, n76221, n76222, n76223, n76224, n76225,
+         n76226, n76227, n76228, n76229, n76230, n76231, n76232, n76233,
+         n76234, n76235, n76236, n76237, n76238, n76239, n76240, n76241,
+         n76242, n76243, n76244, n76245, n76246, n76247, n76248, n76249,
+         n76250, n76251, n76252, n76253, n76254, n76255, n76256, n76257,
+         n76258, n76259, n76260, n76261, n76262, n76263, n76264, n76265,
+         n76266, n76267, n76268, n76269, n76270, n76271, n76272, n76273,
+         n76274, n76275, n76276, n76277, n76278, n76279, n76280, n76281,
+         n76282, n76283, n76284, n76285, n76286, n76287, n76288, n76289,
+         n76290, n76291, n76292, n76293, n76294, n76295, n76296, n76297,
+         n76298, n76299, n76300, n76301, n76302, n76303, n76304, n76305,
+         n76306, n76307, n76308, n76309, n76310, n76311, n76312, n76313,
+         n76314, n76315, n76316, n76317, n76318, n76319, n76320, n76321,
+         n76322, n76323, n76324, n76325, n76326, n76327, n76328, n76329,
+         n76330, n76331, n76332, n76333, n76334, n76335, n76336, n76337,
+         n76338, n76339, n76340, n76341, n76342, n76343, n76344, n76345,
+         n76346, n76347, n76348, n76349, n76350, n76351, n76352, n76353,
+         n76354, n76355, n76356, n76357, n76358, n76359, n76360, n76361,
+         n76362, n76363, n76364, n76365, n76366, n76367, n76368, n76369,
+         n76370, n76371, n76372, n76373, n76374, n76375, n76376, n76377,
+         n76378, n76379, n76380, n76381, n76382, n76383, n76384, n76385,
+         n76386, n76387, n76388, n76389, n76390, n76391, n76392, n76393,
+         n76394, n76395, n76396, n76397, n76398, n76399, n76400, n76401,
+         n76402, n76403, n76404, n76405, n76406, n76407, n76408, n76409,
+         n76410, n76411, n76412, n76413, n76414, n76415, n76416, n76417,
+         n76418, n76419, n76420, n76421, n76422, n76423, n76424, n76425,
+         n76426, n76427, n76428, n76429, n76430, n76431, n76432, n76433,
+         n76434, n76435, n76436, n76437, n76438, n76439, n76440, n76441,
+         n76442, n76443, n76444, n76445, n76446, n76447, n76448, n76449,
+         n76450, n76451, n76452, n76453, n76454, n76455, n76456, n76457,
+         n76458, n76459, n76460, n76461, n76462, n76463, n76464, n76465,
+         n76466, n76467, n76468, n76469, n76470, n76471, n76472, n76473,
+         n76474, n76475, n76476, n76477, n76478, n76479, n76480, n76481,
+         n76482, n76483, n76484, n76485, n76486, n76487, n76488, n76489,
+         n76490, n76491, n76492, n76493, n76494, n76495, n76496, n76497,
+         n76498, n76499, n76500, n76501, n76502, n76503, n76504, n76505,
+         n76506, n76507, n76508, n76509, n76510, n76511, n76512, n76513,
+         n76514, n76515, n76516, n76517, n76518, n76519, n76520, n76521,
+         n76522, n76523, n76524, n76525, n76526, n76527, n76528, n76529,
+         n76530, n76531, n76532, n76533, n76534, n76535, n76536, n76537,
+         n76538, n76539, n76540, n76541, n76542, n76543, n76544, n76545,
+         n76546, n76547, n76548, n76549, n76550, n76551, n76552, n76553,
+         n76554, n76555, n76556, n76557, n76558, n76559, n76560, n76561,
+         n76562, n76563, n76564, n76565, n76566, n76567, n76568, n76569,
+         n76570, n76571, n76572, n76573, n76574, n76575, n76576, n76577,
+         n76578, n76579, n76580, n76581, n76582, n76583, n76584, n76585,
+         n76586, n76587, n76588, n76589, n76590, n76591, n76592, n76593,
+         n76594, n76595, n76596, n76597, n76598, n76599, n76600, n76601,
+         n76602, n76603, n76604, n76605, n76606, n76607, n76608, n76609,
+         n76610, n76611, n76612, n76613, n76614, n76615, n76616, n76617,
+         n76618, n76619, n76620, n76621, n76622, n76623, n76624, n76625,
+         n76626, n76627, n76628, n76629, n76630, n76631, n76632, n76633,
+         n76634, n76635, n76636, n76637, n76638, n76639, n76640, n76641,
+         n76642, n76643, n76644, n76645, n76646, n76647, n76648, n76649,
+         n76650, n76651, n76652, n76653, n76654, n76655, n76656, n76657,
+         n76658, n76659, n76660, n76661, n76662, n76663, n76664, n76665,
+         n76666, n76667, n76668, n76669, n76670, n76671, n76672, n76673,
+         n76674, n76675, n76676, n76677, n76678, n76679, n76680, n76681,
+         n76682, n76683, n76684, n76685, n76686, n76687, n76688, n76689,
+         n76690, n76691, n76692, n76693, n76694, n76695, n76696, n76697,
+         n76698, n76699, n76700, n76701, n76702, n76703, n76704, n76705,
+         n76706, n76707, n76708, n76709, n76710, n76711, n76712, n76713,
+         n76714, n76715, n76716, n76717, n76718, n76719, n76720, n76721,
+         n76722, n76723, n76724, n76725, n76726, n76727, n76728, n76729,
+         n76730, n76731, n76732, n76733, n76734, n76735, n76736, n76737,
+         n76738, n76739, n76740, n76741, n76742, n76743, n76744, n76745,
+         n76746, n76747, n76748, n76749, n76750, n76751, n76752, n76753,
+         n76754, n76755, n76756, n76757, n76758, n76759, n76760, n76761,
+         n76762, n76763, n76764, n76765, n76766, n76767, n76768, n76769,
+         n76770, n76771, n76772, n76773, n76774, n76775, n76776, n76777,
+         n76778, n76779, n76780, n76781, n76782, n76783, n76784, n76785,
+         n76786, n76787, n76788, n76789, n76790, n76791, n76792, n76793,
+         n76794, n76795, n76796, n76797, n76798, n76799, n76800, n76801,
+         n76802, n76803, n76804, n76805, n76806, n76807, n76808, n76809,
+         n76810, n76811, n76812, n76813, n76814, n76815, n76816, n76817,
+         n76818, n76819, n76820, n76821, n76822, n76823, n76824, n76825,
+         n76826, n76827, n76828, n76829, n76830, n76831, n76832, n76833,
+         n76834, n76835, n76836, n76837, n76838, n76839, n76840, n76841,
+         n76842, n76843, n76844, n76845, n76846, n76847, n76848, n76849,
+         n76850, n76851, n76852, n76853, n76854, n76855, n76856, n76857,
+         n76858, n76859, n76860, n76861, n76862, n76863, n76864, n76865,
+         n76866, n76867, n76868, n76869, n76870, n76871, n76872, n76873,
+         n76874, n76875, n76876, n76877, n76878, n76879, n76880, n76881,
+         n76882, n76883, n76884, n76885, n76886, n76887, n76888, n76889,
+         n76890, n76891, n76892, n76893, n76894, n76895, n76896, n76897,
+         n76898, n76899, n76900, n76901, n76902, n76903, n76904, n76905,
+         n76906, n76907, n76908, n76909, n76910, n76911, n76912, n76913,
+         n76914, n76915, n76916, n76917, n76918, n76919, n76920, n76921,
+         n76922, n76923, n76924, n76925, n76926, n76927, n76928, n76929,
+         n76930, n76931, n76932, n76933, n76934, n76935, n76936, n76937,
+         n76938, n76939, n76940, n76941, n76942, n76943, n76944, n76945,
+         n76946, n76947, n76948, n76949, n76950, n76951, n76952, n76953,
+         n76954, n76955, n76956, n76957, n76958, n76959, n76960, n76961,
+         n76962, n76963, n76964, n76965, n76966, n76967, n76968, n76969,
+         n76970, n76971, n76972, n76973, n76974, n76975, n76976, n76977,
+         n76978, n76979, n76980, n76981, n76982, n76983, n76984, n76985,
+         n76986, n76987, n76988, n76989, n76990, n76991, n76992, n76993,
+         n76994, n76995, n76996, n76997, n76998, n76999, n77000, n77001,
+         n77002, n77003, n77004, n77005, n77006, n77007, n77008, n77009,
+         n77010, n77011, n77012, n77013, n77014, n77015, n77016, n77017,
+         n77018, n77019, n77020, n77021, n77022, n77023, n77024, n77025,
+         n77026, n77027, n77028, n77029, n77030, n77031, n77032, n77033,
+         n77034, n77035, n77036, n77037, n77038, n77039, n77040, n77041,
+         n77042, n77043, n77044, n77045, n77046, n77047, n77048, n77049,
+         n77050, n77051, n77052, n77053, n77054, n77055, n77056, n77057,
+         n77058, n77059, n77060, n77061, n77062, n77063, n77064, n77065,
+         n77066, n77067, n77068, n77069, n77070, n77071, n77072, n77073,
+         n77074, n77075, n77076, n77077, n77078, n77079, n77080, n77081,
+         n77082, n77083, n77084, n77085, n77086, n77087, n77088, n77089,
+         n77090, n77091, n77092, n77093, n77094, n77095, n77096, n77097,
+         n77098, n77099, n77100, n77101, n77102, n77103, n77104, n77105,
+         n77106, n77107, n77108, n77109, n77110, n77111, n77112, n77113,
+         n77114, n77115, n77116, n77117, n77118, n77119, n77120, n77121,
+         n77122, n77123, n77124, n77125, n77126, n77127, n77128, n77129,
+         n77130, n77131, n77132, n77133, n77134, n77135, n77136, n77137,
+         n77138, n77139, n77140, n77141, n77142, n77143, n77144, n77145,
+         n77146, n77147, n77148, n77149, n77150, n77151, n77152, n77153,
+         n77154, n77155, n77156, n77157, n77158, n77159, n77160, n77161,
+         n77162, n77163, n77164, n77165, n77166, n77167, n77168, n77169,
+         n77170, n77171, n77172, n77173, n77174, n77175, n77176, n77177,
+         n77178, n77179, n77180, n77181, n77182, n77183, n77184, n77185,
+         n77186, n77187, n77188, n77189, n77190, n77191, n77192, n77193,
+         n77194, n77195, n77196, n77197, n77198, n77199, n77200, n77201,
+         n77202, n77203, n77204, n77205, n77206, n77207, n77208, n77209,
+         n77210, n77211, n77212, n77213, n77214, n77215, n77216, n77217,
+         n77218, n77219, n77220, n77221, n77222, n77223, n77224, n77225,
+         n77226, n77227, n77228, n77229, n77230, n77231, n77232, n77233,
+         n77234, n77235, n77236, n77237, n77238, n77239, n77240, n77241,
+         n77242, n77243, n77244, n77245, n77246, n77247, n77248, n77249,
+         n77250, n77251, n77252, n77253, n77254, n77255, n77256, n77257,
+         n77258, n77259, n77260, n77261, n77262, n77263, n77264, n77265,
+         n77266, n77267, n77268, n77269, n77270, n77271, n77272, n77273,
+         n77274, n77275, n77276, n77277, n77278, n77279, n77280, n77281,
+         n77282, n77283, n77284, n77285, n77286, n77287, n77288, n77289,
+         n77290, n77291, n77292, n77293, n77294, n77295, n77296, n77297,
+         n77298, n77299, n77300, n77301, n77302, n77303, n77304, n77305,
+         n77306, n77307, n77308, n77309, n77310, n77311, n77312, n77313,
+         n77314, n77315, n77316, n77317, n77318, n77319, n77320, n77321,
+         n77322, n77323, n77324, n77325, n77326, n77327, n77328, n77329,
+         n77330, n77331, n77332, n77333, n77334, n77335, n77336, n77337,
+         n77338, n77339, n77340, n77341, n77342, n77343, n77344, n77345,
+         n77346, n77347, n77348, n77349, n77350, n77351, n77352, n77353,
+         n77354, n77355, n77356, n77357, n77358, n77359, n77360, n77361,
+         n77362, n77363, n77364, n77365, n77366, n77367, n77368, n77369,
+         n77370, n77371, n77372, n77373, n77374, n77375, n77376, n77377,
+         n77378, n77379, n77380, n77381, n77382, n77383, n77384, n77385,
+         n77386, n77387, n77388, n77389, n77390, n77391, n77392, n77393,
+         n77394, n77395, n77396, n77397, n77399, n77400, n77401, n77402,
+         n77403, n77404, n77405, n77406, n77407, n77408, n77409, n77411,
+         n77412, n77413, n77414, n77415, n77416, n77417, n77418, n77421,
+         n77423, n77424, n77425, n77426, n77427, n77428, n77429, n77430,
+         n77431, n77432, n77433, n77434, n77435, n77436, n77437, n77438,
+         n77439, n77443, n77445, n77447, n77448, n77449, n77450, n77451,
+         n77452, n77453, n77454, n77455, n77456, n77457, n77458, n77459,
+         n77460, n77464, n77465, n77466, n77467, n77468, n77469, n77470,
+         n77471, n77472, n77473, n77474, n77475, n77476, n77477, n77478,
+         n77479, n77480, n77481, n77482, n77483, n77484, n77485, n77486,
+         n77487, n77488, n77489, n77490, n77491, n77492, n77493, n77494,
+         n77495, n77496, n77497, n77498, n77499, n77500, n77501, n77502,
+         n77503, n77504, n77505, n77506, n77507, n77508, n77509, n77510,
+         n77511, n77512, n77513, n77514, n77515, n77516, n77517, n77518,
+         n77519, n77520, n77521, n77522, n77523, n77524, n77525, n77526,
+         n77527, n77528, n77529, n77530, n77531, n77532, n77533, n77534,
+         n77535, n77536, n77537, n77538, n77539, n77540, n77541, n77542,
+         n77543, n77544, n77545, n77546, n77547, n77548, n77549, n77550,
+         n77551, n77552, n77553, n77554, n77555, n77556, n77557, n77558,
+         n77559, n77560, n77561, n77562, n77563, n77564, n77565, n77566,
+         n77567, n77568, n77569, n77570, n77571, n77572, n77573, n77574,
+         n77575, n77576, n77577, n77578, n77579, n77580, n77581, n77582,
+         n77583, n77584, n77585, n77586, n77587, n77588, n77589, n77590,
+         n77591, n77592, n77593, n77594, n77595, n77596, n77597, n77598,
+         n77599, n77600, n77601, n77602, n77603, n77604, n77605, n77606,
+         n77607, n77608, n77609, n77610, n77611, n77612, n77613, n77614,
+         n77615, n77616, n77617, n77618, n77619, n77620, n77621, n77622,
+         n77623, n77624, n77625, n77626, n77627, n77628, n77629, n77630,
+         n77631, n77632, n77633, n77634, n77635, n77636, n77637, n77638,
+         n77639, n77640, n77641, n77642, n77643, n77644, n77645, n77646,
+         n77647, n77648, n77649, n77650, n77651, n77652, n77653, n77654,
+         n77655, n77656, n77657, n77658, n77659, n77660, n77661, n77662,
+         n77663, n77664, n77665, n77666, n77667, n77668, n77669, n77670,
+         n77671, n77672, n77673, n77674, n77675, n77676, n77677, n77678,
+         n77679, n77680, n77681, n77682, n77683, n77684, n77685, n77686,
+         n77687, n77688, n77689, n77690, n77691, n77692, n77693, n77694,
+         n77695, n77696, n77697, n77698, n77699, n77700, n77701, n77702,
+         n77703, n77704, n77705, n77706, n77707, n77708, n77709, n77710,
+         n77711, n77712, n77713, n77714, n77715, n77716, n77717, n77718,
+         n77719, n77720, n77721, n77722, n77723, n77724, n77725, n77726,
+         n77727, n77728, n77729, n77730, n77731, n77732, n77733, n77734,
+         n77735, n77736, n77737, n77738, n77739, n77740, n77741, n77742,
+         n77743, n77744, n77745, n77746, n77747, n77748, n77749, n77750,
+         n77751, n77752, n77753, n77754, n77755, n77756, n77757, n77758,
+         n77759, n77760, n77761, n77762, n77763, n77764, n77765, n77766,
+         n77767, n77768, n77769, n77770, n77771, n77772, n77773, n77774,
+         n77775, n77776, n77777, n77778, n77779, n77780, n77781, n77782,
+         n77783, n77784, n77785, n77786, n77787, n77788, n77789, n77790,
+         n77791, n77792, n77793, n77794, n77795, n77796, n77797, n77798,
+         n77799, n77800, n77801, n77802, n77803, n77804, n77805, n77806,
+         n77807, n77808, n77809, n77810, n77811, n77812, n77813, n77814,
+         n77815, n77816, n77817, n77818, n77819, n77820, n77821, n77822,
+         n77823, n77824, n77825, n77826, n77827, n77828, n77829, n77830,
+         n77831, n77832, n77833, n77834, n77835, n77836, n77837, n77838,
+         n77839, n77840, n77841, n77842, n77843, n77844, n77845, n77846,
+         n77847, n77848, n77849, n77850, n77851, n77852, n77853, n77854,
+         n77855, n77856, n77857, n77858, n77859, n77860, n77861, n77862,
+         n77863, n77864, n77865, n77866, n77867, n77868, n77869, n77870,
+         n77871, n77872, n77873, n77874, n77875, n77876, n77877, n77878,
+         n77879, n77880, n77881, n77882, n77883, n77884, n77885, n77886,
+         n77887, n77888, n77889, n77890, n77891, n77892, n77893, n77894,
+         n77895, n77896, n77897, n77898, n77899, n77900, n77901, n77902,
+         n77903, n77904, n77905, n77906, n77907, n77908, n77909, n77910,
+         n77911, n77912, n77913, n77914, n77915, n77916, n77917, n77918,
+         n77919, n77920, n77921, n77922, n77923, n77924, n77925, n77926,
+         n77927, n77928, n77929, n77930, n77931, n77932, n77933, n77934,
+         n77935, n77936, n77937, n77938, n77939, n77940, n77941, n77942,
+         n77943, n77944, n77945, n77946, n77947, n77948, n77949, n77950,
+         n77951, n77952, n77953, n77954, n77955, n77956, n77957, n77958,
+         n77959, n77960, n77961, n77962, n77963, n77964, n77965, n77966,
+         n77967, n77968, n77969, n77970, n77971, n77972, n77973, n77974,
+         n77975, n77976, n77977, n77978, n77979, n77980, n77981, n77982,
+         n77983, n77984, n77985, n77986, n77987, n77988, n77989, n77990,
+         n77991, n77992, n77993, n77994, n77995, n77996, n77997, n77998,
+         n77999, n78000, n78001, n78002, n78003, n78004, n78005, n78006,
+         n78007, n78008, n78009, n78010, n78011, n78012, n78013, n78014,
+         n78015, n78016, n78017, n78018, n78019, n78020, n78021, n78022,
+         n78023, n78024, n78025, n78026, n78027, n78028, n78029, n78030,
+         n78031, n78032, n78033, n78034, n78035, n78036, n78037, n78038,
+         n78039, n78040, n78041, n78042, n78043, n78044, n78045, n78046,
+         n78047, n78048, n78049, n78050, n78051, n78052, n78053, n78054,
+         n78055, n78056, n78057, n78058, n78059, n78060, n78061, n78062,
+         n78063, n78064, n78065, n78066, n78067, n78068, n78069, n78070,
+         n78071, n78072, n78073, n78074, n78075, n78076, n78077, n78078,
+         n78079, n78080, n78081, n78082, n78083, n78084, n78085, n78086,
+         n78087, n78088, n78089, n78090, n78091, n78092, n78093, n78094,
+         n78095, n78096, n78097, n78098, n78099, n78100, n78101, n78102,
+         n78103, n78104, n78105, n78106, n78107, n78108, n78109, n78110,
+         n78111, n78112, n78113, n78114, n78115, n78116, n78117, n78118,
+         n78119, n78120, n78121, n78122, n78123, n78124, n78125, n78126,
+         n78127, n78128, n78129, n78130, n78131, n78132, n78133, n78134,
+         n78135, n78136, n78137, n78138, n78139, n78140, n78141, n78142,
+         n78143, n78144, n78145, n78146, n78147, n78148, n78149, n78150,
+         n78151, n78152, n78153, n78154, n78155, n78156, n78157, n78158,
+         n78159, n78160, n78161, n78162, n78163, n78164, n78165, n78166,
+         n78167, n78168, n78169, n78170, n78171, n78172, n78173, n78174,
+         n78175, n78176, n78177, n78178, n78179, n78180, n78181, n78182,
+         n78183, n78184, n78185, n78186, n78187, n78188, n78189, n78190,
+         n78191, n78192, n78193, n78194, n78195, n78196, n78197, n78198,
+         n78199, n78200, n78201, n78202, n78203, n78204, n78205, n78206,
+         n78207, n78208, n78209, n78210, n78211, n78212, n78213, n78214,
+         n78215, n78216, n78217, n78218, n78219, n78220, n78221, n78222,
+         n78223, n78224, n78225, n78226, n78227, n78228, n78229, n78230,
+         n78231, n78232, n78233, n78234, n78235, n78236, n78237, n78238,
+         n78239, n78240, n78241, n78242, n78243, n78244, n78245, n78246,
+         n78247, n78248, n78249, n78250, n78251, n78252, n78253, n78254,
+         n78255, n78256, n78257, n78258, n78259, n78260, n78261, n78262,
+         n78263, n78264, n78265, n78266, n78267, n78268, n78269, n78270,
+         n78271, n78272, n78273, n78274, n78275, n78276, n78277, n78278,
+         n78279, n78280, n78281, n78282, n78283, n78284, n78285, n78286,
+         n78287, n78288, n78289, n78290, n78291, n78292, n78293, n78294,
+         n78295, n78296, n78297, n78298, n78299, n78300, n78301, n78302,
+         n78303, n78304, n78305, n78306, n78307, n78308, n78309, n78310,
+         n78311, n78312, n78313, n78314, n78315, n78316, n78317, n78318,
+         n78319, n78320, n78321, n78322, n78323, n78324, n78325, n78326,
+         n78327, n78328, n78329, n78330, n78331, n78332, n78333, n78334,
+         n78335, n78336, n78337, n78338, n78339, n78340, n78341, n78342,
+         n78343, n78344, n78345, n78346, n78347, n78348, n78349, n78350,
+         n78351, n78352, n78353, n78354, n78355, n78356, n78357, n78358,
+         n78359, n78360, n78361, n78362, n78363, n78364, n78365, n78366,
+         n78367, n78368, n78369, n78370, n78371, n78372, n78373, n78374,
+         n78375, n78376, n78377, n78378, n78379, n78380, n78381, n78382,
+         n78383, n78384, n78385, n78386, n78387, n78388, n78389, n78390,
+         n78391, n78392, n78393, n78394, n78395, n78396, n78397, n78398,
+         n78399, n78400, n78401, n78402, n78403, n78404, n78405, n78406,
+         n78407, n78408, n78409, n78410, n78411, n78412, n78413, n78414,
+         n78415, n78416, n78417, n78418, n78419, n78420, n78421, n78422,
+         n78423, n78424, n78425, n78426, n78427, n78428, n78429, n78430,
+         n78431, n78432, n78433, n78434, n78435, n78436, n78437, n78438,
+         n78439, n78440, n78441, n78442, n78443, n78444, n78445, n78446,
+         n78447, n78448, n78449, n78450, n78451, n78452, n78453, n78454,
+         n78455, n78456, n78457, n78458, n78459, n78460, n78461, n78462,
+         n78463, n78464, n78465, n78466, n78467, n78468, n78469, n78470,
+         n78471, n78472, n78473, n78474, n78475, n78476, n78477, n78478,
+         n78479, n78480, n78481, n78482, n78483, n78484, n78485, n78486,
+         n78487, n78488, n78489, n78490, n78491, n78492, n78493, n78494,
+         n78495, n78496, n78497, n78498, n78499, n78500, n78501, n78502,
+         n78503, n78504, n78505, n78506, n78507, n78508, n78509, n78510,
+         n78511, n78512, n78513, n78514, n78515, n78516, n78517, n78518,
+         n78519, n78520, n78521, n78522, n78523, n78524, n78525, n78526,
+         n78527, n78528, n78529, n78530, n78531, n78532, n78533, n78534,
+         n78535, n78536, n78537, n78538, n78539, n78540, n78541, n78542,
+         n78543, n78544, n78545, n78546, n78547, n78548, n78549, n78550,
+         n78551, n78552, n78553, n78554, n78555, n78556, n78557, n78558,
+         n78559, n78560, n78561, n78562, n78563, n78564, n78565, n78566,
+         n78567, n78568, n78569, n78570, n78571, n78572, n78573, n78574,
+         n78575, n78576, n78577, n78578, n78579, n78580, n78581, n78582,
+         n78583, n78584, n78585, n78586, n78587, n78588, n78589, n78590,
+         n78591, n78592, n78593, n78594, n78595, n78596, n78597, n78598,
+         n78599, n78600, n78601, n78602, n78603, n78604, n78605, n78606,
+         n78607, n78608, n78609, n78610, n78611, n78612, n78613, n78614,
+         n78615, n78616, n78617, n78618, n78619, n78620, n78621, n78622,
+         n78623, n78624, n78625, n78626, n78627, n78628, n78629, n78630,
+         n78631, n78632, n78633, n78634, n78635, n78636, n78637, n78638,
+         n78639, n78640, n78641, n78642, n78643, n78644, n78645, n78646,
+         n78647, n78648, n78649, n78650, n78651, n78652, n78653, n78654,
+         n78655, n78656, n78657, n78658, n78659, n78660, n78661, n78662,
+         n78663, n78664, n78665, n78666, n78667, n78668, n78669, n78670,
+         n78671, n78672, n78673, n78674, n78675, n78676, n78677, n78678,
+         n78679, n78680, n78681, n78682, n78683, n78684, n78685, n78686,
+         n78687, n78688, n78689, n78690, n78691, n78692, n78693, n78694,
+         n78695, n78696, n78697, n78698, n78699, n78700, n78701, n78702,
+         n78703, n78704, n78705, n78706, n78707, n78708, n78709, n78710,
+         n78711, n78712, n78713, n78714, n78715, n78716, n78717, n78718,
+         n78719, n78720, n78721, n78722, n78723, n78724, n78725, n78726,
+         n78727, n78728, n78729, n78730, n78731, n78732, n78733, n78734,
+         n78735, n78736, n78737, n78738, n78739, n78740, n78741, n78742,
+         n78743, n78744, n78745, n78746, n78747, n78748, n78749, n78750,
+         n78751, n78752, n78753, n78754, n78755, n78756, n78757, n78758,
+         n78759, n78760, n78761, n78762, n78763, n78764, n78765, n78766,
+         n78767, n78768, n78769, n78770, n78771, n78772, n78773, n78774,
+         n78775, n78776, n78777, n78778, n78779, n78780, n78781, n78782,
+         n78783, n78784, n78785, n78786, n78787, n78788, n78789, n78790,
+         n78791, n78792, n78793, n78794, n78795, n78796, n78797, n78798,
+         n78799, n78800, n78801, n78802, n78803, n78804, n78805, n78806,
+         n78807, n78808, n78809, n78810, n78811, n78812, n78813, n78814,
+         n78815, n78816, n78817, n78818, n78819, n78820, n78821, n78822,
+         n78823, n78824, n78825, n78826, n78827, n78828, n78829, n78830,
+         n78831, n78832, n78833, n78834, n78835, n78836, n78837, n78838,
+         n78839, n78840, n78841, n78842, n78843, n78844, n78845, n78846,
+         n78847, n78848, n78849, n78850, n78851, n78852, n78853, n78854,
+         n78855, n78856, n78857, n78858, n78859, n78860, n78861, n78862,
+         n78863, n78864, n78865, n78866, n78867, n78868, n78869, n78870,
+         n78871, n78872, n78873, n78874, n78875, n78876, n78877, n78878,
+         n78879, n78880, n78881, n78882, n78883, n78884, n78885, n78886,
+         n78887, n78888, n78889, n78890, n78891, n78892, n78893, n78894,
+         n78895, n78896, n78897, n78898, n78899, n78900, n78901, n78902,
+         n78903, n78904, n78905, n78906, n78907, n78908, n78909, n78910,
+         n78911, n78912, n78913, n78914, n78915, n78916, n78917, n78918,
+         n78919, n78920, n78921, n78922, n78923, n78924, n78925, n78926,
+         n78927, n78928, n78929, n78930, n78931, n78932, n78933, n78934,
+         n78935, n78936, n78937, n78938, n78939, n78940, n78941, n78942,
+         n78943, n78944, n78945, n78946, n78947, n78948, n78949, n78950,
+         n78951, n78952, n78953, n78954, n78955, n78956, n78957, n78958,
+         n78959, n78960, n78961, n78962, n78963, n78964, n78965, n78966,
+         n78967, n78968, n78969, n78970, n78971, n78972, n78973, n78974,
+         n78975, n78976, n78977, n78978, n78979, n78980, n78981, n78982,
+         n78983, n78984, n78985, n78986, n78987, n78988, n78989, n78990,
+         n78991, n78992, n78993, n78994, n78995, n78996, n78997, n78998,
+         n78999, n79000, n79001, n79002, n79003, n79004, n79005, n79006,
+         n79007, n79008, n79009, n79010, n79011, n79012, n79013, n79014,
+         n79015, n79016, n79017, n79018, n79019, n79020, n79021, n79022,
+         n79023, n79024, n79025, n79026, n79027, n79028, n79029, n79030,
+         n79031, n79032, n79033, n79034, n79035, n79036, n79037, n79038,
+         n79039, n79040, n79041, n79042, n79043, n79044, n79045, n79046,
+         n79047, n79048, n79049, n79050, n79051, n79052, n79053, n79054,
+         n79055, n79056, n79057, n79058, n79059, n79060, n79061, n79062,
+         n79063, n79064, n79065, n79066, n79067, n79068, n79069, n79070,
+         n79071, n79072, n79073, n79074, n79075, n79076, n79077, n79078,
+         n79079, n79080, n79081, n79082, n79083, n79084, n79085, n79086,
+         n79087, n79088, n79089, n79090, n79091, n79092, n79093, n79094,
+         n79095, n79096, n79097, n79098, n79099, n79100, n79101, n79102,
+         n79103, n79104, n79105, n79106, n79107, n79108, n79109, n79110,
+         n79111, n79112, n79113, n79114, n79115, n79116, n79117, n79118,
+         n79119, n79120, n79121, n79122, n79123, n79124, n79125, n79126,
+         n79127, n79128, n79129, n79130, n79131, n79132, n79133, n79134,
+         n79135, n79136, n79137, n79138, n79139, n79140, n79141, n79142,
+         n79143, n79144, n79145, n79146, n79147, n79148, n79149, n79150,
+         n79151, n79152, n79153, n79154, n79155, n79156, n79157, n79158,
+         n79159, n79160, n79161, n79162, n79163, n79164, n79165, n79166,
+         n79167, n79168, n79169, n79170, n79171, n79172, n79173, n79174,
+         n79175, n79176, n79177, n79178, n79179, n79180, n79181, n79182,
+         n79183, n79184, n79185, n79186, n79187, n79188, n79189, n79190,
+         n79191, n79192, n79193, n79194, n79195, n79196, n79197, n79198,
+         n79199, n79200, n79201, n79202, n79203, n79204, n79205, n79206,
+         n79207, n79208, n79209, n79210, n79211, n79212, n79213, n79214,
+         n79215, n79216, n79217, n79218, n79219, n79220, n79221, n79222,
+         n79223, n79224, n79225, n79226, n79227, n79228, n79229, n79230,
+         n79231, n79232, n79233, n79234, n79235, n79236, n79237, n79238,
+         n79239, n79240, n79241, n79242, n79243, n79244, n79245, n79246,
+         n79247, n79248, n79249, n79250, n79251, n79252, n79253, n79254,
+         n79255, n79256, n79257, n79258, n79259, n79260, n79261, n79262,
+         n79263, n79264, n79265, n79266, n79267, n79268, n79269, n79270,
+         n79271, n79272, n79273, n79274, n79275, n79276, n79277, n79278,
+         n79279, n79280, n79281, n79282, n79283, n79284, n79285, n79286,
+         n79287, n79288, n79289, n79290, n79291, n79292, n79293, n79294,
+         n79295, n79296, n79297, n79298, n79299, n79300, n79301, n79302,
+         n79303, n79304, n79305, n79306, n79307, n79308, n79309, n79310,
+         n79311, n79312, n79313, n79314, n79315, n79316, n79317, n79318,
+         n79319, n79320, n79321, n79322, n79323, n79324, n79325, n79326,
+         n79327, n79328, n79329, n79330, n79331, n79332, n79333, n79334,
+         n79335, n79336, n79337, n79338, n79339, n79340, n79341, n79342,
+         n79343, n79344, n79345, n79346, n79347, n79348, n79349, n79350,
+         n79351, n79352, n79353, n79354, n79355, n79356, n79357, n79358,
+         n79359, n79360, n79361, n79362, n79363, n79364, n79365, n79366,
+         n79367, n79368, n79369, n79370, n79371, n79372, n79373, n79374,
+         n79375, n79376, n79377, n79378, n79379, n79380, n79381, n79382,
+         n79383, n79384, n79385, n79386, n79387, n79388, n79389, n79390,
+         n79391, n79392, n79393, n79394, n79395, n79396, n79397, n79398,
+         n79399, n79400, n79401, n79402, n79403, n79404, n79405, n79406,
+         n79407, n79408, n79409, n79410, n79411, n79412, n79413, n79414,
+         n79415, n79416, n79417, n79418, n79419, n79420, n79421, n79422,
+         n79423, n79424, n79425, n79426, n79427, n79428, n79429, n79430,
+         n79431, n79432, n79433, n79434, n79435, n79436, n79437, n79438,
+         n79439, n79440, n79441, n79442, n79443, n79444, n79445, n79446,
+         n79447, n79448, n79449, n79450, n79451, n79452, n79453, n79454,
+         n79455, n79456, n79457, n79458, n79459, n79460, n79461, n79462,
+         n79463, n79464, n79465, n79466, n79467, n79468, n79469, n79470,
+         n79471, n79472, n79473, n79474, n79475, n79476, n79477, n79478,
+         n79479, n79480, n79481, n79482, n79483, n79484, n79485, n79486,
+         n79487, n79488, n79489, n79490, n79491, n79492, n79493, n79494,
+         n79495, n79496, n79497, n79498, n79499, n79500, n79501, n79502,
+         n79503, n79504, n79505, n79506, n79507, n79508, n79509, n79510,
+         n79511, n79512, n79513, n79514, n79515, n79516, n79517, n79518,
+         n79519, n79520, n79521, n79522, n79523, n79524, n79525, n79526,
+         n79527, n79528, n79529, n79530, n79531, n79532, n79533, n79534,
+         n79535, n79536, n79537, n79538, n79539, n79540, n79541, n79542,
+         n79543, n79544, n79545, n79546, n79547, n79548, n79549, n79550,
+         n79551, n79552, n79553, n79554, n79555, n79556, n79557, n79558,
+         n79559, n79560, n79561, n79562, n79563, n79564, n79565, n79566,
+         n79567, n79568, n79569, n79570, n79571, n79572, n79573, n79574,
+         n79575, n79576, n79577, n79578, n79579, n79580, n79581, n79582,
+         n79583, n79584, n79585, n79586, n79587, n79588, n79589, n79590,
+         n79591, n79592, n79593, n79594, n79595, n79596, n79597, n79598,
+         n79599, n79600, n79601, n79602, n79603, n79604, n79605, n79606,
+         n79607, n79608, n79609, n79610, n79611, n79612, n79613, n79614,
+         n79615, n79616, n79617, n79618, n79619, n79620, n79621, n79622,
+         n79623, n79624, n79625, n79626, n79627, n79628, n79629, n79630,
+         n79631, n79632, n79633, n79634, n79635, n79636, n79637, n79638,
+         n79639, n79640, n79641, n79642, n79643, n79644, n79645, n79646,
+         n79647, n79648, n79649, n79650, n79651, n79652, n79653, n79654,
+         n79655, n79656, n79657, n79658, n79659, n79660, n79661, n79662,
+         n79663, n79664, n79665, n79666, n79667, n79668, n79669, n79670,
+         n79671, n79672, n79673, n79674, n79675, n79676, n79677, n79678,
+         n79679, n79680, n79681, n79682, n79683, n79684, n79685, n79686,
+         n79687, n79688, n79689, n79690, n79691, n79692, n79693, n79694,
+         n79695, n79696, n79697, n79698, n79699, n79700, n79701, n79702,
+         n79703, n79704, n79705, n79706, n79707, n79708, n79709, n79710,
+         n79711, n79712, n79713, n79714, n79715, n79716, n79717, n79718,
+         n79719, n79720, n79721, n79722, n79723, n79724, n79725, n79726,
+         n79727, n79728, n79729, n79730, n79731, n79732, n79733, n79734,
+         n79735, n79736, n79737, n79738, n79739, n79740, n79741, n79742,
+         n79743, n79744, n79745, n79746, n79747, n79748, n79749, n79750,
+         n79751, n79752, n79753, n79754, n79755, n79756, n79757, n79758,
+         n79759, n79760, n79761, n79762, n79763, n79764, n79765, n79766,
+         n79767, n79768, n79769, n79770, n79771, n79772, n79773, n79774,
+         n79775, n79776, n79777, n79778, n79779, n79780, n79781, n79782,
+         n79783, n79784, n79785, n79786, n79787, n79788, n79789, n79790,
+         n79791, n79792, n79793, n79794, n79795, n79796, n79797, n79798,
+         n79799, n79800, n79801, n79802, n79803, n79804, n79805, n79806,
+         n79807, n79808, n79809, n79810, n79811, n79812, n79813, n79814,
+         n79815, n79816, n79817, n79818, n79819, n79820, n79821, n79822,
+         n79823, n79824, n79825, n79826, n79827, n79828, n79829, n79830,
+         n79831, n79832, n79833, n79834, n79835, n79836, n79837, n79838,
+         n79839, n79840, n79841, n79842, n79843, n79844, n79845, n79846,
+         n79847, n79848, n79849, n79850, n79851, n79852, n79853, n79854,
+         n79855, n79856, n79857, n79858, n79859, n79860, n79861, n79862,
+         n79863, n79864, n79865, n79866, n79867, n79868, n79869, n79870,
+         n79871, n79872, n79873, n79874, n79875, n79876, n79877, n79878,
+         n79879, n79880, n79881, n79882, n79883, n79884, n79885, n79886,
+         n79887, n79888, n79889, n79890, n79891, n79892, n79893, n79894,
+         n79895, n79896, n79897, n79898, n79899, n79900, n79901, n79902,
+         n79903, n79904, n79905, n79906, n79907, n79908, n79909, n79910,
+         n79911, n79912, n79913, n79914, n79915, n79916, n79917, n79918,
+         n79919, n79920, n79921, n79922, n79923, n79924, n79925, n79926,
+         n79927, n79928, n79929, n79930, n79931, n79932, n79933, n79934,
+         n79935, n79936, n79937, n79938, n79939, n79940, n79941, n79942,
+         n79943, n79944, n79945, n79946, n79947, n79948, n79949, n79950,
+         n79951, n79952, n79953, n79954, n79955, n79956, n79957, n79958,
+         n79959, n79960, n79961, n79962, n79963, n79964, n79965, n79966,
+         n79967, n79968, n79969, n79970, n79971, n79972, n79973, n79974,
+         n79975, n79976, n79977, n79978, n79979, n79980, n79981, n79982,
+         n79983, n79984, n79985, n79986, n79987, n79988, n79989, n79990,
+         n79991, n79992, n79993, n79994, n79995, n79996, n79997, n79998,
+         n79999, n80000, n80001, n80002, n80003, n80004, n80005, n80006,
+         n80007, n80008, n80009, n80010, n80011, n80012, n80013, n80014,
+         n80015, n80016, n80017, n80018, n80019, n80020, n80021, n80022,
+         n80023, n80024, n80025, n80026, n80027, n80028, n80029, n80030,
+         n80031, n80032, n80033, n80034, n80035, n80036, n80037, n80038,
+         n80039, n80040, n80041, n80042, n80043, n80044, n80045, n80046,
+         n80047, n80048, n80049, n80050, n80051, n80052, n80053, n80054,
+         n80055, n80056, n80057, n80058, n80059, n80060, n80061, n80062,
+         n80063, n80064, n80065, n80066, n80067, n80068, n80069, n80070,
+         n80071, n80072, n80073, n80074, n80075, n80076, n80077, n80078,
+         n80079, n80080, n80081, n80082, n80083, n80084, n80085, n80086,
+         n80087, n80088, n80089, n80090, n80091, n80092, n80093, n80094,
+         n80095, n80096, n80097, n80098, n80099, n80100, n80101, n80102,
+         n80103, n80104, n80105, n80106, n80107, n80108, n80109, n80110,
+         n80111, n80112, n80113, n80114, n80115, n80116, n80117, n80118,
+         n80119, n80120, n80121, n80122, n80123, n80124, n80125, n80126,
+         n80127, n80128, n80129, n80130, n80131, n80132, n80133, n80134,
+         n80135, n80136, n80137, n80138, n80139, n80140, n80141, n80142,
+         n80143, n80144, n80145, n80146, n80147, n80148, n80149, n80150,
+         n80151, n80152, n80153, n80154, n80155, n80156, n80157, n80158,
+         n80159, n80160, n80161, n80162, n80163, n80164, n80165, n80166,
+         n80167, n80168, n80169, n80170, n80171, n80172, n80173, n80174,
+         n80175, n80176, n80177, n80178, n80179, n80180, n80181, n80182,
+         n80183, n80184, n80185, n80186, n80187, n80188, n80189, n80190,
+         n80191, n80192, n80193, n80194, n80195, n80196, n80197, n80198,
+         n80199, n80200, n80201, n80202, n80203, n80204, n80205, n80206,
+         n80207, n80208, n80209, n80210, n80211, n80212, n80213, n80214,
+         n80215, n80216, n80217, n80218, n80219, n80220, n80221, n80222,
+         n80223, n80224, n80225, n80226, n80227, n80228, n80229, n80230,
+         n80231, n80232, n80233, n80234, n80235, n80236, n80237, n80238,
+         n80239, n80240, n80241, n80242, n80243, n80244, n80245, n80246,
+         n80247, n80248, n80249, n80250, n80251, n80252, n80253, n80254,
+         n80255, n80256, n80257, n80258, n80259, n80260, n80261, n80262,
+         n80263, n80264, n80265, n80266, n80267, n80268, n80269, n80270,
+         n80271, n80272, n80273, n80274, n80275, n80276, n80277, n80278,
+         n80279, n80280, n80281, n80282, n80283, n80284, n80285, n80286,
+         n80287, n80288, n80289, n80290, n80291, n80292, n80293, n80294,
+         n80295, n80296, n80297, n80298, n80299, n80300, n80301, n80302,
+         n80303, n80304, n80305, n80306, n80307, n80308, n80309, n80310,
+         n80311, n80312, n80313, n80314, n80315, n80316, n80317, n80318,
+         n80319, n80320, n80321, n80322, n80323, n80324, n80325, n80326,
+         n80327, n80328, n80329, n80330, n80331, n80332, n80333, n80334,
+         n80335, n80336, n80337, n80338, n80339, n80340, n80341, n80342,
+         n80343, n80344, n80345, n80346, n80347, n80348, n80349, n80350,
+         n80351, n80352, n80353, n80354, n80355, n80356, n80357, n80358,
+         n80359, n80360, n80361, n80362, n80363, n80364, n80365, n80366,
+         n80367, n80368, n80369, n80370, n80371, n80372, n80373, n80374,
+         n80375, n80376, n80377, n80378, n80379, n80380, n80381, n80382,
+         n80383, n80384, n80385, n80386, n80387, n80388, n80389, n80390,
+         n80391, n80392, n80393, n80394, n80395, n80396, n80397, n80398,
+         n80399, n80400, n80401, n80402, n80403, n80404, n80405, n80406,
+         n80407, n80408, n80409, n80410, n80411, n80412, n80413, n80414,
+         n80415, n80416, n80417, n80418, n80419, n80420, n80421, n80422,
+         n80423, n80424, n80425, n80426, n80427, n80428, n80429, n80430,
+         n80431, n80432, n80433, n80434, n80435, n80436, n80437, n80438,
+         n80439, n80440, n80441, n80442, n80443, n80444, n80445, n80446,
+         n80447, n80448, n80449, n80450, n80451, n80452, n80453, n80454,
+         n80455, n80456, n80457, n80458, n80459, n80460, n80461, n80462,
+         n80463, n80464, n80465, n80466, n80467, n80468, n80469, n80470,
+         n80471, n80472, n80473, n80474, n80475, n80476, n80477, n80478,
+         n80479, n80480, n80481, n80482, n80483, n80484, n80485, n80486,
+         n80487, n80488, n80489, n80490, n80491, n80492, n80493, n80494,
+         n80495, n80496, n80497, n80498, n80499, n80500, n80501, n80502,
+         n80503, n80504, n80505, n80506, n80507, n80508, n80509, n80510,
+         n80511, n80512, n80513, n80514, n80515, n80516, n80517, n80518,
+         n80519, n80520, n80521, n80522, n80523, n80524, n80525, n80526,
+         n80527, n80528, n80529, n80530, n80531, n80532, n80533, n80534,
+         n80535, n80536, n80537, n80538, n80539, n80540, n80541, n80542,
+         n80543, n80544, n80545, n80546, n80547, n80548, n80549, n80550,
+         n80551, n80552, n80553, n80554, n80555, n80556, n80557, n80558,
+         n80559, n80560, n80561, n80562, n80563, n80564, n80565, n80566,
+         n80567, n80568, n80569, n80570, n80571, n80572, n80573, n80574,
+         n80575, n80576, n80577, n80578, n80579, n80580, n80581, n80582,
+         n80583, n80584, n80585, n80586, n80587, n80588, n80589, n80590,
+         n80591, n80592, n80593, n80594, n80595, n80596, n80597, n80598,
+         n80599, n80600, n80601, n80602, n80603, n80604, n80605, n80606,
+         n80607, n80608, n80609, n80610, n80611, n80612, n80613, n80614,
+         n80615, n80616, n80617, n80618, n80619, n80620, n80621, n80622,
+         n80623, n80624, n80625, n80626, n80627, n80628, n80629, n80630,
+         n80631, n80632, n80633, n80634, n80635, n80636, n80637, n80638,
+         n80639, n80640, n80641, n80642, n80643, n80644, n80645, n80646,
+         n80647, n80648, n80649, n80650, n80651, n80652, n80653, n80654,
+         n80655, n80656, n80657, n80658, n80659, n80660, n80661, n80662,
+         n80663, n80664, n80665, n80666, n80667, n80668, n80669, n80670,
+         n80671, n80672, n80673, n80674, n80675, n80676, n80677, n80678,
+         n80679, n80680, n80681, n80682, n80683, n80684, n80685, n80686,
+         n80687, n80688, n80689, n80690, n80691, n80692, n80693, n80694,
+         n80695, n80696, n80697, n80698, n80699, n80700, n80701, n80702,
+         n80703, n80704, n80705, n80706, n80707, n80708, n80709, n80710,
+         n80711, n80712, n80713, n80714, n80715, n80716, n80717, n80718,
+         n80719, n80720, n80721, n80722, n80723, n80724, n80725, n80726,
+         n80727, n80728, n80729, n80730, n80731, n80732, n80733, n80734,
+         n80735, n80736, n80737, n80738, n80739, n80740, n80741, n80742,
+         n80743, n80744, n80745, n80746, n80747, n80748, n80749, n80750,
+         n80751, n80752, n80753, n80754, n80755, n80756, n80757, n80758,
+         n80759, n80760, n80761, n80762, n80763, n80764, n80765, n80766,
+         n80767, n80768, n80769, n80770, n80771, n80772, n80773, n80774,
+         n80775, n80776, n80777, n80778, n80779, n80780, n80781, n80782,
+         n80783, n80784, n80785, n80786, n80787, n80788, n80789, n80790,
+         n80791, n80792, n80793, n80794, n80795, n80796, n80797, n80798,
+         n80799, n80800, n80801, n80802, n80803, n80804, n80805, n80806,
+         n80807, n80808, n80809, n80810, n80811, n80812, n80813, n80814,
+         n80815, n80816, n80817, n80818, n80819, n80820, n80821, n80822,
+         n80823, n80824, n80825, n80826, n80827, n80828, n80829, n80830,
+         n80831, n80832, n80833, n80834, n80835, n80836, n80837, n80838,
+         n80839, n80840, n80841, n80842, n80843, n80844, n80845, n80846,
+         n80847, n80848, n80849, n80850, n80851, n80852, n80853, n80854,
+         n80855, n80856, n80857, n80858, n80859, n80860, n80861, n80862,
+         n80863, n80864, n80865, n80866, n80867, n80868, n80869, n80870,
+         n80871, n80872, n80873, n80874, n80875, n80876, n80877, n80878,
+         n80879, n80880, n80881, n80882, n80883, n80884, n80885, n80886,
+         n80887, n80888, n80889, n80890, n80891, n80892, n80893, n80894,
+         n80895, n80896, n80897, n80898, n80899, n80900, n80901, n80902,
+         n80903, n80904, n80905, n80906, n80907, n80908, n80909, n80910,
+         n80911, n80912, n80913, n80914, n80915, n80916, n80917, n80918,
+         n80919, n80920, n80921, n80922, n80923, n80924, n80925, n80926,
+         n80927, n80928, n80929, n80930, n80931, n80932, n80933, n80934,
+         n80935, n80936, n80937, n80938, n80939, n80940, n80941, n80942,
+         n80943, n80944, n80945, n80946, n80947, n80948, n80949, n80950,
+         n80951, n80952, n80953, n80954, n80955, n80956, n80957, n80958,
+         n80959, n80960, n80961, n80962, n80963, n80964, n80965, n80966,
+         n80967, n80968, n80969, n80970, n80971, n80972, n80973, n80974,
+         n80975, n80976, n80977, n80978, n80979, n80980, n80981, n80982,
+         n80983, n80984, n80985, n80986, n80987, n80988, n80989, n80990,
+         n80991, n80992, n80993, n80994, n80995, n80996, n80997, n80998,
+         n80999, n81000, n81001, n81002, n81003, n81004, n81005, n81006,
+         n81007, n81008, n81009, n81010, n81011, n81012, n81013, n81014,
+         n81015, n81016, n81017, n81018, n81019, n81020, n81021, n81022,
+         n81023, n81024, n81025, n81026, n81027, n81028, n81029, n81030,
+         n81031, n81032, n81033, n81034, n81035, n81036, n81037, n81038,
+         n81039, n81040, n81041, n81042, n81043, n81044, n81045, n81046,
+         n81047, n81048, n81049, n81050, n81051, n81052, n81053, n81054,
+         n81055, n81056, n81057, n81058, n81059, n81060, n81061, n81062,
+         n81063, n81064, n81065, n81066, n81067, n81068, n81069, n81070,
+         n81071, n81072, n81073, n81074, n81075, n81076, n81077, n81078,
+         n81079, n81080, n81081, n81082, n81083, n81084, n81085, n81086,
+         n81087, n81088, n81089, n81090, n81091, n81092, n81093, n81094,
+         n81095, n81096, n81097, n81098, n81099, n81100, n81101, n81102,
+         n81103, n81104, n81105, n81106, n81107, n81108, n81109, n81110,
+         n81111, n81112, n81113, n81114, n81115, n81116, n81117, n81118,
+         n81119, n81120, n81121, n81122, n81123, n81124, n81125, n81126,
+         n81127, n81128, n81129, n81130, n81131, n81132, n81133, n81134,
+         n81135, n81136, n81137, n81138, n81139, n81140, n81141, n81142,
+         n81143, n81144, n81145, n81146, n81147, n81148, n81149, n81150,
+         n81151, n81152, n81153, n81154, n81155, n81156, n81157, n81158,
+         n81159, n81160, n81161, n81162, n81163, n81164, n81165, n81166,
+         n81167, n81168, n81169, n81170, n81171, n81172, n81173, n81174,
+         n81175, n81176, n81177, n81178, n81179, n81180, n81181, n81182,
+         n81183, n81184, n81185, n81186, n81187, n81188, n81189, n81190,
+         n81191, n81192, n81193, n81194, n81195, n81196, n81197, n81198,
+         n81199, n81200, n81201, n81202, n81203, n81204, n81205, n81206,
+         n81207, n81208, n81209, n81210, n81211, n81212, n81213, n81214,
+         n81215, n81216, n81217, n81218, n81219, n81220, n81221, n81222,
+         n81223, n81224, n81225, n81226, n81227, n81228, n81229, n81230,
+         n81231, n81232, n81233, n81234, n81235, n81236, n81237, n81238,
+         n81239, n81240, n81241, n81242, n81243, n81244, n81245, n81246,
+         n81247, n81248, n81249, n81250, n81251, n81252, n81253, n81254,
+         n81255, n81256, n81257, n81258, n81259, n81260, n81261, n81262,
+         n81263, n81264, n81265, n81266, n81267, n81268, n81269, n81270,
+         n81271, n81272, n81273, n81274, n81275, n81276, n81277, n81278,
+         n81279, n81280, n81281, n81282, n81283, n81284, n81285, n81286,
+         n81287, n81288, n81289, n81290, n81291, n81292, n81293, n81294,
+         n81295, n81296, n81297, n81298, n81299, n81300, n81301, n81302,
+         n81303, n81304, n81305, n81306, n81307, n81308, n81309, n81310,
+         n81311, n81312, n81313, n81314, n81315, n81316, n81317, n81318,
+         n81319, n81320, n81321, n81322, n81323, n81324, n81325, n81326,
+         n81327, n81328, n81329, n81330, n81331, n81332, n81333, n81334,
+         n81335, n81336, n81337, n81338, n81339, n81340, n81341, n81342,
+         n81343, n81344, n81345, n81346, n81347, n81348, n81349, n81350,
+         n81351, n81352, n81353, n81354, n81355, n81356, n81357, n81358,
+         n81359, n81360, n81361, n81362, n81363, n81364, n81365, n81366,
+         n81367, n81368, n81369, n81370, n81371, n81372, n81373, n81374,
+         n81375, n81376, n81377, n81378, n81379, n81380, n81381, n81382,
+         n81383, n81384, n81385, n81386, n81387, n81388, n81389, n81390,
+         n81391, n81392, n81393, n81394, n81395, n81396, n81397, n81398,
+         n81399, n81400, n81401, n81402, n81403, n81404, n81405, n81406,
+         n81407, n81408, n81409, n81410, n81411, n81412, n81413, n81414,
+         n81415, n81416, n81417, n81418, n81419, n81420, n81421, n81422,
+         n81423, n81424, n81425, n81426, n81427, n81428, n81429, n81430,
+         n81431, n81432, n81433, n81434, n81435, n81436, n81437, n81438,
+         n81439, n81440, n81441, n81442, n81443, n81444, n81445, n81446,
+         n81447, n81448, n81449, n81450, n81451, n81452, n81453, n81454,
+         n81455, n81456, n81457, n81458, n81459, n81460, n81461, n81462,
+         n81463, n81464, n81465, n81466, n81467, n81468, n81469, n81470,
+         n81471, n81472, n81473, n81474, n81475, n81476, n81477, n81478,
+         n81479, n81480, n81481, n81482, n81483, n81484, n81485, n81486,
+         n81487, n81488, n81489, n81490, n81491, n81492, n81493, n81494,
+         n81495, n81496, n81497, n81498, n81499, n81500, n81501, n81502,
+         n81503, n81504, n81505, n81506, n81507, n81508, n81509, n81510,
+         n81511, n81512, n81513, n81514, n81515, n81516, n81517, n81518,
+         n81519, n81520, n81521, n81522, n81523, n81524, n81525, n81526,
+         n81527, n81528, n81529, n81530, n81531, n81532, n81533, n81534,
+         n81535, n81536, n81537, n81538, n81539, n81540, n81541, n81542,
+         n81543, n81544, n81545, n81546, n81547, n81548, n81549, n81550,
+         n81551, n81552, n81553, n81554, n81555, n81556, n81557, n81558,
+         n81559, n81560, n81561, n81562, n81563, n81564, n81565, n81566,
+         n81567, n81568, n81569, n81570, n81571, n81572, n81573, n81574,
+         n81575, n81576, n81577, n81578, n81579, n81580, n81581, n81582,
+         n81583, n81584, n81585, n81586, n81587, n81588, n81589, n81590,
+         n81591, n81592, n81593, n81594, n81595, n81596, n81597, n81598,
+         n81599, n81600, n81601, n81602, n81603, n81604, n81605, n81606,
+         n81607, n81608, n81609, n81610, n81611, n81612, n81613, n81614,
+         n81615, n81616, n81617, n81618, n81619, n81620, n81621, n81622,
+         n81623, n81624, n81625, n81626, n81627, n81628, n81629, n81630,
+         n81631, n81632, n81633, n81634, n81635, n81636, n81637, n81638,
+         n81639, n81640, n81641, n81642, n81643, n81644, n81645, n81646,
+         n81647, n81648, n81649, n81650, n81651, n81652, n81653, n81654,
+         n81655, n81656, n81657, n81658, n81659, n81660, n81661, n81662,
+         n81663, n81664, n81665, n81666, n81667, n81668, n81669, n81670,
+         n81671, n81672, n81673, n81674, n81675, n81676, n81677, n81678,
+         n81679, n81680, n81681, n81682, n81683, n81684, n81685, n81686,
+         n81687, n81688, n81689, n81690, n81691, n81692, n81693, n81694,
+         n81695, n81696, n81697, n81698, n81699, n81700, n81701, n81702,
+         n81703, n81704, n81705, n81706, n81707, n81708, n81709, n81710,
+         n81711, n81712, n81713, n81714, n81715, n81716, n81717, n81718,
+         n81719, n81720, n81721, n81722, n81723, n81724, n81725, n81726,
+         n81727, n81728, n81729, n81730, n81731, n81732, n81733, n81734,
+         n81735, n81736, n81737, n81738, n81739, n81740, n81741, n81742,
+         n81743, n81744, n81745, n81746, n81747, n81748, n81749, n81750,
+         n81751, n81752, n81753, n81754, n81755, n81756, n81757, n81758,
+         n81759, n81760, n81761, n81762, n81763, n81764, n81765, n81766,
+         n81767, n81768, n81769, n81770, n81771, n81772, n81773, n81774,
+         n81775, n81776, n81777, n81778, n81779, n81780, n81781, n81782,
+         n81783, n81784, n81785, n81786, n81787, n81788, n81789, n81790,
+         n81791, n81792, n81793, n81794, n81795, n81796, n81797, n81798,
+         n81799, n81800, n81801, n81802, n81803, n81804, n81805, n81806,
+         n81807, n81808, n81809, n81810, n81811, n81812, n81813, n81814,
+         n81815, n81816, n81817, n81818, n81819, n81820, n81821, n81822,
+         n81823, n81824, n81825, n81826, n81827, n81828, n81829, n81830,
+         n81831, n81832, n81833, n81834, n81835, n81836, n81837, n81838,
+         n81839, n81840, n81841, n81842, n81843, n81844, n81845, n81846,
+         n81847, n81848, n81849, n81850, n81851, n81852, n81853, n81854,
+         n81855, n81856, n81857, n81858, n81859, n81860, n81861, n81862,
+         n81863, n81864, n81865, n81866, n81867, n81868, n81869, n81870,
+         n81871, n81872, n81873, n81874, n81875, n81876, n81877, n81878,
+         n81879, n81880, n81881, n81882, n81883, n81884, n81885, n81886,
+         n81887, n81888, n81889, n81890, n81891, n81892, n81893, n81894,
+         n81895, n81896, n81897, n81898, n81899, n81900, n81901, n81902,
+         n81903, n81904, n81905, n81906, n81907, n81908, n81909, n81910,
+         n81911, n81912, n81913, n81914, n81915, n81916, n81917, n81918,
+         n81919, n81920, n81921, n81922, n81923, n81924, n81925, n81926,
+         n81927, n81928, n81929, n81930, n81931, n81932, n81933, n81934,
+         n81935, n81936, n81937, n81938, n81939, n81940, n81941, n81942,
+         n81943, n81944, n81945, n81946, n81947, n81948, n81949, n81950,
+         n81951, n81952, n81953, n81954, n81955, n81956, n81957, n81958,
+         n81959, n81960, n81961, n81962, n81963, n81964, n81965, n81966,
+         n81967, n81968, n81969, n81970, n81971, n81972, n81973, n81974,
+         n81975, n81976, n81977, n81978, n81979, n81980, n81981, n81982,
+         n81983, n81984, n81985, n81986, n81987, n81988, n81989, n81990,
+         n81991, n81992, n81993, n81994, n81995, n81996, n81997, n81998,
+         n81999, n82000, n82001, n82002, n82003, n82004, n82005, n82006,
+         n82007, n82008, n82009, n82010, n82011, n82012, n82013, n82014,
+         n82015, n82016, n82017, n82018, n82019, n82020, n82021, n82022,
+         n82023, n82024, n82025, n82026, n82027, n82028, n82029, n82030,
+         n82031, n82032, n82033, n82034, n82035, n82036, n82037, n82038,
+         n82039, n82040, n82041, n82042, n82043, n82044, n82045, n82046,
+         n82047, n82048, n82049, n82050, n82051, n82052, n82053, n82054,
+         n82055, n82056, n82057, n82058, n82059, n82060, n82061, n82062,
+         n82063, n82064, n82065, n82066, n82067, n82068, n82069, n82070,
+         n82071, n82072, n82073, n82074, n82075, n82076, n82077, n82078,
+         n82079, n82080, n82081, n82082, n82083, n82084, n82085, n82086,
+         n82087, n82088, n82089, n82090, n82091, n82092, n82093, n82094,
+         n82095, n82096, n82097, n82098, n82099, n82100, n82101, n82102,
+         n82103, n82104, n82105, n82106, n82107, n82108, n82109, n82110,
+         n82111, n82112, n82113, n82114, n82115, n82116, n82117, n82118,
+         n82119, n82120, n82121, n82122, n82123, n82124, n82125, n82126,
+         n82127, n82128, n82129, n82130, n82131, n82132, n82133, n82134,
+         n82135, n82136, n82137, n82138, n82139, n82140, n82141, n82142,
+         n82143, n82144, n82145, n82146, n82147, n82148, n82149, n82150,
+         n82151, n82152, n82153, n82154, n82155, n82156, n82157, n82158,
+         n82159, n82160, n82161, n82162, n82163, n82164, n82165, n82166,
+         n82167, n82168, n82169, n82170, n82171, n82172, n82173, n82174,
+         n82175, n82176, n82177, n82178, n82179, n82180, n82181, n82182,
+         n82183, n82184, n82185, n82186, n82187, n82188, n82189, n82190,
+         n82191, n82192, n82193, n82194, n82195, n82196, n82197, n82198,
+         n82199, n82200, n82201, n82202, n82203, n82204, n82205, n82206,
+         n82207, n82208, n82209, n82210, n82211, n82212, n82213, n82214,
+         n82215, n82216, n82217, n82218, n82219, n82220, n82221, n82222,
+         n82223, n82224, n82225, n82226, n82227, n82228, n82229, n82230,
+         n82231, n82232, n82233, n82234, n82235, n82236, n82237, n82238,
+         n82239, n82240, n82241, n82242, n82243, n82244, n82245, n82246,
+         n82247, n82248, n82249, n82250, n82251, n82252, n82253, n82254,
+         n82255, n82256, n82257, n82258, n82259, n82260, n82261, n82262,
+         n82263, n82264, n82265, n82266, n82267, n82268, n82269, n82270,
+         n82271, n82272, n82273, n82274, n82275, n82276, n82277, n82278,
+         n82279, n82280, n82281, n82282, n82283, n82284, n82285, n82286,
+         n82287, n82288, n82289, n82290, n82291, n82292, n82293, n82294,
+         n82295, n82296, n82297, n82298, n82299, n82300, n82301, n82302,
+         n82303, n82304, n82305, n82306, n82307, n82308, n82309, n82310,
+         n82311, n82312, n82313, n82314, n82315, n82316, n82317, n82318,
+         n82319, n82320, n82321, n82322, n82323, n82324, n82325, n82326,
+         n82327, n82328, n82329, n82330, n82331, n82332, n82333, n82334,
+         n82335, n82336, n82337, n82338, n82339, n82340, n82341, n82342,
+         n82343, n82344, n82345, n82346, n82347, n82348, n82349, n82350,
+         n82351, n82352, n82353, n82354, n82355, n82356, n82357, n82358,
+         n82359, n82360, n82361, n82362, n82363, n82364, n82365, n82366,
+         n82367, n82368, n82369, n82370, n82371, n82372, n82373, n82374,
+         n82375, n82376, n82377, n82378, n82379, n82380, n82381, n82382,
+         n82383, n82384, n82385, n82386, n82387, n82388, n82389, n82390,
+         n82391, n82392, n82393, n82394, n82395, n82396, n82397, n82398,
+         n82399, n82400, n82401, n82402, n82403, n82404, n82405, n82406,
+         n82407, n82408, n82409, n82410, n82411, n82412, n82413, n82414,
+         n82415, n82416, n82417, n82418, n82419, n82420, n82421, n82422,
+         n82423, n82424, n82425, n82426, n82427, n82428, n82429, n82430,
+         n82431, n82432, n82433, n82434, n82435, n82436, n82437, n82438,
+         n82439, n82440, n82441, n82442, n82443, n82444, n82445, n82446,
+         n82447, n82448, n82449, n82450, n82451, n82452, n82453, n82454,
+         n82455, n82456, n82457, n82458, n82459, n82460, n82461, n82462,
+         n82463, n82464, n82465, n82466, n82467, n82468, n82469, n82470,
+         n82471, n82472, n82473, n82474, n82475, n82476, n82477, n82478,
+         n82479, n82480, n82481, n82482, n82483, n82484, n82485, n82486,
+         n82487, n82488, n82489, n82490, n82491, n82492, n82493, n82494,
+         n82495, n82496, n82497, n82498, n82499, n82500, n82501, n82502,
+         n82503, n82504, n82505, n82506, n82507, n82508, n82509, n82510,
+         n82511, n82512, n82513, n82514, n82515, n82516, n82517, n82518,
+         n82519, n82520, n82521, n82522, n82523, n82524, n82525, n82526,
+         n82527, n82528, n82529, n82530, n82531, n82532, n82533, n82534,
+         n82535, n82536, n82537, n82538, n82539, n82540, n82541, n82542,
+         n82543, n82544, n82545, n82546, n82547, n82548, n82549, n82550,
+         n82551, n82552, n82553, n82554, n82555, n82556, n82557, n82558,
+         n82559, n82560, n82561, n82562, n82563, n82564, n82565, n82566,
+         n82567, n82568, n82569, n82570, n82571, n82572, n82573, n82574,
+         n82575, n82576, n82577, n82578, n82579, n82580, n82581, n82582,
+         n82583, n82584, n82585, n82586, n82587, n82588, n82589, n82590,
+         n82591, n82592, n82593, n82594, n82595, n82596, n82597, n82598,
+         n82599, n82600, n82601, n82602, n82603, n82604, n82605, n82606,
+         n82607, n82608, n82609, n82610, n82611, n82612, n82613, n82614,
+         n82615, n82616, n82617, n82618, n82619, n82620, n82621, n82622,
+         n82623, n82624, n82625, n82626, n82627, n82628, n82629, n82630,
+         n82631, n82632, n82633, n82634, n82635, n82636, n82637, n82638,
+         n82639, n82640, n82641, n82642, n82643, n82644, n82645, n82646,
+         n82647, n82648, n82649, n82650, n82651, n82652, n82653, n82654,
+         n82655, n82656, n82657, n82658, n82659, n82660, n82661, n82662,
+         n82663, n82664, n82665, n82666, n82667, n82668, n82669, n82670,
+         n82671, n82672, n82673, n82674, n82675, n82676, n82677, n82678,
+         n82679, n82680, n82681, n82682, n82683, n82684, n82685, n82686,
+         n82687, n82688, n82689, n82690, n82691, n82692, n82693, n82694,
+         n82695, n82696, n82697, n82698, n82699, n82700, n82701, n82702,
+         n82703, n82704, n82705, n82706, n82707, n82708, n82709, n82710,
+         n82711, n82712, n82713, n82714, n82715, n82716, n82717, n82718,
+         n82719, n82720, n82721, n82722, n82723, n82724, n82725, n82726,
+         n82727, n82728, n82729, n82730, n82731, n82732, n82733, n82734,
+         n82735, n82736, n82737, n82738, n82739, n82740, n82741, n82742,
+         n82743, n82744, n82745, n82746, n82747, n82748, n82749, n82750,
+         n82751, n82752, n82753, n82754, n82755, n82756, n82757, n82758,
+         n82759, n82760, n82761, n82762, n82763, n82764, n82765, n82766,
+         n82767, n82768, n82769, n82770, n82771, n82772, n82773, n82774,
+         n82775, n82776, n82777, n82778, n82779, n82780, n82781, n82782,
+         n82783, n82784, n82785, n82786, n82787, n82788, n82789, n82790,
+         n82791, n82792, n82793, n82794, n82795, n82796, n82797, n82798,
+         n82799, n82800, n82801, n82802, n82803, n82804, n82805, n82806,
+         n82807, n82808, n82809, n82810, n82811, n82812, n82813, n82814,
+         n82815, n82816, n82817, n82818, n82819, n82820, n82821, n82822,
+         n82823, n82824, n82825, n82826, n82827, n82828, n82829, n82830,
+         n82831, n82832, n82833, n82834, n82835, n82836, n82837, n82838,
+         n82839, n82840, n82841, n82842, n82843, n82844, n82845, n82846,
+         n82847, n82848, n82849, n82850, n82851, n82852, n82853, n82854,
+         n82855, n82856, n82857, n82858, n82859, n82860, n82861, n82862,
+         n82863, n82864, n82865, n82866, n82867, n82868, n82869, n82870,
+         n82871, n82872, n82873, n82874, n82875, n82876, n82877, n82878,
+         n82879, n82880, n82881, n82882, n82883, n82884, n82885, n82886,
+         n82887, n82888, n82889, n82890, n82891, n82892, n82893, n82894,
+         n82895, n82896, n82897, n82898, n82899, n82900, n82901, n82902,
+         n82903, n82904, n82905, n82906, n82907, n82908, n82909, n82910,
+         n82911, n82912, n82913, n82914, n82915, n82916, n82917, n82918,
+         n82919, n82920, n82921, n82922, n82923, n82924, n82925, n82926,
+         n82927, n82928, n82929, n82930, n82931, n82932, n82933, n82934,
+         n82935, n82936, n82937, n82938, n82939, n82940, n82941, n82942,
+         n82943, n82944, n82945, n82946, n82947, n82948, n82949, n82950,
+         n82951, n82952, n82953, n82954, n82955, n82956, n82957, n82958,
+         n82959, n82960, n82961, n82962, n82963, n82964, n82965, n82966,
+         n82967, n82968, n82969, n82970, n82971, n82972, n82973, n82974,
+         n82975, n82976, n82977, n82978, n82979, n82980, n82981, n82982,
+         n82983, n82984, n82985, n82986, n82987, n82988, n82989, n82990,
+         n82991, n82992, n82993, n82994, n82995, n82996, n82997, n82998,
+         n82999, n83000, n83001, n83002, n83003, n83004, n83005, n83006,
+         n83007, n83008, n83009, n83010, n83011, n83012, n83013, n83014,
+         n83015, n83016, n83017, n83018, n83019, n83020, n83021, n83022,
+         n83023, n83024, n83025, n83026, n83027, n83028, n83029, n83030,
+         n83031, n83032, n83033, n83034, n83036, n83037, n83038, n83039,
+         n83040, n83041, n83042, n83043, n83044, n83045, n83046, n83047,
+         n83048, n83049, n83050, n83051, n83052, n83053, n83054, n83055,
+         n83056, n83057, n83058, n83059, n83060, n83061, n83062, n83063,
+         n83064, n83065, n83066, n83067, n83068, n83069, n83070, n83071,
+         n83072, n83073, n83074, n83075, n83076, n83077, n83078, n83079,
+         n83080, n83081, n83082, n83083, n83084, n83085, n83086, n83087,
+         n83088, n83089, n83090, n83091, n83092, n83093, n83094, n83095,
+         n83096, n83097, n83098, n83099, n83100, n83101, n83102, n83103,
+         n83104, n83105, n83106, n83107, n83108, n83109, n83110, n83111,
+         n83112, n83113, n83114, n83115, n83116, n83117, n83118, n83119,
+         n83120, n83121, n83122, n83123, n83124, n83125, n83126, n83127,
+         n83128, n83129, n83130, n83131, n83132, n83133, n83134, n83135,
+         n83136, n83137, n83138, n83139, n83140, n83141, n83142, n83143,
+         n83144, n83145, n83146, n83147, n83148, n83149, n83150, n83151,
+         n83152, n83153, n83154, n83155, n83156, n83157, n83158, n83159,
+         n83160, n83161, n83162, n83163, n83164, n83165, n83166, n83167,
+         n83168, n83169, n83170, n83171, n83172, n83173, n83174, n83175,
+         n83176, n83177, n83178, n83179, n83180, n83181, n83182, n83183,
+         n83184, n83185, n83186, n83187, n83188, n83189, n83190, n83191,
+         n83192, n83193, n83194, n83195, n83196, n83197, n83198, n83199,
+         n83200, n83201, n83202, n83203, n83204, n83205, n83206, n83207,
+         n83208, n83209, n83210, n83211, n83212, n83213, n83214, n83215,
+         n83216, n83217, n83218, n83219, n83220, n83221, n83222, n83223,
+         n83224, n83225, n83226, n83227, n83228, n83229, n83230, n83231,
+         n83234, n83235, n83236, n83237, n83238, n83239, n83240, n83241,
+         n83242, n83243, n83244, n83245, n83246, n83247, n83248, n83249,
+         n83250, n83251, n83252, n83253, n83254, n83255, n83256, n83257,
+         n83258, n83259, n83260, n83261, n83262, n83263, n83264, n83265,
+         n83266, n83267, n83268, n83269, n83270, n83271, n83272, n83273,
+         n83274, n83275, n83276, n83277, n83278, n83279, n83280, n83281,
+         n83282, n83283, n83284, n83285, n83286, n83287, n83288, n83289,
+         n83290, n83291, n83292, n83293, n83294, n83295, n83296, n83297,
+         n83298, n83299, n83300, n83301, n83302, n83303, n83304, n83305,
+         n83306, n83307, n83308, n83309, n83310, n83311, n83312, n83313,
+         n83314, n83315, n83316, n83317, n83318, n83319, n83320, n83321,
+         n83322, n83323, n83324, n83325, n83326, n83327, n83328, n83329,
+         n83330, n83331, n83332, n83333, n83334, n83335, n83336, n83337,
+         n83338, n83339, n83340, n83341, n83342, n83343, n83344, n83345,
+         n83346, n83347, n83348, n83349, n83350, n83351, n83352, n83353,
+         n83354, n83355, n83356, n83357, n83358, n83359, n83360, n83361,
+         n83362, n83363, n83364, n83365, n83366, n83367, n83368, n83369,
+         n83370, n83371, n83372, n83373, n83374, n83375, n83376, n83377,
+         n83378, n83379, n83380, n83381, n83382, n83383, n83384, n83385,
+         n83386, n83387, n83388, n83389, n83390, n83391, n83392, n83393,
+         n83394, n83395, n83396, n83397, n83398, n83399, n83400, n83401,
+         n83402, n83403, n83404, n83405, n83406, n83407, n83408, n83409,
+         n83410, n83411, n83412, n83413, n83414, n83415, n83416, n83417,
+         n83418, n83419, n83420, n83421, n83422, n83423, n83424, n83425,
+         n83426, n83427, n83428, n83429, n83430, n83431, n83432, n83433,
+         n83434, n83435, n83436, n83437, n83438, n83439, n83440, n83441,
+         n83442, n83443, n83444, n83445, n83446, n83447, n83448, n83449,
+         n83450, n83451, n83452, n83453, n83454, n83455, n83456, n83457,
+         n83458, n83459, n83460, n83461, n83462, n83463, n83464, n83465,
+         n83466, n83467, n83468, n83469, n83470, n83471, n83472, n83473,
+         n83474, n83475, n83476, n83477, n83478, n83479, n83480, n83481,
+         n83482, n83483, n83484, n83485, n83486, n83487, n83488, n83489,
+         n83490, n83491, n83492, n83493, n83494, n83495, n83496, n83497,
+         n83498, n83499, n83500, n83501, n83502, n83503, n83504, n83505,
+         n83506, n83507, n83508, n83509, n83510, n83511, n83512, n83513,
+         n83514, n83515, n83516, n83517, n83518, n83519, n83520, n83521,
+         n83522, n83523, n83524, n83525, n83526, n83527, n83528, n83529,
+         n83530, n83531, n83532, n83533, n83534, n83535, n83536, n83537,
+         n83538, n83539, n83540, n83541, n83542, n83543, n83544, n83545,
+         n83546, n83547, n83548, n83549, n83550, n83551, n83552, n83553,
+         n83554, n83555, n83556, n83557, n83558, n83559, n83560, n83561,
+         n83562, n83563, n83564, n83565, n83566, n83567, n83568, n83569,
+         n83570, n83571, n83572, n83573, n83574, n83575, n83576, n83577,
+         n83578, n83579, n83580, n83581, n83582, n83583, n83584, n83585,
+         n83586, n83587, n83588, n83589, n83590, n83591, n83592, n83593,
+         n83594, n83595, n83596, n83597, n83598, n83599, n83600, n83601,
+         n83602, n83603, n83604, n83605, n83606, n83607, n83608, n83609,
+         n83610, n83611, n83612, n83613, n83614, n83615, n83616, n83617,
+         n83618, n83619, n83620, n83621, n83622, n83623, n83624, n83625,
+         n83626, n83627, n83628, n83629, n83630, n83631, n83632, n83633,
+         n83634, n83635, n83636, n83637, n83638, n83639, n83640, n83641,
+         n83642, n83643, n83644, n83645, n83646, n83647, n83648, n83649,
+         n83650, n83651, n83652, n83653, n83654, n83655, n83656, n83657,
+         n83658, n83659, n83660, n83661, n83662, n83663, n83664, n83665,
+         n83666, n83667, n83668, n83669, n83670, n83671, n83672, n83673,
+         n83674, n83675, n83676, n83677, n83678, n83679, n83680, n83681,
+         n83682, n83683, n83684, n83685, n83686, n83687, n83688, n83689,
+         n83690, n83691, n83692, n83693, n83694, n83695, n83696, n83697,
+         n83698, n83699, n83700, n83701, n83702, n83703, n83704, n83705,
+         n83706, n83707, n83708, n83709, n83710, n83711, n83712, n83713,
+         n83714, n83715, n83716, n83717, n83718, n83719, n83720, n83721,
+         n83722, n83723, n83724, n83725, n83726, n83727, n83728, n83729,
+         n83730, n83731, n83732, n83733, n83734, n83735, n83736, n83737,
+         n83738, n83739, n83740, n83741, n83742, n83743, n83744, n83745,
+         n83746, n83747, n83748, n83749, n83750, n83751, n83752, n83753,
+         n83754, n83755, n83756, n83757, n83758, n83759, n83760, n83761,
+         n83762, n83763, n83764, n83765, n83766, n83767, n83768, n83769,
+         n83770, n83771, n83772, n83773, n83774, n83775, n83776, n83777,
+         n83778, n83779, n83780, n83781, n83782, n83783, n83784, n83785,
+         n83786, n83787, n83788, n83789, n83790, n83791, n83792, n83793,
+         n83794, n83795, n83796, n83797, n83798, n83799, n83800, n83801,
+         n83802, n83803, n83804, n83805, n83806, n83807, n83808, n83809,
+         n83810, n83811, n83812, n83813, n83814, n83815, n83816, n83817,
+         n83818, n83819, n83820, n83821, n83822, n83823, n83824, n83825,
+         n83826, n83827, n83828, n83829, n83830, n83831, n83832, n83833,
+         n83834, n83835, n83836, n83837, n83838, n83839, n83840, n83841,
+         n83842, n83843, n83844, n83845, n83846, n83847, n83848, n83849,
+         n83850, n83851, n83852, n83853, n83854, n83855, n83856, n83857,
+         n83858, n83859, n83860, n83861, n83862, n83863, n83864, n83865,
+         n83866, n83867, n83868, n83869, n83870, n83871, n83872, n83873,
+         n83874, n83875, n83876, n83877, n83878, n83879, n83880, n83881,
+         n83882, n83883, n83884, n83885, n83886, n83887, n83888, n83889,
+         n83890, n83891, n83892, n83893, n83894, n83895, n83896, n83897,
+         n83898, n83899, n83900, n83901, n83902, n83903, n83904, n83905,
+         n83906, n83907, n83908, n83909, n83910, n83911, n83912, n83913,
+         n83914, n83915, n83916, n83917, n83918, n83919, n83920, n83921,
+         n83922, n83923, n83924, n83925, n83926, n83927, n83928, n83929,
+         n83930, n83931, n83932, n83933, n83934, n83935, n83936, n83937,
+         n83938, n83939, n83940, n83941, n83942, n83943, n83944, n83945,
+         n83946, n83947, n83948, n83949, n83950, n83951, n83952, n83953,
+         n83954, n83955, n83956, n83957, n83958, n83959, n83960, n83961,
+         n83962, n83963, n83964, n83965, n83966, n83967, n83968, n83969,
+         n83970, n83971, n83972, n83973, n83974, n83975, n83976, n83977,
+         n83978, n83979, n83980, n83981, n83982, n83983, n83984, n83985,
+         n83986, n83987, n83988, n83989, n83990, n83991, n83992, n83993,
+         n83994, n83995, n83996, n83997, n83998, n83999, n84000, n84001,
+         n84002, n84003, n84004, n84005, n84006, n84007, n84008, n84009,
+         n84010, n84011, n84012, n84013, n84014, n84015, n84016, n84017,
+         n84018, n84019, n84020, n84021, n84022, n84023, n84024, n84025,
+         n84026, n84027, n84028, n84029, n84030, n84031, n84032, n84033,
+         n84034, n84035, n84036, n84037, n84038, n84039, n84040, n84041,
+         n84042, n84043, n84044, n84045, n84046, n84047, n84048, n84049,
+         n84050, n84051, n84052, n84053, n84054, n84055, n84056, n84057,
+         n84058, n84059, n84060, n84061, n84062, n84063, n84064, n84065,
+         n84066, n84067, n84068, n84069, n84070, n84071, n84072, n84073,
+         n84074, n84075, n84076, n84077, n84078, n84079, n84080, n84081,
+         n84082, n84083, n84084, n84085, n84086, n84087, n84088, n84089,
+         n84090, n84091, n84092, n84093, n84094, n84095, n84096, n84097,
+         n84098, n84099, n84100, n84101, n84102, n84103, n84104, n84105,
+         n84106, n84107, n84108, n84109, n84110, n84111, n84112, n84113,
+         n84114, n84115, n84116, n84117, n84118, n84119, n84120, n84121,
+         n84122, n84123, n84124, n84125, n84126, n84127, n84128, n84129,
+         n84130, n84131, n84132, n84133, n84134, n84135, n84136, n84137,
+         n84138, n84139, n84140, n84141, n84142, n84143, n84144, n84145,
+         n84146, n84147, n84148, n84149, n84150, n84151, n84152, n84153,
+         n84154, n84155, n84156, n84157, n84158, n84159, n84160, n84161,
+         n84162, n84163, n84164, n84165, n84166, n84167, n84168, n84169,
+         n84170, n84171, n84172, n84173, n84174, n84175, n84176, n84177,
+         n84178, n84179, n84180, n84181, n84182, n84183, n84184, n84185,
+         n84186, n84187, n84188, n84189, n84190, n84191, n84192, n84193,
+         n84194, n84195, n84196, n84197, n84198, n84199, n84200, n84201,
+         n84203, n84204, n84205, n84206, n84207, n84208, n84209, n84210,
+         n84211, n84212, n84213, n84214, n84215, n84216, n84217, n84218,
+         n84219, n84220, n84221, n84222, n84223, n84224, n84225, n84226,
+         n84227, n84228, n84229, n84230, n84231, n84232, n84233, n84234,
+         n84235, n84236, n84237, n84238, n84239, n84240, n84241, n84242,
+         n84243, n84244, n84245, n84246, n84247, n84248, n84249, n84250,
+         n84251, n84252, n84253, n84254, n84255, n84256, n84257, n84258,
+         n84259, n84260, n84261, n84262, n84263, n84264, n84265, n84266,
+         n84267, n84268, n84269, n84270, n84271, n84272, n84273, n84274,
+         n84275, n84276, n84277, n84278, n84279, n84280, n84281, n84282,
+         n84283, n84284, n84285, n84286, n84287, n84288, n84289, n84290,
+         n84291, n84292, n84293, n84294, n84295, n84296, n84297, n84298,
+         n84299, n84300, n84301, n84302, n84303, n84304, n84305, n84306,
+         n84307, n84308, n84309, n84310, n84311, n84312, n84313, n84314,
+         n84315, n84316, n84317, n84318, n84319, n84320, n84321, n84322,
+         n84323, n84324, n84325, n84326, n84327, n84328, n84329, n84330,
+         n84331, n84332, n84333, n84334, n84335, n84336, n84337, n84338,
+         n84339, n84340, n84341, n84342, n84343, n84344, n84345, n84346,
+         n84347, n84348, n84349, n84350, n84351, n84352, n84353, n84354,
+         n84355, n84356, n84357, n84358, n84359, n84360, n84361, n84362,
+         n84363, n84364, n84365, n84366, n84367, n84368, n84369, n84370,
+         n84371, n84372, n84373, n84374, n84375, n84376, n84377, n84378,
+         n84379, n84380, n84381, n84382, n84383, n84384, n84385, n84386,
+         n84387, n84388, n84389, n84390, n84391, n84392, n84393, n84394,
+         n84395, n84396, n84397, n84398, n84399, n84400, n84401, n84402,
+         n84403, n84404, n84405, n84406, n84407, n84408, n84409, n84410,
+         n84411, n84412, n84413, n84414, n84415, n84416, n84417, n84418,
+         n84419, n84420, n84421, n84422, n84423, n84424, n84425, n84426,
+         n84427, n84428, n84429, n84430, n84431, n84432, n84433, n84434,
+         n84435, n84436, n84437, n84438, n84439, n84440, n84441, n84442,
+         n84443, n84444, n84445, n84446, n84447, n84448, n84449, n84450,
+         n84451, n84452, n84453, n84454, n84455, n84456, n84457, n84458,
+         n84459, n84460, n84461, n84462, n84463, n84464, n84465, n84466,
+         n84467, n84468, n84469, n84470, n84471, n84472, n84473, n84474,
+         n84475, n84476, n84477, n84478, n84479, n84480, n84481, n84482,
+         n84483, n84484, n84485, n84486, n84487, n84488, n84489, n84490,
+         n84491, n84492, n84493, n84494, n84495, n84496, n84497, n84498,
+         n84499, n84500, n84501, n84502, n84503, n84504, n84505, n84506,
+         n84507, n84508, n84509, n84510, n84511, n84512, n84513, n84514,
+         n84515, n84516, n84517, n84518, n84519, n84520, n84521, n84522,
+         n84523, n84524, n84525, n84526, n84527, n84528, n84529, n84530,
+         n84531, n84532, n84533, n84534, n84535, n84536, n84537, n84538,
+         n84539, n84540, n84541, n84542, n84543, n84544, n84545, n84546,
+         n84547, n84548, n84549, n84550, n84551, n84552, n84553, n84554,
+         n84555, n84556, n84557, n84558, n84559, n84560, n84561, n84562,
+         n84563, n84564, n84565, n84566, n84567, n84568, n84569, n84570,
+         n84571, n84572, n84573, n84574, n84575, n84576, n84577, n84578,
+         n84579, n84580, n84581, n84582, n84583, n84584, n84585, n84586,
+         n84587, n84588, n84589, n84590, n84591, n84592, n84593, n84594,
+         n84595, n84596, n84597, n84598, n84599, n84600, n84601, n84602,
+         n84603, n84604, n84605, n84606, n84607, n84608, n84609, n84610,
+         n84611, n84612, n84613, n84614, n84615, n84616, n84617, n84618,
+         n84619, n84620, n84621, n84622, n84623, n84624, n84625, n84626,
+         n84627, n84628, n84629, n84630, n84631, n84632, n84633, n84634,
+         n84635, n84636, n84637, n84638, n84639, n84640, n84641, n84642,
+         n84643, n84644, n84645, n84646, n84647, n84648, n84649, n84650,
+         n84651, n84652, n84653, n84654, n84655, n84656, n84657, n84658,
+         n84659, n84660, n84661, n84662, n84663, n84664, n84665, n84666,
+         n84667, n84668, n84669, n84670, n84671, n84672, n84673, n84674,
+         n84675, n84676, n84677, n84678, n84679, n84680, n84681, n84682,
+         n84683, n84684, n84685, n84686, n84687, n84688, n84689, n84690,
+         n84691, n84692, n84693, n84694, n84695, n84696, n84697, n84698,
+         n84699, n84700, n84701, n84702, n84703, n84704, n84705, n84706,
+         n84707, n84708, n84709, n84710, n84711, n84712, n84713, n84714,
+         n84715, n84716, n84717, n84718, n84719, n84720, n84721, n84722,
+         n84723, n84724, n84725, n84726, n84727, n84728, n84729, n84730,
+         n84731, n84732, n84733, n84734, n84735, n84736, n84737, n84738,
+         n84739, n84740, n84741, n84742, n84743, n84744, n84745, n84746,
+         n84747, n84748, n84749, n84750, n84751, n84752, n84753, n84754,
+         n84755, n84756, n84757, n84758, n84759, n84760, n84761, n84762,
+         n84763, n84764, n84765, n84766, n84767, n84768, n84769, n84770,
+         n84771, n84772, n84773, n84774, n84775, n84776, n84777, n84778,
+         n84779, n84780, n84781, n84782, n84783, n84784, n84785, n84786,
+         n84787, n84788, n84789, n84790, n84791, n84792, n84793, n84794,
+         n84795, n84796, n84797, n84798, n84799, n84800, n84801, n84802,
+         n84803, n84804, n84805, n84806, n84807, n84808, n84809, n84810,
+         n84811, n84812, n84813, n84814, n84815, n84816, n84817, n84818,
+         n84819, n84820, n84821, n84822, n84823, n84824, n84825, n84826,
+         n84827, n84828, n84829, n84830, n84831, n84832, n84833, n84834,
+         n84835, n84836, n84837, n84838, n84839, n84840, n84841, n84842,
+         n84843, n84844, n84845, n84846, n84847, n84848, n84849, n84850,
+         n84851, n84852, n84853, n84854, n84855, n84856, n84857, n84858,
+         n84859, n84860, n84861, n84862, n84863, n84864, n84865, n84866,
+         n84867, n84868, n84869, n84870, n84871, n84872, n84873, n84874,
+         n84875, n84876, n84877, n84878, n84879, n84880, n84881, n84882,
+         n84883, n84884, n84885, n84886, n84887, n84888, n84889, n84890,
+         n84891, n84892, n84893, n84894, n84895, n84896, n84897, n84898,
+         n84899, n84900, n84901, n84902, n84903, n84904, n84905, n84906,
+         n84907, n84908, n84909, n84910, n84911, n84912, n84913, n84914,
+         n84915, n84916, n84917, n84918, n84919, n84920, n84921, n84922,
+         n84923, n84924, n84925, n84926, n84927, n84928, n84929, n84930,
+         n84931, n84932, n84933, n84934, n84935, n84936, n84937, n84938,
+         n84939, n84940, n84941, n84942, n84943, n84944, n84945, n84946,
+         n84947, n84948, n84949, n84950, n84951, n84952, n84953, n84954,
+         n84955, n84956, n84957, n84958, n84959, n84960, n84961, n84962,
+         n84963, n84964, n84965, n84966, n84967, n84968, n84969, n84970,
+         n84971, n84972, n84973, n84974, n84975, n84976, n84977, n84978,
+         n84979, n84980, n84981, n84982, n84983, n84984, n84985, n84986,
+         n84987, n84988, n84989, n84990, n84991, n84992, n84993, n84994,
+         n84995, n84996, n84997, n84998, n84999, n85000, n85001, n85002,
+         n85003, n85004, n85005, n85006, n85007, n85008, n85009, n85010,
+         n85011, n85012, n85013, n85014, n85015, n85016, n85017, n85018,
+         n85019, n85020, n85021, n85022, n85023, n85024, n85025, n85026,
+         n85027, n85028, n85029, n85030, n85031, n85032, n85033, n85034,
+         n85035, n85036, n85037, n85038, n85039, n85040, n85041, n85042,
+         n85043, n85044, n85045, n85046, n85047, n85048, n85049, n85050,
+         n85051, n85052, n85053, n85054, n85055, n85056, n85057, n85058,
+         n85059, n85060, n85061, n85062, n85063, n85064, n85065, n85066,
+         n85067, n85068, n85069, n85070, n85071, n85072, n85073, n85074,
+         n85075, n85076, n85077, n85078, n85079, n85080, n85081, n85082,
+         n85083, n85084, n85085, n85086, n85087, n85088, n85089, n85090,
+         n85091, n85092, n85093, n85094, n85095, n85096, n85097, n85098,
+         n85099, n85100, n85101, n85102, n85103, n85104, n85105, n85106,
+         n85107, n85108, n85109, n85110, n85111, n85112, n85113, n85114,
+         n85115, n85116, n85117, n85118, n85119, n85120, n85121, n85122,
+         n85123, n85124, n85125, n85126, n85127, n85128, n85129, n85130,
+         n85131, n85132, n85133, n85134, n85135, n85136, n85137, n85138,
+         n85139, n85140, n85141, n85142, n85143, n85144, n85145, n85146,
+         n85147, n85148, n85149, n85150, n85151, n85152, n85153, n85154,
+         n85155, n85156, n85157, n85158, n85159, n85160, n85161, n85162,
+         n85163, n85164, n85165, n85166, n85167, n85168, n85169, n85170,
+         n85171, n85172, n85173, n85174, n85175, n85176, n85177, n85178,
+         n85179, n85180, n85181, n85182, n85183, n85184, n85185, n85186,
+         n85187, n85188, n85189, n85190, n85191, n85192, n85193, n85194,
+         n85195, n85196, n85197, n85198, n85199, n85200, n85201, n85202,
+         n85203, n85204, n85205, n85206, n85207, n85208, n85209, n85210,
+         n85211, n85212, n85213, n85214, n85215, n85216, n85217, n85218,
+         n85219, n85220, n85221, n85222, n85223, n85224, n85225, n85226,
+         n85227, n85228, n85229, n85230, n85231, n85232, n85233, n85234,
+         n85235, n85236, n85237, n85238, n85239, n85240, n85241, n85242,
+         n85243, n85244, n85245, n85246, n85247, n85248, n85249, n85250,
+         n85251, n85252, n85253, n85254, n85255, n85256, n85257, n85258,
+         n85259, n85260, n85261, n85262, n85263, n85264, n85265, n85266,
+         n85267, n85268, n85269, n85270, n85271, n85272, n85273, n85274,
+         n85275, n85276, n85277, n85278, n85279, n85280, n85281, n85282,
+         n85283, n85284, n85285, n85286, n85287, n85288, n85289, n85290,
+         n85291, n85292, n85293, n85294, n85295, n85296, n85297, n85298,
+         n85299, n85300, n85301, n85302, n85303, n85304, n85305, n85306,
+         n85307, n85308, n85309, n85310, n85311, n85312, n85313, n85314,
+         n85315, n85316, n85317, n85318, n85319, n85320, n85321, n85322,
+         n85323, n85324, n85325, n85326, n85327, n85328, n85329, n85330,
+         n85331, n85332, n85333, n85334, n85335, n85336, n85337, n85338,
+         n85339, n85340, n85341, n85342, n85343, n85344, n85345, n85346,
+         n85347, n85348, n85349, n85350, n85351, n85352, n85353, n85354,
+         n85355, n85356, n85357, n85358, n85359, n85360, n85361, n85362,
+         n85363, n85364, n85365, n85366, n85367, n85368, n85369, n85370,
+         n85371, n85372, n85373, n85374, n85375, n85376, n85377, n85378,
+         n85379, n85380, n85381, n85382, n85383, n85384, n85385, n85386,
+         n85387, n85388, n85389, n85390, n85391, n85392, n85393, n85394,
+         n85395, n85396, n85397, n85398, n85399, n85400, n85401, n85402,
+         n85403, n85404, n85405, n85406, n85407, n85408, n85409, n85410,
+         n85411, n85412, n85413, n85414, n85415, n85416, n85417, n85418,
+         n85419, n85420, n85421, n85422, n85423, n85424, n85425, n85426,
+         n85427, n85428, n85429, n85430, n85431, n85432, n85433, n85434,
+         n85435, n85436, n85437, n85438, n85439, n85440, n85441, n85442,
+         n85443, n85444, n85445, n85446, n85447, n85448, n85449, n85450,
+         n85451, n85452, n85453, n85454, n85455, n85456, n85457, n85458,
+         n85459, n85460, n85461, n85462, n85463, n85464, n85465, n85466,
+         n85467, n85468, n85469, n85470, n85471, n85472, n85473, n85474,
+         n85475, n85476, n85477, n85478, n85479, n85480, n85481, n85482,
+         n85483, n85484, n85485, n85486, n85487, n85488, n85489, n85490,
+         n85491, n85492, n85493, n85494, n85495, n85496, n85497, n85498,
+         n85499, n85500, n85501, n85502, n85503, n85504, n85505, n85506,
+         n85507, n85508, n85509, n85510, n85511, n85512, n85513, n85514,
+         n85515, n85516, n85517, n85518, n85519, n85520, n85521, n85522,
+         n85523, n85524, n85525, n85526, n85527, n85528, n85529, n85530,
+         n85531, n85532, n85533, n85534, n85535, n85536, n85537, n85538,
+         n85539, n85540, n85541, n85542, n85543, n85544, n85545, n85546,
+         n85547, n85548, n85549, n85550, n85551, n85552, n85553, n85554,
+         n85555, n85556, n85557, n85558, n85559, n85560, n85561, n85562,
+         n85563, n85564, n85565, n85566, n85567, n85568, n85569, n85570,
+         n85571, n85572, n85573, n85574, n85575, n85576, n85577, n85578,
+         n85579, n85580, n85581, n85582, n85583, n85584, n85585, n85586,
+         n85587, n85588, n85589, n85590, n85591, n85592, n85593, n85594,
+         n85595, n85596, n85597, n85598, n85599, n85600, n85601, n85602,
+         n85603, n85604, n85605, n85606, n85607, n85608, n85609, n85610,
+         n85611, n85612, n85613, n85614, n85615, n85616, n85617, n85618,
+         n85619, n85620, n85621, n85622, n85623, n85624, n85625, n85626,
+         n85627, n85628, n85629, n85630, n85631, n85632, n85633, n85634,
+         n85637, n85638, n85639, n85640, n85641, n85642, n85643, n85644,
+         n85645, n85646, n85647, n85648, n85649, n85650, n85651, n85652,
+         n85653, n85654, n85655, n85656, n85657, n85658, n85659, n85660,
+         n85661, n85662, n85663, n85664, n85665, n85666, n85667, n85668,
+         n85669, n85670, n85671, n85672, n85673, n85674, n85675, n85676,
+         n85677, n85678, n85679, n85680, n85681, n85682, n85683, n85684,
+         n85685, n85686, n85687, n85688, n85689, n85690, n85691, n85692,
+         n85693, n85694, n85695, n85696, n85697, n85698, n85699, n85700,
+         n85701, n85702, n85703, n85704, n85705, n85706, n85707, n85708,
+         n85709, n85710, n85711, n85712, n85713, n85714, n85715, n85716,
+         n85717, n85718, n85719, n85720, n85721, n85722, n85723, n85724,
+         n85725, n85726, n85727, n85728, n85729, n85730, n85731, n85732,
+         n85733, n85734, n85735, n85736, n85737, n85738, n85739, n85740,
+         n85741, n85742, n85743, n85744, n85745, n85746, n85747, n85748,
+         n85749, n85750, n85751, n85752, n85753, n85754, n85755, n85756,
+         n85757, n85758, n85759, n85760, n85761, n85762, n85763, n85764,
+         n85765, n85766, n85767, n85768, n85770, n85771, n85772, n85773,
+         n85774, n85775, n85776, n85777, n85778, n85779, n85780, n85781,
+         n85782, n85783, n85784, n85785, n85786, n85787, n85788, n85789,
+         n85790, n85791, n85792, n85793, n85794, n85795, n85796, n85797,
+         n85798, n85799, n85800, n85801, n85802, n85803, n85804, n85805,
+         n85806, n85807, n85808, n85809, n85810, n85811, n85812, n85813,
+         n85814, n85815, n85816, n85817, n85818, n85819, n85820, n85821,
+         n85822, n85823, n85824, n85825, n85826, n85827, n85828, n85829,
+         n85830, n85831, n85832, n85833, n85834, n85835, n85836, n85837,
+         n85838, n85839, n85840, n85841, n85842, n85843, n85844, n85845,
+         n85846, n85847, n85848, n85849, n85850, n85851, n85852, n85853,
+         n85854, n85855, n85856, n85857, n85858, n85859, n85860, n85861,
+         n85862, n85863, n85864, n85865, n85866, n85867, n85868, n85869,
+         n85870, n85871, n85872, n85873, n85874, n85875, n85876, n85877,
+         n85878, n85879, n85880, n85881, n85882, n85883, n85884, n85885,
+         n85886, n85887, n85888, n85889, n85890, n85891, n85892, n85893,
+         n85894, n85895, n85896, n85897, n85898, n85899, n85900, n85901,
+         n85902, n85903, n85904, n85905, n85906, n85907, n85908, n85909,
+         n85910, n85911, n85912, n85915, n85916, n85917, n85918, n85919,
+         n85920, n85921, n85922, n85923, n85924, n85925, n85926, n85927,
+         n85928, n85929, n85930, n85931, n85932, n85933, n85934, n85935,
+         n85936, n85937, n85938, n85939, n85940, n85941, n85942, n85943,
+         n85944, n85945, n85946, n85947, n85948, n85949, n85950, n85951,
+         n85952, n85953, n85954, n85955, n85956, n85957, n85958, n85959,
+         n85960, n85961, n85962, n85963, n85964, n85965, n85966, n85967,
+         n85968, n85969, n85970, n85971, n85972, n85973, n85974, n85975,
+         n85976, n85977, n85978, n85979, n85980, n85981, n85982, n85983,
+         n85984, n85985, n85986, n85987, n85988, n85989, n85990, n85991,
+         n85992, n85993, n85994, n85995, n85996, n85997, n85998, n85999,
+         n86000, n86001, n86002, n86003, n86004, n86005, n86006, n86007,
+         n86008, n86009, n86010, n86011, n86012, n86013, n86014, n86015,
+         n86016, n86017, n86018, n86019, n86020, n86021, n86022, n86023,
+         n86024, n86025, n86026, n86027, n86028, n86029, n86030, n86031,
+         n86032, n86033, n86034, n86035, n86036, n86037, n86038, n86039,
+         n86040, n86041, n86042, n86043, n86044, n86045, n86046, n86047,
+         n86048, n86049, n86050, n86051, n86052, n86053, n86054, n86055,
+         n86056, n86057, n86058, n86059, n86060, n86061, n86062, n86063,
+         n86064, n86065, n86066, n86067, n86068, n86069, n86070, n86071,
+         n86072, n86073, n86074, n86075, n86076, n86077, n86078, n86079,
+         n86080, n86081, n86082, n86083, n86084, n86085, n86086, n86087,
+         n86088, n86089, n86090, n86091, n86092, n86093, n86094, n86095,
+         n86096, n86097, n86098, n86099, n86100, n86101, n86102, n86103,
+         n86104, n86105, n86106, n86107, n86108, n86109, n86110, n86111,
+         n86112, n86113, n86114, n86115, n86116, n86117, n86118, n86119,
+         n86120, n86121, n86122, n86123, n86124, n86125, n86126, n86127,
+         n86128, n86129, n86130, n86131, n86132, n86133, n86134, n86135,
+         n86136, n86137, n86138, n86139, n86140, n86141, n86142, n86143,
+         n86144, n86145, n86146, n86147, n86148, n86149, n86150, n86151,
+         n86152, n86153, n86154, n86155, n86156, n86157, n86158, n86159,
+         n86160, n86161, n86162, n86163, n86164, n86165, n86166, n86167,
+         n86168, n86169, n86170, n86171, n86172, n86173, n86174, n86175,
+         n86176, n86177, n86178, n86179, n86180, n86181, n86182, n86183,
+         n86184, n86185, n86186, n86187, n86188, n86189, n86190, n86191,
+         n86192, n86193, n86194, n86195, n86196, n86197, n86198, n86199,
+         n86200, n86201, n86202, n86203, n86204, n86205, n86206, n86207,
+         n86208, n86209, n86210, n86211, n86212, n86213, n86214, n86215,
+         n86216, n86217, n86218, n86219, n86220, n86221, n86222, n86223,
+         n86224, n86225, n86226, n86227, n86228, n86229, n86230, n86231,
+         n86232, n86233, n86234, n86235, n86236, n86237, n86238, n86239,
+         n86240, n86241, n86242, n86243, n86244, n86245, n86246, n86247,
+         n86248, n86249, n86250, n86251, n86252, n86253, n86254, n86255,
+         n86256, n86257, n86258, n86259, n86260, n86261, n86262, n86263,
+         n86264, n86265, n86266, n86267, n86268, n86269, n86270, n86271,
+         n86272, n86273, n86274, n86275, n86276, n86277, n86278, n86279,
+         n86280, n86281, n86283, n86284, n86285, n86286, n86287, n86288,
+         n86289, n86290, n86291, n86292, n86293, n86294, n86296, n86297,
+         n86298, n86299, n86300, n86301, n86302, n86304, n86305, n86306,
+         n86307, n86308, n86309, n86310, n86311, n86312, n86313, n86314,
+         n86315, n86316, n86317, n86318, n86319, n86320, n86321, n86322,
+         n86323, n86324, n86325, n86326, n86327, n86328, n86329, n86330,
+         n86331, n86332, n86333, n86334, n86335, n86336, n86337, n86338,
+         n86339, n86341, n86342, n86343, n86344, n86345, n86346, n86347,
+         n86348, n86349, n86350, n86351, n86352, n86353, n86354, n86355,
+         n86361, n86363, n86364, n86365, n86366, n86367, n86369, n86370,
+         n86371, n86372, n86373, n86374, n86375, n86376, n86377, n86378,
+         n86379, n86380, n86381, n86383, n86384, n86385, n86388, n86389,
+         n86390, n86391, n86392, n86393, n86394, n86395, n86396, n86397,
+         n86398, n86399, n86400, n86401, n86402, n86403, n86404, n86405,
+         n86406, n86407, n86408, n86409, n86410, n86411, n86412, n86413,
+         n86414, n86415, n86416, n86417, n86418, n86419, n86420, n86421,
+         n86422, n86423, n86424, n86425, n86426, n86427, n86428, n86429,
+         n86430, n86431, n86432, n86433, n86434, n86435, n86436, n86437,
+         n86438, n86439, n86440, n86441, n86442, n86443, n86444, n86445,
+         n86448, n86449, n86450, n86451, n86452, n86453, n86454, n86455,
+         n86456, n86457, n86458, n86459, n86460, n86461, n86462, n86463,
+         n86464, n86465, n86466, n86467, n86468, n86469, n86470, n86471,
+         n86472, n86473, n86474, n86475, n86476, n86477, n86478, n86479,
+         n86480, n86481, n86482, n86483, n86484, n86485, n86486, n86487,
+         n86488, n86489, n86490, n86491, n86492, n86493, n86494, n86495,
+         n86496, n86497, n86498, n86499, n86500, n86501, n86502, n86503,
+         n86504, n86505, n86506, n86507, n86508, n86509, n86510, n86511,
+         n86512, n86513, n86514, n86515, n86516, n86517, n86518, n86519,
+         n86520, n86521, n86522, n86523, n86524, n86525, n86526, n86527,
+         n86528, n86529, n86530, n86531, n86532, n86533, n86534, n86535,
+         n86536, n86537, n86538, n86539, n86540, n86541, n86542, n86543,
+         n86544, n86545, n86546, n86547, n86548, n86549, n86550, n86551,
+         n86552, n86553, n86554, n86555, n86556, n86557, n86558, n86559,
+         n86560, n86561, n86562, n86563, n86564, n86565, n86566, n86567,
+         n86568, n86569, n86570, n86571, n86572, n86573, n86574, n86575,
+         n86576, n86577, n86578, n86579, n86580, n86581, n86582, n86583,
+         n86584, n86585, n86586, n86587, n86588, n86589, n86590, n86591,
+         n86592, n86593, n86594, n86595, n86596, n86597, n86598, n86599,
+         n86601, n86602, n86603, n86604, n86605, n86606, n86607, n86608,
+         n86609, n86610, n86725, n86726, n86727, n86728, n86729, n86730,
+         n86731, n86732, n86733, n86734, n86735, n86736, n86737, n86738,
+         n86739, n86740, n86741, n86742, n86743, n86744, n86745, n86746,
+         n86747, n86748, n86749, n86750, n86751, n86752, n86753, n86754,
+         n86755, n86756, n86757, n86758, n86759, SYNOPSYS_UNCONNECTED_1,
+         SYNOPSYS_UNCONNECTED_2, SYNOPSYS_UNCONNECTED_3,
+         SYNOPSYS_UNCONNECTED_4, SYNOPSYS_UNCONNECTED_5,
+         SYNOPSYS_UNCONNECTED_6, SYNOPSYS_UNCONNECTED_7,
+         SYNOPSYS_UNCONNECTED_8, SYNOPSYS_UNCONNECTED_9,
+         SYNOPSYS_UNCONNECTED_10, SYNOPSYS_UNCONNECTED_11,
+         SYNOPSYS_UNCONNECTED_12, SYNOPSYS_UNCONNECTED_13,
+         SYNOPSYS_UNCONNECTED_14, SYNOPSYS_UNCONNECTED_15,
+         SYNOPSYS_UNCONNECTED_16, SYNOPSYS_UNCONNECTED_17,
+         SYNOPSYS_UNCONNECTED_18, SYNOPSYS_UNCONNECTED_19,
+         SYNOPSYS_UNCONNECTED_20, SYNOPSYS_UNCONNECTED_21,
+         SYNOPSYS_UNCONNECTED_22, SYNOPSYS_UNCONNECTED_23,
+         SYNOPSYS_UNCONNECTED_24, SYNOPSYS_UNCONNECTED_25,
+         SYNOPSYS_UNCONNECTED_26, SYNOPSYS_UNCONNECTED_27,
+         SYNOPSYS_UNCONNECTED_28, SYNOPSYS_UNCONNECTED_29,
+         SYNOPSYS_UNCONNECTED_30, SYNOPSYS_UNCONNECTED_31,
+         SYNOPSYS_UNCONNECTED_32, SYNOPSYS_UNCONNECTED_33,
+         SYNOPSYS_UNCONNECTED_34, SYNOPSYS_UNCONNECTED_35,
+         SYNOPSYS_UNCONNECTED_36, SYNOPSYS_UNCONNECTED_37,
+         SYNOPSYS_UNCONNECTED_38, SYNOPSYS_UNCONNECTED_39,
+         SYNOPSYS_UNCONNECTED_40, SYNOPSYS_UNCONNECTED_41,
+         SYNOPSYS_UNCONNECTED_42, SYNOPSYS_UNCONNECTED_43,
+         SYNOPSYS_UNCONNECTED_44, SYNOPSYS_UNCONNECTED_45,
+         SYNOPSYS_UNCONNECTED_46, SYNOPSYS_UNCONNECTED_47,
+         SYNOPSYS_UNCONNECTED_48, SYNOPSYS_UNCONNECTED_49,
+         SYNOPSYS_UNCONNECTED_50, SYNOPSYS_UNCONNECTED_51,
+         SYNOPSYS_UNCONNECTED_52, SYNOPSYS_UNCONNECTED_53,
+         SYNOPSYS_UNCONNECTED_54, SYNOPSYS_UNCONNECTED_55,
+         SYNOPSYS_UNCONNECTED_56, SYNOPSYS_UNCONNECTED_57,
+         SYNOPSYS_UNCONNECTED_58, SYNOPSYS_UNCONNECTED_59,
+         SYNOPSYS_UNCONNECTED_60, SYNOPSYS_UNCONNECTED_61,
+         SYNOPSYS_UNCONNECTED_62, SYNOPSYS_UNCONNECTED_63,
+         SYNOPSYS_UNCONNECTED_64, SYNOPSYS_UNCONNECTED_65,
+         SYNOPSYS_UNCONNECTED_66, SYNOPSYS_UNCONNECTED_67,
+         SYNOPSYS_UNCONNECTED_68, SYNOPSYS_UNCONNECTED_69,
+         SYNOPSYS_UNCONNECTED_70, SYNOPSYS_UNCONNECTED_71,
+         SYNOPSYS_UNCONNECTED_72, SYNOPSYS_UNCONNECTED_73,
+         SYNOPSYS_UNCONNECTED_74, SYNOPSYS_UNCONNECTED_75,
+         SYNOPSYS_UNCONNECTED_76, SYNOPSYS_UNCONNECTED_77,
+         SYNOPSYS_UNCONNECTED_78, SYNOPSYS_UNCONNECTED_79,
+         SYNOPSYS_UNCONNECTED_80, SYNOPSYS_UNCONNECTED_81,
+         SYNOPSYS_UNCONNECTED_82, SYNOPSYS_UNCONNECTED_83,
+         SYNOPSYS_UNCONNECTED_84, SYNOPSYS_UNCONNECTED_85,
+         SYNOPSYS_UNCONNECTED_86, SYNOPSYS_UNCONNECTED_87,
+         SYNOPSYS_UNCONNECTED_88, SYNOPSYS_UNCONNECTED_89,
+         SYNOPSYS_UNCONNECTED_90, SYNOPSYS_UNCONNECTED_91,
+         SYNOPSYS_UNCONNECTED_92, SYNOPSYS_UNCONNECTED_93,
+         SYNOPSYS_UNCONNECTED_94, SYNOPSYS_UNCONNECTED_95,
+         SYNOPSYS_UNCONNECTED_96, SYNOPSYS_UNCONNECTED_97,
+         SYNOPSYS_UNCONNECTED_98, SYNOPSYS_UNCONNECTED_99,
+         SYNOPSYS_UNCONNECTED_100, SYNOPSYS_UNCONNECTED_101,
+         SYNOPSYS_UNCONNECTED_102, SYNOPSYS_UNCONNECTED_103,
+         SYNOPSYS_UNCONNECTED_104, SYNOPSYS_UNCONNECTED_105,
+         SYNOPSYS_UNCONNECTED_106, SYNOPSYS_UNCONNECTED_107,
+         SYNOPSYS_UNCONNECTED_108, SYNOPSYS_UNCONNECTED_109,
+         SYNOPSYS_UNCONNECTED_110, SYNOPSYS_UNCONNECTED_111,
+         SYNOPSYS_UNCONNECTED_112, SYNOPSYS_UNCONNECTED_113,
+         SYNOPSYS_UNCONNECTED_114, SYNOPSYS_UNCONNECTED_115,
+         SYNOPSYS_UNCONNECTED_116, SYNOPSYS_UNCONNECTED_117,
+         SYNOPSYS_UNCONNECTED_118, SYNOPSYS_UNCONNECTED_119,
+         SYNOPSYS_UNCONNECTED_120, SYNOPSYS_UNCONNECTED_121,
+         SYNOPSYS_UNCONNECTED_122, SYNOPSYS_UNCONNECTED_123,
+         SYNOPSYS_UNCONNECTED_124, SYNOPSYS_UNCONNECTED_125,
+         SYNOPSYS_UNCONNECTED_126, SYNOPSYS_UNCONNECTED_127,
+         SYNOPSYS_UNCONNECTED_128, SYNOPSYS_UNCONNECTED_129,
+         SYNOPSYS_UNCONNECTED_130, SYNOPSYS_UNCONNECTED_131,
+         SYNOPSYS_UNCONNECTED_132, SYNOPSYS_UNCONNECTED_133,
+         SYNOPSYS_UNCONNECTED_134, SYNOPSYS_UNCONNECTED_135,
+         SYNOPSYS_UNCONNECTED_136, SYNOPSYS_UNCONNECTED_137,
+         SYNOPSYS_UNCONNECTED_138, SYNOPSYS_UNCONNECTED_139,
+         SYNOPSYS_UNCONNECTED_140, SYNOPSYS_UNCONNECTED_141,
+         SYNOPSYS_UNCONNECTED_142, SYNOPSYS_UNCONNECTED_143,
+         SYNOPSYS_UNCONNECTED_144, SYNOPSYS_UNCONNECTED_145,
+         SYNOPSYS_UNCONNECTED_146, SYNOPSYS_UNCONNECTED_147,
+         SYNOPSYS_UNCONNECTED_148, SYNOPSYS_UNCONNECTED_149,
+         SYNOPSYS_UNCONNECTED_150, SYNOPSYS_UNCONNECTED_151,
+         SYNOPSYS_UNCONNECTED_152, SYNOPSYS_UNCONNECTED_153,
+         SYNOPSYS_UNCONNECTED_154, SYNOPSYS_UNCONNECTED_155,
+         SYNOPSYS_UNCONNECTED_156, SYNOPSYS_UNCONNECTED_157,
+         SYNOPSYS_UNCONNECTED_158, SYNOPSYS_UNCONNECTED_159,
+         SYNOPSYS_UNCONNECTED_160, SYNOPSYS_UNCONNECTED_161,
+         SYNOPSYS_UNCONNECTED_162, SYNOPSYS_UNCONNECTED_163,
+         SYNOPSYS_UNCONNECTED_164, SYNOPSYS_UNCONNECTED_165,
+         SYNOPSYS_UNCONNECTED_166, SYNOPSYS_UNCONNECTED_167,
+         SYNOPSYS_UNCONNECTED_168, SYNOPSYS_UNCONNECTED_169,
+         SYNOPSYS_UNCONNECTED_170, SYNOPSYS_UNCONNECTED_171,
+         SYNOPSYS_UNCONNECTED_172, SYNOPSYS_UNCONNECTED_173,
+         SYNOPSYS_UNCONNECTED_174, SYNOPSYS_UNCONNECTED_175,
+         SYNOPSYS_UNCONNECTED_176, SYNOPSYS_UNCONNECTED_177,
+         SYNOPSYS_UNCONNECTED_178, SYNOPSYS_UNCONNECTED_179,
+         SYNOPSYS_UNCONNECTED_180, SYNOPSYS_UNCONNECTED_181,
+         SYNOPSYS_UNCONNECTED_182, SYNOPSYS_UNCONNECTED_183,
+         SYNOPSYS_UNCONNECTED_184, SYNOPSYS_UNCONNECTED_185,
+         SYNOPSYS_UNCONNECTED_186, SYNOPSYS_UNCONNECTED_187,
+         SYNOPSYS_UNCONNECTED_188, SYNOPSYS_UNCONNECTED_189,
+         SYNOPSYS_UNCONNECTED_190, SYNOPSYS_UNCONNECTED_191,
+         SYNOPSYS_UNCONNECTED_192, SYNOPSYS_UNCONNECTED_193,
+         SYNOPSYS_UNCONNECTED_194, SYNOPSYS_UNCONNECTED_195,
+         SYNOPSYS_UNCONNECTED_196, SYNOPSYS_UNCONNECTED_197,
+         SYNOPSYS_UNCONNECTED_198, SYNOPSYS_UNCONNECTED_199,
+         SYNOPSYS_UNCONNECTED_200, SYNOPSYS_UNCONNECTED_201,
+         SYNOPSYS_UNCONNECTED_202, SYNOPSYS_UNCONNECTED_203,
+         SYNOPSYS_UNCONNECTED_204, SYNOPSYS_UNCONNECTED_205,
+         SYNOPSYS_UNCONNECTED_206, SYNOPSYS_UNCONNECTED_207,
+         SYNOPSYS_UNCONNECTED_208, SYNOPSYS_UNCONNECTED_209,
+         SYNOPSYS_UNCONNECTED_210, SYNOPSYS_UNCONNECTED_211,
+         SYNOPSYS_UNCONNECTED_212, SYNOPSYS_UNCONNECTED_213,
+         SYNOPSYS_UNCONNECTED_214, SYNOPSYS_UNCONNECTED_215,
+         SYNOPSYS_UNCONNECTED_216, SYNOPSYS_UNCONNECTED_217,
+         SYNOPSYS_UNCONNECTED_218, SYNOPSYS_UNCONNECTED_219,
+         SYNOPSYS_UNCONNECTED_220, SYNOPSYS_UNCONNECTED_221,
+         SYNOPSYS_UNCONNECTED_222, SYNOPSYS_UNCONNECTED_223,
+         SYNOPSYS_UNCONNECTED_224, SYNOPSYS_UNCONNECTED_225,
+         SYNOPSYS_UNCONNECTED_226, SYNOPSYS_UNCONNECTED_227,
+         SYNOPSYS_UNCONNECTED_228, SYNOPSYS_UNCONNECTED_229,
+         SYNOPSYS_UNCONNECTED_230, SYNOPSYS_UNCONNECTED_231,
+         SYNOPSYS_UNCONNECTED_232, SYNOPSYS_UNCONNECTED_233,
+         SYNOPSYS_UNCONNECTED_234, SYNOPSYS_UNCONNECTED_235,
+         SYNOPSYS_UNCONNECTED_236, SYNOPSYS_UNCONNECTED_237,
+         SYNOPSYS_UNCONNECTED_238, SYNOPSYS_UNCONNECTED_239,
+         SYNOPSYS_UNCONNECTED_240, SYNOPSYS_UNCONNECTED_241,
+         SYNOPSYS_UNCONNECTED_242, SYNOPSYS_UNCONNECTED_243,
+         SYNOPSYS_UNCONNECTED_244, SYNOPSYS_UNCONNECTED_245,
+         SYNOPSYS_UNCONNECTED_246, SYNOPSYS_UNCONNECTED_247,
+         SYNOPSYS_UNCONNECTED_248, SYNOPSYS_UNCONNECTED_249,
+         SYNOPSYS_UNCONNECTED_250, SYNOPSYS_UNCONNECTED_251,
+         SYNOPSYS_UNCONNECTED_252, SYNOPSYS_UNCONNECTED_253,
+         SYNOPSYS_UNCONNECTED_254, SYNOPSYS_UNCONNECTED_255,
+         SYNOPSYS_UNCONNECTED_256, SYNOPSYS_UNCONNECTED_257,
+         SYNOPSYS_UNCONNECTED_258, SYNOPSYS_UNCONNECTED_259,
+         SYNOPSYS_UNCONNECTED_260, SYNOPSYS_UNCONNECTED_261,
+         SYNOPSYS_UNCONNECTED_262, SYNOPSYS_UNCONNECTED_263,
+         SYNOPSYS_UNCONNECTED_264, SYNOPSYS_UNCONNECTED_265,
+         SYNOPSYS_UNCONNECTED_266, SYNOPSYS_UNCONNECTED_267,
+         SYNOPSYS_UNCONNECTED_268, SYNOPSYS_UNCONNECTED_269,
+         SYNOPSYS_UNCONNECTED_270, SYNOPSYS_UNCONNECTED_271,
+         SYNOPSYS_UNCONNECTED_272, SYNOPSYS_UNCONNECTED_273,
+         SYNOPSYS_UNCONNECTED_274, SYNOPSYS_UNCONNECTED_275,
+         SYNOPSYS_UNCONNECTED_276, SYNOPSYS_UNCONNECTED_277,
+         SYNOPSYS_UNCONNECTED_278, SYNOPSYS_UNCONNECTED_279,
+         SYNOPSYS_UNCONNECTED_280, SYNOPSYS_UNCONNECTED_281,
+         SYNOPSYS_UNCONNECTED_282, SYNOPSYS_UNCONNECTED_283,
+         SYNOPSYS_UNCONNECTED_284, SYNOPSYS_UNCONNECTED_285,
+         SYNOPSYS_UNCONNECTED_286, SYNOPSYS_UNCONNECTED_287,
+         SYNOPSYS_UNCONNECTED_288, SYNOPSYS_UNCONNECTED_289,
+         SYNOPSYS_UNCONNECTED_290, SYNOPSYS_UNCONNECTED_291,
+         SYNOPSYS_UNCONNECTED_292, SYNOPSYS_UNCONNECTED_293,
+         SYNOPSYS_UNCONNECTED_294, SYNOPSYS_UNCONNECTED_295,
+         SYNOPSYS_UNCONNECTED_296, SYNOPSYS_UNCONNECTED_297,
+         SYNOPSYS_UNCONNECTED_298, SYNOPSYS_UNCONNECTED_299,
+         SYNOPSYS_UNCONNECTED_300, SYNOPSYS_UNCONNECTED_301,
+         SYNOPSYS_UNCONNECTED_302, SYNOPSYS_UNCONNECTED_303,
+         SYNOPSYS_UNCONNECTED_304, SYNOPSYS_UNCONNECTED_305,
+         SYNOPSYS_UNCONNECTED_306, SYNOPSYS_UNCONNECTED_307,
+         SYNOPSYS_UNCONNECTED_308, SYNOPSYS_UNCONNECTED_309,
+         SYNOPSYS_UNCONNECTED_310, SYNOPSYS_UNCONNECTED_311,
+         SYNOPSYS_UNCONNECTED_312, SYNOPSYS_UNCONNECTED_313,
+         SYNOPSYS_UNCONNECTED_314, SYNOPSYS_UNCONNECTED_315,
+         SYNOPSYS_UNCONNECTED_316, SYNOPSYS_UNCONNECTED_317,
+         SYNOPSYS_UNCONNECTED_318, SYNOPSYS_UNCONNECTED_319,
+         SYNOPSYS_UNCONNECTED_320, SYNOPSYS_UNCONNECTED_321,
+         SYNOPSYS_UNCONNECTED_322, SYNOPSYS_UNCONNECTED_323,
+         SYNOPSYS_UNCONNECTED_324, SYNOPSYS_UNCONNECTED_325,
+         SYNOPSYS_UNCONNECTED_326, SYNOPSYS_UNCONNECTED_327,
+         SYNOPSYS_UNCONNECTED_328, SYNOPSYS_UNCONNECTED_329,
+         SYNOPSYS_UNCONNECTED_330, SYNOPSYS_UNCONNECTED_331,
+         SYNOPSYS_UNCONNECTED_332, SYNOPSYS_UNCONNECTED_333,
+         SYNOPSYS_UNCONNECTED_334, SYNOPSYS_UNCONNECTED_335,
+         SYNOPSYS_UNCONNECTED_336, SYNOPSYS_UNCONNECTED_337,
+         SYNOPSYS_UNCONNECTED_338, SYNOPSYS_UNCONNECTED_339,
+         SYNOPSYS_UNCONNECTED_340, SYNOPSYS_UNCONNECTED_341,
+         SYNOPSYS_UNCONNECTED_342, SYNOPSYS_UNCONNECTED_343,
+         SYNOPSYS_UNCONNECTED_344, SYNOPSYS_UNCONNECTED_345,
+         SYNOPSYS_UNCONNECTED_346, SYNOPSYS_UNCONNECTED_347,
+         SYNOPSYS_UNCONNECTED_348, SYNOPSYS_UNCONNECTED_349,
+         SYNOPSYS_UNCONNECTED_350, SYNOPSYS_UNCONNECTED_351,
+         SYNOPSYS_UNCONNECTED_352, SYNOPSYS_UNCONNECTED_353,
+         SYNOPSYS_UNCONNECTED_354, SYNOPSYS_UNCONNECTED_355,
+         SYNOPSYS_UNCONNECTED_356, SYNOPSYS_UNCONNECTED_357,
+         SYNOPSYS_UNCONNECTED_358, SYNOPSYS_UNCONNECTED_359,
+         SYNOPSYS_UNCONNECTED_360, SYNOPSYS_UNCONNECTED_361,
+         SYNOPSYS_UNCONNECTED_362, SYNOPSYS_UNCONNECTED_363,
+         SYNOPSYS_UNCONNECTED_364, SYNOPSYS_UNCONNECTED_365,
+         SYNOPSYS_UNCONNECTED_366, SYNOPSYS_UNCONNECTED_367,
+         SYNOPSYS_UNCONNECTED_368, SYNOPSYS_UNCONNECTED_369,
+         SYNOPSYS_UNCONNECTED_370, SYNOPSYS_UNCONNECTED_371,
+         SYNOPSYS_UNCONNECTED_372, SYNOPSYS_UNCONNECTED_373,
+         SYNOPSYS_UNCONNECTED_374, SYNOPSYS_UNCONNECTED_375,
+         SYNOPSYS_UNCONNECTED_376, SYNOPSYS_UNCONNECTED_377,
+         SYNOPSYS_UNCONNECTED_378, SYNOPSYS_UNCONNECTED_379,
+         SYNOPSYS_UNCONNECTED_380, SYNOPSYS_UNCONNECTED_381,
+         SYNOPSYS_UNCONNECTED_382, SYNOPSYS_UNCONNECTED_383,
+         SYNOPSYS_UNCONNECTED_384, SYNOPSYS_UNCONNECTED_385,
+         SYNOPSYS_UNCONNECTED_386, SYNOPSYS_UNCONNECTED_387,
+         SYNOPSYS_UNCONNECTED_388, SYNOPSYS_UNCONNECTED_389,
+         SYNOPSYS_UNCONNECTED_390, SYNOPSYS_UNCONNECTED_391,
+         SYNOPSYS_UNCONNECTED_392, SYNOPSYS_UNCONNECTED_393,
+         SYNOPSYS_UNCONNECTED_394, SYNOPSYS_UNCONNECTED_395,
+         SYNOPSYS_UNCONNECTED_396, SYNOPSYS_UNCONNECTED_397,
+         SYNOPSYS_UNCONNECTED_398, SYNOPSYS_UNCONNECTED_399,
+         SYNOPSYS_UNCONNECTED_400, SYNOPSYS_UNCONNECTED_401,
+         SYNOPSYS_UNCONNECTED_402, SYNOPSYS_UNCONNECTED_403,
+         SYNOPSYS_UNCONNECTED_404, SYNOPSYS_UNCONNECTED_405,
+         SYNOPSYS_UNCONNECTED_406, SYNOPSYS_UNCONNECTED_407,
+         SYNOPSYS_UNCONNECTED_408, SYNOPSYS_UNCONNECTED_409,
+         SYNOPSYS_UNCONNECTED_410, SYNOPSYS_UNCONNECTED_411,
+         SYNOPSYS_UNCONNECTED_412, SYNOPSYS_UNCONNECTED_413,
+         SYNOPSYS_UNCONNECTED_414, SYNOPSYS_UNCONNECTED_415,
+         SYNOPSYS_UNCONNECTED_416, SYNOPSYS_UNCONNECTED_417,
+         SYNOPSYS_UNCONNECTED_418, SYNOPSYS_UNCONNECTED_419,
+         SYNOPSYS_UNCONNECTED_420, SYNOPSYS_UNCONNECTED_421,
+         SYNOPSYS_UNCONNECTED_422, SYNOPSYS_UNCONNECTED_423,
+         SYNOPSYS_UNCONNECTED_424, SYNOPSYS_UNCONNECTED_425,
+         SYNOPSYS_UNCONNECTED_426, SYNOPSYS_UNCONNECTED_427,
+         SYNOPSYS_UNCONNECTED_428, SYNOPSYS_UNCONNECTED_429,
+         SYNOPSYS_UNCONNECTED_430, SYNOPSYS_UNCONNECTED_431,
+         SYNOPSYS_UNCONNECTED_432, SYNOPSYS_UNCONNECTED_433,
+         SYNOPSYS_UNCONNECTED_434, SYNOPSYS_UNCONNECTED_435,
+         SYNOPSYS_UNCONNECTED_436, SYNOPSYS_UNCONNECTED_437,
+         SYNOPSYS_UNCONNECTED_438, SYNOPSYS_UNCONNECTED_439,
+         SYNOPSYS_UNCONNECTED_440, SYNOPSYS_UNCONNECTED_441,
+         SYNOPSYS_UNCONNECTED_442, SYNOPSYS_UNCONNECTED_443,
+         SYNOPSYS_UNCONNECTED_444, SYNOPSYS_UNCONNECTED_445,
+         SYNOPSYS_UNCONNECTED_446, SYNOPSYS_UNCONNECTED_447,
+         SYNOPSYS_UNCONNECTED_448, SYNOPSYS_UNCONNECTED_449,
+         SYNOPSYS_UNCONNECTED_450, SYNOPSYS_UNCONNECTED_451,
+         SYNOPSYS_UNCONNECTED_452, SYNOPSYS_UNCONNECTED_453,
+         SYNOPSYS_UNCONNECTED_454, SYNOPSYS_UNCONNECTED_455,
+         SYNOPSYS_UNCONNECTED_456, SYNOPSYS_UNCONNECTED_457,
+         SYNOPSYS_UNCONNECTED_458, SYNOPSYS_UNCONNECTED_459,
+         SYNOPSYS_UNCONNECTED_460, SYNOPSYS_UNCONNECTED_461,
+         SYNOPSYS_UNCONNECTED_462, SYNOPSYS_UNCONNECTED_463,
+         SYNOPSYS_UNCONNECTED_464, SYNOPSYS_UNCONNECTED_465,
+         SYNOPSYS_UNCONNECTED_466, SYNOPSYS_UNCONNECTED_467,
+         SYNOPSYS_UNCONNECTED_468, SYNOPSYS_UNCONNECTED_469,
+         SYNOPSYS_UNCONNECTED_470, SYNOPSYS_UNCONNECTED_471,
+         SYNOPSYS_UNCONNECTED_472, SYNOPSYS_UNCONNECTED_473,
+         SYNOPSYS_UNCONNECTED_474, SYNOPSYS_UNCONNECTED_475,
+         SYNOPSYS_UNCONNECTED_476, SYNOPSYS_UNCONNECTED_477,
+         SYNOPSYS_UNCONNECTED_478, SYNOPSYS_UNCONNECTED_479,
+         SYNOPSYS_UNCONNECTED_480, SYNOPSYS_UNCONNECTED_481,
+         SYNOPSYS_UNCONNECTED_482, SYNOPSYS_UNCONNECTED_483,
+         SYNOPSYS_UNCONNECTED_484, SYNOPSYS_UNCONNECTED_485,
+         SYNOPSYS_UNCONNECTED_486, SYNOPSYS_UNCONNECTED_487,
+         SYNOPSYS_UNCONNECTED_488, SYNOPSYS_UNCONNECTED_489,
+         SYNOPSYS_UNCONNECTED_490, SYNOPSYS_UNCONNECTED_491,
+         SYNOPSYS_UNCONNECTED_492, SYNOPSYS_UNCONNECTED_493,
+         SYNOPSYS_UNCONNECTED_494, SYNOPSYS_UNCONNECTED_495,
+         SYNOPSYS_UNCONNECTED_496, SYNOPSYS_UNCONNECTED_497,
+         SYNOPSYS_UNCONNECTED_498, SYNOPSYS_UNCONNECTED_499,
+         SYNOPSYS_UNCONNECTED_500, SYNOPSYS_UNCONNECTED_501,
+         SYNOPSYS_UNCONNECTED_502, SYNOPSYS_UNCONNECTED_503,
+         SYNOPSYS_UNCONNECTED_504, SYNOPSYS_UNCONNECTED_505,
+         SYNOPSYS_UNCONNECTED_506, SYNOPSYS_UNCONNECTED_507,
+         SYNOPSYS_UNCONNECTED_508, SYNOPSYS_UNCONNECTED_509,
+         SYNOPSYS_UNCONNECTED_510, SYNOPSYS_UNCONNECTED_511,
+         SYNOPSYS_UNCONNECTED_512, SYNOPSYS_UNCONNECTED_513,
+         SYNOPSYS_UNCONNECTED_514, SYNOPSYS_UNCONNECTED_515,
+         SYNOPSYS_UNCONNECTED_516, SYNOPSYS_UNCONNECTED_517,
+         SYNOPSYS_UNCONNECTED_518, SYNOPSYS_UNCONNECTED_519,
+         SYNOPSYS_UNCONNECTED_520, SYNOPSYS_UNCONNECTED_521,
+         SYNOPSYS_UNCONNECTED_522, SYNOPSYS_UNCONNECTED_523,
+         SYNOPSYS_UNCONNECTED_524, SYNOPSYS_UNCONNECTED_525,
+         SYNOPSYS_UNCONNECTED_526, SYNOPSYS_UNCONNECTED_527,
+         SYNOPSYS_UNCONNECTED_528, SYNOPSYS_UNCONNECTED_529,
+         SYNOPSYS_UNCONNECTED_530, SYNOPSYS_UNCONNECTED_531,
+         SYNOPSYS_UNCONNECTED_532, SYNOPSYS_UNCONNECTED_533,
+         SYNOPSYS_UNCONNECTED_534, SYNOPSYS_UNCONNECTED_535,
+         SYNOPSYS_UNCONNECTED_536, SYNOPSYS_UNCONNECTED_537,
+         SYNOPSYS_UNCONNECTED_538, SYNOPSYS_UNCONNECTED_539,
+         SYNOPSYS_UNCONNECTED_540, SYNOPSYS_UNCONNECTED_541,
+         SYNOPSYS_UNCONNECTED_542, SYNOPSYS_UNCONNECTED_543,
+         SYNOPSYS_UNCONNECTED_544, SYNOPSYS_UNCONNECTED_545,
+         SYNOPSYS_UNCONNECTED_546, SYNOPSYS_UNCONNECTED_547,
+         SYNOPSYS_UNCONNECTED_548, SYNOPSYS_UNCONNECTED_549,
+         SYNOPSYS_UNCONNECTED_550, SYNOPSYS_UNCONNECTED_551,
+         SYNOPSYS_UNCONNECTED_552, SYNOPSYS_UNCONNECTED_553,
+         SYNOPSYS_UNCONNECTED_554, SYNOPSYS_UNCONNECTED_555,
+         SYNOPSYS_UNCONNECTED_556, SYNOPSYS_UNCONNECTED_557,
+         SYNOPSYS_UNCONNECTED_558, SYNOPSYS_UNCONNECTED_559,
+         SYNOPSYS_UNCONNECTED_560;
+  wire   [31:6] la_data_input;
+  wire   [1:0] MarmotCaravelChip_slowTick_value;
+  wire   [1:0] MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_op;
+  wire   [31:0] MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_data;
+  wire   [6:0] MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_addr;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_auto_qspi_ram_0_mem_xing_in_d_bits_source
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_auto_qspi_0_mem_xing_in_d_bits_source
+;
+  wire   [31:0] MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data
+;
+  wire  
+         [6:0] MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_source
+;
+  wire   [1:0] MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_size;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_auto_plic_in_d_bits_source
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_auto_plic_in_d_bits_size
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_plic_fragmenter_out_a_bits_mask
+;
+  wire  
+         [5:2] MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_plic_fragmenter_out_a_bits_address
+;
+  wire  
+         [6:5] MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_plic_fragmenter_out_a_bits_source
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_mask
+;
+  wire  
+         [11:2] MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_address
+;
+  wire  
+         [6:0] MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_source
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_opcode
+;
+  wire  
+         [30:6] MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_param
+;
+  wire  
+         [6:0] MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_beatsLeft
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_auto_tl_in_d_bits_source
+;
+  wire  
+         [31:22] MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_auto_in_d_bits_data
+;
+  wire  
+         [29:15] MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10
+;
+  wire   [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_beatsLeft;
+  wire  
+         [63:0] MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_mask
+;
+  wire  
+         [59:0] MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_source
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_size
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_opcode
+;
+  wire  
+         [63:0] MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_source
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_size
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_d_first_counter
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_source
+;
+  wire  
+         [29:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_size
+;
+  wire   [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_beatsLeft;
+  wire   [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics__GEN_39;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_param
+;
+  wire   [3:1] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_lut;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_31;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_30;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_29;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_28;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_27;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_26;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_25;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_24;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_23;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_22;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_21;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_20;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_19;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_18;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_17;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_16;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_15;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_14;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_13;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_12;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_11;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_10;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_9;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_8;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_7;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_6;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_5;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_4;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_3;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_2;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_1;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_0;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_s_0_state;
+  wire  
+         [63:0] MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_mask
+;
+  wire  
+         [59:0] MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_source
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_size
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_param
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode
+;
+  wire  
+         [63:0] MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_source
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_dOrig
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_gennum
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_acknum
+;
+  wire  
+         [11:2] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_address
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_source
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_opcode
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_dOrig
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_gennum
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_acknum
+;
+  wire  
+         [11:2] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_address
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_source
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_opcode
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_dOrig
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_gennum
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_acknum
+;
+  wire  
+         [11:2] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_address
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_source
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_opcode
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_dOrig
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_gennum
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_acknum
+;
+  wire  
+         [11:2] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_address
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_source
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_opcode
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_dOrig
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_gennum
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_acknum
+;
+  wire  
+         [11:2] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_address
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_source
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_opcode
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_dOrig
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_gennum
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_acknum
+;
+  wire  
+         [11:2] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_address
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_source
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_opcode
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_dOrig
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_gennum
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_acknum
+;
+  wire  
+         [28:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_source
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_size
+;
+  wire  
+         [231:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address
+;
+  wire  
+         [15:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source
+;
+  wire  
+         [23:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_2
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_1
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_0
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_dOrig
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum
+;
+  wire  
+         [11:2] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_source
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_opcode
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_dOrig
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_gennum
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_acknum
+;
+  wire  
+         [11:2] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_address
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_source
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_opcode
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_dOrig
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_gennum
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_acknum
+;
+  wire  
+         [11:2] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_address
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_source
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_opcode
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_dOrig
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_gennum
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_acknum
+;
+  wire  
+         [11:2] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_address
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_source
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_opcode
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_dOrig
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_gennum
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_acknum
+;
+  wire  
+         [11:2] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_address
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_source
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_opcode
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_dOrig
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_gennum
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_acknum
+;
+  wire  
+         [11:2] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_address
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_source
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_opcode
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_dOrig
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_gennum
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_acknum
+;
+  wire  
+         [11:2] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_address
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_source
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_opcode
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_dOrig
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_gennum
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_acknum
+;
+  wire  
+         [11:2] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_address
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_source
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_opcode
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_source
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_auto_tl_in_d_bits_source
+;
+  wire  
+         [14:8] MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar__readys_unready_T_8
+;
+  wire   [6:0] MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft;
+  wire  
+         [63:0] MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_mask
+;
+  wire  
+         [59:0] MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_source
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_size
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_param
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_opcode
+;
+  wire  
+         [0:1] MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_corrupt
+;
+  wire  
+         [63:0] MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data
+;
+  wire  
+         [0:1] MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_denied
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_source
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_size
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_source
+;
+  wire  
+         [29:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_size
+;
+  wire   [5:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_beatsLeft;
+  wire   [2:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics__GEN_39;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_param
+;
+  wire   [3:1] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_lut;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_31;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_30;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_29;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_28;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_27;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_26;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_25;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_24;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_23;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_22;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_21;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_20;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_19;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_18;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_17;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_16;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_15;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_14;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_13;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_12;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_11;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_10;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_9;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_8;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_7;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_6;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_5;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_4;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_3;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_2;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_1;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_0;
+  wire   [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_s_0_state;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_auto_out_a_bits_source
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_auto_out_a_bits_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_auto_out_a_bits_opcode
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_auto_in_d_bits_source
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_auto_in_d_bits_size
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_io_deq_bits_opcode
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_source
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_size
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_opcode
+;
+  wire  
+         [0:1] MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_corrupt
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_source
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_size
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_opcode
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_dOrig
+;
+  wire  
+         [25:6] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_gennum
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_acknum
+;
+  wire  
+         [25:2] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_source
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_opcode
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_dOrig
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_gennum
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_acknum
+;
+  wire  
+         [15:2] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_source
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_opcode
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_dOrig
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_gennum
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_acknum
+;
+  wire  
+         [11:2] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_address
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_source
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_opcode
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_auto_out_a_bits_mask
+;
+  wire  
+         [5:2] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_auto_out_a_bits_address
+;
+  wire  
+         [6:5] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_auto_out_a_bits_source
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_auto_out_a_bits_opcode
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_auto_in_d_bits_source
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_auto_in_d_bits_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_dOrig
+;
+  wire  
+         [11:6] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_deq_bits_address
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_gennum
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_acknum
+;
+  wire  
+         [11:2] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_address
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_source
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_opcode
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_dOrig
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_gennum
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_acknum
+;
+  wire  
+         [15:2] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_source
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_dOrig
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum
+;
+  wire  
+         [28:0] MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_source
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_opcode
+;
+  wire  
+         [63:0] MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data
+;
+  wire  
+         [231:0] MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source
+;
+  wire  
+         [23:0] MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr
+;
+  wire  
+         [23:0] MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_2
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_1
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_0
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeat_count
+;
+  wire  
+         [31:8] MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data
+;
+  wire  
+         [28:0] MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_source
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_opcode
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_binder_auto_in_d_bits_source
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_coherent_jbar_auto_out_a_bits_address
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter_2
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_size
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_source
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_source
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_source
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_source
+;
+  wire  
+         [30:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address
+;
+  wire  
+         [30:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address
+;
+  wire  
+         [30:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address
+;
+  wire  
+         [30:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft_1
+;
+  wire   [3:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_r;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_opcode
+;
+  wire  
+         [511:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_MPORT_addr
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_io_deq_bits_MPORT_addr
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_opcode
+;
+  wire  
+         [511:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_MPORT_addr
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_io_deq_bits_MPORT_addr
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_opcode
+;
+  wire  
+         [511:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_MPORT_addr
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_opcode
+;
+  wire  
+         [511:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_MPORT_addr
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_io_deq_bits_MPORT_addr
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_source
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data
+;
+  wire  
+         [30:6] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history
+;
+  wire  
+         [4:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_btb_update_bits_prediction_entry
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_1_status_prv
+;
+  wire  
+         [28:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_a
+;
+  wire  
+         [28:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_a
+;
+  wire  
+         [28:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_cfg_a
+;
+  wire  
+         [28:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_a
+;
+  wire  
+         [28:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_cfg_a
+;
+  wire  
+         [28:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_cfg_a
+;
+  wire  
+         [28:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_cfg_a
+;
+  wire  
+         [28:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_cfg_a
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_size
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_cmd
+;
+  wire  
+         [5:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag
+;
+  wire  
+         [5:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_requestor_0_resp_bits_tag
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_auto_out_a_bits_mask
+;
+  wire  
+         [7:6] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_auto_out_a_bits_source
+;
+  wire  
+         [31:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc
+;
+  wire  
+         [30:6] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_slave_in_d_bits_source
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_slave_in_d_bits_size
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_size
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_cmd
+;
+  wire  
+         [6:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_beatsLeft
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_uncachedReqs_0_size
+;
+  wire  
+         [5:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_uncachedReqs_0_tag
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_way
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_way
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_amoalu_io_cmd
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata
+;
+  wire  
+         [10:2] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_addr
+;
+  wire  
+         [20:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_out_bits_data
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_out_bits_way_en
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_3_bits_way_en
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pma_checker_io_req_bits_cmd
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pma_checker_io_req_bits_size
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_cmd
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_size
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter
+;
+  wire  
+         [11:6] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__metaArb_io_in_5_bits_addr_T_1
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_367
+;
+  wire  
+         [21:6] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data
+;
+  wire  
+         [24:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr
+;
+  wire  
+         [18:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_coh_state
+;
+  wire  
+         [18:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_coh_state
+;
+  wire  
+         [18:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag
+;
+  wire  
+         [11:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr
+;
+  wire  
+         [5:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_tag
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_probe_way
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_hit_way
+;
+  wire  
+         [20:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3
+;
+  wire  
+         [20:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2
+;
+  wire  
+         [30:12] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr
+;
+  wire  
+         [11:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr
+;
+  wire  
+         [30:6] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_55
+;
+  wire  
+         [11:2] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_49
+;
+  wire  
+         [11:2] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11
+;
+  wire  
+         [11:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1
+;
+  wire  
+         [2:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_size
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_118
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_state
+;
+  wire  
+         [6:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_blockProbeAfterGrantCount
+;
+  wire  
+         [15:8] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_lo
+;
+  wire  
+         [127:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_csb0
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_cfiType
+;
+  wire  
+         [31:2] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc
+;
+  wire  
+         [4:2] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_prediction_entry
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history
+;
+  wire  
+         [30:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history
+;
+  wire  
+         [31:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr
+;
+  wire  
+         [4:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_entry
+;
+  wire  
+         [15:2] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits
+;
+  wire  
+         [4:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0
+;
+  wire  
+         [8:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_addr
+;
+  wire  
+         [6:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_array_RW0_addr
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_mask
+;
+  wire  
+         [15:8] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__repl_way_v0_T
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_lo
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter
+;
+  wire  
+         [17:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_1
+;
+  wire  
+         [13:2] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr
+;
+  wire  
+         [6:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77
+;
+  wire  
+         [17:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag
+;
+  wire  
+         [255:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array
+;
+  wire  
+         [255:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_csb0
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data
+;
+  wire  
+         [31:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_bht_history
+;
+  wire  
+         [4:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_entry
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data
+;
+  wire  
+         [31:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_bht_history
+;
+  wire  
+         [4:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_entry
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data
+;
+  wire  
+         [31:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_bht_history
+;
+  wire  
+         [4:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_entry
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data
+;
+  wire  
+         [31:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_bht_history
+;
+  wire  
+         [4:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_entry
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data
+;
+  wire  
+         [31:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_bht_history
+;
+  wire  
+         [4:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_entry
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType
+;
+  wire  
+         [0:511] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_
+;
+  wire  
+         [31:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5
+;
+  wire  
+         [31:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4
+;
+  wire  
+         [31:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3
+;
+  wire  
+         [31:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2
+;
+  wire  
+         [31:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0
+;
+  wire  
+         [31:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pos
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_count
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_27
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_26
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_25
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_24
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_23
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_22
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_21
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_20
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_19
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_18
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_17
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_16
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_15
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_14
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_13
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_12
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_11
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_10
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_9
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_8
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_7
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_6
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_5
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_4
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_3
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_2
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_1
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_0
+;
+  wire  
+         [9:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_27
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_26
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_25
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_24
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_23
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_22
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_21
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_20
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_19
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_18
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_17
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_16
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_15
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_14
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_13
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_12
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_11
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_10
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_9
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_8
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_7
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_6
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_5
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_4
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_3
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_2
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_1
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_0
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_27
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_26
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_25
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_24
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_23
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_22
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_21
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_20
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_19
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_18
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_17
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_16
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_15
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_14
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_13
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_12
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_11
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_10
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_9
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_8
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_7
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_6
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_5
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_4
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_3
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_2
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_1
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_0
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_435
+;
+  wire  
+         [27:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433
+;
+  wire  
+         [4:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_respPipe_bits_entry
+;
+  wire  
+         [14:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state
+;
+  wire  
+         [10:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_nextPageRepl
+;
+  wire  
+         [4:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_prediction_entry
+;
+  wire  
+         [13:2] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc
+;
+  wire  
+         [17:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p
+;
+  wire  
+         [12:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27
+;
+  wire  
+         [12:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26
+;
+  wire  
+         [12:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25
+;
+  wire  
+         [12:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24
+;
+  wire  
+         [12:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23
+;
+  wire  
+         [12:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22
+;
+  wire  
+         [12:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21
+;
+  wire  
+         [12:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20
+;
+  wire  
+         [12:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19
+;
+  wire  
+         [12:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18
+;
+  wire  
+         [12:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17
+;
+  wire  
+         [12:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16
+;
+  wire  
+         [12:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15
+;
+  wire  
+         [12:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14
+;
+  wire  
+         [12:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13
+;
+  wire  
+         [12:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12
+;
+  wire  
+         [12:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11
+;
+  wire  
+         [12:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10
+;
+  wire  
+         [12:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9
+;
+  wire  
+         [12:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8
+;
+  wire  
+         [12:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7
+;
+  wire  
+         [12:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6
+;
+  wire  
+         [12:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5
+;
+  wire  
+         [12:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4
+;
+  wire  
+         [12:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3
+;
+  wire  
+         [12:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2
+;
+  wire  
+         [12:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1
+;
+  wire  
+         [12:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0
+;
+  wire  
+         [17:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5
+;
+  wire  
+         [17:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4
+;
+  wire  
+         [17:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3
+;
+  wire  
+         [17:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2
+;
+  wire  
+         [17:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1
+;
+  wire  
+         [17:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_dOrig
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_gennum
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_acknum
+;
+  wire  
+         [13:2] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_source
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_opcode
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_cause
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_cause
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_bht_history
+;
+  wire  
+         [4:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_entry
+;
+  wire  
+         [991:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_tmatch
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_tmatch
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_mpp
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_fs
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata
+;
+  wire  
+         [11:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_io_btb_resp_bht_history
+;
+  wire  
+         [4:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_io_btb_resp_entry
+;
+  wire  
+         [31:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_cause
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T
+;
+  wire  
+         [12:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_int_wdata
+;
+  wire  
+         [31:2] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_ctrl_csr
+;
+  wire  
+         [4:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_io_resp_bits_tag
+;
+  wire  
+         [31:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_csr
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_csr
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_alu2
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_alu1
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b19_12_T_4
+;
+  wire  
+         [10:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_imm
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6
+;
+  wire  
+         [4:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_waddr
+;
+  wire  
+         [30:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_isa
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_ctrl_decoder_15
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_ctrl_decoder_11
+;
+  wire  
+         [31:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc
+;
+  wire  
+         [31:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc
+;
+  wire  
+         [4:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_br_target_T_5
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_hi_lo_hi_1
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b4_1
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b10_5
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_bht_history
+;
+  wire  
+         [4:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_entry
+;
+  wire  
+         [31:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc
+;
+  wire  
+         [15:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data
+;
+  wire  
+         [15:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mcause
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_scause
+;
+  wire  
+         [31:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc
+;
+  wire  
+         [31:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc
+;
+  wire  
+         [8:6] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_lo_6
+;
+  wire  
+         [31:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec
+;
+  wire  
+         [30:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec
+;
+  wire  
+         [11:1] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie
+;
+  wire  
+         [63:32] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1
+;
+  wire  
+         [63:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_scounteren
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_mcounteren
+;
+  wire  
+         [23:20] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__causeIsDebugBreak_T_3
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count
+;
+  wire  
+         [64:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_state
+;
+  wire  
+         [32:0] MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor
+;
+  wire  
+         [63:0] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_mask
+;
+  wire  
+         [61:0] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_source
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_size
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_param
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_opcode
+;
+  wire  
+         [0:1] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_corrupt
+;
+  wire  
+         [63:0] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data
+;
+  wire  
+         [0:1] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_denied
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_sink
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_source
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_size
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_opcode
+;
+  wire  
+         [49:0] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_param
+;
+  wire  
+         [63:0] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data
+;
+  wire  
+         [49:0] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_size
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_param
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_ram_sink
+;
+  wire  
+         [63:0] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_mask
+;
+  wire  
+         [23:0] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_source
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_size
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode
+;
+  wire  
+         [63:0] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_source
+;
+  wire  
+         [5:0] MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_bundleOut_0_0_REG
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_bundleOut_1_0_REG
+;
+  wire   [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_1_io_max
+;
+  wire   [4:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_1_io_dev
+;
+  wire   [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_max;
+  wire   [4:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_dev;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_55
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_54
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_53
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_52
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_51
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_50
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_49
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_48
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_47
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_46
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_45
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_44
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_43
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_42
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_41
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_40
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_39
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_38
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_37
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_36
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_35
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_34
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_33
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_32
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_31
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_30
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_29
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_28
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_27
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_26
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_25
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_24
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_23
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_22
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_21
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_20
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_19
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_18
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_17
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_16
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_15
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_14
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_13
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_12
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_11
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_10
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_9
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_8
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_7
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_6
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_5
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_4
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_3
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_2
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_1
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_0
+;
+  wire   [5:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1327;
+  wire   [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_prepend_39
+;
+  wire   [2:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_prepend_38
+;
+  wire   [5:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_610;
+  wire   [31:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_io_deq_bits_mask
+;
+  wire   [18:12] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex;
+  wire   [8:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex;
+  wire   [55:49] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt;
+  wire  
+         [6:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo
+;
+  wire  
+         [13:7] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo
+;
+  wire  
+         [6:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo
+;
+  wire  
+         [27:21] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo
+;
+  wire  
+         [6:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo
+;
+  wire  
+         [13:7] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo
+;
+  wire  
+         [6:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo
+;
+  wire   [55:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1;
+  wire   [55:0] MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0;
+  wire   [7:0] MarmotCaravelChip_dut_sys_clint_oldBytes_1_7;
+  wire   [7:0] MarmotCaravelChip_dut_sys_clint_oldBytes_1_6;
+  wire   [7:0] MarmotCaravelChip_dut_sys_clint_oldBytes_1_5;
+  wire   [7:0] MarmotCaravelChip_dut_sys_clint_oldBytes_1_4;
+  wire   [7:0] MarmotCaravelChip_dut_sys_clint_oldBytes_1_3;
+  wire   [7:0] MarmotCaravelChip_dut_sys_clint_oldBytes_1_2;
+  wire   [7:0] MarmotCaravelChip_dut_sys_clint_oldBytes_1_1;
+  wire   [7:0] MarmotCaravelChip_dut_sys_clint_oldBytes_1_0;
+  wire   [7:0] MarmotCaravelChip_dut_sys_clint_oldBytes__7;
+  wire   [7:0] MarmotCaravelChip_dut_sys_clint_oldBytes__6;
+  wire   [7:0] MarmotCaravelChip_dut_sys_clint_oldBytes__5;
+  wire   [7:0] MarmotCaravelChip_dut_sys_clint_oldBytes__4;
+  wire   [7:0] MarmotCaravelChip_dut_sys_clint_oldBytes__3;
+  wire   [7:0] MarmotCaravelChip_dut_sys_clint_oldBytes__2;
+  wire   [7:0] MarmotCaravelChip_dut_sys_clint_oldBytes__1;
+  wire   [7:0] MarmotCaravelChip_dut_sys_clint_oldBytes__0;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2
+;
+  wire   [31:0] MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data;
+  wire   [3:0] MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_mask;
+  wire  
+         [11:2] MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_address
+;
+  wire   [6:0] MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_source
+;
+  wire   [1:0] MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_size;
+  wire   [2:0] MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_opcode
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data
+;
+  wire   [3:0] MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_mask
+;
+  wire  
+         [11:2] MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_address
+;
+  wire  
+         [6:0] MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_source
+;
+  wire   [1:0] MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_size
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_opcode
+;
+  wire   [31:0] MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data;
+  wire   [6:0] MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_source;
+  wire   [1:0] MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_size;
+  wire   [31:0] MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0;
+  wire   [31:0] MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1;
+  wire   [31:0] MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2;
+  wire   [31:0] MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3;
+  wire   [31:0] MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4;
+  wire   [31:0] MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5;
+  wire   [31:0] MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6;
+  wire   [31:0] MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7;
+  wire   [31:0] MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8;
+  wire   [31:0] MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9;
+  wire   [31:0] MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10;
+  wire   [31:0] MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11;
+  wire   [31:0] MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12;
+  wire   [31:0] MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13;
+  wire   [31:0] MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14;
+  wire   [31:0] MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15;
+  wire   [8:0] MarmotCaravelChip_dut_sys_aon_1_aon__out_T_789;
+  wire   [8:0] MarmotCaravelChip_dut_sys_aon_1_aon__out_T_775;
+  wire   [15:0] MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759;
+  wire   [8:0] MarmotCaravelChip_dut_sys_aon_1_aon__out_T_734;
+  wire   [15:0] MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727;
+  wire   [8:0] MarmotCaravelChip_dut_sys_aon_1_aon__out_T_693;
+  wire   [3:0] MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538;
+  wire   [8:0] MarmotCaravelChip_dut_sys_aon_1_aon__out_T_527;
+  wire   [31:0] MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520;
+  wire   [3:1] MarmotCaravelChip_dut_sys_aon_1_aon__out_T_502;
+  wire   [8:0] MarmotCaravelChip_dut_sys_aon_1_aon__out_T_486;
+  wire   [8:0] MarmotCaravelChip_dut_sys_aon_1_aon__out_T_461;
+  wire   [8:0] MarmotCaravelChip_dut_sys_aon_1_aon__out_T_447;
+  wire   [8:0] MarmotCaravelChip_dut_sys_aon_1_aon__out_T_424;
+  wire   [30:0] MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396;
+  wire   [8:0] MarmotCaravelChip_dut_sys_aon_1_aon__out_T_385;
+  wire   [8:0] MarmotCaravelChip_dut_sys_aon_1_aon__out_T_371;
+  wire   [8:0] MarmotCaravelChip_dut_sys_aon_1_aon__out_T_364;
+  wire   [8:0] MarmotCaravelChip_dut_sys_aon_1_aon__out_T_350;
+  wire   [8:0] MarmotCaravelChip_dut_sys_aon_1_aon__out_T_325;
+  wire   [8:0] MarmotCaravelChip_dut_sys_aon_1_aon__out_T_318;
+  wire   [31:0] MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288;
+  wire   [13:12] MarmotCaravelChip_dut_sys_aon_1_aon_out_prepend_7;
+  wire   [3:0] MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116;
+  wire   [8:0] MarmotCaravelChip_dut_sys_aon_1_aon__out_T_105;
+  wire   [31:0] MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100;
+  wire   [6:0] MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex;
+  wire   [3:0] MarmotCaravelChip_dut_sys_aon_1_aon_out_back_io_deq_bits_mask;
+  wire   [9:7] MarmotCaravelChip_dut_sys_aon_1_aon_out_bindex;
+  wire   [2:1] MarmotCaravelChip_dut_sys_aon_1_aon_pmu__core_io_resetCause_T;
+  wire   [1:0] MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core__GEN_34;
+  wire   [14:0] MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count;
+  wire   [2:0] MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_pc;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits
+;
+  wire  
+         [15:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_8
+;
+  wire  
+         [19:16] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_7
+;
+  wire  
+         [19:16] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_6
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_5
+;
+  wire  
+         [8:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__GEN_4
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__shifter_T_3
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_counter
+;
+  wire  
+         [15:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_MPORT_addr
+;
+  wire  
+         [63:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_io_deq_bits_MPORT_addr
+;
+  wire  
+         [3:1] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__sample_T
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_next
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_debounce
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_MPORT_addr
+;
+  wire  
+         [63:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_io_deq_bits_MPORT_addr
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits
+;
+  wire  
+         [15:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_8
+;
+  wire  
+         [19:16] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_7
+;
+  wire  
+         [19:16] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_6
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_5
+;
+  wire  
+         [8:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__GEN_4
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__shifter_T_3
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_counter
+;
+  wire  
+         [15:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_MPORT_addr
+;
+  wire  
+         [63:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_io_deq_bits_MPORT_addr
+;
+  wire  
+         [3:1] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__sample_T
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_next
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_debounce
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_MPORT_addr
+;
+  wire  
+         [63:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_io_deq_bits_MPORT_addr
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits
+;
+  wire  
+         [15:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_8
+;
+  wire  
+         [19:16] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_7
+;
+  wire  
+         [19:16] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_6
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_5
+;
+  wire  
+         [8:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__GEN_4
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__shifter_T_3
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_counter
+;
+  wire  
+         [15:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_MPORT_addr
+;
+  wire  
+         [63:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_io_deq_bits_MPORT_addr
+;
+  wire  
+         [3:1] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__sample_T
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_next
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_debounce
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_MPORT_addr
+;
+  wire  
+         [63:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_io_deq_bits_MPORT_addr
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits
+;
+  wire  
+         [15:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_8
+;
+  wire  
+         [19:16] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_7
+;
+  wire  
+         [19:16] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_6
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_5
+;
+  wire  
+         [8:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__GEN_4
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__shifter_T_3
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_counter
+;
+  wire  
+         [15:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_MPORT_addr
+;
+  wire  
+         [63:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_io_deq_bits_MPORT_addr
+;
+  wire  
+         [3:1] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__sample_T
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_next
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_debounce
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_MPORT_addr
+;
+  wire  
+         [63:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_io_deq_bits_MPORT_addr
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits
+;
+  wire  
+         [15:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_8
+;
+  wire  
+         [19:16] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_7
+;
+  wire  
+         [19:16] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_6
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_5
+;
+  wire  
+         [8:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__GEN_4
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__shifter_T_3
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_counter
+;
+  wire  
+         [15:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_MPORT_addr
+;
+  wire  
+         [63:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_io_deq_bits_MPORT_addr
+;
+  wire  
+         [3:1] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__sample_T
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_next
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T
+;
+  wire  
+         [12:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_debounce
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_MPORT_addr
+;
+  wire  
+         [63:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_io_deq_bits_MPORT_addr
+;
+  wire  
+         [28:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_io_link_fmt_proto
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_21
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_20
+;
+  wire  
+         [4:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_15
+;
+  wire  
+         [11:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_6
+;
+  wire  
+         [11:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0
+;
+  wire  
+         [23:16] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_16
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T_16
+;
+  wire  
+         [19:16] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_15
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_10
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_9
+;
+  wire  
+         [31:16] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7
+;
+  wire  
+         [13:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5
+;
+  wire  
+         [23:16] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T
+;
+  wire  
+         [8:7] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_bundleIn_0_a_bits_source
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_cs_mode
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_MPORT_addr
+;
+  wire  
+         [63:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_io_deq_bits_MPORT_addr
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_MPORT_addr
+;
+  wire  
+         [63:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_state
+;
+  wire  
+         [11:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy__io_rx_bits_T_9
+;
+  wire  
+         [11:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last
+;
+  wire  
+         [11:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr
+;
+  wire  
+         [11:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_fmt_proto
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_state
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_cnt
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_wdata
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_opcode_hold
+;
+  wire  
+         [28:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_io_link_fmt_proto
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_21
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_20
+;
+  wire  
+         [4:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_15
+;
+  wire  
+         [11:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_6
+;
+  wire  
+         [11:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0
+;
+  wire  
+         [23:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18
+;
+  wire  
+         [23:16] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_16
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T_16
+;
+  wire  
+         [19:16] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_15
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_10
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_9
+;
+  wire  
+         [31:16] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7
+;
+  wire  
+         [13:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5
+;
+  wire  
+         [23:16] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T
+;
+  wire  
+         [10:7] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_bundleIn_0_a_bits_source
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_cs_mode
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_MPORT_addr
+;
+  wire  
+         [63:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_io_deq_bits_MPORT_addr
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_MPORT_addr
+;
+  wire  
+         [63:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_io_deq_bits_MPORT_addr
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_state
+;
+  wire  
+         [11:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy__io_rx_bits_T_9
+;
+  wire  
+         [11:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last
+;
+  wire  
+         [11:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr
+;
+  wire  
+         [11:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_fmt_proto
+;
+  wire  
+         [15:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_state
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cnt
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_io_link_fmt_proto
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_21
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_20
+;
+  wire  
+         [4:0] MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_15
+;
+  wire  
+         [11:0] MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_6
+;
+  wire  
+         [11:0] MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0
+;
+  wire  
+         [23:16] MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_10
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_10
+;
+  wire  
+         [19:16] MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_9
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_4
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_3
+;
+  wire  
+         [23:16] MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_1
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_1
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_cs_mode
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_MPORT_addr
+;
+  wire  
+         [63:0] MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_io_deq_bits_MPORT_addr
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_MPORT_addr
+;
+  wire  
+         [63:0] MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr
+;
+  wire   [1:0] MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_state
+;
+  wire  
+         [11:0] MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9
+;
+  wire  
+         [11:0] MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last
+;
+  wire  
+         [11:0] MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr
+;
+  wire  
+         [11:0] MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt
+;
+  wire  
+         [1:0] MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_fmt_proto
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg
+;
+  wire   [31:0] MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg
+;
+  wire  
+         [24:12] MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82
+;
+  wire  
+         [31:23] MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg
+;
+  wire  
+         [15:0] MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369
+;
+  wire  
+         [15:0] MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358
+;
+  wire  
+         [30:0] MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329
+;
+  wire  
+         [15:0] MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318
+;
+  wire  
+         [15:0] MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298
+;
+  wire  
+         [31:25] MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_23
+;
+  wire  
+         [24:16] MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16
+;
+  wire  
+         [10:8] MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_7
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1
+;
+  wire  
+         [15:0] MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369
+;
+  wire  
+         [15:0] MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358
+;
+  wire  
+         [30:0] MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329
+;
+  wire  
+         [15:0] MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318
+;
+  wire  
+         [15:0] MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298
+;
+  wire  
+         [31:25] MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_23
+;
+  wire  
+         [24:16] MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16
+;
+  wire  
+         [10:8] MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_7
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__countReset_feed_T_1
+;
+  wire  
+         [15:0] MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369
+;
+  wire  
+         [15:0] MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358
+;
+  wire  
+         [30:0] MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329
+;
+  wire  
+         [15:0] MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318
+;
+  wire  
+         [15:0] MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298
+;
+  wire  
+         [31:25] MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23
+;
+  wire  
+         [24:16] MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_16
+;
+  wire  
+         [10:8] MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_7
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1
+;
+  wire  
+         [7:5] MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_out_f_data
+;
+  wire  
+         [7:6] MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_out_prepend_1
+;
+  wire  
+         [7:3] MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__nextCmd_T
+;
+  wire   [2:0] MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_byteState
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_transmitData
+;
+  wire  
+         [8:0] MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__receivedData_T
+;
+  wire   [2:0] MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCnt;
+  wire   [3:0] MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmd;
+  wire   [4:0] MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState;
+  wire   [15:0] MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt;
+  wire   [3:1] MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__fSDA_T;
+  wire   [3:1] MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__fSCL_T;
+  wire  
+         [15:0] MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T
+;
+  wire  
+         [13:0] MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt
+;
+  wire  
+         [7:5] MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_out_f_data
+;
+  wire  
+         [7:6] MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_out_prepend_1
+;
+  wire  
+         [7:3] MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__nextCmd_T
+;
+  wire  
+         [2:0] MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_byteState
+;
+  wire  
+         [7:0] MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_transmitData
+;
+  wire  
+         [8:0] MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__receivedData_T
+;
+  wire   [2:0] MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCnt;
+  wire   [3:0] MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmd;
+  wire  
+         [4:0] MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState
+;
+  wire   [15:0] MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt;
+  wire   [3:1] MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__fSDA_T
+;
+  wire   [3:1] MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__fSCL_T
+;
+  wire  
+         [15:0] MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T
+;
+  wire  
+         [13:0] MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt
+;
+  wire  
+         [31:0] MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data
+;
+  wire  
+         [6:0] MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_addr
+;
+  wire  
+         [4:0] MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_io_output_instruction
+;
+  wire   [31:24] MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits;
+  wire   [7:1] MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_hi_lo;
+  wire   [15:8] MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_lo;
+  wire   [7:1] MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_lo_lo;
+  wire  
+         [4:1] MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_io_update_bits
+;
+  wire  
+         [3:0] MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_currState
+;
+
+  sky130_sram_1kbyte_1rw1r_32x256_8 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tag_array_tag_array_ext_ramh ( 
+        .din0({n36852, n36852, n36852, n36852, n36852, n36852, n36852, n36852, 
+        n36852, n36852, n36852, 
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_out_bits_data}), .dout0({SYNOPSYS_UNCONNECTED_11, SYNOPSYS_UNCONNECTED_10, 
+        SYNOPSYS_UNCONNECTED_9, SYNOPSYS_UNCONNECTED_8, SYNOPSYS_UNCONNECTED_7, 
+        SYNOPSYS_UNCONNECTED_6, SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_4, 
+        SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_2, SYNOPSYS_UNCONNECTED_1, 
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3}), .addr0({n36852, n36852, n36689, n36691, n36687, n36685, n37267, n37266}), 
+        .wmask0({n37252, n36668, n37252, n36668}), .dout1({
+        SYNOPSYS_UNCONNECTED_36, SYNOPSYS_UNCONNECTED_35, 
+        SYNOPSYS_UNCONNECTED_33, SYNOPSYS_UNCONNECTED_32, 
+        SYNOPSYS_UNCONNECTED_31, SYNOPSYS_UNCONNECTED_30, 
+        SYNOPSYS_UNCONNECTED_29, SYNOPSYS_UNCONNECTED_28, 
+        SYNOPSYS_UNCONNECTED_27, SYNOPSYS_UNCONNECTED_26, 
+        SYNOPSYS_UNCONNECTED_25, SYNOPSYS_UNCONNECTED_24, 
+        SYNOPSYS_UNCONNECTED_22, SYNOPSYS_UNCONNECTED_21, 
+        SYNOPSYS_UNCONNECTED_20, SYNOPSYS_UNCONNECTED_19, 
+        SYNOPSYS_UNCONNECTED_18, SYNOPSYS_UNCONNECTED_17, 
+        SYNOPSYS_UNCONNECTED_16, SYNOPSYS_UNCONNECTED_15, 
+        SYNOPSYS_UNCONNECTED_14, SYNOPSYS_UNCONNECTED_13, 
+        SYNOPSYS_UNCONNECTED_43, SYNOPSYS_UNCONNECTED_42, 
+        SYNOPSYS_UNCONNECTED_41, SYNOPSYS_UNCONNECTED_40, 
+        SYNOPSYS_UNCONNECTED_39, SYNOPSYS_UNCONNECTED_38, 
+        SYNOPSYS_UNCONNECTED_37, SYNOPSYS_UNCONNECTED_34, 
+        SYNOPSYS_UNCONNECTED_23, SYNOPSYS_UNCONNECTED_12}), .addr1({net247089, 
+        net247089, net247089, net247089, net247089, net247089, net247089, 
+        net247089}), .csb0(n86592), .web0(n86593), .clk0(wb_clk_i), .csb1(
+        net247089), .clk1(wb_clk_i) );
+  sky130_sram_1kbyte_1rw1r_32x256_8 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tag_array_tag_array_ext_raml ( 
+        .din0({n36852, n36852, n36852, n36852, n36852, n36852, n36852, n36852, 
+        n36852, n36852, n36852, 
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_out_bits_data}), .dout0({SYNOPSYS_UNCONNECTED_54, SYNOPSYS_UNCONNECTED_53, 
+        SYNOPSYS_UNCONNECTED_52, SYNOPSYS_UNCONNECTED_51, 
+        SYNOPSYS_UNCONNECTED_50, SYNOPSYS_UNCONNECTED_49, 
+        SYNOPSYS_UNCONNECTED_48, SYNOPSYS_UNCONNECTED_47, 
+        SYNOPSYS_UNCONNECTED_46, SYNOPSYS_UNCONNECTED_45, 
+        SYNOPSYS_UNCONNECTED_44, 
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2}), .addr0({n36852, n36852, n36689, n36691, n36687, n36685, n37267, n37266}), 
+        .wmask0({n36762, n86728, n36760, n36761}), .dout1({
+        SYNOPSYS_UNCONNECTED_79, SYNOPSYS_UNCONNECTED_78, 
+        SYNOPSYS_UNCONNECTED_76, SYNOPSYS_UNCONNECTED_75, 
+        SYNOPSYS_UNCONNECTED_74, SYNOPSYS_UNCONNECTED_73, 
+        SYNOPSYS_UNCONNECTED_72, SYNOPSYS_UNCONNECTED_71, 
+        SYNOPSYS_UNCONNECTED_70, SYNOPSYS_UNCONNECTED_69, 
+        SYNOPSYS_UNCONNECTED_68, SYNOPSYS_UNCONNECTED_67, 
+        SYNOPSYS_UNCONNECTED_65, SYNOPSYS_UNCONNECTED_64, 
+        SYNOPSYS_UNCONNECTED_63, SYNOPSYS_UNCONNECTED_62, 
+        SYNOPSYS_UNCONNECTED_61, SYNOPSYS_UNCONNECTED_60, 
+        SYNOPSYS_UNCONNECTED_59, SYNOPSYS_UNCONNECTED_58, 
+        SYNOPSYS_UNCONNECTED_57, SYNOPSYS_UNCONNECTED_56, 
+        SYNOPSYS_UNCONNECTED_86, SYNOPSYS_UNCONNECTED_85, 
+        SYNOPSYS_UNCONNECTED_84, SYNOPSYS_UNCONNECTED_83, 
+        SYNOPSYS_UNCONNECTED_82, SYNOPSYS_UNCONNECTED_81, 
+        SYNOPSYS_UNCONNECTED_80, SYNOPSYS_UNCONNECTED_77, 
+        SYNOPSYS_UNCONNECTED_66, SYNOPSYS_UNCONNECTED_55}), .addr1({net247089, 
+        net247089, net247089, net247089, net247089, net247089, net247089, 
+        net247089}), .csb0(n86592), .web0(n86593), .clk0(wb_clk_i), .csb1(
+        net247089), .clk1(wb_clk_i) );
+  sky130_sram_2kbyte_1rw1r_32x512_8 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram1h ( 
+        .din0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata), .dout0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[127:96]), .addr0({n36728, n36731, n36734, n36737, n36742, n36756, n36748, n36751, 
+        n36745}), .wmask0({n36707, n36711, n36709, n36705}), .dout1({
+        SYNOPSYS_UNCONNECTED_111, SYNOPSYS_UNCONNECTED_110, 
+        SYNOPSYS_UNCONNECTED_108, SYNOPSYS_UNCONNECTED_107, 
+        SYNOPSYS_UNCONNECTED_106, SYNOPSYS_UNCONNECTED_105, 
+        SYNOPSYS_UNCONNECTED_104, SYNOPSYS_UNCONNECTED_103, 
+        SYNOPSYS_UNCONNECTED_102, SYNOPSYS_UNCONNECTED_101, 
+        SYNOPSYS_UNCONNECTED_100, SYNOPSYS_UNCONNECTED_99, 
+        SYNOPSYS_UNCONNECTED_97, SYNOPSYS_UNCONNECTED_96, 
+        SYNOPSYS_UNCONNECTED_95, SYNOPSYS_UNCONNECTED_94, 
+        SYNOPSYS_UNCONNECTED_93, SYNOPSYS_UNCONNECTED_92, 
+        SYNOPSYS_UNCONNECTED_91, SYNOPSYS_UNCONNECTED_90, 
+        SYNOPSYS_UNCONNECTED_89, SYNOPSYS_UNCONNECTED_88, 
+        SYNOPSYS_UNCONNECTED_118, SYNOPSYS_UNCONNECTED_117, 
+        SYNOPSYS_UNCONNECTED_116, SYNOPSYS_UNCONNECTED_115, 
+        SYNOPSYS_UNCONNECTED_114, SYNOPSYS_UNCONNECTED_113, 
+        SYNOPSYS_UNCONNECTED_112, SYNOPSYS_UNCONNECTED_109, 
+        SYNOPSYS_UNCONNECTED_98, SYNOPSYS_UNCONNECTED_87}), .addr1({net247089, 
+        net247089, net247089, net247089, net247089, net247089, net247089, 
+        net247089, net247089}), .csb0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_csb0[1]), .web0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_web0_0_), .clk0(wb_clk_i), .csb1(net247089), .clk1(wb_clk_i) );
+  sky130_sram_2kbyte_1rw1r_32x512_8 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram1l ( 
+        .din0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata), .dout0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[95:64]), .addr0({n36728, n36731, n36734, n36737, n36742, n36755, n36748, n36751, 
+        n36745}), .wmask0({n36703, n36697, n36699, n36701}), .dout1({
+        SYNOPSYS_UNCONNECTED_143, SYNOPSYS_UNCONNECTED_142, 
+        SYNOPSYS_UNCONNECTED_140, SYNOPSYS_UNCONNECTED_139, 
+        SYNOPSYS_UNCONNECTED_138, SYNOPSYS_UNCONNECTED_137, 
+        SYNOPSYS_UNCONNECTED_136, SYNOPSYS_UNCONNECTED_135, 
+        SYNOPSYS_UNCONNECTED_134, SYNOPSYS_UNCONNECTED_133, 
+        SYNOPSYS_UNCONNECTED_132, SYNOPSYS_UNCONNECTED_131, 
+        SYNOPSYS_UNCONNECTED_129, SYNOPSYS_UNCONNECTED_128, 
+        SYNOPSYS_UNCONNECTED_127, SYNOPSYS_UNCONNECTED_126, 
+        SYNOPSYS_UNCONNECTED_125, SYNOPSYS_UNCONNECTED_124, 
+        SYNOPSYS_UNCONNECTED_123, SYNOPSYS_UNCONNECTED_122, 
+        SYNOPSYS_UNCONNECTED_121, SYNOPSYS_UNCONNECTED_120, 
+        SYNOPSYS_UNCONNECTED_150, SYNOPSYS_UNCONNECTED_149, 
+        SYNOPSYS_UNCONNECTED_148, SYNOPSYS_UNCONNECTED_147, 
+        SYNOPSYS_UNCONNECTED_146, SYNOPSYS_UNCONNECTED_145, 
+        SYNOPSYS_UNCONNECTED_144, SYNOPSYS_UNCONNECTED_141, 
+        SYNOPSYS_UNCONNECTED_130, SYNOPSYS_UNCONNECTED_119}), .addr1({
+        net247089, net247089, net247089, net247089, net247089, net247089, 
+        net247089, net247089, net247089}), .csb0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_csb0[1]), .web0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_web0_0_), .clk0(wb_clk_i), .csb1(net247089), .clk1(wb_clk_i) );
+  sky130_sram_2kbyte_1rw1r_32x512_8 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram0h ( 
+        .din0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata), .dout0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[63:32]), .addr0({n36729, n36732, n36735, n36738, n36743, n86730, n36749, n36752, 
+        n36746}), .wmask0({n36707, n36711, n36709, n36705}), .dout1({
+        SYNOPSYS_UNCONNECTED_175, SYNOPSYS_UNCONNECTED_174, 
+        SYNOPSYS_UNCONNECTED_172, SYNOPSYS_UNCONNECTED_171, 
+        SYNOPSYS_UNCONNECTED_170, SYNOPSYS_UNCONNECTED_169, 
+        SYNOPSYS_UNCONNECTED_168, SYNOPSYS_UNCONNECTED_167, 
+        SYNOPSYS_UNCONNECTED_166, SYNOPSYS_UNCONNECTED_165, 
+        SYNOPSYS_UNCONNECTED_164, SYNOPSYS_UNCONNECTED_163, 
+        SYNOPSYS_UNCONNECTED_161, SYNOPSYS_UNCONNECTED_160, 
+        SYNOPSYS_UNCONNECTED_159, SYNOPSYS_UNCONNECTED_158, 
+        SYNOPSYS_UNCONNECTED_157, SYNOPSYS_UNCONNECTED_156, 
+        SYNOPSYS_UNCONNECTED_155, SYNOPSYS_UNCONNECTED_154, 
+        SYNOPSYS_UNCONNECTED_153, SYNOPSYS_UNCONNECTED_152, 
+        SYNOPSYS_UNCONNECTED_182, SYNOPSYS_UNCONNECTED_181, 
+        SYNOPSYS_UNCONNECTED_180, SYNOPSYS_UNCONNECTED_179, 
+        SYNOPSYS_UNCONNECTED_178, SYNOPSYS_UNCONNECTED_177, 
+        SYNOPSYS_UNCONNECTED_176, SYNOPSYS_UNCONNECTED_173, 
+        SYNOPSYS_UNCONNECTED_162, SYNOPSYS_UNCONNECTED_151}), .addr1({
+        net247089, net247089, net247089, net247089, net247089, net247089, 
+        net247089, net247089, net247089}), .csb0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_csb0[0]), .web0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_web0_0_), .clk0(wb_clk_i), .csb1(net247089), .clk1(wb_clk_i) );
+  sky130_sram_2kbyte_1rw1r_32x512_8 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram0l ( 
+        .din0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata), .dout0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[31:0]), .addr0({n36729, n36732, n36735, n36738, n36743, n36757, n36749, n36752, 
+        n36746}), .wmask0({n36703, n36697, n36699, n36701}), .dout1({
+        SYNOPSYS_UNCONNECTED_207, SYNOPSYS_UNCONNECTED_206, 
+        SYNOPSYS_UNCONNECTED_204, SYNOPSYS_UNCONNECTED_203, 
+        SYNOPSYS_UNCONNECTED_202, SYNOPSYS_UNCONNECTED_201, 
+        SYNOPSYS_UNCONNECTED_200, SYNOPSYS_UNCONNECTED_199, 
+        SYNOPSYS_UNCONNECTED_198, SYNOPSYS_UNCONNECTED_197, 
+        SYNOPSYS_UNCONNECTED_196, SYNOPSYS_UNCONNECTED_195, 
+        SYNOPSYS_UNCONNECTED_193, SYNOPSYS_UNCONNECTED_192, 
+        SYNOPSYS_UNCONNECTED_191, SYNOPSYS_UNCONNECTED_190, 
+        SYNOPSYS_UNCONNECTED_189, SYNOPSYS_UNCONNECTED_188, 
+        SYNOPSYS_UNCONNECTED_187, SYNOPSYS_UNCONNECTED_186, 
+        SYNOPSYS_UNCONNECTED_185, SYNOPSYS_UNCONNECTED_184, 
+        SYNOPSYS_UNCONNECTED_214, SYNOPSYS_UNCONNECTED_213, 
+        SYNOPSYS_UNCONNECTED_212, SYNOPSYS_UNCONNECTED_211, 
+        SYNOPSYS_UNCONNECTED_210, SYNOPSYS_UNCONNECTED_209, 
+        SYNOPSYS_UNCONNECTED_208, SYNOPSYS_UNCONNECTED_205, 
+        SYNOPSYS_UNCONNECTED_194, SYNOPSYS_UNCONNECTED_183}), .addr1({
+        net247089, net247089, net247089, net247089, net247089, net247089, 
+        net247089, net247089, net247089}), .csb0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_csb0[0]), .web0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_web0_0_), .clk0(wb_clk_i), .csb1(net247089), .clk1(wb_clk_i) );
+  sky130_sram_1kbyte_1rw1r_32x256_8 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_array_tag_array_0_ext_ramh ( 
+        .din0({n36852, n36852, n36852, n36852, n36852, n36852, n36852, n36852, 
+        n36852, n36852, n36852, n36852, n36852, 
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_array_RW0_wdata_0_18_, 
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[30:13]}), .dout0({SYNOPSYS_UNCONNECTED_227, SYNOPSYS_UNCONNECTED_226, 
+        SYNOPSYS_UNCONNECTED_225, SYNOPSYS_UNCONNECTED_224, 
+        SYNOPSYS_UNCONNECTED_223, SYNOPSYS_UNCONNECTED_222, 
+        SYNOPSYS_UNCONNECTED_221, SYNOPSYS_UNCONNECTED_220, 
+        SYNOPSYS_UNCONNECTED_219, SYNOPSYS_UNCONNECTED_218, 
+        SYNOPSYS_UNCONNECTED_217, SYNOPSYS_UNCONNECTED_216, 
+        SYNOPSYS_UNCONNECTED_215, 
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_array_RW0_rdata_1_18_, 
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_1}), .addr0({n36852, n36719, n36721, n37260, n36713, n37247, n36715, n36717}), 
+        .wmask0({n86732, n86733, n86732, n86733}), .dout1({
+        SYNOPSYS_UNCONNECTED_252, SYNOPSYS_UNCONNECTED_251, 
+        SYNOPSYS_UNCONNECTED_249, SYNOPSYS_UNCONNECTED_248, 
+        SYNOPSYS_UNCONNECTED_247, SYNOPSYS_UNCONNECTED_246, 
+        SYNOPSYS_UNCONNECTED_245, SYNOPSYS_UNCONNECTED_244, 
+        SYNOPSYS_UNCONNECTED_243, SYNOPSYS_UNCONNECTED_242, 
+        SYNOPSYS_UNCONNECTED_241, SYNOPSYS_UNCONNECTED_240, 
+        SYNOPSYS_UNCONNECTED_238, SYNOPSYS_UNCONNECTED_237, 
+        SYNOPSYS_UNCONNECTED_236, SYNOPSYS_UNCONNECTED_235, 
+        SYNOPSYS_UNCONNECTED_234, SYNOPSYS_UNCONNECTED_233, 
+        SYNOPSYS_UNCONNECTED_232, SYNOPSYS_UNCONNECTED_231, 
+        SYNOPSYS_UNCONNECTED_230, SYNOPSYS_UNCONNECTED_229, 
+        SYNOPSYS_UNCONNECTED_259, SYNOPSYS_UNCONNECTED_258, 
+        SYNOPSYS_UNCONNECTED_257, SYNOPSYS_UNCONNECTED_256, 
+        SYNOPSYS_UNCONNECTED_255, SYNOPSYS_UNCONNECTED_254, 
+        SYNOPSYS_UNCONNECTED_253, SYNOPSYS_UNCONNECTED_250, 
+        SYNOPSYS_UNCONNECTED_239, SYNOPSYS_UNCONNECTED_228}), .addr1({
+        net247089, net247089, net247089, net247089, net247089, net247089, 
+        net247089, net247089}), .csb0(n85957), .web0(n36350), .clk0(wb_clk_i), 
+        .csb1(net247089), .clk1(wb_clk_i) );
+  sky130_sram_1kbyte_1rw1r_32x256_8 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_array_tag_array_0_ext_raml ( 
+        .din0({n36852, n36852, n36852, n36852, n36852, n36852, n36852, n36852, 
+        n36852, n36852, n36852, n36852, n36852, 
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_array_RW0_wdata_0_18_, 
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[30:13]}), .dout0({SYNOPSYS_UNCONNECTED_272, SYNOPSYS_UNCONNECTED_271, 
+        SYNOPSYS_UNCONNECTED_270, SYNOPSYS_UNCONNECTED_269, 
+        SYNOPSYS_UNCONNECTED_268, SYNOPSYS_UNCONNECTED_267, 
+        SYNOPSYS_UNCONNECTED_266, SYNOPSYS_UNCONNECTED_265, 
+        SYNOPSYS_UNCONNECTED_264, SYNOPSYS_UNCONNECTED_263, 
+        SYNOPSYS_UNCONNECTED_262, SYNOPSYS_UNCONNECTED_261, 
+        SYNOPSYS_UNCONNECTED_260, 
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_array_RW0_rdata_0_18_, 
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag}), .addr0({n36852, n36719, n36721, n37260, n36713, n37247, n36715, n36717}), 
+        .wmask0({n36671, n36671, n36670, n36670}), .dout1({
+        SYNOPSYS_UNCONNECTED_297, SYNOPSYS_UNCONNECTED_296, 
+        SYNOPSYS_UNCONNECTED_294, SYNOPSYS_UNCONNECTED_293, 
+        SYNOPSYS_UNCONNECTED_292, SYNOPSYS_UNCONNECTED_291, 
+        SYNOPSYS_UNCONNECTED_290, SYNOPSYS_UNCONNECTED_289, 
+        SYNOPSYS_UNCONNECTED_288, SYNOPSYS_UNCONNECTED_287, 
+        SYNOPSYS_UNCONNECTED_286, SYNOPSYS_UNCONNECTED_285, 
+        SYNOPSYS_UNCONNECTED_283, SYNOPSYS_UNCONNECTED_282, 
+        SYNOPSYS_UNCONNECTED_281, SYNOPSYS_UNCONNECTED_280, 
+        SYNOPSYS_UNCONNECTED_279, SYNOPSYS_UNCONNECTED_278, 
+        SYNOPSYS_UNCONNECTED_277, SYNOPSYS_UNCONNECTED_276, 
+        SYNOPSYS_UNCONNECTED_275, SYNOPSYS_UNCONNECTED_274, 
+        SYNOPSYS_UNCONNECTED_304, SYNOPSYS_UNCONNECTED_303, 
+        SYNOPSYS_UNCONNECTED_302, SYNOPSYS_UNCONNECTED_301, 
+        SYNOPSYS_UNCONNECTED_300, SYNOPSYS_UNCONNECTED_299, 
+        SYNOPSYS_UNCONNECTED_298, SYNOPSYS_UNCONNECTED_295, 
+        SYNOPSYS_UNCONNECTED_284, SYNOPSYS_UNCONNECTED_273}), .addr1({
+        net247089, net247089, net247089, net247089, net247089, net247089, 
+        net247089, net247089}), .csb0(n85957), .web0(n36350), .clk0(wb_clk_i), 
+        .csb1(net247089), .clk1(wb_clk_i) );
+  sky130_sram_2kbyte_1rw1r_32x512_8 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram3h ( 
+        .din0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0), .dout0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[255:224]), .addr0({n36809, n36661, n37274, n36658, n36656, n37254, n36672, n36660, 
+        n37241}), .wmask0({n86604, n86604, n86602, n86603}), .dout1({
+        SYNOPSYS_UNCONNECTED_329, SYNOPSYS_UNCONNECTED_328, 
+        SYNOPSYS_UNCONNECTED_326, SYNOPSYS_UNCONNECTED_325, 
+        SYNOPSYS_UNCONNECTED_324, SYNOPSYS_UNCONNECTED_323, 
+        SYNOPSYS_UNCONNECTED_322, SYNOPSYS_UNCONNECTED_321, 
+        SYNOPSYS_UNCONNECTED_320, SYNOPSYS_UNCONNECTED_319, 
+        SYNOPSYS_UNCONNECTED_318, SYNOPSYS_UNCONNECTED_317, 
+        SYNOPSYS_UNCONNECTED_315, SYNOPSYS_UNCONNECTED_314, 
+        SYNOPSYS_UNCONNECTED_313, SYNOPSYS_UNCONNECTED_312, 
+        SYNOPSYS_UNCONNECTED_311, SYNOPSYS_UNCONNECTED_310, 
+        SYNOPSYS_UNCONNECTED_309, SYNOPSYS_UNCONNECTED_308, 
+        SYNOPSYS_UNCONNECTED_307, SYNOPSYS_UNCONNECTED_306, 
+        SYNOPSYS_UNCONNECTED_336, SYNOPSYS_UNCONNECTED_335, 
+        SYNOPSYS_UNCONNECTED_334, SYNOPSYS_UNCONNECTED_333, 
+        SYNOPSYS_UNCONNECTED_332, SYNOPSYS_UNCONNECTED_331, 
+        SYNOPSYS_UNCONNECTED_330, SYNOPSYS_UNCONNECTED_327, 
+        SYNOPSYS_UNCONNECTED_316, SYNOPSYS_UNCONNECTED_305}), .addr1({
+        net247089, net247089, net247089, net247089, net247089, net247089, 
+        net247089, net247089, net247089}), .csb0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_csb0[3]), .web0(n86594), .clk0(wb_clk_i), .csb1(net247089), .clk1(wb_clk_i) );
+  sky130_sram_2kbyte_1rw1r_32x512_8 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram3l ( 
+        .din0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0), .dout0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[223:192]), .addr0({n36674, n36663, n37240, n36657, n36808, n37257, n36673, n37264, 
+        n37241}), .wmask0({n86608, n86610, n86608, n86610}), .dout1({
+        SYNOPSYS_UNCONNECTED_361, SYNOPSYS_UNCONNECTED_360, 
+        SYNOPSYS_UNCONNECTED_358, SYNOPSYS_UNCONNECTED_357, 
+        SYNOPSYS_UNCONNECTED_356, SYNOPSYS_UNCONNECTED_355, 
+        SYNOPSYS_UNCONNECTED_354, SYNOPSYS_UNCONNECTED_353, 
+        SYNOPSYS_UNCONNECTED_352, SYNOPSYS_UNCONNECTED_351, 
+        SYNOPSYS_UNCONNECTED_350, SYNOPSYS_UNCONNECTED_349, 
+        SYNOPSYS_UNCONNECTED_347, SYNOPSYS_UNCONNECTED_346, 
+        SYNOPSYS_UNCONNECTED_345, SYNOPSYS_UNCONNECTED_344, 
+        SYNOPSYS_UNCONNECTED_343, SYNOPSYS_UNCONNECTED_342, 
+        SYNOPSYS_UNCONNECTED_341, SYNOPSYS_UNCONNECTED_340, 
+        SYNOPSYS_UNCONNECTED_339, SYNOPSYS_UNCONNECTED_338, 
+        SYNOPSYS_UNCONNECTED_368, SYNOPSYS_UNCONNECTED_367, 
+        SYNOPSYS_UNCONNECTED_366, SYNOPSYS_UNCONNECTED_365, 
+        SYNOPSYS_UNCONNECTED_364, SYNOPSYS_UNCONNECTED_363, 
+        SYNOPSYS_UNCONNECTED_362, SYNOPSYS_UNCONNECTED_359, 
+        SYNOPSYS_UNCONNECTED_348, SYNOPSYS_UNCONNECTED_337}), .addr1({
+        net247089, net247089, net247089, net247089, net247089, net247089, 
+        net247089, net247089, net247089}), .csb0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_csb0[3]), .web0(n86594), .clk0(wb_clk_i), .csb1(net247089), .clk1(wb_clk_i) );
+  sky130_sram_2kbyte_1rw1r_32x512_8 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram2h ( 
+        .din0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0), .dout0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[191:160]), .addr0({n36674, n36661, n37274, n36807, n36782, n37254, n36810, n37262, 
+        n37250}), .wmask0({n36679, n36695, n86605, n86603}), .dout1({
+        SYNOPSYS_UNCONNECTED_393, SYNOPSYS_UNCONNECTED_392, 
+        SYNOPSYS_UNCONNECTED_390, SYNOPSYS_UNCONNECTED_389, 
+        SYNOPSYS_UNCONNECTED_388, SYNOPSYS_UNCONNECTED_387, 
+        SYNOPSYS_UNCONNECTED_386, SYNOPSYS_UNCONNECTED_385, 
+        SYNOPSYS_UNCONNECTED_384, SYNOPSYS_UNCONNECTED_383, 
+        SYNOPSYS_UNCONNECTED_382, SYNOPSYS_UNCONNECTED_381, 
+        SYNOPSYS_UNCONNECTED_379, SYNOPSYS_UNCONNECTED_378, 
+        SYNOPSYS_UNCONNECTED_377, SYNOPSYS_UNCONNECTED_376, 
+        SYNOPSYS_UNCONNECTED_375, SYNOPSYS_UNCONNECTED_374, 
+        SYNOPSYS_UNCONNECTED_373, SYNOPSYS_UNCONNECTED_372, 
+        SYNOPSYS_UNCONNECTED_371, SYNOPSYS_UNCONNECTED_370, 
+        SYNOPSYS_UNCONNECTED_400, SYNOPSYS_UNCONNECTED_399, 
+        SYNOPSYS_UNCONNECTED_398, SYNOPSYS_UNCONNECTED_397, 
+        SYNOPSYS_UNCONNECTED_396, SYNOPSYS_UNCONNECTED_395, 
+        SYNOPSYS_UNCONNECTED_394, SYNOPSYS_UNCONNECTED_391, 
+        SYNOPSYS_UNCONNECTED_380, SYNOPSYS_UNCONNECTED_369}), .addr1({
+        net247089, net247089, net247089, net247089, net247089, net247089, 
+        net247089, net247089, net247089}), .csb0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_csb0[2]), .web0(n86594), .clk0(wb_clk_i), .csb1(net247089), .clk1(wb_clk_i) );
+  sky130_sram_2kbyte_1rw1r_32x512_8 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram2l ( 
+        .din0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0), .dout0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[159:128]), .addr0({n36786, n36662, n37239, n36783, n36656, n37257, n36672, 
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_addr[1], 
+        n36666}), .wmask0({n86731, n86606, n86608, n86609}), .dout1({
+        SYNOPSYS_UNCONNECTED_425, SYNOPSYS_UNCONNECTED_424, 
+        SYNOPSYS_UNCONNECTED_422, SYNOPSYS_UNCONNECTED_421, 
+        SYNOPSYS_UNCONNECTED_420, SYNOPSYS_UNCONNECTED_419, 
+        SYNOPSYS_UNCONNECTED_418, SYNOPSYS_UNCONNECTED_417, 
+        SYNOPSYS_UNCONNECTED_416, SYNOPSYS_UNCONNECTED_415, 
+        SYNOPSYS_UNCONNECTED_414, SYNOPSYS_UNCONNECTED_413, 
+        SYNOPSYS_UNCONNECTED_411, SYNOPSYS_UNCONNECTED_410, 
+        SYNOPSYS_UNCONNECTED_409, SYNOPSYS_UNCONNECTED_408, 
+        SYNOPSYS_UNCONNECTED_407, SYNOPSYS_UNCONNECTED_406, 
+        SYNOPSYS_UNCONNECTED_405, SYNOPSYS_UNCONNECTED_404, 
+        SYNOPSYS_UNCONNECTED_403, SYNOPSYS_UNCONNECTED_402, 
+        SYNOPSYS_UNCONNECTED_432, SYNOPSYS_UNCONNECTED_431, 
+        SYNOPSYS_UNCONNECTED_430, SYNOPSYS_UNCONNECTED_429, 
+        SYNOPSYS_UNCONNECTED_428, SYNOPSYS_UNCONNECTED_427, 
+        SYNOPSYS_UNCONNECTED_426, SYNOPSYS_UNCONNECTED_423, 
+        SYNOPSYS_UNCONNECTED_412, SYNOPSYS_UNCONNECTED_401}), .addr1({
+        net247089, net247089, net247089, net247089, net247089, net247089, 
+        net247089, net247089, net247089}), .csb0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_csb0[2]), .web0(n86594), .clk0(wb_clk_i), .csb1(net247089), .clk1(wb_clk_i) );
+  sky130_sram_2kbyte_1rw1r_32x512_8 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram1h ( 
+        .din0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0), .dout0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[127:96]), .addr0({n36675, n36663, n37239, n36658, n36808, n37255, n36673, n37244, 
+        n37242}), .wmask0({n36678, n86735, n86605, n36678}), .dout1({
+        SYNOPSYS_UNCONNECTED_457, SYNOPSYS_UNCONNECTED_456, 
+        SYNOPSYS_UNCONNECTED_454, SYNOPSYS_UNCONNECTED_453, 
+        SYNOPSYS_UNCONNECTED_452, SYNOPSYS_UNCONNECTED_451, 
+        SYNOPSYS_UNCONNECTED_450, SYNOPSYS_UNCONNECTED_449, 
+        SYNOPSYS_UNCONNECTED_448, SYNOPSYS_UNCONNECTED_447, 
+        SYNOPSYS_UNCONNECTED_446, SYNOPSYS_UNCONNECTED_445, 
+        SYNOPSYS_UNCONNECTED_443, SYNOPSYS_UNCONNECTED_442, 
+        SYNOPSYS_UNCONNECTED_441, SYNOPSYS_UNCONNECTED_440, 
+        SYNOPSYS_UNCONNECTED_439, SYNOPSYS_UNCONNECTED_438, 
+        SYNOPSYS_UNCONNECTED_437, SYNOPSYS_UNCONNECTED_436, 
+        SYNOPSYS_UNCONNECTED_435, SYNOPSYS_UNCONNECTED_434, 
+        SYNOPSYS_UNCONNECTED_464, SYNOPSYS_UNCONNECTED_463, 
+        SYNOPSYS_UNCONNECTED_462, SYNOPSYS_UNCONNECTED_461, 
+        SYNOPSYS_UNCONNECTED_460, SYNOPSYS_UNCONNECTED_459, 
+        SYNOPSYS_UNCONNECTED_458, SYNOPSYS_UNCONNECTED_455, 
+        SYNOPSYS_UNCONNECTED_444, SYNOPSYS_UNCONNECTED_433}), .addr1({
+        net247089, net247089, net247089, net247089, net247089, net247089, 
+        net247089, net247089, net247089}), .csb0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_csb0[1]), .web0(n86594), .clk0(wb_clk_i), .csb1(net247089), .clk1(wb_clk_i) );
+  sky130_sram_2kbyte_1rw1r_32x512_8 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram1l ( 
+        .din0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0), .dout0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[95:64]), .addr0({n36675, n36662, n37240, n36807, n36655, n37255, n36785, n37243, 
+        n37249}), .wmask0({n36726, n86609, n36726, n36726}), .dout1({
+        SYNOPSYS_UNCONNECTED_489, SYNOPSYS_UNCONNECTED_488, 
+        SYNOPSYS_UNCONNECTED_486, SYNOPSYS_UNCONNECTED_485, 
+        SYNOPSYS_UNCONNECTED_484, SYNOPSYS_UNCONNECTED_483, 
+        SYNOPSYS_UNCONNECTED_482, SYNOPSYS_UNCONNECTED_481, 
+        SYNOPSYS_UNCONNECTED_480, SYNOPSYS_UNCONNECTED_479, 
+        SYNOPSYS_UNCONNECTED_478, SYNOPSYS_UNCONNECTED_477, 
+        SYNOPSYS_UNCONNECTED_475, SYNOPSYS_UNCONNECTED_474, 
+        SYNOPSYS_UNCONNECTED_473, SYNOPSYS_UNCONNECTED_472, 
+        SYNOPSYS_UNCONNECTED_471, SYNOPSYS_UNCONNECTED_470, 
+        SYNOPSYS_UNCONNECTED_469, SYNOPSYS_UNCONNECTED_468, 
+        SYNOPSYS_UNCONNECTED_467, SYNOPSYS_UNCONNECTED_466, 
+        SYNOPSYS_UNCONNECTED_496, SYNOPSYS_UNCONNECTED_495, 
+        SYNOPSYS_UNCONNECTED_494, SYNOPSYS_UNCONNECTED_493, 
+        SYNOPSYS_UNCONNECTED_492, SYNOPSYS_UNCONNECTED_491, 
+        SYNOPSYS_UNCONNECTED_490, SYNOPSYS_UNCONNECTED_487, 
+        SYNOPSYS_UNCONNECTED_476, SYNOPSYS_UNCONNECTED_465}), .addr1({
+        net247089, net247089, net247089, net247089, net247089, net247089, 
+        net247089, net247089, net247089}), .csb0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_csb0[1]), .web0(n86594), .clk0(wb_clk_i), .csb1(net247089), .clk1(wb_clk_i) );
+  sky130_sram_2kbyte_1rw1r_32x512_8 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram0h ( 
+        .din0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0), .dout0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[63:32]), .addr0({n36809, n36664, n37273, n36783, n36655, n37256, n36810, n37245, 
+        n37250}), .wmask0({n36695, n36694, n86602, n36694}), .dout1({
+        SYNOPSYS_UNCONNECTED_521, SYNOPSYS_UNCONNECTED_520, 
+        SYNOPSYS_UNCONNECTED_518, SYNOPSYS_UNCONNECTED_517, 
+        SYNOPSYS_UNCONNECTED_516, SYNOPSYS_UNCONNECTED_515, 
+        SYNOPSYS_UNCONNECTED_514, SYNOPSYS_UNCONNECTED_513, 
+        SYNOPSYS_UNCONNECTED_512, SYNOPSYS_UNCONNECTED_511, 
+        SYNOPSYS_UNCONNECTED_510, SYNOPSYS_UNCONNECTED_509, 
+        SYNOPSYS_UNCONNECTED_507, SYNOPSYS_UNCONNECTED_506, 
+        SYNOPSYS_UNCONNECTED_505, SYNOPSYS_UNCONNECTED_504, 
+        SYNOPSYS_UNCONNECTED_503, SYNOPSYS_UNCONNECTED_502, 
+        SYNOPSYS_UNCONNECTED_501, SYNOPSYS_UNCONNECTED_500, 
+        SYNOPSYS_UNCONNECTED_499, SYNOPSYS_UNCONNECTED_498, 
+        SYNOPSYS_UNCONNECTED_528, SYNOPSYS_UNCONNECTED_527, 
+        SYNOPSYS_UNCONNECTED_526, SYNOPSYS_UNCONNECTED_525, 
+        SYNOPSYS_UNCONNECTED_524, SYNOPSYS_UNCONNECTED_523, 
+        SYNOPSYS_UNCONNECTED_522, SYNOPSYS_UNCONNECTED_519, 
+        SYNOPSYS_UNCONNECTED_508, SYNOPSYS_UNCONNECTED_497}), .addr1({
+        net247089, net247089, net247089, net247089, net247089, net247089, 
+        net247089, net247089, net247089}), .csb0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_csb0[0]), .web0(n86594), .clk0(wb_clk_i), .csb1(net247089), .clk1(wb_clk_i) );
+  sky130_sram_2kbyte_1rw1r_32x512_8 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram0l ( 
+        .din0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0), .dout0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[31:0]), .addr0({n36786, n36665, n37273, n36657, n36782, n37256, n36785, n37263, 
+        n37242}), .wmask0({n36726, n36726, n86609, n86731}), .dout1({
+        SYNOPSYS_UNCONNECTED_553, SYNOPSYS_UNCONNECTED_552, 
+        SYNOPSYS_UNCONNECTED_550, SYNOPSYS_UNCONNECTED_549, 
+        SYNOPSYS_UNCONNECTED_548, SYNOPSYS_UNCONNECTED_547, 
+        SYNOPSYS_UNCONNECTED_546, SYNOPSYS_UNCONNECTED_545, 
+        SYNOPSYS_UNCONNECTED_544, SYNOPSYS_UNCONNECTED_543, 
+        SYNOPSYS_UNCONNECTED_542, SYNOPSYS_UNCONNECTED_541, 
+        SYNOPSYS_UNCONNECTED_539, SYNOPSYS_UNCONNECTED_538, 
+        SYNOPSYS_UNCONNECTED_537, SYNOPSYS_UNCONNECTED_536, 
+        SYNOPSYS_UNCONNECTED_535, SYNOPSYS_UNCONNECTED_534, 
+        SYNOPSYS_UNCONNECTED_533, SYNOPSYS_UNCONNECTED_532, 
+        SYNOPSYS_UNCONNECTED_531, SYNOPSYS_UNCONNECTED_530, 
+        SYNOPSYS_UNCONNECTED_560, SYNOPSYS_UNCONNECTED_559, 
+        SYNOPSYS_UNCONNECTED_558, SYNOPSYS_UNCONNECTED_557, 
+        SYNOPSYS_UNCONNECTED_556, SYNOPSYS_UNCONNECTED_555, 
+        SYNOPSYS_UNCONNECTED_554, SYNOPSYS_UNCONNECTED_551, 
+        SYNOPSYS_UNCONNECTED_540, SYNOPSYS_UNCONNECTED_529}), .addr1({
+        net247089, net247089, net247089, net247089, net247089, net247089, 
+        net247089, net247089, net247089}), .csb0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_csb0[0]), .web0(n86594), .clk0(wb_clk_i), .csb1(net247089), .clk1(wb_clk_i) );
+  sky130_fd_sc_hd__edfxtp_1 reg_val_reg_31_ ( .D(n39), .DE(n86599), .CLK(
+        wb_clk_i), .Q(wbs_dat_o[31]) );
+  sky130_fd_sc_hd__edfxtp_1 reg_val_reg_30_ ( .D(n38), .DE(n86599), .CLK(
+        wb_clk_i), .Q(wbs_dat_o[30]) );
+  sky130_fd_sc_hd__edfxtp_1 reg_val_reg_29_ ( .D(n37), .DE(n86599), .CLK(
+        wb_clk_i), .Q(wbs_dat_o[29]) );
+  sky130_fd_sc_hd__edfxtp_1 reg_val_reg_28_ ( .D(n36), .DE(n86599), .CLK(
+        wb_clk_i), .Q(wbs_dat_o[28]) );
+  sky130_fd_sc_hd__edfxtp_1 reg_val_reg_27_ ( .D(n35), .DE(n86599), .CLK(
+        wb_clk_i), .Q(wbs_dat_o[27]) );
+  sky130_fd_sc_hd__edfxtp_1 reg_val_reg_26_ ( .D(n34), .DE(n86599), .CLK(
+        wb_clk_i), .Q(wbs_dat_o[26]) );
+  sky130_fd_sc_hd__edfxtp_1 reg_val_reg_25_ ( .D(n33), .DE(n86599), .CLK(
+        wb_clk_i), .Q(wbs_dat_o[25]) );
+  sky130_fd_sc_hd__edfxtp_1 reg_val_reg_24_ ( .D(n32), .DE(n86599), .CLK(
+        wb_clk_i), .Q(wbs_dat_o[24]) );
+  sky130_fd_sc_hd__edfxtp_1 reg_val_reg_23_ ( .D(n30), .DE(n22), .CLK(wb_clk_i), .Q(wbs_dat_o[23]) );
+  sky130_fd_sc_hd__edfxtp_1 reg_val_reg_22_ ( .D(n29), .DE(n22), .CLK(wb_clk_i), .Q(wbs_dat_o[22]) );
+  sky130_fd_sc_hd__edfxtp_1 reg_val_reg_21_ ( .D(n28), .DE(n22), .CLK(wb_clk_i), .Q(wbs_dat_o[21]) );
+  sky130_fd_sc_hd__edfxtp_1 reg_val_reg_20_ ( .D(n27), .DE(n22), .CLK(wb_clk_i), .Q(wbs_dat_o[20]) );
+  sky130_fd_sc_hd__edfxtp_1 reg_val_reg_19_ ( .D(n26), .DE(n22), .CLK(wb_clk_i), .Q(wbs_dat_o[19]) );
+  sky130_fd_sc_hd__edfxtp_1 reg_val_reg_18_ ( .D(n25), .DE(n22), .CLK(wb_clk_i), .Q(wbs_dat_o[18]) );
+  sky130_fd_sc_hd__edfxtp_1 reg_val_reg_17_ ( .D(n24), .DE(n22), .CLK(wb_clk_i), .Q(wbs_dat_o[17]) );
+  sky130_fd_sc_hd__edfxtp_1 reg_val_reg_16_ ( .D(n23), .DE(n22), .CLK(wb_clk_i), .Q(wbs_dat_o[16]) );
+  sky130_fd_sc_hd__edfxtp_1 reg_val_reg_15_ ( .D(n21), .DE(n13), .CLK(wb_clk_i), .Q(wbs_dat_o[15]) );
+  sky130_fd_sc_hd__edfxtp_1 reg_val_reg_14_ ( .D(n20), .DE(n13), .CLK(wb_clk_i), .Q(wbs_dat_o[14]) );
+  sky130_fd_sc_hd__edfxtp_1 reg_val_reg_13_ ( .D(n19), .DE(n13), .CLK(wb_clk_i), .Q(wbs_dat_o[13]) );
+  sky130_fd_sc_hd__edfxtp_1 reg_val_reg_12_ ( .D(n18), .DE(n13), .CLK(wb_clk_i), .Q(wbs_dat_o[12]) );
+  sky130_fd_sc_hd__edfxtp_1 reg_val_reg_11_ ( .D(n17), .DE(n13), .CLK(wb_clk_i), .Q(wbs_dat_o[11]) );
+  sky130_fd_sc_hd__edfxtp_1 reg_val_reg_10_ ( .D(n16), .DE(n13), .CLK(wb_clk_i), .Q(wbs_dat_o[10]) );
+  sky130_fd_sc_hd__edfxtp_1 reg_val_reg_9_ ( .D(n15), .DE(n13), .CLK(wb_clk_i), 
+        .Q(wbs_dat_o[9]) );
+  sky130_fd_sc_hd__edfxtp_1 reg_val_reg_8_ ( .D(n14), .DE(n13), .CLK(wb_clk_i), 
+        .Q(wbs_dat_o[8]) );
+  sky130_fd_sc_hd__edfxtp_1 reg_val_reg_7_ ( .D(n12), .DE(n4), .CLK(wb_clk_i), 
+        .Q(wbs_dat_o[7]) );
+  sky130_fd_sc_hd__edfxtp_1 reg_val_reg_6_ ( .D(n11), .DE(n4), .CLK(wb_clk_i), 
+        .Q(wbs_dat_o[6]) );
+  sky130_fd_sc_hd__edfxtp_1 reg_val_reg_5_ ( .D(n10), .DE(n4), .CLK(wb_clk_i), 
+        .Q(wbs_dat_o[5]) );
+  sky130_fd_sc_hd__edfxtp_1 reg_val_reg_4_ ( .D(n9), .DE(n4), .CLK(wb_clk_i), 
+        .Q(wbs_dat_o[4]) );
+  sky130_fd_sc_hd__edfxtp_1 reg_val_reg_3_ ( .D(n8), .DE(n4), .CLK(wb_clk_i), 
+        .Q(wbs_dat_o[3]) );
+  sky130_fd_sc_hd__edfxtp_1 reg_val_reg_2_ ( .D(n7), .DE(n4), .CLK(wb_clk_i), 
+        .Q(wbs_dat_o[2]) );
+  sky130_fd_sc_hd__edfxtp_1 reg_val_reg_1_ ( .D(n6), .DE(n4), .CLK(wb_clk_i), 
+        .Q(wbs_dat_o[1]) );
+  sky130_fd_sc_hd__edfxtp_1 reg_val_reg_0_ ( .D(n86122), .DE(n4), .CLK(
+        wb_clk_i), .Q(n86600) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_slowTick_value_reg_0_ ( .D(
+        MarmotCaravelChip_N8), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_slowTick_value[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_slowTick_value_reg_1_ ( .D(
+        MarmotCaravelChip_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_slowTick_value[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_clockToggleReg_reg ( .D(
+        MarmotCaravelChip_N6), .DE(n36094), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_source_valid_0_io_out_source_valid_0_output_chain_sync_2_reg ( 
+        .D(net247089), .CLK(io_in[4]), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_source_valid_0_io_out_source_valid_0_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_source_valid_0_io_out_source_valid_0_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_source_valid_0_io_out_source_valid_0_output_chain_sync_2), .CLK(io_in[4]), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_source_valid_0_io_out_source_valid_0_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_source_valid_0_io_out_source_valid_0_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_source_valid_0_io_out_source_valid_0_output_chain_sync_1), .CLK(io_in[4]), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_source_valid_0_io_out) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_source_valid_1_io_out_source_valid_0_output_chain_sync_2_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_source_valid_0_io_out), .CLK(io_in[4]), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_source_valid_1_io_out_source_valid_0_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_source_valid_1_io_out_source_valid_0_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_source_valid_1_io_out_source_valid_0_output_chain_sync_2), .CLK(io_in[4]), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_source_valid_1_io_out_source_valid_0_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_source_valid_1_io_out_source_valid_0_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_source_valid_1_io_out_source_valid_0_output_chain_sync_1), .CLK(io_in[4]), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_safe_widx_valid) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_sink_valid_0_io_out_source_valid_0_output_chain_sync_2_reg ( 
+        .D(net247089), .CLK(wb_clk_i), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_sink_valid_0_io_out_source_valid_0_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_sink_valid_0_io_out_source_valid_0_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_sink_valid_0_io_out_source_valid_0_output_chain_sync_2), .CLK(wb_clk_i), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_sink_valid_0_io_out_source_valid_0_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_sink_valid_0_io_out_source_valid_0_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_sink_valid_0_io_out_source_valid_0_output_chain_sync_1), .CLK(wb_clk_i), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_sink_valid_0_io_out) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_sink_valid_1_io_out_source_valid_0_output_chain_sync_2_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_sink_valid_0_io_out), .CLK(wb_clk_i), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_sink_valid_1_io_out_source_valid_0_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_sink_valid_1_io_out_source_valid_0_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_sink_valid_1_io_out_source_valid_0_output_chain_sync_2), .CLK(wb_clk_i), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_sink_valid_1_io_out_source_valid_0_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_sink_valid_1_io_out_source_valid_0_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_sink_valid_1_io_out_source_valid_0_output_chain_sync_1), .CLK(wb_clk_i), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_io_innerCtrl_safe_ridx_valid) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_sink_extend_io_out_source_valid_0_output_chain_sync_2_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_io_innerCtrl_safe_ridx_valid), .CLK(io_in[4]), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_sink_extend_io_out_source_valid_0_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_sink_extend_io_out_source_valid_0_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_sink_extend_io_out_source_valid_0_output_chain_sync_2), .CLK(io_in[4]), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_sink_extend_io_out_source_valid_0_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_sink_extend_io_out_source_valid_0_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_sink_extend_io_out_source_valid_0_output_chain_sync_1), .CLK(io_in[4]), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_sink_extend_io_out) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_sink_valid_io_out_source_valid_0_output_chain_sync_2_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_sink_extend_io_out), .CLK(io_in[4]), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_sink_valid_io_out_source_valid_0_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_sink_valid_io_out_source_valid_0_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_sink_valid_io_out_source_valid_0_output_chain_sync_2), .CLK(io_in[4]), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_sink_valid_io_out_source_valid_0_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_sink_valid_io_out_source_valid_0_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_sink_valid_io_out_source_valid_0_output_chain_sync_1), .CLK(io_in[4]), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_sink_ready) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_source_extend_io_out_source_valid_0_output_chain_sync_2_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_safe_widx_valid), .CLK(wb_clk_i), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_source_extend_io_out_source_valid_0_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_source_extend_io_out_source_valid_0_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_source_extend_io_out_source_valid_0_output_chain_sync_2), .CLK(wb_clk_i), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_source_extend_io_out_source_valid_0_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_source_extend_io_out_source_valid_0_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_source_extend_io_out_source_valid_0_output_chain_sync_1), .CLK(wb_clk_i), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_source_extend_io_out) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_source_valid_io_out_source_valid_0_output_chain_sync_2_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_source_extend_io_out), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_source_valid_io_out_source_valid_0_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_source_valid_io_out_source_valid_0_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_source_valid_io_out_source_valid_0_output_chain_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_source_valid_io_out_source_valid_0_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_source_valid_io_out_source_valid_0_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_source_valid_io_out_source_valid_0_output_chain_sync_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_source_ready) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_ip_0_reg ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N60), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_intsource_out_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_intsink_4_chain_output_chain_1_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_intsource_out_sync_1), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_intsink_4_chain_output_chain_1_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_intsink_4_chain_output_chain_1_sync_1_reg ( 
+        .D(MarmotCaravelChip_dut_sys_intsink_4_chain_output_chain_1_sync_2), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_intsink_4_chain_output_chain_1_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_intsink_4_chain_output_chain_1_sync_0_reg ( 
+        .D(MarmotCaravelChip_dut_sys_intsink_4_chain_output_chain_1_sync_1), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_6_sync_2_reg ( 
+        .D(la_data_input[6]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_6_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_6_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_6_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_6_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_6_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_6_sync_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_6)
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_7_sync_2_reg ( 
+        .D(la_data_input[7]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_7_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_7_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_7_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_7_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_7_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_7_sync_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_7)
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_8_sync_2_reg ( 
+        .D(la_data_input[8]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_8_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_8_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_8_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_8_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_8_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_8_sync_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_8)
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_9_sync_2_reg ( 
+        .D(la_data_input[9]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_9_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_9_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_9_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_9_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_9_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_9_sync_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_9)
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_10_sync_2_reg ( 
+        .D(la_data_input[10]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_10_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_10_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_10_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_10_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_10_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_10_sync_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_10)
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_11_sync_2_reg ( 
+        .D(la_data_input[11]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_11_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_11_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_11_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_11_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_11_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_11_sync_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_11)
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_25_sync_2_reg ( 
+        .D(la_data_input[25]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_25_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_25_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_25_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_25_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_25_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_25_sync_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg[25])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_26_sync_2_reg ( 
+        .D(la_data_input[26]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_26_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_26_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_26_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_26_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_26_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_26_sync_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg[26])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_27_sync_2_reg ( 
+        .D(la_data_input[27]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_27_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_27_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_27_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_27_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_27_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_27_sync_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg[27])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_28_sync_2_reg ( 
+        .D(la_data_input[28]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_28_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_28_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_28_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_28_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_28_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_28_sync_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg[28])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_29_sync_2_reg ( 
+        .D(la_data_input[29]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_29_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_29_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_29_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_29_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_29_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_29_sync_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg[29])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_30_sync_2_reg ( 
+        .D(la_data_input[30]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_30_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_30_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_30_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_30_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_30_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_30_sync_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg[30])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_31_sync_2_reg ( 
+        .D(la_data_input[31]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_31_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_31_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_31_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_31_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_31_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_31_sync_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg[31])
+         );
+  sky130_fd_sc_hd__dfstp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_stateMachine_currState_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_stateMachine_N34), .CLK(io_in[4]), .SET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_currState[3])
+         );
+  sky130_fd_sc_hd__dfstp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_stateMachine_currState_reg_0_ ( 
+        .D(n85831), .CLK(io_in[4]), .SET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_currState[0])
+         );
+  sky130_fd_sc_hd__dfstp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_stateMachine_currState_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_stateMachine_N33), .CLK(io_in[4]), .SET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_currState[2])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_tdoeReg_reg ( 
+        .D(n85763), .CLK(n36352), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_io_pins_jtag_TDO_o_oe) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_regs_4_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_N14), 
+        .DE(n36646), .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_io_update_bits[4]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_activeInstruction_reg_4_ ( 
+        .D(n457), .CLK(n36352), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_io_output_instruction[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_regs_3_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_N13), 
+        .DE(n36646), .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_io_update_bits[3]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_activeInstruction_reg_3_ ( 
+        .D(n456), .CLK(n36352), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_io_output_instruction[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_regs_2_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_N12), 
+        .DE(n36646), .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_io_update_bits[2]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_activeInstruction_reg_2_ ( 
+        .D(n455), .CLK(n36352), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_io_output_instruction[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_regs_1_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_N11), 
+        .DE(n36646), .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_io_update_bits[1]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_activeInstruction_reg_1_ ( 
+        .D(n454), .CLK(n36352), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_io_output_instruction[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_regs_0_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_N6), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal__GEN_2) );
+  sky130_fd_sc_hd__dfstp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_activeInstruction_reg_0_ ( 
+        .D(n453), .CLK(n36352), .SET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_io_output_instruction[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_regs_31_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N41), .DE(n86398), .CLK(
+        io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_regs_30_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N40), .DE(n86398), .CLK(
+        io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_regs_29_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N39), .DE(n86398), .CLK(
+        io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_regs_28_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N38), .DE(n86398), .CLK(
+        io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_regs_27_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N37), .DE(n86398), .CLK(
+        io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_regs_26_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N36), .DE(n86398), .CLK(
+        io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_regs_25_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N35), .DE(n86398), .CLK(
+        io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_regs_24_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N34), .DE(n86398), .CLK(
+        io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_regs_23_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N33), .DE(n86398), .CLK(
+        io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_hi_lo[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_regs_22_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N32), .DE(n86398), .CLK(
+        io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_hi_lo[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_regs_21_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N31), .DE(n86398), .CLK(
+        io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_hi_lo[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_regs_20_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N30), .DE(n86398), .CLK(
+        io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_hi_lo[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_regs_19_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N29), .DE(n86398), .CLK(
+        io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_hi_lo[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_regs_18_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N28), .DE(n86398), .CLK(
+        io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_hi_lo[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_regs_17_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N27), .DE(n86398), .CLK(
+        io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_hi_lo[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_regs_16_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N26), .DE(n86398), .CLK(
+        io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_io_update_bits_dmireset) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_regs_15_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N25), .DE(n86398), .CLK(
+        io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_lo[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_regs_14_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N24), .DE(n86398), .CLK(
+        io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_lo[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_regs_13_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N23), .DE(n86398), .CLK(
+        io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_lo[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_regs_12_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N22), .DE(n86398), .CLK(
+        io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_lo[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_31_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N41), .DE(n86400), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_31) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_30_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N40), .DE(n86400), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_30) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_29_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N39), .DE(n86400), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_29) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_28_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N38), .DE(n86400), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_28) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_27_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N37), .DE(n86400), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_27) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_26_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N36), .DE(n86400), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_26) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_25_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N35), .DE(n86400), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_25) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_24_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N34), .DE(n86400), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_24) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_23_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N33), .DE(n86400), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_23) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_22_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N32), .DE(n86400), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_22) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_21_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N31), .DE(n86400), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_21) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_20_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N30), .DE(n86400), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_20) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_19_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N29), .DE(n86400), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_19) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_18_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N28), .DE(n86400), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_18) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_17_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N27), .DE(n86400), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_17) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_16_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N26), .DE(n86400), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_16) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_15_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N25), .DE(n86400), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_15) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_14_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N24), .DE(n86400), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_14) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_13_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N23), .DE(n86400), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_13) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_12_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N22), .DE(n86400), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_12) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_11_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N21), .CLK(io_in[4]), .Q(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_11) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_10_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N20), .DE(n86400), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_10) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_9_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N19), .DE(n86400), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_9) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_8_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N18), .CLK(io_in[4]), .Q(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_8) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_7_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N17), .DE(n86400), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_7) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_6_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N16), .DE(n86400), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_6) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_5_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N15), .DE(n86400), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_5) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_4_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N14), .CLK(io_in[4]), .Q(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_4) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_3_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N13), .DE(n86400), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_3) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_2_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N9), .DE(n86400), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_1_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N5), .CLK(io_in[4]), 
+        .Q(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_0_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N4), .CLK(io_in[4]), 
+        .Q(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_io_chainOut_data)
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_dtm_downgradeOpReg_reg ( 
+        .D(n452), .CLK(io_in[4]), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_downgradeOpReg) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiReqReg_addr_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_N37), .DE(
+        MarmotCaravelChip_dut_sys_dtm_N38), .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_addr[6]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmOuter_dmOuter_DMCONTROLReg_haltreq_reg ( 
+        .D(n451), .CLK(io_in[4]), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_dmOuter_out_prepend_15_31_)
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_33_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N139), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_32_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N135), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_31_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N131), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_30_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N127), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_29_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N123), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_28_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N119), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_27_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N115), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_26_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N111), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_25_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N107), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_24_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N103), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_23_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N99), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_22_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N95), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_21_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N91), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_20_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N87), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_19_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N83), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_18_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N79), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_17_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N75), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_16_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N71), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_15_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N67), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_14_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N63), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_13_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N59), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_12_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N55), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_11_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N51), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_10_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N47), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_9_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N43), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_8_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N39), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_7_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N35), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_6_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N31), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_5_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N27), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_4_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N23), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_3_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N19), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_2_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N15), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_1_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N11), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_op_1_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiReqReg_op_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_N77), .DE(
+        MarmotCaravelChip_dut_sys_dtm_N38), .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_op[1]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_dtm_stickyNonzeroRespReg_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_N93), .CLK(io_in[4]), .RESET_B(
+        wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_io_capture_bits_dmiStatus_1_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_regs_11_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N21), .DE(n86398), .CLK(
+        io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_lo[11]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_dtm_stickyBusyReg_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_N88), .CLK(io_in[4]), .RESET_B(
+        wbs_dat_o[0]), .Q(MarmotCaravelChip_dut_sys_dtm_stickyBusyReg) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_dtm_dmiReqValidReg_reg ( 
+        .D(n450), .CLK(io_in[4]), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_dmi2tl_auto_out_a_valid) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_dtm_busyReg_reg ( .D(
+        MarmotCaravelChip_dut_sys_dtm_N81), .CLK(io_in[4]), .RESET_B(
+        wbs_dat_o[0]), .Q(MarmotCaravelChip_dut_sys_dtm_busyReg) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_regs_10_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N20), .DE(n86398), .CLK(
+        io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_lo[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_regs_9_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N19), .DE(n86398), .CLK(
+        io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_lo[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_regs_8_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N18), .DE(n86398), .CLK(
+        io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_lo[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_regs_7_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N14), .DE(n86398), .CLK(
+        io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_lo_lo[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_regs_6_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N13), .DE(n86398), .CLK(
+        io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_lo_lo[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_regs_5_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N12), .DE(n86398), .CLK(
+        io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_lo_lo[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_regs_4_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N11), .DE(n86398), .CLK(
+        io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_lo_lo[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_regs_3_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N10), .DE(n86398), .CLK(
+        io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_lo_lo[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_regs_2_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N9), .DE(n86398), .CLK(
+        io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_lo_lo[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_regs_1_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N8), .DE(n86398), .CLK(
+        io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_lo_lo[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_regs_0_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N7), .DE(n86398), .CLK(
+        io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_io_chainOut_data) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_0_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N7), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_chainOut_data) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiReqReg_op_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_N76), .DE(
+        MarmotCaravelChip_dut_sys_dtm_N38), .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_op[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_40_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N167), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_addr[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_39_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N163), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_addr[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_38_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N159), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_addr[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiReqReg_addr_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_N35), .DE(
+        MarmotCaravelChip_dut_sys_dtm_N38), .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_addr[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiReqReg_addr_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_N36), .DE(
+        MarmotCaravelChip_dut_sys_dtm_N38), .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_addr[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_37_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N155), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_addr[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiReqReg_addr_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_N34), .DE(
+        MarmotCaravelChip_dut_sys_dtm_N38), .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_addr[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_36_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N151), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_addr[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiReqReg_addr_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_N33), .DE(
+        MarmotCaravelChip_dut_sys_dtm_N38), .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_35_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N147), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_addr[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiReqReg_addr_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_N32), .DE(
+        MarmotCaravelChip_dut_sys_dtm_N38), .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_regs_34_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N143), .DE(n86399), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_addr[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiReqReg_addr_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_N31), .DE(
+        MarmotCaravelChip_dut_sys_dtm_N38), .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiReqReg_data_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_N41), .DE(
+        MarmotCaravelChip_dut_sys_dtm_N38), .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_data[0]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmOuter_dmOuter_DMCONTROLReg_dmactive_reg ( 
+        .D(n449), .CLK(io_in[4]), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_ctrl_dmactive) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmactive_synced_dmactiveSync_output_chain_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_ctrl_dmactive), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmactive_synced_dmactiveSync_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmactive_synced_dmactiveSync_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmactive_synced_dmactiveSync_output_chain_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmactive_synced_dmactiveSync_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmactive_synced_dmactiveSync_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmactive_synced_dmactiveSync_output_chain_sync_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmactive_synced_dmactiveSync_io_q) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiReqReg_data_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_N42), .DE(
+        MarmotCaravelChip_dut_sys_dtm_N38), .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_data[1]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmOuter_dmOuter_DMCONTROLReg_ndmreset_reg ( 
+        .D(n448), .CLK(io_in[4]), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_io_ctrl_ndreset) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiReqReg_data_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_N71), .DE(
+        MarmotCaravelChip_dut_sys_dtm_N38), .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_data[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_dmiReqReg_data_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_N72), .DE(
+        MarmotCaravelChip_dut_sys_dtm_N38), .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_data[31]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmOuter_dmOuter_debugIntRegs_0_reg ( 
+        .D(n447), .CLK(io_in[4]), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_dmOuter_debugIntRegs_0) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_widx_widx_bin_reg ( 
+        .D(n84260), .CLK(io_in[4]), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_widx_widx_bin) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_widx_gray_reg ( 
+        .D(n84260), .CLK(io_in[4]), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_widx) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_widx_widx_gray_output_chain_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_widx), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_widx_widx_gray_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_widx_widx_gray_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_widx_widx_gray_output_chain_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_widx_widx_gray_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_widx_widx_gray_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_widx_widx_gray_output_chain_sync_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_widx) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_valid_reg_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_io_deq_bits_deq_bits_reg_io_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_valid_reg) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_ridx_ridx_bin_reg ( 
+        .D(n84261), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_ridx_ridx_bin) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_ridx_gray_reg ( 
+        .D(n84261), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_io_innerCtrl_ridx) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_ridx_ridx_gray_output_chain_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_io_innerCtrl_ridx), .CLK(
+        io_in[4]), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_ridx_ridx_gray_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_ridx_ridx_gray_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_ridx_ridx_gray_output_chain_sync_2), .CLK(io_in[4]), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_ridx_ridx_gray_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_ridx_ridx_gray_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_ridx_ridx_gray_output_chain_sync_1), .CLK(io_in[4]), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_ridx) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_ready_reg_reg ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_N8), 
+        .CLK(io_in[4]), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_ready_reg) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmOuter_dmOuter_innerCtrlResumeReqReg_reg ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmOuter_dmOuter_N42), .CLK(
+        io_in[4]), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_dmOuter_innerCtrlResumeReqReg) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_mem_0_resumereq_reg ( 
+        .D(n85473), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source__widx_T_1), .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_mem_0_resumereq) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_mem_0_resumereq), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_io_deq_bits_deq_bits_reg_io_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_io_deq_bits_resumereq) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_debug_1_dmOuter_dmOuter_innerCtrlValidReg_reg ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmOuter_dmOuter_N41), .CLK(
+        io_in[4]), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_dmOuter_innerCtrlValidReg)
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_bypassChain_reg__reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_bypassChain_N4), .DE(n36648), 
+        .CLK(io_in[4]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_bypassChain_io_chainOut_data) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_rtc_sync_rtc_output_chain_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_rtc_sync_rtc_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_rtc_sync_rtc_output_chain_sync_1_reg ( 
+        .D(MarmotCaravelChip_dut_sys_rtc_sync_rtc_output_chain_sync_2), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_rtc_sync_rtc_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_rtc_sync_rtc_output_chain_sync_0_reg ( 
+        .D(MarmotCaravelChip_dut_sys_rtc_sync_rtc_output_chain_sync_1), .CLK(
+        wb_clk_i), .Q(MarmotCaravelChip_dut_sys_rtc_sync) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uart_0_rxd_uart_rxd_sync_output_chain_sync_0_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uart_0_rxd_uart_rxd_sync_output_chain_N0), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uart_0_rxd_uart_rxd_sync_io_q) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_next[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N23), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_next[12]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N23), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_next[11]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N23), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_next[10]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N23), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_next[9]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N23), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_next[8]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N23), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_next[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N23), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_next[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N23), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_next[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N23), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_next[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N23), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_next[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N23), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_next[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N23), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_next[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N23), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_sample_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uart_0_rxd_uart_rxd_sync_io_q), .DE(
+        n36608), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__sample_T[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_sample_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__sample_T[1]), .DE(n36608), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__sample_T[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_sample_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__sample_T[2]), .DE(n36608), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__sample_T[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_valid_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N46), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_valid) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_maybe_full_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N52), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N51), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_deq_ptr_value_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N46), 
+        .DE(n36101), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_io_deq_bits_MPORT_addr[1]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_intsource_reg__reg__reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_intsource_auto_in_0), .CLK(wb_clk_i), .RESET_B(n83351), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_2) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_2_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N299), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N298), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_maxDevs_0_reg_5_ ( 
+        .D(n85418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_610[5]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_bundleOut_0_0_REG_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_max[1]), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_bundleOut_0_0_REG[1])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_intsource_1_reg__reg__reg ( 
+        .D(n36595), .CLK(wb_clk_i), .RESET_B(n86753), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_intXbar_auto_int_out_3) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_0_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N566), .DE(n86339), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_wdata_reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[31]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[31]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_valid_reg ( 
+        .D(n86484), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_valid) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_valid_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N590), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_valid) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1[0]), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_mem_reg ( 
+        .D(n85416), .DE(n86309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_mem) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_valid_reg ( 
+        .D(n86310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_valid) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_0_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N586), .DE(n86338), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_taken_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_cmp_out), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_taken) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_isValid_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1528), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_tag_hit_0_reg ( 
+        .D(n85945), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_tag_hit_0) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_bypass_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N539), .DE(n86309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_bypass_0) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_wdata_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[24]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0_reg_17_ ( 
+        .D(n84341), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1398), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pageValid_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1496), .DE(n36556), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_435[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_27_reg_1_ ( 
+        .D(n85334), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_27[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_27_reg_0_ ( 
+        .D(n85335), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_27[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pageValid_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1497), .DE(n36556), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_435[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pageValid_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1499), .DE(n36556), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_435[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pageValid_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1494), .DE(n36556), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_435[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_27_reg_2_ ( 
+        .D(n85333), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_27[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_tlb_resp_ae_inst_reg ( 
+        .D(n36481), .DE(n86306), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_tlb_resp_ae_inst) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_state_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_N58), .DE(n36475), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_state_1) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_opcode_reg_1__2_ ( 
+        .D(n84375), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_REG_2_reg_0_ ( 
+        .D(n36589), .DE(n86445), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_param[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_param_reg_1__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_param[0]), .DE(n86415), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_param[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_param_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N499), .DE(n86334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_118[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_ack_wait_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N488), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N487), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_ack_wait) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_readys_mask_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_N52), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_N53), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar__readys_unready_T_4_2_) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore_drain_on_miss_REG_reg ( 
+        .D(n84262), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore_drain_on_miss_REG) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_0__11_ ( 
+        .D(n85550), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[971]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_0__1_ ( 
+        .D(n85626), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[961]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_lsb_0_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N545), .DE(n86309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_wdata_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[27]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_pc_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N109), .DE(n86307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[27]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3_reg_13_ ( 
+        .D(n85352), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0_reg_13_ ( 
+        .D(n84286), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1398), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2_reg_13_ ( 
+        .D(n84286), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1436), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc_reg_27_ ( 
+        .D(n85388), .DE(n86424), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_wdata_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[20]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_pc_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N102), .DE(n86307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[20]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3_reg_6_ ( 
+        .D(n85345), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0_reg_6_ ( 
+        .D(n84280), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1398), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2_reg_6_ ( 
+        .D(n84280), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1436), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_cause_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N397), .DE(n86423), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_cause[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_cause_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_cause[3]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_cause[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_cause_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N503), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_cause[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dcsr_cause_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N379), .DE(n36274), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_lo_6[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_0__7_ ( 
+        .D(n85610), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[967]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_0_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N562), .DE(n36780), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_wdata_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[29]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_wdata_reg_29_ ( 
+        .D(n86114), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_0__29_ ( 
+        .D(n85605), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[989]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_0_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N584), .DE(n86339), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_wdata_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[26]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_pc_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N108), .DE(n86307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[26]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3_reg_12_ ( 
+        .D(n85351), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0_reg_12_ ( 
+        .D(n84285), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1398), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2_reg_12_ ( 
+        .D(n84285), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1436), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc_reg_26_ ( 
+        .D(n85374), .DE(n86422), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_wdata_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[30]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_wdata_reg_30_ ( 
+        .D(n86138), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_0__30_ ( 
+        .D(n85618), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[990]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_1_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[30]), .DE(n86341), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rs2_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N489), .DE(n86347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_data_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[30]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_data_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[0]), .DE(n86335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rs2_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N483), .DE(n86347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_data_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[24]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_data_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[10]), .DE(n86335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_0__10_ ( 
+        .D(n85555), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[970]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_0_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N565), .DE(n36780), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_wdata_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[22]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_pc_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N104), .DE(n86307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[22]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3_reg_8_ ( 
+        .D(n85347), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0_reg_8_ ( 
+        .D(n84282), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1398), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2_reg_8_ ( 
+        .D(n84282), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1436), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_cause_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N395), .DE(n86424), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_cause[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_cause_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_cause[1]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_cause[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_cause_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N501), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_cause[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_tag_hit_1_reg ( 
+        .D(n85638), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_tag_hit_1) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1[1]), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc_reg_1_ ( 
+        .D(n85472), .DE(n86397), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc_reg_1_ ( 
+        .D(n85394), .DE(n86424), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_wdata_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[1]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rs2_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N484), .DE(n86347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_data_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[25]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_data_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[11]), .DE(n86335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_0__3_ ( 
+        .D(n85621), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[963]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_0_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N558), .DE(n86338), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_wdata_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[28]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_wdata_reg_28_ ( 
+        .D(n86143), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_0__28_ ( 
+        .D(n85608), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[988]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_0_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N583), .DE(n86339), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N134), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N135), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N136), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N128), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_0__22_ ( 
+        .D(n85594), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[982]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_0_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N577), .DE(n86339), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_wdata_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[23]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_pc_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N105), .DE(n86307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[23]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3_reg_9_ ( 
+        .D(n85348), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0_reg_9_ ( 
+        .D(n84283), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1398), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2_reg_9_ ( 
+        .D(n84283), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1436), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc_reg_23_ ( 
+        .D(n85371), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_wdata_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[11]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[11]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_N1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1_reg_9_ ( 
+        .D(n36491), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_flush_pipe_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N391), .DE(n86310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_flush_pipe) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_flush_pipe_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__GEN_81), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_flush_pipe) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_mem_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_mem), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_mem) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[27]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[26]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[23]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[1]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rs2_reg_0_ ( 
+        .D(n85547), .DE(n86347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rs2_reg_1_ ( 
+        .D(n85617), .DE(n86347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_data_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[1]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_data_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[12]), .DE(n86335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_0__12_ ( 
+        .D(n85561), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[972]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_0_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N567), .DE(n36780), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_wdata_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[25]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_pc_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N107), .DE(n86307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[25]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3_reg_11_ ( 
+        .D(n85350), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0_reg_11_ ( 
+        .D(n84284), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1398), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2_reg_11_ ( 
+        .D(n84284), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1436), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc_reg_25_ ( 
+        .D(n85392), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[25]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_wdata_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[5]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0_reg_0_ ( 
+        .D(n36554), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_load_use_reg ( 
+        .D(n86591), .DE(n86310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_load_use) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_replay_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N442), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_replay) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_valid_reg ( 
+        .D(n85483), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_valid) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_xcpt_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N441), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_xcpt) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_wdata_reg_31_ ( 
+        .D(n86111), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_0__31_ ( 
+        .D(n85625), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[991]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_1_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[31]), .DE(n36804), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rs2_reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N490), .DE(n86347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_data_reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[31]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_1__31_ ( 
+        .D(n84394), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_data_reg_31_ ( 
+        .D(n84741), .DE(n86577), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_31[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_1__31_ ( 
+        .D(n84372), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_63_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N121), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_1__31_ ( 
+        .D(n84509), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_1__31_ ( 
+        .D(n84553), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1_reg_10_ ( 
+        .D(n36521), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_inst_reg_26_ ( 
+        .D(n59183), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_inst_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[6]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b10_5[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_wdata_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[6]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[6]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_pc_reg_6_ ( 
+        .D(n85773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1_reg_11_ ( 
+        .D(n36520), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_mem_cmd_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_ctrl_decoder_15[2]), .DE(n86310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_cmd[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_maybe_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_N25), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_maybe_full) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_probe_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N470), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_probe) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N467), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount[6]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N463), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_0__0_ ( 
+        .D(n85627), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[960]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_lsb_0_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N544), .DE(n86309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_wdata_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[0]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_wdata_reg_0_ ( 
+        .D(n86148), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_small__reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1179), .DE(n86301), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_small__reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1184), .DE(n86301), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_0__5_ ( 
+        .D(n85559), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[965]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_0_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N560), .DE(n86338), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N111), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N112), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_0__6_ ( 
+        .D(n85560), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[966]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_1_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[6]), .DE(n36804), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rs2_reg_6_ ( 
+        .D(n85612), .DE(n86347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rs2_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N481), .DE(n86347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_data_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[22]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_1__22_ ( 
+        .D(n84388), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_data_reg_22_ ( 
+        .D(n84750), .DE(n86577), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_22[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_1__22_ ( 
+        .D(n84364), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_54_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N112), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_1__22_ ( 
+        .D(n84501), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_1__22_ ( 
+        .D(n84546), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1_reg_12_ ( 
+        .D(n36519), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_div_reg ( 
+        .D(n85537), .DE(n86310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_div) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_div_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_div), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_div) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_alu_fn_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N359), .DE(n86309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_isHi_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_cmdHi), .DE(n86393), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_isHi) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_neg_out_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N61), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N60), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_neg_out) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_state_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N42), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_state[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_0__27_ ( 
+        .D(n85609), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[987]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_0_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N582), .DE(n36780), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N133), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N125), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_0__19_ ( 
+        .D(n85556), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[979]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_0_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N574), .DE(n36780), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_wdata_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[21]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_pc_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N103), .DE(n86307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[21]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3_reg_7_ ( 
+        .D(n85346), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0_reg_7_ ( 
+        .D(n84281), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1398), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2_reg_7_ ( 
+        .D(n84281), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1436), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc_reg_21_ ( 
+        .D(n85396), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[21]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_wdata_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[7]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0_reg_13_ ( 
+        .D(n36550), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_mem_cmd_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N1130), .DE(n86310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_cmd[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_store_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N453), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_store) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_cause_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N502), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_cause[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_scause_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1081), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_scause[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_0__2_ ( 
+        .D(n85620), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[962]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_0_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N557), .DE(n86338), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_wdata_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[2]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rs2_reg_2_ ( 
+        .D(n85616), .DE(n86347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rs2_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N485), .DE(n86347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_data_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[26]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_1__26_ ( 
+        .D(n84390), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_data_reg_26_ ( 
+        .D(n84746), .DE(n86577), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_26[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_1__26_ ( 
+        .D(n84367), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_58_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N116), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_1__26_ ( 
+        .D(n84505), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_1__26_ ( 
+        .D(n84549), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1_reg_14_ ( 
+        .D(n36517), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_bypass_1_reg ( 
+        .D(n85486), .DE(n86309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_bypass_1) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_lsb_1_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N551), .DE(n86309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rs2_reg_4_ ( 
+        .D(n85614), .DE(n86347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rs2_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N487), .DE(n86347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_data_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[28]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_1__28_ ( 
+        .D(n84392), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_data_reg_28_ ( 
+        .D(n84744), .DE(n86577), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_28[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_1__28_ ( 
+        .D(n84369), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_60_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N118), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_1__28_ ( 
+        .D(n84507), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_1__28_ ( 
+        .D(n84551), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0_reg_15_ ( 
+        .D(n36548), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_wxd_reg ( 
+        .D(n84263), .DE(n86310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_wxd) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_wxd_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_wxd), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_wxd) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_0_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N581), .DE(n36780), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N132), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N124), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_0__18_ ( 
+        .D(n85539), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[978]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_0_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N573), .DE(n86339), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_wdata_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[18]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_pc_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N100), .DE(n86307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[18]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3_reg_4_ ( 
+        .D(n84290), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0_reg_4_ ( 
+        .D(n84279), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1398), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2_reg_4_ ( 
+        .D(n84279), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1436), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc_reg_18_ ( 
+        .D(n85373), .DE(n86424), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[18]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_pc_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[18]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[18]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N435), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_address_reg_18_ ( 
+        .D(n85748), .DE(n86421), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[18]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_valid_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N495), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_valid) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_size_reg_2_ ( 
+        .D(n85883), .DE(n86334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_size_reg_1_ ( 
+        .D(n85883), .DE(n86334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_param_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N500), .DE(n86334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_118[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_probe_way_reg_1_ ( 
+        .D(n84437), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_probe), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_probe_way[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_data_reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[31]), .DE(n86335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_0__25_ ( 
+        .D(n85602), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[985]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_0_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N580), .DE(n36780), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N131), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N123), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_0__17_ ( 
+        .D(n85558), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[977]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_0_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N572), .DE(n86337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_wdata_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[17]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_pc_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N99), .DE(n86307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[17]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3_reg_3_ ( 
+        .D(n84289), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0_reg_3_ ( 
+        .D(n84278), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1398), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2_reg_3_ ( 
+        .D(n84278), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1436), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc_reg_17_ ( 
+        .D(n85376), .DE(n86424), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[17]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_pc_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[17]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[17]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N434), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[17]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mstatus_mprv_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_mprv) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_prv_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_dprv_1_), .DE(n86395), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_prv_1_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_tlb_xcpt_ae_ld_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_ae_ld_array_6_), .DE(n86584), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_tlb_xcpt_ae_ld) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dcsr_cause_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N380), .DE(n36274), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_lo_6[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_0__8_ ( 
+        .D(n85542), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[968]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_0_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N563), .DE(n86337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_wdata_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[8]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0_reg_16_ ( 
+        .D(n36547), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc_reg_17_ ( 
+        .D(n84264), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc_reg_18_ ( 
+        .D(n84265), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc_reg_20_ ( 
+        .D(n84266), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc_reg_21_ ( 
+        .D(n84267), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc_reg_22_ ( 
+        .D(n84268), .DE(n86397), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc_reg_23_ ( 
+        .D(n84269), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc_reg_25_ ( 
+        .D(n84270), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc_reg_26_ ( 
+        .D(n84271), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc_reg_27_ ( 
+        .D(n84272), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_nBufValid_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_N50), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_N72), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__GEN_59_0_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_mem_cmd_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_ctrl_decoder_15[0]), .DE(n86310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_cmd[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_mem_size_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N430), .DE(n86309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rs2_reg_15_ ( 
+        .D(n85549), .DE(n86347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_data_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[15]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_1__15_ ( 
+        .D(n84381), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_data_reg_15_ ( 
+        .D(n84757), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_15[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_1__15_ ( 
+        .D(n84357), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_15_ ( 
+        .D(n85666), .DE(MarmotCaravelChip_dut_sys_clint_N155), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__1[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_1__15_ ( 
+        .D(n84498), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_1__15_ ( 
+        .D(n84539), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_data_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[15]), .DE(n86335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_wdata_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[15]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_pc_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N97), .DE(n86307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[15]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3_reg_1_ ( 
+        .D(n84287), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0_reg_1_ ( 
+        .D(n84276), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1398), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2_reg_1_ ( 
+        .D(n84276), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1436), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc_reg_15_ ( 
+        .D(n84273), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc_reg_15_ ( 
+        .D(n85368), .DE(n86424), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[15]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_pc_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[15]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[15]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N432), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_cfg_l_reg ( 
+        .D(n85170), .DE(n36560), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_cfg_l) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_cfg_a_reg_0_ ( 
+        .D(n85171), .DE(n36560), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_cfg_a[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_addr_reg_0_ ( 
+        .D(n85630), .DE(n85956), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_addr_reg_15_ ( 
+        .D(n85747), .DE(n85956), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_addr_reg_17_ ( 
+        .D(n85742), .DE(n85956), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_addr_reg_18_ ( 
+        .D(n85748), .DE(n85956), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_addr_reg_27_ ( 
+        .D(n85632), .DE(n85956), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_tlb_xcpt_ae_st_reg ( 
+        .D(n86466), .DE(n86584), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_tlb_xcpt_ae_st) );
+  sky130_fd_sc_hd__dfxtp_4 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_pc_reg_4_ ( 
+        .D(n85770), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_bridx_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bridx), .DE(n86306), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_bridx) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__replay_reg ( 
+        .D(n84274), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__replay) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_replay_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N426), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_replay) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc_reg_20_ ( 
+        .D(n85380), .DE(n86424), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[20]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc_reg_22_ ( 
+        .D(n85393), .DE(n86424), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[22]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_inst_reg_22_ ( 
+        .D(n85530), .DE(n86424), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_inst_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[2]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_br_target_T_5[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_inst_reg_23_ ( 
+        .D(n84275), .DE(n86424), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_inst_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[3]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_br_target_T_5[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_inst_reg_24_ ( 
+        .D(n85400), .DE(n86424), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_inst_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[4]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_br_target_T_5[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_inst_reg_25_ ( 
+        .D(n85401), .DE(n86424), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_inst_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[5]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b10_5[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_inst_reg_27_ ( 
+        .D(n85545), .DE(n86424), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_inst_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[7]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b10_5[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_inst_reg_28_ ( 
+        .D(n85544), .DE(n86424), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_inst_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[8]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b10_5[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_inst_reg_31_ ( 
+        .D(n85415), .DE(n86424), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_inst_31_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_inst_reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_inst_31_), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_hi_hi_lo_10_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_inst_reg_7_ ( 
+        .D(n85405), .DE(n86424), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_inst_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[1]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_br_target_T_3_11_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_lsb_1_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N550), .DE(n86309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rs2_reg_3_ ( 
+        .D(n85615), .DE(n86347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rs2_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N486), .DE(n86347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_data_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[27]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_1__27_ ( 
+        .D(n84391), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_data_reg_27_ ( 
+        .D(n84745), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_27[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_1__27_ ( 
+        .D(n84368), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_59_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N117), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_1__27_ ( 
+        .D(n84506), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_1__27_ ( 
+        .D(n84550), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_data_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[27]), .DE(n86335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_1__27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[27]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_7__3_ ( 
+        .D(n84401), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_data_reg_3_ ( 
+        .D(n36422), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_wdata[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_buffer_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N241), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy__io_rx_bits_T_9[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_0_reg_4_ ( 
+        .D(n85275), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N52), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_0[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_1__4_ ( 
+        .D(n84532), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_data_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[4]), .DE(n86335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_0__4_ ( 
+        .D(n85622), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[964]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_0_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N559), .DE(n86337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_wdata_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[4]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_wdata_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_int_wdata[4]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_addr_reg_4_ ( 
+        .D(n85751), .DE(n85956), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_small_1_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1446), .DE(n36580), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_57_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1567), .DE(n36581), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[63]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_cfg_l_reg ( 
+        .D(n85533), .DE(n36562), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_l) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_cfg_a_reg_0_ ( 
+        .D(n85629), .DE(n36562), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_a[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_addr_reg_0_ ( 
+        .D(n85630), .DE(n85918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_addr_reg_4_ ( 
+        .D(n85751), .DE(n85918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_addr_reg_15_ ( 
+        .D(n85747), .DE(n85918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_addr_reg_17_ ( 
+        .D(n85742), .DE(n85918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_addr_reg_18_ ( 
+        .D(n85748), .DE(n85918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_addr_reg_27_ ( 
+        .D(n85632), .DE(n85918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_cfg_a_reg_0_ ( 
+        .D(n85629), .DE(n36574), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_cfg_a[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_addr_reg_0_ ( 
+        .D(n85630), .DE(n86490), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_addr_reg_4_ ( 
+        .D(n85751), .DE(n86390), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_addr_reg_15_ ( 
+        .D(n85747), .DE(n86490), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_addr_reg_17_ ( 
+        .D(n85742), .DE(n86390), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_addr_reg_18_ ( 
+        .D(n85748), .DE(n86390), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_addr_reg_24_ ( 
+        .D(n85641), .DE(n86390), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_0__24_ ( 
+        .D(n85553), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[984]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_0_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N579), .DE(n36780), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N130), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N122), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_0__16_ ( 
+        .D(n85590), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[976]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_0_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N571), .DE(n86337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_wdata_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[16]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_pc_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N98), .DE(n86307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[16]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3_reg_2_ ( 
+        .D(n84288), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0_reg_2_ ( 
+        .D(n84277), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1398), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2_reg_2_ ( 
+        .D(n84277), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1436), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4_reg_1_ ( 
+        .D(n84276), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1474), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4_reg_2_ ( 
+        .D(n84277), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1474), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4_reg_3_ ( 
+        .D(n84278), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1474), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4_reg_4_ ( 
+        .D(n84279), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1474), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4_reg_6_ ( 
+        .D(n84280), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1474), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4_reg_7_ ( 
+        .D(n84281), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1474), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4_reg_8_ ( 
+        .D(n84282), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1474), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4_reg_9_ ( 
+        .D(n84283), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1474), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4_reg_11_ ( 
+        .D(n84284), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1474), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4_reg_12_ ( 
+        .D(n84285), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1474), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4_reg_13_ ( 
+        .D(n84286), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1474), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_nextPageRepl_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1595), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1594), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_nextPageRepl[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_nextPageRepl_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1596), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1594), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_nextPageRepl[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_nextPageRepl_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1597), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1594), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_nextPageRepl[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1_reg_1_ ( 
+        .D(n84287), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1_reg_2_ ( 
+        .D(n84288), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1_reg_3_ ( 
+        .D(n84289), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1_reg_4_ ( 
+        .D(n84290), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1_reg_6_ ( 
+        .D(n85345), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1_reg_7_ ( 
+        .D(n85346), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1_reg_8_ ( 
+        .D(n85347), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1_reg_9_ ( 
+        .D(n85348), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1_reg_11_ ( 
+        .D(n85350), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1_reg_12_ ( 
+        .D(n85351), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1_reg_13_ ( 
+        .D(n85352), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5_reg_1_ ( 
+        .D(n84287), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1493), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5_reg_2_ ( 
+        .D(n84288), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1493), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5_reg_3_ ( 
+        .D(n84289), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1493), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5_reg_4_ ( 
+        .D(n84290), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1493), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5_reg_5_ ( 
+        .D(n84291), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1493), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_pc_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N101), .DE(n86307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[19]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1_reg_5_ ( 
+        .D(n84291), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3_reg_5_ ( 
+        .D(n84291), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0_reg_5_ ( 
+        .D(n84292), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1398), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2_reg_5_ ( 
+        .D(n84292), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1436), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4_reg_5_ ( 
+        .D(n84292), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1474), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc_reg_19_ ( 
+        .D(n84293), .DE(n86397), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc_reg_19_ ( 
+        .D(n85375), .DE(n86423), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[19]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_pc_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[19]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[19]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N436), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_addr_reg_19_ ( 
+        .D(n85552), .DE(n86390), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_addr_reg_19_ ( 
+        .D(n85552), .DE(n85956), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_addr_reg_19_ ( 
+        .D(n85552), .DE(n85918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_cfg_a_reg_0_ ( 
+        .D(n85168), .DE(n36561), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_a[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_addr_reg_0_ ( 
+        .D(n85630), .DE(n85951), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_addr_reg_4_ ( 
+        .D(n85751), .DE(n85951), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_addr_reg_15_ ( 
+        .D(n85747), .DE(n85951), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_addr_reg_17_ ( 
+        .D(n85742), .DE(n85951), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_addr_reg_18_ ( 
+        .D(n85748), .DE(n85951), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_addr_reg_19_ ( 
+        .D(n85552), .DE(n85951), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_addr_reg_23_ ( 
+        .D(n85596), .DE(n85951), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_0__23_ ( 
+        .D(n85595), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[983]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_0_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N578), .DE(n86337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N129), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N121), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N113), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N114), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N115), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_0__9_ ( 
+        .D(n85592), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[969]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_0_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N564), .DE(n86339), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_wdata_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[9]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_wdata_reg_9_ ( 
+        .D(n86134), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rs2_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N468), .DE(n86347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_data_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[9]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_1__9_ ( 
+        .D(n84378), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_data_reg_9_ ( 
+        .D(n84760), .DE(n86577), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_9[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_1__9_ ( 
+        .D(n84351), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_9_ ( 
+        .D(n85587), .DE(MarmotCaravelChip_dut_sys_clint_N155), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__1[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_1__9_ ( 
+        .D(n84493), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_1__9_ ( 
+        .D(n84537), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_data_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[9]), .DE(n86335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_1__9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[9]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_7__1_ ( 
+        .D(n84399), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_data_reg_1_ ( 
+        .D(n36420), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_wdata[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_buffer_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N243), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy__io_rx_bits_T_9[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_0_reg_6_ ( 
+        .D(n85328), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N52), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_0[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_1__6_ ( 
+        .D(n84534), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_data_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[6]), .DE(n86335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_1__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[6]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_7__6_ ( 
+        .D(n84404), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_data_reg_6_ ( 
+        .D(n36425), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_wdata[6]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_buffer_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N238), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy__io_rx_bits_T_9[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_2_reg_1_ ( 
+        .D(n85343), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N58), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_2[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_1__17_ ( 
+        .D(n84541), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_data_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[17]), .DE(n86335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rs2_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N476), .DE(n86347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_data_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[17]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_1__17_ ( 
+        .D(n84383), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_data_reg_17_ ( 
+        .D(n84755), .DE(n86577), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_17[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_1__17_ ( 
+        .D(n84359), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_data_reg_0__17_ ( 
+        .D(n85870), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_6_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[17]), 
+        .DE(n86558), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[48]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_bundleOut_1_0_REG_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_1_io_max[1]), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_bundleOut_1_0_REG[1])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_intsource_2_reg__reg__reg ( 
+        .D(n36594), .CLK(wb_clk_i), .RESET_B(n36801), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_intXbar_auto_int_out_4) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_addr_reg_9_ ( 
+        .D(n85877), .DE(n86390), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_addr_reg_9_ ( 
+        .D(n85877), .DE(n85956), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_addr_reg_9_ ( 
+        .D(n85877), .DE(n85951), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_addr_reg_9_ ( 
+        .D(n85877), .DE(n85918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N724), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N747), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_cause_reg_31_ ( 
+        .D(n84294), .DE(n86423), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_cause[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_cause_reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_cause[31]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_cause[31]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_xcpt_interrupt_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N385), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_xcpt_interrupt) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_xcpt_interrupt_reg ( 
+        .D(n86096), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_xcpt_interrupt) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_ctrl_mem_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_mem), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_ctrl_mem) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_ctrl_div_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_div), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_ctrl_div) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_ctrl_wxd_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_wxd), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_ctrl_wxd) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_pc_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[27]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_pc_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[26]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_pc_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[25]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_pc_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[23]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_pc_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[22]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_pc_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[21]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_pc_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[20]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_pc_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[1]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_inst_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_br_target_T_3_11_), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_waddr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_inst_reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_hi_hi_lo_10_), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_inst_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b10_5[3]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_inst_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b10_5[2]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_inst_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b10_5[1]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_inst_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b10_5[0]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_inst_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_br_target_T_5[4]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_inst_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_br_target_T_5[3]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_inst_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_br_target_T_5[2]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_wdata_reg_27_ ( 
+        .D(n86113), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_wdata_reg_26_ ( 
+        .D(n86142), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_wdata_reg_25_ ( 
+        .D(n86112), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_wdata_reg_24_ ( 
+        .D(n86141), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_wdata_reg_23_ ( 
+        .D(n86110), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_wdata_reg_22_ ( 
+        .D(n86140), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_wdata_reg_21_ ( 
+        .D(n86147), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_wdata_reg_20_ ( 
+        .D(n86139), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_wdata_reg_18_ ( 
+        .D(n86137), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_wdata_reg_17_ ( 
+        .D(n86146), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_wdata_reg_16_ ( 
+        .D(n86130), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_wdata_reg_15_ ( 
+        .D(n86145), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_wdata_reg_11_ ( 
+        .D(n86133), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_wdata_reg_8_ ( 
+        .D(n86131), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_wdata_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_int_wdata[7]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_wdata_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_int_wdata[6]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_wdata_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_int_wdata[5]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_wdata_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_int_wdata[2]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_wdata_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_int_wdata[1]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_cause_reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N531), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_cause[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_cause_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N394), .DE(n86423), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_cause[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_cause_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_cause[0]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_cause[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_cause_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N500), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_cause[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_0__21_ ( 
+        .D(n85551), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[981]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_0_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N576), .DE(n86338), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N127), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N119), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_0__13_ ( 
+        .D(n85601), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[973]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_0_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N568), .DE(n86337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_wdata_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[13]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_wdata_reg_13_ ( 
+        .D(n86135), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[13]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_isValid_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1524), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_respPipe_bits_entry_reg_4_ ( 
+        .D(n84320), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_respPipe_bits_entry[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_state_reg_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1615), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1726), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_state_reg_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1626), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1722), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[9]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_isValid_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1520), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_taken_reg ( 
+        .D(n85331), .DE(n86306), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_taken) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_pc_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N96), .DE(n86307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[14]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1_reg_0_ ( 
+        .D(n84295), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3_reg_0_ ( 
+        .D(n84295), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5_reg_0_ ( 
+        .D(n84295), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1493), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0_reg_0_ ( 
+        .D(n84296), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1398), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2_reg_0_ ( 
+        .D(n84296), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1436), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4_reg_0_ ( 
+        .D(n84296), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1474), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc_reg_14_ ( 
+        .D(n84297), .DE(n86397), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc_reg_14_ ( 
+        .D(n85395), .DE(n86423), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[14]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_pc_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[14]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_wdata_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[14]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_wdata_reg_14_ ( 
+        .D(n86136), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_0__14_ ( 
+        .D(n85557), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[974]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_1_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[14]), .DE(n36804), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rs2_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N473), .DE(n86347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_data_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[14]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_1__14_ ( 
+        .D(n84380), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_data_reg_14_ ( 
+        .D(n84758), .DE(n86577), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_14[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_1__14_ ( 
+        .D(n84356), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_data_reg_0__14_ ( 
+        .D(n85584), .DE(n86412), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_5_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[14]), 
+        .DE(n86568), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[45]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_maxDevs_1_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_1_io_dev[2]), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1327[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_0_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N285), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N284), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_maxDevs_0_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_dev[0]), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_610[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_1_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N292), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N291), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_maxDevs_1_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_1_io_dev[4]), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1327[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_3_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N305), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N304), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_maxDevs_0_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_dev[4]), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_610[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_1__4_ ( 
+        .D(n84488), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_data_reg_4_ ( 
+        .D(n84298), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_4[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_1__4_ ( 
+        .D(n84347), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_data_reg_0__4_ ( 
+        .D(n85579), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[4]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_4_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_4_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_4_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_4_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N311), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N310), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[4]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_maxDevs_1_reg_1_ ( 
+        .D(n86097), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1327[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_10_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N347), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N346), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[10]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_maxDevs_0_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_dev[1]), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_610[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_1__1_ ( 
+        .D(n84485), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_data_reg_1_ ( 
+        .D(n85342), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_1[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_1__1_ ( 
+        .D(n84345), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_data_reg_0__1_ ( 
+        .D(n85583), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_8_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_8_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_8_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_8_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N335), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N334), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[8])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_maxDevs_1_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_1_io_dev[0]), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1327[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_1__0_ ( 
+        .D(n84510), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_data_reg_0_ ( 
+        .D(n85323), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_0[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_1__0_ ( 
+        .D(n84373), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_ipi_0_reg ( .D(
+        MarmotCaravelChip_dut_sys_clint_N127), .DE(n36260), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_auto_int_out_0) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_intsource_reg__reg__reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_auto_int_out_0), .CLK(wb_clk_i), 
+        .RESET_B(n49230), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_intXbar_auto_int_out_1) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_addr_reg_3_ ( 
+        .D(n85823), .DE(n86490), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_addr_reg_3_ ( 
+        .D(n85823), .DE(n85956), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_addr_reg_3_ ( 
+        .D(n85823), .DE(n85951), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_addr_reg_3_ ( 
+        .D(n85823), .DE(n85918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_cfg_a_reg_0_ ( 
+        .D(n85167), .DE(n36559), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_a[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_addr_reg_0_ ( 
+        .D(n85630), .DE(n86491), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_addr_reg_3_ ( 
+        .D(n85823), .DE(n86391), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_addr_reg_4_ ( 
+        .D(n85751), .DE(n86391), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_addr_reg_9_ ( 
+        .D(n85877), .DE(n86391), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_addr_reg_15_ ( 
+        .D(n85747), .DE(n86491), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_addr_reg_17_ ( 
+        .D(n85742), .DE(n86491), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_addr_reg_18_ ( 
+        .D(n85748), .DE(n86391), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_addr_reg_19_ ( 
+        .D(n85552), .DE(n86391), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_addr_reg_20_ ( 
+        .D(n85749), .DE(n86391), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_0__20_ ( 
+        .D(n85600), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[980]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_1_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[20]), .DE(n36804), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rs2_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N479), .DE(n86347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_data_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[20]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_1__20_ ( 
+        .D(n84386), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_data_reg_20_ ( 
+        .D(n84752), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_20[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_1__20_ ( 
+        .D(n84362), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_52_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N110), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_1__20_ ( 
+        .D(n84499), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_1__20_ ( 
+        .D(n84544), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_data_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[20]), .DE(n86335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_1__20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[20]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_7__4_ ( 
+        .D(n84402), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_data_reg_4_ ( 
+        .D(n36423), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_wdata[4]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_buffer_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N240), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy__io_rx_bits_T_9[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_2_reg_3_ ( 
+        .D(n85320), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N58), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_2[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_1__19_ ( 
+        .D(n84543), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_data_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[19]), .DE(n86335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rs2_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N478), .DE(n86347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_data_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[19]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_1__19_ ( 
+        .D(n84385), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_data_reg_19_ ( 
+        .D(n84753), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_19[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_1__19_ ( 
+        .D(n84361), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_data_reg_0__19_ ( 
+        .D(n85872), .DE(n86412), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_6_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[19]), 
+        .DE(n86558), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[50]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_maxDevs_1_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_1_io_dev[3]), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1327[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_15_reg ( 
+        .D(n86043), .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N376), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_maxDevs_0_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_dev[2]), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_610[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_1__2_ ( 
+        .D(n84486), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_1__2_ ( 
+        .D(n84531), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_data_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[2]), .DE(n86335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_1__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[2]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_7__2_ ( 
+        .D(n84400), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_data_reg_2_ ( 
+        .D(n36421), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_wdata[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_buffer_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N242), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy__io_rx_bits_T_9[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_0_reg_5_ ( 
+        .D(n85222), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N52), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_0[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_1__5_ ( 
+        .D(n84533), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_data_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[5]), .DE(n86335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rs2_reg_5_ ( 
+        .D(n85613), .DE(n86347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rs2_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N488), .DE(n86347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_data_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[29]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_1__29_ ( 
+        .D(n84393), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_data_reg_29_ ( 
+        .D(n84743), .DE(n86577), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_29[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_1__29_ ( 
+        .D(n84370), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_61_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N119), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_1__29_ ( 
+        .D(n37114), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_1__29_ ( 
+        .D(n84552), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_data_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[29]), .DE(n86335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_1__29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[29]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_7__5_ ( 
+        .D(n84403), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_data_reg_5_ ( 
+        .D(n36424), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_wdata[5]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_buffer_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N239), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy__io_rx_bits_T_9[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_2_reg_2_ ( 
+        .D(n85315), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N58), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_2[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_1__18_ ( 
+        .D(n84542), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_data_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[18]), .DE(n86335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rs2_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N477), .DE(n86347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_data_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[18]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_1__18_ ( 
+        .D(n84384), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_data_reg_18_ ( 
+        .D(n84754), .DE(n86577), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_18[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_1__18_ ( 
+        .D(n84360), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_data_reg_0__18_ ( 
+        .D(n85871), .DE(n86412), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_6_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[18]), 
+        .DE(n86553), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[49]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_maxDevs_0_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_dev[3]), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_610[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_1__3_ ( 
+        .D(n84487), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_data_reg_3_ ( 
+        .D(n85321), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_3[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_1__3_ ( 
+        .D(n84346), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_data_reg_0__3_ ( 
+        .D(n85569), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_5_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_5_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_5_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_5_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N317), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N316), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_1__6_ ( 
+        .D(n84490), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_data_reg_6_ ( 
+        .D(n84299), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_6[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_1__6_ ( 
+        .D(n84349), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_0__6_ ( 
+        .D(n85575), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_1__6_ ( 
+        .D(n84878), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[6]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_large__reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N39), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_oneShot_reg ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N37), 
+        .DE(n36216), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_7_13) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_small__reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[4])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_ip_1_reg ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N67), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_0__29_ ( 
+        .D(n84429), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[61]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_d_0_data_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_auto_in_d_bits_data[29]), .DE(n86567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_29[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_1__29_ ( 
+        .D(n84899), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[29]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg_reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N431), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[29])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_reg__reg__reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_29), .CLK(wb_clk_i), .RESET_B(n83116), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_39) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_39_reg ( 
+        .D(n86042), .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N520), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_1__8_ ( 
+        .D(n84492), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_1__8_ ( 
+        .D(n84536), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_data_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[8]), .DE(n86335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rs2_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N467), .DE(n86347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_data_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[8]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_1__8_ ( 
+        .D(n84377), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_data_reg_8_ ( 
+        .D(n84761), .DE(n86577), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_8[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_1__8_ ( 
+        .D(n84350), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_0__8_ ( 
+        .D(n85574), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_1__8_ ( 
+        .D(n84880), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[8]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_large__reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N41), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[8])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_ip_2_reg ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N68), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_0__30_ ( 
+        .D(n84430), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[62]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_d_0_data_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_auto_in_d_bits_data[30]), .DE(n86567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_30[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_1__30_ ( 
+        .D(n84837), .DE(n86463), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_1__30_ ( 
+        .D(n84508), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_data_reg_30_ ( 
+        .D(n85299), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_30[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_1__30_ ( 
+        .D(n84371), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_0__30_ ( 
+        .D(n85867), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[62]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_1__30_ ( 
+        .D(n84900), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[30]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_large__reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N63), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[30]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_ip_0_reg ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N66), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_0__28_ ( 
+        .D(n84428), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[60]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_d_0_data_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_auto_in_d_bits_data[28]), .DE(n86567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_28[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_1__28_ ( 
+        .D(n84898), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[28]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N430), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[28])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_reg__reg__reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_28), .CLK(wb_clk_i), .RESET_B(n36801), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_38) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_38_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N515), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N514), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_1__7_ ( 
+        .D(n84491), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_1__7_ ( 
+        .D(n84535), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_data_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[7]), .DE(n86335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rs2_reg_7_ ( 
+        .D(n85611), .DE(n86347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rs2_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N482), .DE(n86347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_data_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[23]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_1__23_ ( 
+        .D(n84389), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_data_reg_23_ ( 
+        .D(n84749), .DE(n86577), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_23[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_1__23_ ( 
+        .D(n84365), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_55_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N113), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_1__23_ ( 
+        .D(n84502), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_1__23_ ( 
+        .D(n84547), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_data_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[23]), .DE(n86335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_1__23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[23]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_7__7_ ( 
+        .D(n84405), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_data_reg_7_ ( 
+        .D(n36426), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_wdata[7]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_buffer_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N244), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy__io_rx_bits_T_9[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_0__7_ ( 
+        .D(n85069), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N35), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[63]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_1__7_ ( 
+        .D(n84412), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_d_0_data_reg_7_ ( 
+        .D(n85295), .DE(n86567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_7[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_1__7_ ( 
+        .D(n84879), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[7]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_large__reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N40), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[7])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_ip_3_reg ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N69), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_0__31_ ( 
+        .D(n84431), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[63]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_d_0_data_reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_auto_in_d_bits_data[31]), .DE(n86567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_31[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_1__31_ ( 
+        .D(n84901), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_enq_ptr_value_reg_1_ ( 
+        .D(n85856), .DE(n36113), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_1__0_ ( 
+        .D(n84413), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_d_0_data_reg_0_ ( 
+        .D(n85292), .DE(n86567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_0[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_1__0_ ( 
+        .D(n84902), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxen_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N45), .DE(
+        n36103), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_prepend_T_7_0_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_debounce_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N21), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N58), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_debounce[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_state_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N38), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N65), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_state) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_data_count_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N25), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_data_count_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N26), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_data_count_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N27), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_data_count_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N28), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_sample_count_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N33), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_sample_count_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N32), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_sample_count_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N31), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_sample_count_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N30), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_shifter_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__shifter_T_1_7_), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N77), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_shifter_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N77), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_shifter_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N77), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_shifter_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N77), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_shifter_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N77), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_shifter_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N77), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_shifter_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N77), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_7__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N28), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_1__1_ ( 
+        .D(n84407), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_d_0_data_reg_1_ ( 
+        .D(n85288), .DE(n86567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_1[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_1__1_ ( 
+        .D(n84873), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_fmt_proto_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N120), .DE(
+        n36148), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_io_link_fmt_proto[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_fmt_proto_reg_1_ ( 
+        .D(n85847), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N102), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_fmt_proto[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_buffer_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N244), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy__io_rx_bits_T_9[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_0__23_ ( 
+        .D(n84426), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_d_0_data_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_auto_in_d_bits_data[23]), .DE(n86567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_23[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_1__23_ ( 
+        .D(n84895), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[23]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_large__reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N56), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_0__13_ ( 
+        .D(n84417), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_d_0_data_reg_13_ ( 
+        .D(n84300), .DE(n86567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_13[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_1__13_ ( 
+        .D(n84835), .DE(n86463), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_1__13_ ( 
+        .D(n84497), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_1__13_ ( 
+        .D(n84538), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_data_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[13]), .DE(n86335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rs2_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N472), .DE(n86347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_data_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[13]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_1__13_ ( 
+        .D(n84379), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_data_reg_13_ ( 
+        .D(n84759), .DE(n86577), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_13[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_1__13_ ( 
+        .D(n84355), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_0__13_ ( 
+        .D(n85585), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_1__13_ ( 
+        .D(n84885), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[13]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N415), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[13])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_reg__reg__reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_13), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_23) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_23_reg ( 
+        .D(n86041), .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N424), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_1__24_ ( 
+        .D(n84503), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_1__24_ ( 
+        .D(n84548), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_data_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[24]), .DE(n86335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_1__24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[24]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_7__0_ ( 
+        .D(n84406), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_data_reg_0_ ( 
+        .D(n36419), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_wdata[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_buffer_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N237), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy__io_rx_bits_T_9[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_2_reg_0_ ( 
+        .D(n85324), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N58), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_2[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_1__16_ ( 
+        .D(n84540), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_data_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[16]), .DE(n86335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rs2_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N475), .DE(n86347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_data_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[16]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_1__16_ ( 
+        .D(n84382), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_data_reg_16_ ( 
+        .D(n84756), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_16[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_1__16_ ( 
+        .D(n84358), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_0__16_ ( 
+        .D(n85869), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_1__16_ ( 
+        .D(n84888), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[16]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_large__reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N49), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_0__9_ ( 
+        .D(n84414), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_d_0_data_reg_9_ ( 
+        .D(n85277), .DE(n86567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_9[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_1__9_ ( 
+        .D(n84881), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[9]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_large__reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N42), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_oneShot_reg ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N37), 
+        .DE(n36210), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_7_13) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_small__reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[4])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_ip_1_reg ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N67), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_23[29]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_intsource_reg__reg__reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_23[29]), .CLK(wb_clk_i), .RESET_B(n36846), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_43) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_43_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N545), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N544), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_1__12_ ( 
+        .D(n84496), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_data_reg_12_ ( 
+        .D(n85274), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_12[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_1__12_ ( 
+        .D(n84354), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_0__12_ ( 
+        .D(n85586), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_1__12_ ( 
+        .D(n84884), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_countAlways_reg ( 
+        .D(n86091), .DE(n36214), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_7_12) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_small__reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_1__4_ ( 
+        .D(n84410), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_d_0_data_reg_4_ ( 
+        .D(n85268), .DE(n86567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_4[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_1__4_ ( 
+        .D(n84876), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_7__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[4])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_buffer_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N241), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_7__3_ ( 
+        .D(n85242), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_1__3_ ( 
+        .D(n84409), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_d_0_data_reg_3_ ( 
+        .D(n85265), .DE(n86567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_3[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_1__3_ ( 
+        .D(n84875), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_fmt_iodir_reg ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N130), .DE(
+        n36148), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_io_link_fmt_iodir) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxen_reg ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_N13), 
+        .DE(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_N12), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxen) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_arb_sel_1_reg ( 
+        .D(n85673), .DE(n36275), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_arb__T_1_1_)
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_deq_ptr_value_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N45), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N44), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_enq_ptr_value_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N40), 
+        .DE(n36138), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_2__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_2__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_2__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_2__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_2__3_ ( 
+        .D(n85290), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_2__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[41]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_buffer_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N243), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy__io_rx_bits_T_9[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_0__22_ ( 
+        .D(n84425), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_d_0_data_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_auto_in_d_bits_data[22]), .DE(n86567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_22[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_1__22_ ( 
+        .D(n84894), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[22]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_large__reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N55), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_0__11_ ( 
+        .D(n84416), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_d_0_data_reg_11_ ( 
+        .D(n84301), .DE(n86567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_11[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_1__11_ ( 
+        .D(n84834), .DE(n86463), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_1__11_ ( 
+        .D(n84495), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_data_reg_11_ ( 
+        .D(n85318), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_11[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_1__11_ ( 
+        .D(n84353), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_0__11_ ( 
+        .D(n85572), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_1__11_ ( 
+        .D(n84883), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[11]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_large__reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N44), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_1__2_ ( 
+        .D(n84408), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_d_0_data_reg_2_ ( 
+        .D(n85262), .DE(n86567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_2[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_1__2_ ( 
+        .D(n84874), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_2__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_fmt_endian_reg ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N125), .DE(
+        n36148), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_link_fmt_endian) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_buffer_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N242), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy__io_rx_bits_T_9[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_0__21_ ( 
+        .D(n84424), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_d_0_data_reg_21_ ( 
+        .D(n84302), .DE(n86567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_21[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_1__21_ ( 
+        .D(n84836), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_1__21_ ( 
+        .D(n84500), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_1__21_ ( 
+        .D(n84545), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_data_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[21]), .DE(n86335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rs2_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N480), .DE(n86347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_data_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[21]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_1__21_ ( 
+        .D(n84387), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_data_reg_21_ ( 
+        .D(n84751), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_21[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_1__21_ ( 
+        .D(n84363), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_0__21_ ( 
+        .D(n85874), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_1__21_ ( 
+        .D(n84893), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[21]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_large__reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N54), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_0__14_ ( 
+        .D(n84418), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_d_0_data_reg_14_ ( 
+        .D(n85260), .DE(n86567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_14[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_1__14_ ( 
+        .D(n84886), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[14]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N416), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[14])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_reg__reg__reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_14), .CLK(wb_clk_i), .RESET_B(n36801), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_24) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_24_reg ( 
+        .D(n86040), .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N430), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_1__25_ ( 
+        .D(n84504), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_data_reg_25_ ( 
+        .D(n85257), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_25[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_1__25_ ( 
+        .D(n84366), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_0__25_ ( 
+        .D(n85862), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_1__25_ ( 
+        .D(n84896), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[25]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_large__reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N58), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_0__10_ ( 
+        .D(n84415), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_d_0_data_reg_10_ ( 
+        .D(n84303), .DE(n86567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_10[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_1__10_ ( 
+        .D(n84833), .DE(n86463), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_1__10_ ( 
+        .D(n84494), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_data_reg_10_ ( 
+        .D(n85313), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_10[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_1__10_ ( 
+        .D(n84352), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_0__10_ ( 
+        .D(n85578), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_1__10_ ( 
+        .D(n84882), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_sck_div_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N151), .DE(
+        n36145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[10]), .DE(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N268), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div[10]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N133), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_cs_dflt_0_reg ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_N48), 
+        .DE(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_N47), 
+        .CLK(wb_clk_i), .Q(io_out[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_state_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_N76), 
+        .DE(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_N74), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_state[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_state_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_N75), 
+        .DE(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_N74), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_state[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_cs_assert_reg ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_N66), 
+        .DE(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_N65), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_io_link_active) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N121), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N194), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N192), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_last_d_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N172), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_last_d) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_done_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N252), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_io_link_rx_valid) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txwm_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N50), .DE(
+        n36098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_6[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_0__17_ ( 
+        .D(n84420), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_d_0_data_reg_17_ ( 
+        .D(n85252), .DE(n86567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_17[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_1__17_ ( 
+        .D(n84889), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[17]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_large__reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N50), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_1__5_ ( 
+        .D(n84411), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_d_0_data_reg_5_ ( 
+        .D(n84304), .DE(n86567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_5[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_1__5_ ( 
+        .D(n84832), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_1__5_ ( 
+        .D(n84489), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_data_reg_5_ ( 
+        .D(n84305), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_5[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_1__5_ ( 
+        .D(n84348), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_0__5_ ( 
+        .D(n85570), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_1__5_ ( 
+        .D(n84877), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_2__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_7__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[5]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_buffer_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N239), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy__io_rx_bits_T_9[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_0__26_ ( 
+        .D(n84427), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_d_0_data_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_auto_in_d_bits_data[26]), .DE(n86567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_26[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_1__26_ ( 
+        .D(n84897), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[26]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_large__reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N59), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_0__15_ ( 
+        .D(n84419), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_d_0_data_reg_15_ ( 
+        .D(n85243), .DE(n86567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_15[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_1__15_ ( 
+        .D(n84887), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[15]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_ieReg_reg__reg_15_ ( 
+        .D(n446), .CLK(wb_clk_i), .RESET_B(n49230), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[15]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_15_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_io_pins_gpio_pins_15_i_ival), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_15_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_15_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_15_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_15_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_15_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_15_sync_1), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_iof_0_iof_0_15_i_ival) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_fSCL_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N330), .DE(
+        n36642), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__fSCL_T[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_fSCL_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N331), .DE(
+        n36642), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__fSCL_T[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_fSCL_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N332), .DE(
+        n36642), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__fSCL_T[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_sSCL_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N336), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_sSCL) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_clkEn_reg ( 
+        .D(n85523), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_clkEn) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmdStop_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N394), .DE(
+        n36640), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmdStop) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_arbLost_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N412), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_arbLost) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N408), .DE(
+        n36639), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N411), .DE(
+        n36639), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N407), .DE(
+        n36639), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N409), .DE(
+        n36639), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N410), .DE(
+        n36639), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[3])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmdAck_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N397), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmdAck) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_load_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N416), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_load) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCnt_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N436), .DE(
+        n36638), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCnt[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_byteState_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N445), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N538), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_byteState[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_byteState_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N444), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N538), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_byteState[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_byteState_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N446), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N538), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_byteState[2])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cmdAck_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N426), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cmdAck) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_statusReadReady_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N447), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_statusReadReady) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_fmt_len_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N128), .DE(
+        n36183), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_9[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_0__18_ ( 
+        .D(n84421), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_d_0_data_reg_18_ ( 
+        .D(n85239), .DE(n86567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_18[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_1__18_ ( 
+        .D(n84890), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_fmt_len_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N136), .DE(
+        n36139), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_15[18]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N114), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N157), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N155), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_sample_d_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N135), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_sample_d) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_buffer_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N241), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy__io_rx_bits_T_9[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_0__20_ ( 
+        .D(n84423), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_d_0_data_reg_20_ ( 
+        .D(n85237), .DE(n86567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_20[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_1__20_ ( 
+        .D(n84892), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_dla_interxfr_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N211), .DE(
+        n36142), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_16[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_xfr_reg ( 
+        .D(n85471), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N170), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_xfr)
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_setup_d_reg ( 
+        .D(n85848), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_setup_d) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_fmt_endian_reg ( 
+        .D(n85849), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N102), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_fmt_endian) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_fmt_iodir_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_arb_io_outer_fmt_iodir), .DE(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N102), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_fmt_iodir) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspi_0_dq_1_i_spi_dq_1_sync_output_chain_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_io_pins_qspi_dq_1_i_ival), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_qspi_0_dq_1_i_spi_dq_1_sync_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspi_0_dq_1_i_spi_dq_1_sync_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspi_0_dq_1_i_spi_dq_1_sync_output_chain_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspi_0_dq_1_i_spi_dq_1_sync_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspi_0_dq_1_i_spi_dq_1_sync_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspi_0_dq_1_i_spi_dq_1_sync_output_chain_sync_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspi_0_dq_1_i_spi_dq_1_sync_io_q) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspi_0_dq_0_i_spi_dq_0_sync_output_chain_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_io_pins_qspi_dq_0_i_ival), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_qspi_0_dq_0_i_spi_dq_0_sync_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspi_0_dq_0_i_spi_dq_0_sync_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspi_0_dq_0_i_spi_dq_0_sync_output_chain_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspi_0_dq_0_i_spi_dq_0_sync_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspi_0_dq_0_i_spi_dq_0_sync_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspi_0_dq_0_i_spi_dq_0_sync_output_chain_sync_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspi_0_dq_0_i_spi_dq_0_sync_io_q) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_buffer_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N237), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy__io_rx_bits_T_9[7]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_buffer_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N238), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy__io_rx_bits_T_9[6]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_buffer_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N240), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy__io_rx_bits_T_9[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_0__19_ ( 
+        .D(n84422), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_d_0_data_reg_19_ ( 
+        .D(n85224), .DE(n86567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_19[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_1__19_ ( 
+        .D(n84891), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_fmt_len_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N143), 
+        .DE(n36161), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_15[19]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxen_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_N13), .DE(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_N12), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxen) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb_sel_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb_N15), 
+        .DE(n36276), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb__T_1_1_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_cs_dflt_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_N48), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_N47), 
+        .CLK(wb_clk_i), .Q(io_out[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_state_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_N76), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_N74), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_state[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_state_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_N75), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_N74), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_state[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_cs_assert_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_N66), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_N65), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_io_link_active) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_done_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N252), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_io_link_rx_valid) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_count_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N46), .DE(n36288), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget__enable_T_3_1_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N24), .DE(n36289), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft_reg_3_ ( 
+        .D(n36295), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_maybe_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_N55), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_N54), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_maybe_full) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_count_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker__GEN_13_0_), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker__GEN_15_0_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_size_reg_2_ ( 
+        .D(n84398), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data_reg_9_ ( 
+        .D(n84306), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data_reg_20_ ( 
+        .D(n84307), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data_reg_23_ ( 
+        .D(n84308), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data_reg_24_ ( 
+        .D(n84309), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data_reg_27_ ( 
+        .D(n84310), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data_reg_29_ ( 
+        .D(n84311), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[29]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft_1_reg_3_ ( 
+        .D(n36279), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft_1[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_maybe_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N109), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N108), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_maybe_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N60), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N59), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_maybe_full) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_s_0_state_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N80), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_s_0_state[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_state_0_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N163), .DE(n36415), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_state_0) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_maybe_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_N8), .DE(MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_maybe_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N60), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N59), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_gennum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_N34), .DE(n36356), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_gennum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_maybe_full_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N60), .DE(MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N59), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_maybe_full) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_s_0_state_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N79), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_s_0_state[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N133), 
+        .DE(n36290), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N135), 
+        .DE(n36290), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter[3])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_sent_d_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N24), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_sent_d) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_value_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N95), .DE(n36467), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_size_reg_1_ ( 
+        .D(n84312), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size_reg_7__1_ ( 
+        .D(n85462), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N38), .DE(n36418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_source_reg_5_ ( 
+        .D(n85446), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_auto_qspi_ram_0_mem_xing_in_d_bits_source[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_dOrig_reg_2_ ( 
+        .D(n84313), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N30), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_dOrig[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_beatsLeft_reg_3_ ( 
+        .D(n36291), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_beatsLeft[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_sink_reg_0__1_ ( 
+        .D(n84554), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_sink[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_ram_sink_reg_1__1_ ( 
+        .D(n84374), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_ram_sink[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_got_e_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N23), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_got_e) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_value_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N95), .DE(n36468), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_maybe_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N268), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N267), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_source_reg_0_ ( 
+        .D(n85445), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_auto_qspi_ram_0_mem_xing_in_d_bits_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_state_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N186), .DE(n86482), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_state[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_value_1_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N262), .DE(n36417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_source_reg_1_ ( 
+        .D(n85443), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_auto_qspi_ram_0_mem_xing_in_d_bits_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_source_reg_3_ ( 
+        .D(n85421), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_auto_qspi_ram_0_mem_xing_in_d_bits_source[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_source_reg_4_ ( 
+        .D(n85444), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_auto_qspi_ram_0_mem_xing_in_d_bits_source[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_size_reg_1_ ( 
+        .D(n84314), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_size_reg_2_ ( 
+        .D(n84315), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_size[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N6), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_io_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_source_reg_2_ ( 
+        .D(n85422), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_auto_qspi_ram_0_mem_xing_in_d_bits_source[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_dOrig_reg_0_ ( 
+        .D(n84316), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N30), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_dOrig[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_size_reg_1__0_ ( 
+        .D(n84529), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_size[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_refill_valid_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N595), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_refill_valid) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_request_refill_REG_reg ( 
+        .D(n86486), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_request_refill_REG) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_refill_paddr_reg_6_ ( 
+        .D(n72064), .DE(n86485), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_refill_paddr_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .DE(n86485), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_refill_paddr_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[29]), .DE(n86485), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_refill_paddr_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .DE(n86485), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_refill_paddr_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .DE(n86485), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_refill_paddr_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .DE(n86485), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_refill_paddr_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[25]), .DE(n86485), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_refill_paddr_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .DE(n86485), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_refill_paddr_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .DE(n86485), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_refill_paddr_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[22]), .DE(n86485), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_refill_paddr_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[21]), .DE(n86485), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_refill_paddr_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .DE(n86485), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_refill_paddr_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .DE(n86485), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_refill_paddr_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[18]), .DE(n86485), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_refill_paddr_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .DE(n86485), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_refill_paddr_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[16]), .DE(n86485), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_refill_paddr_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .DE(n86485), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_refill_paddr_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .DE(n86485), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_refill_paddr_reg_13_ ( 
+        .D(n85637), .DE(n86485), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_refill_paddr_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .DE(n86485), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_refill_paddr_reg_11_ ( 
+        .D(n85633), .DE(n86485), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_refill_paddr_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .DE(n86485), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_refill_paddr_reg_9_ ( 
+        .D(n85634), .DE(n86485), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_refill_paddr_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .DE(n86485), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_refill_paddr_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .DE(n86485), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_1__7_ ( 
+        .D(n84376), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address_reg_7_ ( 
+        .D(n84522), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_1__7_ ( 
+        .D(n84344), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address_reg_1__7_ ( 
+        .D(n85806), .DE(n86413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_scratchpadMax_reg_1_ ( 
+        .D(n85417), .DE(n36487), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_invalidated_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N592), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_invalidated) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel_reg_1_ ( 
+        .D(n85650), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1_reg_8_ ( 
+        .D(n36492), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_inst_reg_30_ ( 
+        .D(n85399), .DE(n86423), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_inst_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[10]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b10_5[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_inst_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b10_5[5]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_mem_cmd_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_ctrl_decoder_15[1]), .DE(n86310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_cmd[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_load_reg ( 
+        .D(n86281), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_load) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_valid_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_valid) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_not_nacked_in_s1_reg ( 
+        .D(n85433), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_not_nacked_in_s1) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_1_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[0]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_1_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[20]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_coh_state[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_1_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[19]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_coh_state[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_1_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[18]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_1_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[17]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_1_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[16]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_1_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[15]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_1_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[14]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_1_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[13]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_1_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[12]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_1_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[11]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_1_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[10]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_1_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[9]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_1_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[8]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_1_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[7]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_1_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[6]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_1_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[5]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_1_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[4]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_1_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[3]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_1_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[2]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_1_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[1]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_hit_way_reg_1_ ( 
+        .D(n84437), .DE(n86584), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_hit_way[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[9]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[8]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[7]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[6]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[5]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[4]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[3]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[2]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[20]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_coh_state[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[1]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[19]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_coh_state[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[18]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[17]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[16]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[15]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[14]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[13]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[12]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[11]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[10]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_r_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[0]), .DE(n86369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_probe_state_state_reg_0_ ( 
+        .D(n84317), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_probe), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_118[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_hit_state_state_reg_0_ ( 
+        .D(n84317), .DE(n86584), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_55[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_probe_state_state_reg_1_ ( 
+        .D(n84318), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_probe), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_118[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_hit_state_state_reg_1_ ( 
+        .D(n84318), .DE(n86584), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_55[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_address_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N545), .DE(n86334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_vaddr_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[30]), .DE(n86395), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_pma_cacheable_reg ( 
+        .D(n85736), .DE(n86584), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_pma_cacheable) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_0__5_ ( 
+        .D(n84397), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_coherent_jbar_auto_out_a_bits_address[5]), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_1__5_ ( 
+        .D(n84343), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_address_reg_5_ ( 
+        .D(n85783), .DE(n86375), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_address_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_auto_out_a_bits_address[5]), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_42_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_address[5]), 
+        .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_io_en), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_address[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_index_reg_0__3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_address[5]), .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_unlocked_reg ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N82), .DE(n36313), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_796_0_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_7_reg_0_ ( 
+        .D(n84854), .DE(n36314), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_775[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_pc_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N89), .DE(n86371), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_pc[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_countEn_corerstSynchronized_x4_reg ( 
+        .D(n85912), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog_countEn_corerstSynchronized_x4) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_countEn_corerstSynchronized_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog_countEn_corerstSynchronized_x4), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog_countEn_corerstSynchronized)
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_19_reg ( 
+        .D(net247089), .CLK(wb_clk_i), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_latch_reg_io_q_3_), .Q(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_19) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_18_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_19), .CLK(wb_clk_i), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_latch_reg_io_q_3_), .Q(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_18) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_17_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_18), .CLK(wb_clk_i), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_latch_reg_io_q_3_), .Q(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_17) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_16_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_17), .CLK(wb_clk_i), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_latch_reg_io_q_3_), .Q(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_16) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_15_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_16), .CLK(wb_clk_i), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_latch_reg_io_q_3_), .Q(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_15) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_14_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_15), .CLK(wb_clk_i), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_latch_reg_io_q_3_), .Q(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_14) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_13_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_14), .CLK(wb_clk_i), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_latch_reg_io_q_3_), .Q(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_13) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_12_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_13), .CLK(wb_clk_i), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_latch_reg_io_q_3_), .Q(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_12) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_11_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_12), .CLK(wb_clk_i), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_latch_reg_io_q_3_), .Q(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_11) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_10_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_11), .CLK(wb_clk_i), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_latch_reg_io_q_3_), .Q(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_10) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_9_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_10), .CLK(wb_clk_i), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_latch_reg_io_q_3_), .Q(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_9) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_8_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_9), .CLK(wb_clk_i), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_latch_reg_io_q_3_), .Q(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_8) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_7_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_8), .CLK(wb_clk_i), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_latch_reg_io_q_3_), .Q(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_7) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_6_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_7), .CLK(wb_clk_i), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_latch_reg_io_q_3_), .Q(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_6) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_5_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_6), .CLK(wb_clk_i), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_latch_reg_io_q_3_), .Q(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_5) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_4_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_5), .CLK(wb_clk_i), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_latch_reg_io_q_3_), .Q(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_4) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_3_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_4), .CLK(wb_clk_i), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_latch_reg_io_q_3_), .Q(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_3) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_2_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_3), .CLK(wb_clk_i), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_latch_reg_io_q_3_), .Q(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_2), .CLK(wb_clk_i), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_latch_reg_io_q_3_), .Q(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_cref_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N171), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_cref)
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uart_0_rxd_uart_rxd_sync_output_chain_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uart_0_rxd_uart_rxd_sync_output_chain_N2), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uart_0_rxd_uart_rxd_sync_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uart_0_rxd_uart_rxd_sync_output_chain_sync_1_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uart_0_rxd_uart_rxd_sync_output_chain_N1), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uart_0_rxd_uart_rxd_sync_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_cached_grant_wait_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N610), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_cached_grant_wait) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_rtc_last_reg ( .D(
+        MarmotCaravelChip_dut_sys_N4), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_rtc_last) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_rtc_tick_reg ( .D(
+        MarmotCaravelChip_dut_sys_N5), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_clint_io_rtcTick) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_dSCL_reg ( 
+        .D(n85827), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_dSCL) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N232), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[6])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N233), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[7])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N234), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[8])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N235), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[9])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N236), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[10])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N237), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[11])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg_reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N251), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[25])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg_reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N252), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[26])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg_reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N253), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[27])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N254), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[28])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg_reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N255), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[29])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N256), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[30])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N257), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_deq_ptr_value_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N45), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N44), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_io_deq_bits_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_deq_ptr_value_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N46), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N44), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_io_deq_bits_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_deq_ptr_value_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N47), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N44), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_io_deq_bits_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_deq_ptr_value_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N46), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N44), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_deq_ptr_value_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N47), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N44), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txwm_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N49), .DE(
+        n36098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_6[16]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_valid_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N18), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_valid_3_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N20), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_valid_4_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N21), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_taken_reg ( 
+        .D(n85665), .DE(n36805), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_taken) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[27]), .DE(n86297), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[26]), .DE(n36781), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[25]), .DE(n36781), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[23]), .DE(n86288), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[22]), .DE(n86297), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[21]), .DE(n36805), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[20]), .DE(n36805), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[19]), .DE(n86286), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[18]), .DE(n86292), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[17]), .DE(n86289), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[16]), .DE(n86293), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[15]), .DE(n86287), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[14]), .DE(n36781), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data_reg_0_ ( 
+        .D(n37895), .DE(n36781), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data_reg_17_ ( 
+        .D(n85510), .DE(n86293), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data_reg_16_ ( 
+        .D(n85504), .DE(n86287), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data_reg_15_ ( 
+        .D(n85509), .DE(n86292), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data_reg_14_ ( 
+        .D(n85508), .DE(n86290), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data_reg_13_ ( 
+        .D(n85517), .DE(n86292), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data_reg_12_ ( 
+        .D(n85492), .DE(n86293), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data_reg_11_ ( 
+        .D(n85513), .DE(n86290), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data_reg_10_ ( 
+        .D(n85518), .DE(n86294), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data_reg_9_ ( 
+        .D(n85500), .DE(n86297), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data_reg_8_ ( 
+        .D(n85516), .DE(n86291), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data_reg_1_ ( 
+        .D(n85514), .DE(n86292), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_replay_reg ( 
+        .D(n85662), .DE(n86286), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_replay) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_taken_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N265), .DE(n86441), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_taken) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N307), .DE(n86442), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N306), .DE(n86442), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N305), .DE(n86442), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N303), .DE(n86442), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N302), .DE(n86442), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N301), .DE(n86442), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N300), .DE(n86442), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N299), .DE(n86442), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N298), .DE(n86442), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N297), .DE(n86442), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N295), .DE(n86442), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N294), .DE(n86442), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data_reg_0_ ( 
+        .D(n37224), .DE(n86442), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data_reg_17_ ( 
+        .D(n37222), .DE(n86442), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data_reg_16_ ( 
+        .D(n86244), .DE(n86441), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data_reg_15_ ( 
+        .D(n86249), .DE(n86441), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data_reg_14_ ( 
+        .D(n37221), .DE(n86441), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data_reg_13_ ( 
+        .D(n37223), .DE(n86441), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data_reg_12_ ( 
+        .D(n86169), .DE(n86441), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data_reg_11_ ( 
+        .D(n86258), .DE(n86441), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data_reg_10_ ( 
+        .D(n86268), .DE(n86441), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data_reg_9_ ( 
+        .D(n86213), .DE(n86441), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data_reg_8_ ( 
+        .D(n86212), .DE(n86441), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data_reg_1_ ( 
+        .D(n86248), .DE(n86441), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_replay_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N345), .DE(n86441), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_replay) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_valid_reg ( 
+        .D(n84319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_valid) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_valid_REG_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_valid_REG) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_replay_REG_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N132), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_replay_REG) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_valid_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_valid), .DE(n86306), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_valid) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_entry_reg_4_ ( 
+        .D(n84320), .DE(n86306), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_entry[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_taken_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N184), .DE(n63144), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_taken) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N226), .DE(n63144), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N225), .DE(n86436), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N224), .DE(n86436), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N222), .DE(n86436), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N221), .DE(n86436), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N220), .DE(n86436), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N219), .DE(n86436), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N218), .DE(n86436), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N217), .DE(n86436), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N216), .DE(n86436), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N214), .DE(n86436), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N213), .DE(n86436), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data_reg_0_ ( 
+        .D(n37238), .DE(n86436), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data_reg_17_ ( 
+        .D(n37226), .DE(n86436), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data_reg_16_ ( 
+        .D(n86175), .DE(n86435), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data_reg_15_ ( 
+        .D(n86174), .DE(n86435), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data_reg_14_ ( 
+        .D(n37225), .DE(n86435), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data_reg_13_ ( 
+        .D(n37237), .DE(n86435), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data_reg_12_ ( 
+        .D(n86168), .DE(n86435), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data_reg_11_ ( 
+        .D(n86226), .DE(n86435), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data_reg_10_ ( 
+        .D(n86260), .DE(n86435), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data_reg_9_ ( 
+        .D(n86225), .DE(n86435), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data_reg_8_ ( 
+        .D(n86224), .DE(n86435), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data_reg_1_ ( 
+        .D(n86173), .DE(n86435), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_replay_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N264), .DE(n86435), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_replay) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_taken_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N103), .DE(n63061), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_taken) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N145), .DE(n63061), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N144), .DE(n86430), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N143), .DE(n86430), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N141), .DE(n86430), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N140), .DE(n86430), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N139), .DE(n86430), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N138), .DE(n86430), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N137), .DE(n86430), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N136), .DE(n86430), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N135), .DE(n86430), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N133), .DE(n86430), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N132), .DE(n86430), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data_reg_0_ ( 
+        .D(n37236), .DE(n86430), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data_reg_17_ ( 
+        .D(n86235), .DE(n86430), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data_reg_16_ ( 
+        .D(n86234), .DE(n86429), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data_reg_15_ ( 
+        .D(n86233), .DE(n86429), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data_reg_14_ ( 
+        .D(n86232), .DE(n86429), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data_reg_13_ ( 
+        .D(n37235), .DE(n86429), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data_reg_12_ ( 
+        .D(n86167), .DE(n86429), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data_reg_11_ ( 
+        .D(n86211), .DE(n86429), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data_reg_10_ ( 
+        .D(n86210), .DE(n86429), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data_reg_9_ ( 
+        .D(n86209), .DE(n86429), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data_reg_8_ ( 
+        .D(n86208), .DE(n86429), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data_reg_1_ ( 
+        .D(n86262), .DE(n86429), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_replay_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N183), .DE(n86429), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_replay) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_taken_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N22), .DE(n86298), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_taken) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N64), .DE(n86299), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N63), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N62), .DE(n86299), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N60), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N59), .DE(n86299), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N58), .DE(n86299), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N57), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N56), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N55), .DE(n86298), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N54), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N52), .DE(n86298), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N51), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data_reg_0_ ( 
+        .D(n86243), .DE(n86298), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data_reg_17_ ( 
+        .D(n86242), .DE(n86298), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data_reg_16_ ( 
+        .D(n86207), .DE(n86298), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data_reg_15_ ( 
+        .D(n86206), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data_reg_14_ ( 
+        .D(n86241), .DE(n86299), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data_reg_13_ ( 
+        .D(n86267), .DE(n86298), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data_reg_12_ ( 
+        .D(n86166), .DE(n86298), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data_reg_11_ ( 
+        .D(n86205), .DE(n86298), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data_reg_10_ ( 
+        .D(n86266), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data_reg_9_ ( 
+        .D(n86204), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data_reg_8_ ( 
+        .D(n86265), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data_reg_1_ ( 
+        .D(n86240), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_replay_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N102), .DE(n86299), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_replay) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_count_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N45), .DE(n36288), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget__enable_T_6_0_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N39), .DE(n36418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N41), .DE(n36418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N43), .DE(n36418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_gennum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_N36), .DE(n36356), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_gennum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr_reg_9_ ( 
+        .D(n86128), .DE(n36555), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr_reg_0_ ( 
+        .D(n86176), .DE(n36555), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_bht_value_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_value), .DE(n86306), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_bht_advance_bits_bht_value) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr_reg_8_ ( 
+        .D(n86127), .DE(n36555), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr_reg_7_ ( 
+        .D(n86126), .DE(n36555), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr_reg_6_ ( 
+        .D(n86125), .DE(n36555), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr_reg_5_ ( 
+        .D(n86124), .DE(n36555), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr_reg_4_ ( 
+        .D(n86277), .DE(n36555), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr_reg_3_ ( 
+        .D(n86276), .DE(n36555), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr_reg_2_ ( 
+        .D(n86275), .DE(n36555), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr_reg_1_ ( 
+        .D(n86274), .DE(n36555), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_pc_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N83), .DE(n86307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[1]), .DE(n86294), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N281), .DE(n86441), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N200), .DE(n86435), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N119), .DE(n86429), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N38), .DE(n86299), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_pc_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N84), .DE(n86307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[2]), .DE(n36805), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[2]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N282), .DE(n86441), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N201), .DE(n86435), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N120), .DE(n86429), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N39), .DE(n86298), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc_reg_2_ ( 
+        .D(n84321), .DE(n86397), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc_reg_2_ ( 
+        .D(n85397), .DE(n86423), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[2]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_pc_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[2]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_pc_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N85), .DE(n86307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[3]), .DE(n86291), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[3]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N283), .DE(n86440), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N202), .DE(n86434), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N121), .DE(n86428), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N40), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc_reg_3_ ( 
+        .D(n84322), .DE(n86397), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc_reg_3_ ( 
+        .D(n85389), .DE(n86423), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[3]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_pc_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[3]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_pc_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N86), .DE(n86307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[4]), .DE(n36805), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[4]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N284), .DE(n86440), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N203), .DE(n86434), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N122), .DE(n86428), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N41), .DE(n86298), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc_reg_4_ ( 
+        .D(n84323), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc_reg_4_ ( 
+        .D(n85377), .DE(n86423), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[4]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_pc_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[4]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_pc_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N87), .DE(n86307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[5]), .DE(n86286), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[5]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N285), .DE(n86440), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N204), .DE(n86434), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N123), .DE(n86428), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N42), .DE(n86299), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc_reg_5_ ( 
+        .D(n84324), .DE(n86397), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc_reg_5_ ( 
+        .D(n85386), .DE(n86423), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[5]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_pc_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[5]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_pc_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N88), .DE(n86307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[6]), .DE(n86288), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[6]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N286), .DE(n86440), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N205), .DE(n86434), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N124), .DE(n86428), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N43), .DE(n86299), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc_reg_6_ ( 
+        .D(n84325), .DE(n86397), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc_reg_6_ ( 
+        .D(n85381), .DE(n86423), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[6]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_pc_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[6]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_pc_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N89), .DE(n86307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[7]), .DE(n86296), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[7]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N287), .DE(n86440), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N206), .DE(n86434), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N125), .DE(n86428), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N44), .DE(n86298), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc_reg_7_ ( 
+        .D(n84326), .DE(n86397), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc_reg_7_ ( 
+        .D(n85372), .DE(n86423), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[7]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_pc_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[7]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_pc_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N90), .DE(n86307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[8]), .DE(n86289), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[8]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N288), .DE(n86440), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N207), .DE(n86434), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N126), .DE(n86428), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N45), .DE(n86299), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc_reg_8_ ( 
+        .D(n84327), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc_reg_8_ ( 
+        .D(n85382), .DE(n86423), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[8]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_pc_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[8]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_pc_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N91), .DE(n86307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[9]), .DE(n86286), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[9]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N289), .DE(n86440), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N208), .DE(n86434), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N127), .DE(n86428), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N46), .DE(n86299), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc_reg_9_ ( 
+        .D(n84328), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc_reg_9_ ( 
+        .D(n85383), .DE(n86423), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[9]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_pc_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[9]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_pc_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N92), .DE(n86307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[10]), .DE(n86288), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[10]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N290), .DE(n86440), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N209), .DE(n86434), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N128), .DE(n86428), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N47), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc_reg_10_ ( 
+        .D(n84329), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc_reg_10_ ( 
+        .D(n85385), .DE(n86422), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[10]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_pc_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[10]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_pc_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N93), .DE(n86307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[11]), .DE(n86297), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[11]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N291), .DE(n86440), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N210), .DE(n86434), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N129), .DE(n86428), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N48), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc_reg_11_ ( 
+        .D(n84330), .DE(n86397), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc_reg_11_ ( 
+        .D(n85378), .DE(n86422), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[11]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_pc_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[11]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_pc_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N94), .DE(n86307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[12]), .DE(n86293), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[12]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N292), .DE(n86440), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N211), .DE(n86434), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N130), .DE(n86428), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N49), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc_reg_12_ ( 
+        .D(n84331), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc_reg_12_ ( 
+        .D(n85369), .DE(n86422), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[12]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_pc_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[12]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_pc_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N95), .DE(n86307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[13]), .DE(n86293), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[13]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N293), .DE(n86440), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N212), .DE(n86434), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N131), .DE(n86428), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N50), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc_reg_13_ ( 
+        .D(n84332), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc_reg_13_ ( 
+        .D(n85384), .DE(n86422), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[13]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_pc_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[13]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_pc_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N106), .DE(n86307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[24]), .DE(n86287), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[24]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1_reg_10_ ( 
+        .D(n85349), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3_reg_10_ ( 
+        .D(n85349), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0_reg_10_ ( 
+        .D(n84333), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1398), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2_reg_10_ ( 
+        .D(n84333), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1436), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4_reg_10_ ( 
+        .D(n84333), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1474), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N304), .DE(n86440), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N223), .DE(n86434), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N142), .DE(n86428), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N61), .DE(n86298), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc_reg_24_ ( 
+        .D(n84334), .DE(n86397), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc_reg_24_ ( 
+        .D(n85390), .DE(n86422), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[24]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_pc_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[24]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_pc_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N110), .DE(n86307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[28]), .DE(n86294), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[28]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1_reg_14_ ( 
+        .D(n85353), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3_reg_14_ ( 
+        .D(n85353), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0_reg_14_ ( 
+        .D(n84335), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1398), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2_reg_14_ ( 
+        .D(n84335), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1436), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4_reg_14_ ( 
+        .D(n84335), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1474), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N308), .DE(n86440), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N227), .DE(n86434), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N146), .DE(n86428), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N65), .DE(n86299), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc_reg_28_ ( 
+        .D(n84336), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc_reg_28_ ( 
+        .D(n85379), .DE(n86422), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[28]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_pc_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[28]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_pc_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N111), .DE(n86307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[29]), .DE(n86287), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[29]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1_reg_15_ ( 
+        .D(n85354), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3_reg_15_ ( 
+        .D(n85354), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0_reg_15_ ( 
+        .D(n84337), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1398), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2_reg_15_ ( 
+        .D(n84337), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1436), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4_reg_15_ ( 
+        .D(n84337), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1474), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N309), .DE(n86439), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N228), .DE(n86433), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N147), .DE(n86427), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N66), .DE(n86299), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc_reg_29_ ( 
+        .D(n84338), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc_reg_29_ ( 
+        .D(n85391), .DE(n86422), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[29]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_pc_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[29]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_pc_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N112), .DE(n86307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[30]), .DE(n36805), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[30]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1_reg_16_ ( 
+        .D(n85355), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3_reg_16_ ( 
+        .D(n85355), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0_reg_16_ ( 
+        .D(n84339), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1398), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2_reg_16_ ( 
+        .D(n84339), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1436), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4_reg_16_ ( 
+        .D(n84339), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1474), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N310), .DE(n86439), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N229), .DE(n86433), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N148), .DE(n86427), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N67), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc_reg_30_ ( 
+        .D(n84340), .DE(n86397), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc_reg_30_ ( 
+        .D(n85370), .DE(n86422), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[30]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_pc_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[30]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_pc_reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N113), .DE(n86307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc_reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[31]), .DE(n86291), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc_reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[31]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1_reg_17_ ( 
+        .D(n85356), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3_reg_17_ ( 
+        .D(n85356), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2_reg_17_ ( 
+        .D(n84341), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1436), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4_reg_17_ ( 
+        .D(n84341), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1474), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc_reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N311), .DE(n86439), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc_reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N230), .DE(n86433), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc_reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N149), .DE(n86427), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc_reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N68), .DE(n86298), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc_reg_31_ ( 
+        .D(n84342), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc_reg_31_ ( 
+        .D(n85367), .DE(n86422), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc_reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[31]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_pc_reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[31]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_0_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N100), .DE(n36409), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_0) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_3_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N103), .DE(n36409), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_3) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state_1_1_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N151), 
+        .DE(n36472), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state_1_1)
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state_1_4_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N154), 
+        .DE(n36472), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state_1_4)
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_value_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N50), .DE(n36258), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N43)
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_0__5_ ( 
+        .D(n84343), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_0__7_ ( 
+        .D(n84344), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_0__1_ ( 
+        .D(n84345), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_0__3_ ( 
+        .D(n84346), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_0__4_ ( 
+        .D(n84347), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_0__5_ ( 
+        .D(n84348), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_0__6_ ( 
+        .D(n84349), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_0__8_ ( 
+        .D(n84350), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_0__9_ ( 
+        .D(n84351), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_0__10_ ( 
+        .D(n84352), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_0__11_ ( 
+        .D(n84353), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_0__12_ ( 
+        .D(n84354), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_0__13_ ( 
+        .D(n84355), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_0__14_ ( 
+        .D(n84356), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_0__15_ ( 
+        .D(n84357), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_0__16_ ( 
+        .D(n84358), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_0__17_ ( 
+        .D(n84359), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_0__18_ ( 
+        .D(n84360), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_0__19_ ( 
+        .D(n84361), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_0__20_ ( 
+        .D(n84362), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_0__21_ ( 
+        .D(n84363), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_0__22_ ( 
+        .D(n84364), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_0__23_ ( 
+        .D(n84365), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_0__25_ ( 
+        .D(n84366), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_0__26_ ( 
+        .D(n84367), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_0__27_ ( 
+        .D(n84368), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_0__28_ ( 
+        .D(n84369), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[60]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_0__29_ ( 
+        .D(n84370), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[61]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_0__30_ ( 
+        .D(n84371), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[62]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_0__31_ ( 
+        .D(n84372), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[63]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_0__0_ ( 
+        .D(n84373), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_state_1_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N162), .DE(n36415), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_state_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_REG_reg ( 
+        .D(n83631), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_REG) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_intsource_reg__reg__reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_auto_int_out_1), .CLK(wb_clk_i), 
+        .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_intXbar_auto_int_out_2) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_intsource_reg__reg__reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23[30]), .CLK(wb_clk_i), .RESET_B(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_52) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_intsource_reg__reg__reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23[29]), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_51) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_intsource_reg__reg__reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23[28]), .CLK(wb_clk_i), .RESET_B(n36846), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_50) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_intsource_reg__reg__reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23[31]), .CLK(wb_clk_i), .RESET_B(n36846), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_53) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_resetting_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N616), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_0_valid) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_flushCounter_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N623), .DE(n36476), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_flushCounter_6_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_flushCounter_reg_5_ ( 
+        .D(n86132), .DE(n36476), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__metaArb_io_in_5_bits_addr_T_1[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_flushCounter_reg_4_ ( 
+        .D(n86280), .DE(n36476), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__metaArb_io_in_5_bits_addr_T_1[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_flushCounter_reg_3_ ( 
+        .D(n86279), .DE(n36476), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__metaArb_io_in_5_bits_addr_T_1[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_flushCounter_reg_2_ ( 
+        .D(n86278), .DE(n36476), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__metaArb_io_in_5_bits_addr_T_1[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_flushCounter_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N618), .DE(n36476), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__metaArb_io_in_5_bits_addr_T_1[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_state_0_reg ( 
+        .D(n85908), .DE(n36475), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_state_0) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_flushCounter_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N617), .DE(n36476), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__metaArb_io_in_5_bits_addr_T_1[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_state_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N8), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_lo[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_state_2_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N9), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_lo[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_state_3_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N10), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_lo[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_state_4_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N11), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_lo[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_state_5_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N12), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_lo[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_state_6_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N13), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_lo[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_state_7_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N14), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_lo[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_state_8_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N15), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_state_9_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N16), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_state_10_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N17), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_state_11_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N18), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_state_12_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N19), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_state_13_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N20), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_state_14_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N21), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_state_15_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N22), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr[15]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_state_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N4), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_lo[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_victim_way_r_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_lo[0]), .DE(n86584), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_victim_way_r) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_refill_way_reg_1_ ( 
+        .D(n85819), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N626), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_3_bits_way_en[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_respPipe_valid_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1629), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_respPipe_valid) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pageValid_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1495), .DE(n36556), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_435[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pageValid_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1498), .DE(n36556), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_435[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N193), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N192), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N195), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N192), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N196), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N192), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N197), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N192), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N198), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N192), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N199), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N192), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N200), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N192), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N201), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N192), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N202), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N192), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N203), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N192), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N204), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N192), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N156), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N155), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N158), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N155), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N159), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N155), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N160), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N155), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N161), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N155), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N162), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N155), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N163), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N155), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N164), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N155), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N165), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N155), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N166), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N155), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N167), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N155), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_maybe_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N52), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N51), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_enq_ptr_value_reg_0_ ( 
+        .D(n85851), .DE(n36138), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_enq_ptr_value_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N41), 
+        .DE(n36138), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_7__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_7__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_7__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_7__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_7__3_ ( 
+        .D(n85756), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_7__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_7__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_5__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_5__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_5__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_5__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_5__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_5__3_ ( 
+        .D(n85756), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_5__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_5__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_0__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_0__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[63]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_0__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[62]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_0__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[61]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_0__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[60]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_0__3_ ( 
+        .D(n85756), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_0__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_0__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_6__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_6__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_6__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_6__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_6__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_6__3_ ( 
+        .D(n85756), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_6__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_6__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_4__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_4__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_4__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_4__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_4__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_4__3_ ( 
+        .D(n85756), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_4__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_4__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_3__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_3__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_3__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_3__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_3__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_3__3_ ( 
+        .D(n85756), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_3__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_3__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_1__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_1__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_1__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_1__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_1__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_1__3_ ( 
+        .D(n85756), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_1__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_reg_1__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_enq_ptr_value_reg_0_ ( 
+        .D(n85857), .DE(n36113), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_enq_ptr_value_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N41), 
+        .DE(n36113), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_7__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_7__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_7__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_7__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_7__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_7__3_ ( 
+        .D(n85756), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_7__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_7__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_5__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_5__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_5__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_5__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_5__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_5__3_ ( 
+        .D(n85756), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_5__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_5__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_2__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[40])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_2__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[47])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_2__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[46])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_2__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[45])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_2__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[44])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_2__3_ ( 
+        .D(n85756), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[43])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_2__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[42])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_2__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[41])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_0__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[56])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_0__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[63])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_0__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[62])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_0__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[61])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_0__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[60])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_0__3_ ( 
+        .D(n85756), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[59])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_0__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[58])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_0__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[57])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_6__0_ ( 
+        .D(n85487), .DE(n36989), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_6__7_ ( 
+        .D(n85285), .DE(n36989), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_6__6_ ( 
+        .D(n85286), .DE(n36989), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_6__5_ ( 
+        .D(n85576), .DE(n36989), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_6__4_ ( 
+        .D(n85287), .DE(n36989), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_6__3_ ( 
+        .D(n85756), .DE(n36989), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_6__2_ ( 
+        .D(n85527), .DE(n36989), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_6__1_ ( 
+        .D(n85291), .DE(n36989), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_4__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_4__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_4__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_4__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_4__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_4__3_ ( 
+        .D(n85756), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_4__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_4__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_3__0_ ( 
+        .D(n85487), .DE(n37020), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[32])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_3__7_ ( 
+        .D(n85285), .DE(n37020), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[39])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_3__6_ ( 
+        .D(n85286), .DE(n37020), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[38])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_3__5_ ( 
+        .D(n85576), .DE(n37020), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[37])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_3__4_ ( 
+        .D(n85287), .DE(n37020), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[36])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_3__3_ ( 
+        .D(n85756), .DE(n37020), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[35])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_3__2_ ( 
+        .D(n85527), .DE(n37020), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[34])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_3__1_ ( 
+        .D(n85291), .DE(n37020), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[33])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_1__0_ ( 
+        .D(n85487), .DE(n86302), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[48])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_1__7_ ( 
+        .D(n85285), .DE(n86302), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[55])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_1__6_ ( 
+        .D(n85286), .DE(n86302), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[54])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_1__5_ ( 
+        .D(n85576), .DE(n86302), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[53])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_1__4_ ( 
+        .D(n85287), .DE(n86302), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[52])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_1__3_ ( 
+        .D(n85756), .DE(n86302), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[51])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_1__2_ ( 
+        .D(n85527), .DE(n86302), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[50])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_reg_1__1_ ( 
+        .D(n85291), .DE(n86302), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[49])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_deq_ptr_value_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N45), 
+        .DE(n36101), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_io_deq_bits_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_deq_ptr_value_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N47), 
+        .DE(n36101), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_io_deq_bits_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_enq_ptr_value_reg_0_ ( 
+        .D(n85860), .DE(n36607), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_enq_ptr_value_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N40), 
+        .DE(n36607), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_enq_ptr_value_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N41), 
+        .DE(n36607), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_7__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N28), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_7__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N28), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_7__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N28), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_7__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N28), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_7__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N28), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_7__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N28), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_5__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N30), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_5__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N30), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_5__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N30), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_5__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N30), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_5__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N30), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_5__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N30), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_5__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N30), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_2__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N33), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[47])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_2__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N33), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[46])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_2__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N33), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[45])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_2__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N33), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[44])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_2__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N33), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[43])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_2__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N33), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[42])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_2__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N33), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[41])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_0__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N35), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[63])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_0__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N35), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[62])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_0__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N35), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[61])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_0__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N35), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[60])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_0__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N35), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[59])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_0__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N35), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[58])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_0__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N35), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[57])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_6__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N29), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_6__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N29), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_6__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N29), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_6__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N29), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_6__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N29), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_6__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N29), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_6__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N29), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_4__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N31), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_4__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N31), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_4__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N31), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_4__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N31), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_4__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N31), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_4__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N31), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_4__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N31), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_3__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N32), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[39])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_3__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N32), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[38])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_3__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N32), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[37])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_3__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N32), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[36])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_3__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N32), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[35])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_3__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N32), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[34])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_3__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N32), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[33])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_1__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N34), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[55])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_1__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N34), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[54])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_1__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N34), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[53])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_1__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N34), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[52])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_1__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N34), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[51])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_1__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N34), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[50])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_1__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N34), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[49])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_value_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_N15), .DE(n36344), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_N8) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_ram_sink_reg_0__1_ ( 
+        .D(n84374), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_N10), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_ram_sink[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_value_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_N20), .DE(n36593), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_ram_sink_io_deq_bits_MPORT_addr) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_value_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_N50), .DE(n36278), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode_io_deq_bits_MPORT_addr) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_value_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_N45), .DE(n86372), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_N38) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_0__30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[30]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_0__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[2]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_0__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[4]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_0__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[5]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_0__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[6]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_0__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[7]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_0__8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[8]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_0__9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[9]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_0__10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[10]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_0__11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[11]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_0__12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[12]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_0__13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[13]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_0__15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[15]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_0__16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[16]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_0__17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[17]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_0__18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[18]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_0__19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[19]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_0__20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[20]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_0__21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[21]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_0__23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[23]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_0__24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[24]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_0__27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[27]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_0__29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[29]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[61]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_0__31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[31]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[63]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_0__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[0]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_1__30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[30]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_1__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[4]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_1__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[5]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_1__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[7]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_1__8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[8]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_1__10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[10]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_1__11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[11]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_1__12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[12]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_1__13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[13]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_1__15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[15]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_1__16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[16]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_1__17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[17]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_1__18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[18]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_1__19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[19]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_1__21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[21]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_1__31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[31]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_1__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[0]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_value_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N50), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N49), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_opcode_io_deq_bits_MPORT_addr) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_value_1_reg ( 
+        .D(n86039), .DE(n36247), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_value_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N50), .DE(n36273), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N43) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_opcode_reg_0__2_ ( 
+        .D(n84375), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_opcode[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_size_reg_0__2_ ( 
+        .D(n84476), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_size[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_source_reg_0__1_ ( 
+        .D(n85461), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_source[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_0__7_ ( 
+        .D(n84376), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_0__8_ ( 
+        .D(n84377), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_0__9_ ( 
+        .D(n84378), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_0__13_ ( 
+        .D(n84379), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_0__14_ ( 
+        .D(n84380), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_0__15_ ( 
+        .D(n84381), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_0__16_ ( 
+        .D(n84382), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_0__17_ ( 
+        .D(n84383), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_0__18_ ( 
+        .D(n84384), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_0__19_ ( 
+        .D(n84385), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_0__20_ ( 
+        .D(n84386), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_0__21_ ( 
+        .D(n84387), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_0__22_ ( 
+        .D(n84388), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_0__23_ ( 
+        .D(n84389), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_0__26_ ( 
+        .D(n84390), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_0__27_ ( 
+        .D(n84391), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_0__28_ ( 
+        .D(n84392), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[60]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_0__29_ ( 
+        .D(n84393), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[61]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_0__31_ ( 
+        .D(n84394), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[63]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_size_reg_1__2_ ( 
+        .D(n84476), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_size_reg_2_ ( 
+        .D(n85909), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_size[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_size_reg_0__2_ ( 
+        .D(n84395), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_size[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_size_reg_1__2_ ( 
+        .D(n84395), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_source_reg_1__1_ ( 
+        .D(n85461), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_source_reg_1_ ( 
+        .D(n85884), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_source_reg_0__1_ ( 
+        .D(n84396), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_source[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_source_reg_1__1_ ( 
+        .D(n84396), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_1__5_ ( 
+        .D(n84397), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_state_15_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N22), .DE(n36272), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__repl_way_v0_T[15]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_state_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N4), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_lo[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_state_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N8), .DE(n36272), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_lo[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_state_2_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N9), .DE(n36272), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_lo[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_state_3_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N10), .DE(n36272), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_lo[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_state_4_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N11), .DE(n36272), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_lo[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_state_5_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N12), .DE(n36272), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_lo[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_state_6_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N13), .DE(n36272), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_lo[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_state_7_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N14), .DE(n36272), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_lo[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_state_8_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N15), .DE(n36272), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__repl_way_v0_T[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_state_9_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N16), .DE(n36272), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__repl_way_v0_T[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_state_10_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N17), .DE(n36272), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__repl_way_v0_T[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_state_11_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N18), .DE(n36272), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__repl_way_v0_T[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_state_12_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N19), .DE(n36272), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__repl_way_v0_T[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_state_13_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N20), .DE(n36272), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__repl_way_v0_T[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_state_14_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N21), .DE(n36272), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__repl_way_v0_T[14]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_probe_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N472), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_probe) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_maybe_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N109), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N108), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_value_1_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N101), .DE(n36286), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_io_deq_bits_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_value_1_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N102), .DE(n36286), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_io_deq_bits_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_value_1_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N103), .DE(n36286), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_io_deq_bits_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_value_1_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N104), .DE(n36286), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_io_deq_bits_MPORT_addr[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_value_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N94), .DE(n36467), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_value_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N96), .DE(n36467), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_value_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N97), .DE(n36467), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_MPORT_addr[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_15__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_15__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_15__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_15__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_15__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_15__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_15__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_15__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_15__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_15__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_15__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_15__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_15__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_15__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_15__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_15__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_15__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_15__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_14__31_ ( 
+        .D(n84741), .DE(n59906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[63]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_14__29_ ( 
+        .D(n84743), .DE(n59906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[61]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_14__28_ ( 
+        .D(n84744), .DE(n59906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[60]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_14__27_ ( 
+        .D(n84745), .DE(n59906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_14__26_ ( 
+        .D(n84746), .DE(n59906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_14__23_ ( 
+        .D(n84749), .DE(n59906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_14__22_ ( 
+        .D(n84750), .DE(n59906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_14__21_ ( 
+        .D(n84751), .DE(n59906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_14__20_ ( 
+        .D(n84752), .DE(n59906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_14__19_ ( 
+        .D(n84753), .DE(n59906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_14__18_ ( 
+        .D(n84754), .DE(n59906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_14__17_ ( 
+        .D(n84755), .DE(n59906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_14__16_ ( 
+        .D(n84756), .DE(n59906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_14__15_ ( 
+        .D(n84757), .DE(n59906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_14__14_ ( 
+        .D(n84758), .DE(n59906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_14__13_ ( 
+        .D(n84759), .DE(n59906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_14__9_ ( 
+        .D(n84760), .DE(n59906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_14__8_ ( 
+        .D(n84761), .DE(n59906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_13__31_ ( 
+        .D(n84741), .DE(n59903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[95]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_13__29_ ( 
+        .D(n84743), .DE(n59903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[93]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_13__28_ ( 
+        .D(n84744), .DE(n59903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[92]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_13__27_ ( 
+        .D(n84745), .DE(n59903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[91]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_13__26_ ( 
+        .D(n84746), .DE(n59903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[90]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_13__23_ ( 
+        .D(n84749), .DE(n59903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[87]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_13__22_ ( 
+        .D(n84750), .DE(n59903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[86]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_13__21_ ( 
+        .D(n84751), .DE(n59903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[85]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_13__20_ ( 
+        .D(n84752), .DE(n59903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[84]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_13__19_ ( 
+        .D(n84753), .DE(n59903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[83]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_13__18_ ( 
+        .D(n84754), .DE(n59903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[82]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_13__17_ ( 
+        .D(n84755), .DE(n59903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[81]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_13__16_ ( 
+        .D(n84756), .DE(n59903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[80]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_13__15_ ( 
+        .D(n84757), .DE(n59903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[79]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_13__14_ ( 
+        .D(n84758), .DE(n59903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[78]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_13__13_ ( 
+        .D(n84759), .DE(n59903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[77]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_13__9_ ( 
+        .D(n84760), .DE(n59903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[73]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_13__8_ ( 
+        .D(n84761), .DE(n59903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[72]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_12__31_ ( 
+        .D(n84741), .DE(n59904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[127]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_12__29_ ( 
+        .D(n84743), .DE(n59904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[125]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_12__28_ ( 
+        .D(n84744), .DE(n59904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[124]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_12__27_ ( 
+        .D(n84745), .DE(n59904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[123]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_12__26_ ( 
+        .D(n84746), .DE(n59904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[122]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_12__23_ ( 
+        .D(n84749), .DE(n59904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[119]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_12__22_ ( 
+        .D(n84750), .DE(n59904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[118]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_12__21_ ( 
+        .D(n84751), .DE(n59904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[117]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_12__20_ ( 
+        .D(n84752), .DE(n59904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[116]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_12__19_ ( 
+        .D(n84753), .DE(n59904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[115]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_12__18_ ( 
+        .D(n84754), .DE(n59904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[114]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_12__17_ ( 
+        .D(n84755), .DE(n59904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[113]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_12__16_ ( 
+        .D(n84756), .DE(n59904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[112]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_12__15_ ( 
+        .D(n84757), .DE(n59904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[111]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_12__14_ ( 
+        .D(n84758), .DE(n59904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[110]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_12__13_ ( 
+        .D(n84759), .DE(n59904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[109]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_12__9_ ( 
+        .D(n84760), .DE(n59904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[105]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_12__8_ ( 
+        .D(n84761), .DE(n59904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[104]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_10__31_ ( 
+        .D(n84741), .DE(n59943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[191]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_10__29_ ( 
+        .D(n84743), .DE(n59943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[189]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_10__28_ ( 
+        .D(n84744), .DE(n59943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[188]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_10__27_ ( 
+        .D(n84745), .DE(n59943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[187]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_10__26_ ( 
+        .D(n84746), .DE(n59943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[186]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_10__23_ ( 
+        .D(n84749), .DE(n59943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[183]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_10__22_ ( 
+        .D(n84750), .DE(n59943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[182]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_10__21_ ( 
+        .D(n84751), .DE(n59943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[181]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_10__20_ ( 
+        .D(n84752), .DE(n59943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[180]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_10__19_ ( 
+        .D(n84753), .DE(n59943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[179]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_10__18_ ( 
+        .D(n84754), .DE(n59943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[178]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_10__17_ ( 
+        .D(n84755), .DE(n59943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[177]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_10__16_ ( 
+        .D(n84756), .DE(n59943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[176]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_10__15_ ( 
+        .D(n84757), .DE(n59943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[175]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_10__14_ ( 
+        .D(n84758), .DE(n59943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[174]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_10__13_ ( 
+        .D(n84759), .DE(n59943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[173]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_10__9_ ( 
+        .D(n84760), .DE(n59943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[169]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_10__8_ ( 
+        .D(n84761), .DE(n59943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[168]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_9__31_ ( 
+        .D(n84741), .DE(n59941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[223]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_9__29_ ( 
+        .D(n84743), .DE(n59941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[221]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_9__28_ ( 
+        .D(n84744), .DE(n59941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[220]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_9__27_ ( 
+        .D(n84745), .DE(n59941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[219]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_9__26_ ( 
+        .D(n84746), .DE(n59941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[218]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_9__23_ ( 
+        .D(n84749), .DE(n59941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[215]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_9__22_ ( 
+        .D(n84750), .DE(n59941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[214]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_9__21_ ( 
+        .D(n84751), .DE(n59941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[213]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_9__20_ ( 
+        .D(n84752), .DE(n59941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[212]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_9__19_ ( 
+        .D(n84753), .DE(n59941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[211]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_9__18_ ( 
+        .D(n84754), .DE(n59941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[210]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_9__17_ ( 
+        .D(n84755), .DE(n59941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[209]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_9__16_ ( 
+        .D(n84756), .DE(n59941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[208]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_9__15_ ( 
+        .D(n84757), .DE(n59941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[207]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_9__14_ ( 
+        .D(n84758), .DE(n59941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[206]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_9__13_ ( 
+        .D(n84759), .DE(n59941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[205]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_9__9_ ( 
+        .D(n84760), .DE(n59941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[201]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_9__8_ ( 
+        .D(n84761), .DE(n59941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[200]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_8__31_ ( 
+        .D(n84741), .DE(n59942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[255]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_8__29_ ( 
+        .D(n84743), .DE(n59942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[253]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_8__28_ ( 
+        .D(n84744), .DE(n59942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[252]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_8__27_ ( 
+        .D(n84745), .DE(n59942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[251]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_8__26_ ( 
+        .D(n84746), .DE(n59942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[250]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_8__23_ ( 
+        .D(n84749), .DE(n59942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[247]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_8__22_ ( 
+        .D(n84750), .DE(n59942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[246]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_8__21_ ( 
+        .D(n84751), .DE(n59942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[245]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_8__20_ ( 
+        .D(n84752), .DE(n59942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[244]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_8__19_ ( 
+        .D(n84753), .DE(n59942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[243]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_8__18_ ( 
+        .D(n84754), .DE(n59942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[242]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_8__17_ ( 
+        .D(n84755), .DE(n59942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[241]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_8__16_ ( 
+        .D(n84756), .DE(n59942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[240]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_8__15_ ( 
+        .D(n84757), .DE(n59942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[239]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_8__14_ ( 
+        .D(n84758), .DE(n59942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[238]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_8__13_ ( 
+        .D(n84759), .DE(n59942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[237]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_8__9_ ( 
+        .D(n84760), .DE(n59942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[233]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_8__8_ ( 
+        .D(n84761), .DE(n59942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[232]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_3__31_ ( 
+        .D(n84741), .DE(n59939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[415]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_3__29_ ( 
+        .D(n84743), .DE(n59939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[413]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_3__28_ ( 
+        .D(n84744), .DE(n59939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[412]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_3__27_ ( 
+        .D(n84745), .DE(n59939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[411]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_3__26_ ( 
+        .D(n84746), .DE(n59939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[410]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_3__23_ ( 
+        .D(n84749), .DE(n59939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[407]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_3__22_ ( 
+        .D(n84750), .DE(n59939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[406]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_3__21_ ( 
+        .D(n84751), .DE(n59939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[405]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_3__20_ ( 
+        .D(n84752), .DE(n59939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[404]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_3__19_ ( 
+        .D(n84753), .DE(n59939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[403]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_3__18_ ( 
+        .D(n84754), .DE(n59939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[402]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_3__17_ ( 
+        .D(n84755), .DE(n59939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[401]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_3__16_ ( 
+        .D(n84756), .DE(n59939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[400]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_3__15_ ( 
+        .D(n84757), .DE(n59939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[399]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_3__14_ ( 
+        .D(n84758), .DE(n59939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[398]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_3__13_ ( 
+        .D(n84759), .DE(n59939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[397]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_3__9_ ( 
+        .D(n84760), .DE(n59939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[393]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_3__8_ ( 
+        .D(n84761), .DE(n59939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[392]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_11__31_ ( 
+        .D(n84741), .DE(n59945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[159]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_11__29_ ( 
+        .D(n84743), .DE(n59945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[157]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_11__28_ ( 
+        .D(n84744), .DE(n59945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[156]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_11__27_ ( 
+        .D(n84745), .DE(n59945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[155]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_11__26_ ( 
+        .D(n84746), .DE(n59945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[154]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_11__23_ ( 
+        .D(n84749), .DE(n59945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[151]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_11__22_ ( 
+        .D(n84750), .DE(n59945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[150]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_11__21_ ( 
+        .D(n84751), .DE(n59945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[149]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_11__20_ ( 
+        .D(n84752), .DE(n59945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[148]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_11__19_ ( 
+        .D(n84753), .DE(n59945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[147]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_11__18_ ( 
+        .D(n84754), .DE(n59945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[146]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_11__17_ ( 
+        .D(n84755), .DE(n59945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[145]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_11__16_ ( 
+        .D(n84756), .DE(n59945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[144]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_11__15_ ( 
+        .D(n84757), .DE(n59945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[143]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_11__14_ ( 
+        .D(n84758), .DE(n59945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[142]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_11__13_ ( 
+        .D(n84759), .DE(n59945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[141]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_11__9_ ( 
+        .D(n84760), .DE(n59945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[137]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_11__8_ ( 
+        .D(n84761), .DE(n59945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[136]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_7__31_ ( 
+        .D(n84741), .DE(n59894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[287]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_7__29_ ( 
+        .D(n84743), .DE(n59894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[285]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_7__28_ ( 
+        .D(n84744), .DE(n59894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[284]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_7__27_ ( 
+        .D(n84745), .DE(n59894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[283]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_7__26_ ( 
+        .D(n84746), .DE(n59894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[282]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_7__23_ ( 
+        .D(n84749), .DE(n59894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[279]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_7__22_ ( 
+        .D(n84750), .DE(n59894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[278]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_7__21_ ( 
+        .D(n84751), .DE(n59894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[277]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_7__20_ ( 
+        .D(n84752), .DE(n59894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[276]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_7__19_ ( 
+        .D(n84753), .DE(n59894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[275]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_7__18_ ( 
+        .D(n84754), .DE(n59894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[274]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_7__17_ ( 
+        .D(n84755), .DE(n59894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[273]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_7__16_ ( 
+        .D(n84756), .DE(n59894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[272]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_7__15_ ( 
+        .D(n84757), .DE(n59894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[271]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_7__14_ ( 
+        .D(n84758), .DE(n59894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[270]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_7__13_ ( 
+        .D(n84759), .DE(n59894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[269]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_7__9_ ( 
+        .D(n84760), .DE(n59894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[265]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_7__8_ ( 
+        .D(n84761), .DE(n59894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[264]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_6__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[319]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_6__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[317]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_6__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[316]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_6__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[315]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_6__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[314]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_6__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[311]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_6__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[310]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_6__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[309]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_6__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[308]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_6__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[307]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_6__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[306]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_6__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[305]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_6__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[304]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_6__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[303]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_6__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[302]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_6__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[301]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_6__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[297]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_6__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[296]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_5__31_ ( 
+        .D(n84741), .DE(n59901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[351]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_5__29_ ( 
+        .D(n84743), .DE(n59901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[349]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_5__28_ ( 
+        .D(n84744), .DE(n59901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[348]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_5__27_ ( 
+        .D(n84745), .DE(n59901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[347]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_5__26_ ( 
+        .D(n84746), .DE(n59901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[346]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_5__23_ ( 
+        .D(n84749), .DE(n59901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[343]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_5__22_ ( 
+        .D(n84750), .DE(n59901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[342]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_5__21_ ( 
+        .D(n84751), .DE(n59901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[341]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_5__20_ ( 
+        .D(n84752), .DE(n59901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[340]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_5__19_ ( 
+        .D(n84753), .DE(n59901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[339]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_5__18_ ( 
+        .D(n84754), .DE(n59901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[338]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_5__17_ ( 
+        .D(n84755), .DE(n59901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[337]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_5__16_ ( 
+        .D(n84756), .DE(n59901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[336]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_5__15_ ( 
+        .D(n84757), .DE(n59901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[335]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_5__14_ ( 
+        .D(n84758), .DE(n59901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[334]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_5__13_ ( 
+        .D(n84759), .DE(n59901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[333]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_5__9_ ( 
+        .D(n84760), .DE(n59901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[329]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_5__8_ ( 
+        .D(n84761), .DE(n59901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[328]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_4__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[383]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_4__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[381]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_4__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[380]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_4__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[379]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_4__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[378]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_4__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[375]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_4__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[374]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_4__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[373]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_4__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[372]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_4__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[371]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_4__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[370]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_4__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[369]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_4__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[368]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_4__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[367]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_4__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[366]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_4__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[365]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_4__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[361]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_4__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[360]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_2__31_ ( 
+        .D(n84741), .DE(n59936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[447]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_2__29_ ( 
+        .D(n84743), .DE(n59936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[445]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_2__28_ ( 
+        .D(n84744), .DE(n59936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[444]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_2__27_ ( 
+        .D(n84745), .DE(n59936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[443]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_2__26_ ( 
+        .D(n84746), .DE(n59936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[442]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_2__23_ ( 
+        .D(n84749), .DE(n59936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[439]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_2__22_ ( 
+        .D(n84750), .DE(n59936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[438]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_2__21_ ( 
+        .D(n84751), .DE(n59936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[437]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_2__20_ ( 
+        .D(n84752), .DE(n59936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[436]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_2__19_ ( 
+        .D(n84753), .DE(n59936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[435]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_2__18_ ( 
+        .D(n84754), .DE(n59936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[434]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_2__17_ ( 
+        .D(n84755), .DE(n59936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[433]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_2__16_ ( 
+        .D(n84756), .DE(n59936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[432]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_2__15_ ( 
+        .D(n84757), .DE(n59936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[431]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_2__14_ ( 
+        .D(n84758), .DE(n59936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[430]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_2__13_ ( 
+        .D(n84759), .DE(n59936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[429]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_2__9_ ( 
+        .D(n84760), .DE(n59936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[425]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_2__8_ ( 
+        .D(n84761), .DE(n59936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[424]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_1__31_ ( 
+        .D(n84741), .DE(n59937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[479]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_1__29_ ( 
+        .D(n84743), .DE(n59937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[477]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_1__28_ ( 
+        .D(n84744), .DE(n59937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[476]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_1__27_ ( 
+        .D(n84745), .DE(n59937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[475]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_1__26_ ( 
+        .D(n84746), .DE(n59937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[474]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_1__23_ ( 
+        .D(n84749), .DE(n59937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[471]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_1__22_ ( 
+        .D(n84750), .DE(n59937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[470]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_1__21_ ( 
+        .D(n84751), .DE(n59937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[469]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_1__20_ ( 
+        .D(n84752), .DE(n59937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[468]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_1__19_ ( 
+        .D(n84753), .DE(n59937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[467]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_1__18_ ( 
+        .D(n84754), .DE(n59937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[466]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_1__17_ ( 
+        .D(n84755), .DE(n59937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[465]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_1__16_ ( 
+        .D(n84756), .DE(n59937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[464]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_1__15_ ( 
+        .D(n84757), .DE(n59937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[463]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_1__14_ ( 
+        .D(n84758), .DE(n59937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[462]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_1__13_ ( 
+        .D(n84759), .DE(n59937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[461]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_1__9_ ( 
+        .D(n84760), .DE(n59937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[457]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_1__8_ ( 
+        .D(n84761), .DE(n59937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[456]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_0__31_ ( 
+        .D(n84741), .DE(n59938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[511]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_0__29_ ( 
+        .D(n84743), .DE(n59938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[509]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_0__28_ ( 
+        .D(n84744), .DE(n59938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[508]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_0__27_ ( 
+        .D(n84745), .DE(n59938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[507]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_0__26_ ( 
+        .D(n84746), .DE(n59938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[506]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_0__23_ ( 
+        .D(n84749), .DE(n59938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[503]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_0__22_ ( 
+        .D(n84750), .DE(n59938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[502]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_0__21_ ( 
+        .D(n84751), .DE(n59938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[501]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_0__20_ ( 
+        .D(n84752), .DE(n59938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[500]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_0__19_ ( 
+        .D(n84753), .DE(n59938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[499]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_0__18_ ( 
+        .D(n84754), .DE(n59938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[498]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_0__17_ ( 
+        .D(n84755), .DE(n59938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[497]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_0__16_ ( 
+        .D(n84756), .DE(n59938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[496]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_0__15_ ( 
+        .D(n84757), .DE(n59938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[495]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_0__14_ ( 
+        .D(n84758), .DE(n59938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[494]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_0__13_ ( 
+        .D(n84759), .DE(n59938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[493]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_0__9_ ( 
+        .D(n84760), .DE(n59938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[489]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_0__8_ ( 
+        .D(n84761), .DE(n59938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[488]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_value_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N94), .DE(n36468), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_value_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N96), .DE(n36468), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_value_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N97), .DE(n36468), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_MPORT_addr[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_15__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_15__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_15__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_15__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_15__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_15__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_15__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_15__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_15__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_15__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_15__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_15__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_15__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_15__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_15__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_15__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_15__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_15__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_14__31_ ( 
+        .D(n84741), .DE(n59883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[63]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_14__29_ ( 
+        .D(n84743), .DE(n59883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[61]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_14__28_ ( 
+        .D(n84744), .DE(n59883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[60]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_14__27_ ( 
+        .D(n84745), .DE(n59883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_14__26_ ( 
+        .D(n84746), .DE(n59883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_14__23_ ( 
+        .D(n84749), .DE(n59883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_14__22_ ( 
+        .D(n84750), .DE(n59883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_14__21_ ( 
+        .D(n84751), .DE(n59883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_14__20_ ( 
+        .D(n84752), .DE(n59883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_14__19_ ( 
+        .D(n84753), .DE(n59883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_14__18_ ( 
+        .D(n84754), .DE(n59883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_14__17_ ( 
+        .D(n84755), .DE(n59883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_14__16_ ( 
+        .D(n84756), .DE(n59883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_14__15_ ( 
+        .D(n84757), .DE(n59883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_14__14_ ( 
+        .D(n84758), .DE(n59883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_14__13_ ( 
+        .D(n84759), .DE(n59883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_14__9_ ( 
+        .D(n84760), .DE(n59883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_14__8_ ( 
+        .D(n84761), .DE(n59883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_13__31_ ( 
+        .D(n84741), .DE(n59882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[95]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_13__29_ ( 
+        .D(n84743), .DE(n59882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[93]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_13__28_ ( 
+        .D(n84744), .DE(n59882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[92]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_13__27_ ( 
+        .D(n84745), .DE(n59882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[91]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_13__26_ ( 
+        .D(n84746), .DE(n59882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[90]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_13__23_ ( 
+        .D(n84749), .DE(n59882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[87]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_13__22_ ( 
+        .D(n84750), .DE(n59882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[86]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_13__21_ ( 
+        .D(n84751), .DE(n59882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[85]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_13__20_ ( 
+        .D(n84752), .DE(n59882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[84]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_13__19_ ( 
+        .D(n84753), .DE(n59882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[83]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_13__18_ ( 
+        .D(n84754), .DE(n59882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[82]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_13__17_ ( 
+        .D(n84755), .DE(n59882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[81]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_13__16_ ( 
+        .D(n84756), .DE(n59882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[80]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_13__15_ ( 
+        .D(n84757), .DE(n59882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[79]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_13__14_ ( 
+        .D(n84758), .DE(n59882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[78]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_13__13_ ( 
+        .D(n84759), .DE(n59882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[77]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_13__9_ ( 
+        .D(n84760), .DE(n59882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[73]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_13__8_ ( 
+        .D(n84761), .DE(n59882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[72]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_12__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[127]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_12__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[125]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_12__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[124]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_12__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[123]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_12__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[122]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_12__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[119]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_12__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[118]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_12__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[117]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_12__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[116]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_12__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[115]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_12__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[114]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_12__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[113]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_12__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[112]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_12__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[111]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_12__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[110]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_12__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[109]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_12__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[105]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_12__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[104]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_10__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[191]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_10__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[189]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_10__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[188]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_10__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[187]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_10__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[186]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_10__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[183]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_10__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[182]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_10__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[181]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_10__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[180]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_10__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[179]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_10__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[178]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_10__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[177]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_10__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[176]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_10__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[175]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_10__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[174]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_10__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[173]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_10__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[169]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_10__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[168]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_9__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[223]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_9__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[221]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_9__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[220]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_9__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[219]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_9__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[218]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_9__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[215]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_9__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[214]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_9__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[213]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_9__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[212]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_9__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[211]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_9__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[210]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_9__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[209]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_9__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[208]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_9__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[207]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_9__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[206]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_9__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[205]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_9__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[201]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_9__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[200]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_8__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[255]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_8__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[253]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_8__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[252]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_8__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[251]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_8__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[250]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_8__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[247]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_8__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[246]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_8__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[245]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_8__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[244]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_8__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[243]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_8__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[242]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_8__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[241]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_8__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[240]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_8__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[239]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_8__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[238]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_8__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[237]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_8__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[233]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_8__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[232]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_3__31_ ( 
+        .D(n84741), .DE(n59918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[415]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_3__29_ ( 
+        .D(n84743), .DE(n59918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[413]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_3__28_ ( 
+        .D(n84744), .DE(n59918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[412]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_3__27_ ( 
+        .D(n84745), .DE(n59918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[411]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_3__26_ ( 
+        .D(n84746), .DE(n59918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[410]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_3__23_ ( 
+        .D(n84749), .DE(n59918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[407]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_3__22_ ( 
+        .D(n84750), .DE(n59918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[406]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_3__21_ ( 
+        .D(n84751), .DE(n59918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[405]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_3__20_ ( 
+        .D(n84752), .DE(n59918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[404]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_3__19_ ( 
+        .D(n84753), .DE(n59918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[403]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_3__18_ ( 
+        .D(n84754), .DE(n59918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[402]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_3__17_ ( 
+        .D(n84755), .DE(n59918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[401]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_3__16_ ( 
+        .D(n84756), .DE(n59918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[400]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_3__15_ ( 
+        .D(n84757), .DE(n59918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[399]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_3__14_ ( 
+        .D(n84758), .DE(n59918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[398]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_3__13_ ( 
+        .D(n84759), .DE(n59918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[397]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_3__9_ ( 
+        .D(n84760), .DE(n59918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[393]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_3__8_ ( 
+        .D(n84761), .DE(n59918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[392]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_11__31_ ( 
+        .D(n84741), .DE(n59895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[159]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_11__29_ ( 
+        .D(n84743), .DE(n59895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[157]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_11__28_ ( 
+        .D(n84744), .DE(n59895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[156]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_11__27_ ( 
+        .D(n84745), .DE(n59895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[155]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_11__26_ ( 
+        .D(n84746), .DE(n59895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[154]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_11__23_ ( 
+        .D(n84749), .DE(n59895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[151]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_11__22_ ( 
+        .D(n84750), .DE(n59895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[150]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_11__21_ ( 
+        .D(n84751), .DE(n59895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[149]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_11__20_ ( 
+        .D(n84752), .DE(n59895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[148]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_11__19_ ( 
+        .D(n84753), .DE(n59895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[147]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_11__18_ ( 
+        .D(n84754), .DE(n59895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[146]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_11__17_ ( 
+        .D(n84755), .DE(n59895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[145]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_11__16_ ( 
+        .D(n84756), .DE(n59895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[144]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_11__15_ ( 
+        .D(n84757), .DE(n59895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[143]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_11__14_ ( 
+        .D(n84758), .DE(n59895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[142]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_11__13_ ( 
+        .D(n84759), .DE(n59895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[141]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_11__9_ ( 
+        .D(n84760), .DE(n59895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[137]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_11__8_ ( 
+        .D(n84761), .DE(n59895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[136]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_7__31_ ( 
+        .D(n84741), .DE(n59896), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[287]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_7__29_ ( 
+        .D(n84743), .DE(n59896), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[285]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_7__28_ ( 
+        .D(n84744), .DE(n59896), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[284]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_7__27_ ( 
+        .D(n84745), .DE(n59896), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[283]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_7__26_ ( 
+        .D(n84746), .DE(n59896), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[282]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_7__23_ ( 
+        .D(n84749), .DE(n59896), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[279]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_7__22_ ( 
+        .D(n84750), .DE(n59896), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[278]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_7__21_ ( 
+        .D(n84751), .DE(n59896), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[277]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_7__20_ ( 
+        .D(n84752), .DE(n59896), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[276]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_7__19_ ( 
+        .D(n84753), .DE(n59896), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[275]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_7__18_ ( 
+        .D(n84754), .DE(n59896), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[274]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_7__17_ ( 
+        .D(n84755), .DE(n59896), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[273]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_7__16_ ( 
+        .D(n84756), .DE(n86444), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[272]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_7__15_ ( 
+        .D(n84757), .DE(n86444), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[271]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_7__14_ ( 
+        .D(n84758), .DE(n86444), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[270]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_7__13_ ( 
+        .D(n84759), .DE(n86444), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[269]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_7__9_ ( 
+        .D(n84760), .DE(n86444), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[265]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_7__8_ ( 
+        .D(n84761), .DE(n86444), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[264]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_6__31_ ( 
+        .D(n84741), .DE(n59910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[319]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_6__29_ ( 
+        .D(n84743), .DE(n59910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[317]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_6__28_ ( 
+        .D(n84744), .DE(n59910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[316]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_6__27_ ( 
+        .D(n84745), .DE(n59910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[315]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_6__26_ ( 
+        .D(n84746), .DE(n59910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[314]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_6__23_ ( 
+        .D(n84749), .DE(n59910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[311]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_6__22_ ( 
+        .D(n84750), .DE(n59910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[310]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_6__21_ ( 
+        .D(n84751), .DE(n59910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[309]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_6__20_ ( 
+        .D(n84752), .DE(n59910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[308]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_6__19_ ( 
+        .D(n84753), .DE(n59910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[307]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_6__18_ ( 
+        .D(n84754), .DE(n59910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[306]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_6__17_ ( 
+        .D(n84755), .DE(n59910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[305]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_6__16_ ( 
+        .D(n84756), .DE(n59910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[304]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_6__15_ ( 
+        .D(n84757), .DE(n59910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[303]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_6__14_ ( 
+        .D(n84758), .DE(n59910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[302]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_6__13_ ( 
+        .D(n84759), .DE(n59910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[301]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_6__9_ ( 
+        .D(n84760), .DE(n59910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[297]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_6__8_ ( 
+        .D(n84761), .DE(n59910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[296]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_5__31_ ( 
+        .D(n84741), .DE(n59912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[351]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_5__29_ ( 
+        .D(n84743), .DE(n59912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[349]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_5__28_ ( 
+        .D(n84744), .DE(n59912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[348]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_5__27_ ( 
+        .D(n84745), .DE(n59912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[347]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_5__26_ ( 
+        .D(n84746), .DE(n59912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[346]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_5__23_ ( 
+        .D(n84749), .DE(n59912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[343]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_5__22_ ( 
+        .D(n84750), .DE(n59912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[342]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_5__21_ ( 
+        .D(n84751), .DE(n59912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[341]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_5__20_ ( 
+        .D(n84752), .DE(n59912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[340]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_5__19_ ( 
+        .D(n84753), .DE(n59912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[339]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_5__18_ ( 
+        .D(n84754), .DE(n59912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[338]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_5__17_ ( 
+        .D(n84755), .DE(n59912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[337]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_5__16_ ( 
+        .D(n84756), .DE(n59912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[336]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_5__15_ ( 
+        .D(n84757), .DE(n59912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[335]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_5__14_ ( 
+        .D(n84758), .DE(n59912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[334]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_5__13_ ( 
+        .D(n84759), .DE(n59912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[333]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_5__9_ ( 
+        .D(n84760), .DE(n59912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[329]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_5__8_ ( 
+        .D(n84761), .DE(n59912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[328]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_4__31_ ( 
+        .D(n84741), .DE(n59909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[383]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_4__29_ ( 
+        .D(n84743), .DE(n59909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[381]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_4__28_ ( 
+        .D(n84744), .DE(n59909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[380]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_4__27_ ( 
+        .D(n84745), .DE(n59909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[379]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_4__26_ ( 
+        .D(n84746), .DE(n59909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[378]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_4__23_ ( 
+        .D(n84749), .DE(n59909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[375]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_4__22_ ( 
+        .D(n84750), .DE(n59909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[374]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_4__21_ ( 
+        .D(n84751), .DE(n59909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[373]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_4__20_ ( 
+        .D(n84752), .DE(n59909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[372]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_4__19_ ( 
+        .D(n84753), .DE(n59909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[371]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_4__18_ ( 
+        .D(n84754), .DE(n59909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[370]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_4__17_ ( 
+        .D(n84755), .DE(n59909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[369]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_4__16_ ( 
+        .D(n84756), .DE(n59909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[368]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_4__15_ ( 
+        .D(n84757), .DE(n59909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[367]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_4__14_ ( 
+        .D(n84758), .DE(n59909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[366]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_4__13_ ( 
+        .D(n84759), .DE(n59909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[365]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_4__9_ ( 
+        .D(n84760), .DE(n59909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[361]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_4__8_ ( 
+        .D(n84761), .DE(n59909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[360]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_2__31_ ( 
+        .D(n84741), .DE(n59920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[447]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_2__29_ ( 
+        .D(n84743), .DE(n59920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[445]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_2__28_ ( 
+        .D(n84744), .DE(n59920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[444]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_2__27_ ( 
+        .D(n84745), .DE(n59920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[443]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_2__26_ ( 
+        .D(n84746), .DE(n59920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[442]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_2__23_ ( 
+        .D(n84749), .DE(n59920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[439]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_2__22_ ( 
+        .D(n84750), .DE(n59920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[438]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_2__21_ ( 
+        .D(n84751), .DE(n59920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[437]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_2__20_ ( 
+        .D(n84752), .DE(n59920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[436]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_2__19_ ( 
+        .D(n84753), .DE(n59920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[435]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_2__18_ ( 
+        .D(n84754), .DE(n59920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[434]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_2__17_ ( 
+        .D(n84755), .DE(n59920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[433]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_2__16_ ( 
+        .D(n84756), .DE(n59920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[432]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_2__15_ ( 
+        .D(n84757), .DE(n59920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[431]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_2__14_ ( 
+        .D(n84758), .DE(n59920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[430]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_2__13_ ( 
+        .D(n84759), .DE(n59920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[429]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_2__9_ ( 
+        .D(n84760), .DE(n59920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[425]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_2__8_ ( 
+        .D(n84761), .DE(n59920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[424]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_1__31_ ( 
+        .D(n84741), .DE(n59921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[479]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_1__29_ ( 
+        .D(n84743), .DE(n59921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[477]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_1__28_ ( 
+        .D(n84744), .DE(n59921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[476]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_1__27_ ( 
+        .D(n84745), .DE(n59921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[475]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_1__26_ ( 
+        .D(n84746), .DE(n59921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[474]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_1__23_ ( 
+        .D(n84749), .DE(n59921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[471]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_1__22_ ( 
+        .D(n84750), .DE(n59921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[470]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_1__21_ ( 
+        .D(n84751), .DE(n59921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[469]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_1__20_ ( 
+        .D(n84752), .DE(n59921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[468]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_1__19_ ( 
+        .D(n84753), .DE(n59921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[467]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_1__18_ ( 
+        .D(n84754), .DE(n59921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[466]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_1__17_ ( 
+        .D(n84755), .DE(n59921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[465]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_1__16_ ( 
+        .D(n84756), .DE(n59921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[464]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_1__15_ ( 
+        .D(n84757), .DE(n59921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[463]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_1__14_ ( 
+        .D(n84758), .DE(n59921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[462]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_1__13_ ( 
+        .D(n84759), .DE(n59921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[461]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_1__9_ ( 
+        .D(n84760), .DE(n59921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[457]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_1__8_ ( 
+        .D(n84761), .DE(n59921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[456]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_0__31_ ( 
+        .D(n84741), .DE(n59919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[511]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_0__29_ ( 
+        .D(n84743), .DE(n59919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[509]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_0__28_ ( 
+        .D(n84744), .DE(n59919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[508]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_0__27_ ( 
+        .D(n84745), .DE(n59919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[507]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_0__26_ ( 
+        .D(n84746), .DE(n59919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[506]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_0__23_ ( 
+        .D(n84749), .DE(n59919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[503]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_0__22_ ( 
+        .D(n84750), .DE(n59919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[502]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_0__21_ ( 
+        .D(n84751), .DE(n59919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[501]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_0__20_ ( 
+        .D(n84752), .DE(n59919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[500]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_0__19_ ( 
+        .D(n84753), .DE(n59919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[499]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_0__18_ ( 
+        .D(n84754), .DE(n59919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[498]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_0__17_ ( 
+        .D(n84755), .DE(n59919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[497]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_0__16_ ( 
+        .D(n84756), .DE(n59919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[496]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_0__15_ ( 
+        .D(n84757), .DE(n59919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[495]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_0__14_ ( 
+        .D(n84758), .DE(n59919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[494]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_0__13_ ( 
+        .D(n84759), .DE(n59919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[493]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_0__9_ ( 
+        .D(n84760), .DE(n59919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[489]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_0__8_ ( 
+        .D(n84761), .DE(n59919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[488]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_value_1_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N101), .DE(n36283), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_io_deq_bits_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_value_1_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N102), .DE(n36283), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_io_deq_bits_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_value_1_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N103), .DE(n36283), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_io_deq_bits_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_value_1_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N104), .DE(n36283), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_io_deq_bits_MPORT_addr[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N132), 
+        .DE(n36290), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N134), 
+        .DE(n36290), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter[2])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_written_once_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N49), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_written_once) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_value_1_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N263), .DE(n36417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_value_1_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N261), .DE(n36417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_value_reg_0_ ( 
+        .D(n85887), .DE(n36287), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_value_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N256), .DE(n36287), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_value_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N257), .DE(n36287), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size_reg_7__2_ ( 
+        .D(n85463), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size_reg_5__1_ ( 
+        .D(n85462), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size_reg_5__2_ ( 
+        .D(n85463), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_5__1_ ( 
+        .D(n84399), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_5__2_ ( 
+        .D(n84400), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_5__3_ ( 
+        .D(n84401), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_5__4_ ( 
+        .D(n84402), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_5__5_ ( 
+        .D(n84403), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_5__6_ ( 
+        .D(n84404), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_5__7_ ( 
+        .D(n84405), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_5__0_ ( 
+        .D(n84406), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size_reg_6__1_ ( 
+        .D(n85462), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size_reg_6__2_ ( 
+        .D(n85463), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_6__1_ ( 
+        .D(n84399), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_6__2_ ( 
+        .D(n84400), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_6__3_ ( 
+        .D(n84401), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_6__4_ ( 
+        .D(n84402), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_6__5_ ( 
+        .D(n84403), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_6__6_ ( 
+        .D(n84404), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_6__7_ ( 
+        .D(n84405), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_6__0_ ( 
+        .D(n84406), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size_reg_4__1_ ( 
+        .D(n85462), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size_reg_4__2_ ( 
+        .D(n85463), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_4__1_ ( 
+        .D(n84399), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_4__2_ ( 
+        .D(n84400), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_4__3_ ( 
+        .D(n84401), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_4__4_ ( 
+        .D(n84402), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_4__5_ ( 
+        .D(n84403), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_4__6_ ( 
+        .D(n84404), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_4__7_ ( 
+        .D(n84405), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_4__0_ ( 
+        .D(n84406), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size_reg_3__1_ ( 
+        .D(n85462), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size_reg_3__2_ ( 
+        .D(n85463), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_3__1_ ( 
+        .D(n84399), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_3__2_ ( 
+        .D(n84400), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_3__3_ ( 
+        .D(n84401), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_3__4_ ( 
+        .D(n84402), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_3__5_ ( 
+        .D(n84403), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_3__6_ ( 
+        .D(n84404), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_3__7_ ( 
+        .D(n84405), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_3__0_ ( 
+        .D(n84406), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size_reg_1__1_ ( 
+        .D(n85462), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size_reg_1__2_ ( 
+        .D(n85463), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_1__1_ ( 
+        .D(n84399), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_1__2_ ( 
+        .D(n84400), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_1__3_ ( 
+        .D(n84401), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_1__4_ ( 
+        .D(n84402), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_1__5_ ( 
+        .D(n84403), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_1__6_ ( 
+        .D(n84404), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_1__7_ ( 
+        .D(n84405), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_1__0_ ( 
+        .D(n84406), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size_reg_2__1_ ( 
+        .D(n85462), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size_reg_2__2_ ( 
+        .D(n85463), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_2__1_ ( 
+        .D(n84399), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_2__2_ ( 
+        .D(n84400), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_2__3_ ( 
+        .D(n84401), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_2__4_ ( 
+        .D(n84402), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_2__5_ ( 
+        .D(n84403), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_2__6_ ( 
+        .D(n84404), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_2__7_ ( 
+        .D(n84405), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_2__0_ ( 
+        .D(n84406), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size_reg_0__1_ ( 
+        .D(n85462), .DE(n86449), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size_reg_0__2_ ( 
+        .D(n85463), .DE(n86449), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_0__1_ ( 
+        .D(n84399), .DE(n86450), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_0__2_ ( 
+        .D(n84400), .DE(n86449), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_0__3_ ( 
+        .D(n84401), .DE(n86449), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_0__4_ ( 
+        .D(n84402), .DE(n86450), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[60]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_0__5_ ( 
+        .D(n84403), .DE(n86449), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[61]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_0__6_ ( 
+        .D(n84404), .DE(n86449), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[62]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_0__7_ ( 
+        .D(n84405), .DE(n86449), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[63]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data_reg_0__0_ ( 
+        .D(n84406), .DE(n86449), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N25), .DE(n36289), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N26), .DE(n36289), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N27), .DE(n36289), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N28), .DE(n36289), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_value_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N50), .DE(n36351), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N43) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_value_1_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N55), .DE(n36357), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_beatsLeft_reg_1_ ( 
+        .D(n36269), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_beatsLeft[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_beatsLeft_reg_2_ ( 
+        .D(n36268), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_beatsLeft[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_beatsLeft_reg_3_ ( 
+        .D(n36267), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_beatsLeft[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_beatsLeft_reg_4_ ( 
+        .D(n36266), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_beatsLeft[4]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_beatsLeft_reg_5_ ( 
+        .D(n36265), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_beatsLeft[5]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_beatsLeft_reg_6_ ( 
+        .D(n36264), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_beatsLeft[6]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_beatsLeft_reg_3_ ( 
+        .D(n36219), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_beatsLeft[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_gennum_reg_0_ ( 
+        .D(n86028), .DE(n36225), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_gennum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_gennum_reg_2_ ( 
+        .D(n86581), .DE(n36225), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_gennum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_gennum_reg_0_ ( 
+        .D(n85759), .DE(n36227), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_gennum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_gennum_reg_2_ ( 
+        .D(n85758), .DE(n36227), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_gennum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_3_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N167), .DE(n36364), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_3) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_1_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N165), .DE(n36364), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_1) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_readys_mask_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N160), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_14_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N178), .DE(n36364), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_14) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_value_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_N50), 
+        .DE(n36246), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_N43) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_0__1_ ( 
+        .D(n84407), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_0__2_ ( 
+        .D(n84408), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_0__3_ ( 
+        .D(n84409), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_0__4_ ( 
+        .D(n84410), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_0__5_ ( 
+        .D(n84411), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_0__7_ ( 
+        .D(n84412), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_0__0_ ( 
+        .D(n84413), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_1__9_ ( 
+        .D(n84414), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_1__10_ ( 
+        .D(n84415), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_1__11_ ( 
+        .D(n84416), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_1__13_ ( 
+        .D(n84417), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_1__14_ ( 
+        .D(n84418), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_1__15_ ( 
+        .D(n84419), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_1__17_ ( 
+        .D(n84420), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_1__18_ ( 
+        .D(n84421), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_1__19_ ( 
+        .D(n84422), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_1__20_ ( 
+        .D(n84423), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_1__21_ ( 
+        .D(n84424), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_1__22_ ( 
+        .D(n84425), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_1__23_ ( 
+        .D(n84426), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_1__26_ ( 
+        .D(n84427), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_1__28_ ( 
+        .D(n84428), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_1__29_ ( 
+        .D(n84429), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_1__30_ ( 
+        .D(n84430), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_1__31_ ( 
+        .D(n84431), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_maybe_full_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_N60), 
+        .DE(MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_N59), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_gennum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_N34), .DE(n36226), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_gennum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_gennum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_N36), .DE(n36226), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_gennum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_readys_mask_reg_10_ ( 
+        .D(n85004), .DE(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_readys_mask_reg_6_ ( 
+        .D(n84432), .DE(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_readys_mask_reg_3_ ( 
+        .D(n53528), .DE(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_readys_mask_reg_2_ ( 
+        .D(n84433), .DE(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_readys_mask_reg_1_ ( 
+        .D(n84434), .DE(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_gennum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_N37), .DE(n36228), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_gennum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_gennum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_N36), .DE(n36228), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_gennum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_4_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N168), .DE(n36364), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_4) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_gennum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_N34), .DE(n36234), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_gennum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_gennum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_N36), .DE(n36234), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_gennum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_10_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N174), .DE(n36364), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_10) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_gennum_reg_3_ ( 
+        .D(n85456), .DE(n36235), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_gennum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_gennum_reg_2_ ( 
+        .D(n85455), .DE(n36235), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_gennum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_11_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N175), .DE(n36364), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_11) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_2_reg ( 
+        .D(n86092), .DE(n36364), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_2) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_readys_mask_reg_5_ ( 
+        .D(n84435), .DE(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_readys_mask_reg_9_ ( 
+        .D(n85703), .DE(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_readys_mask_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N159), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[28]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_insn_cmd_en_reg ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N276), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_insn_pad_cnt_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N302), .DE(
+        n36151), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_insn_pad_cnt_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N305), .DE(
+        n36151), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_insn_pad_cnt_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N304), .DE(
+        n36151), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_insn_pad_cnt_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N303), .DE(
+        n36151), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_insn_addr_len_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N286), .DE(
+        n36151), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_insn_addr_len_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N287), .DE(
+        n36151), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_insn_addr_len_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N285), .DE(
+        n36151), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_cnt_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_N107), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_cnt[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_state_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_N122), 
+        .DE(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_N149), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_state[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_state_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_N123), 
+        .DE(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_N149), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_state[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_value_1_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N230), .DE(n36373), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_value_1_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N231), .DE(n36373), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_value_1_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N232), .DE(n36373), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_maybe_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N237), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N236), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_value_1_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_N55), .DE(n36224), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_maybe_full_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_N60), .DE(MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_N59), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_value_reg_0_ ( 
+        .D(n85893), .DE(n36365), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_value_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N225), .DE(n36365), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_value_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N226), .DE(n36365), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_size_reg_0__1_ ( 
+        .D(n84436), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_size[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_size_reg_1__1_ ( 
+        .D(n84436), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_d_first_counter_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_N157), .DE(n36366), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_d_first_counter[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_size_reg_1__2_ ( 
+        .D(n85895), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_size_reg_1__2_ ( 
+        .D(n84484), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_size_reg_1__2_ ( 
+        .D(n84528), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N811), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N810), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_blockProbeAfterGrantCount_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_blockProbeAfterGrantCount[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_blockProbeAfterGrantCount_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_blockProbeAfterGrantCount[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_blockProbeAfterGrantCount_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N454), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_blockProbeAfterGrantCount[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_cmd_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_cmd[0]), .DE(n86395), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pma_checker_io_req_bits_cmd[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_cmd_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_cmd[3]), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pma_checker_io_req_bits_cmd[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_cmd_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_cmd[2]), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pma_checker_io_req_bits_cmd[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_cmd_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_cmd[1]), .DE(n86395), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pma_checker_io_req_bits_cmd[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_cmd_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pma_checker_io_req_bits_cmd[0]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_amoalu_io_cmd[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_cmd_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pma_checker_io_req_bits_cmd[3]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_amoalu_io_cmd[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_cmd_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pma_checker_io_req_bits_cmd[2]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_amoalu_io_cmd[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_cmd_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pma_checker_io_req_bits_cmd[1]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_amoalu_io_cmd[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_data_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[0]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_data_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[7]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_data_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[6]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_data_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[5]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_data_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[4]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_data_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[3]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_data_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[2]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_way_reg_1_ ( 
+        .D(n84437), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_way[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_rmw_r_reg ( 
+        .D(n85788), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_rmw_r) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_addr_reg_0_ ( 
+        .D(n85619), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_addr_reg_5_ ( 
+        .D(n85777), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[5]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_addr_reg_4_ ( 
+        .D(n85776), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[4]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_addr_reg_3_ ( 
+        .D(n85775), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[3]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_addr_reg_2_ ( 
+        .D(n85774), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[2]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_addr_reg_1_ ( 
+        .D(n85640), .DE(n86395), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_mask_reg_0_ ( 
+        .D(n84438), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_size_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_size[1]), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pma_checker_io_req_bits_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_mask_reg_2_ ( 
+        .D(n84439), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_tag_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[1]), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_tag[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_vaddr_reg_0_ ( 
+        .D(n85619), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_vaddr_reg_31_ ( 
+        .D(n85624), .DE(n86395), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_vaddr_31_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_vaddr_reg_5_ ( 
+        .D(n85777), .DE(n86395), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_vaddr_reg_4_ ( 
+        .D(n85776), .DE(n86395), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_vaddr_reg_3_ ( 
+        .D(n85775), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_vaddr_reg_2_ ( 
+        .D(n85774), .DE(n86395), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_vaddr_reg_1_ ( 
+        .D(n85640), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_size_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_size[1]), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_cmd_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_cmd[0]), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_cmd[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_cmd_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_cmd[3]), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_cmd[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_cmd_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_cmd[2]), .DE(n86395), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_cmd[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_cmd_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_cmd[1]), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_cmd[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_vaddr_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[29]), .DE(n86395), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[29]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_addr_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N736), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_address_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N544), .DE(n86334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_0__29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[29]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_1__29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[29]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_source_reg_1_ ( 
+        .D(n84440), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source_reg_0__1_ ( 
+        .D(n84441), .DE(n86449), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source_reg_1__1_ ( 
+        .D(n84441), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source_reg_2__1_ ( 
+        .D(n84441), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source_reg_3__1_ ( 
+        .D(n84441), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source_reg_4__1_ ( 
+        .D(n84441), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source_reg_5__1_ ( 
+        .D(n84441), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source_reg_6__1_ ( 
+        .D(n84441), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source_reg_7__1_ ( 
+        .D(n84441), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_source_reg_1_ ( 
+        .D(n85813), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_source_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_bundleIn_0_a_bits_source[8]), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_binder_auto_in_d_bits_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_r_reg_0_ ( 
+        .D(n85785), .DE(n36473), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_r[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_sent_d_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N24), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_sent_d) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_value_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N94), .DE(n36469), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_value_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N95), .DE(n36469), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_value_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N96), .DE(n36469), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_value_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N97), .DE(n36469), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_MPORT_addr[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_15__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_15__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_15__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_15__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_15__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_15__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_15__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_15__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_15__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_15__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_15__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_15__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_15__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_15__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_15__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_15__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_15__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_15__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_14__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[63]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_14__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[61]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_14__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[60]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_14__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_14__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_14__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_14__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_14__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_14__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_14__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_14__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_14__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_14__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_14__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_14__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_14__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_14__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_14__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_10__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[191]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_10__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[189]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_10__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[188]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_10__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[187]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_10__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[186]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_10__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[183]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_10__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[182]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_10__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[181]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_10__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[180]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_10__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[179]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_10__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[178]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_10__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[177]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_10__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[176]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_10__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[175]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_10__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[174]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_10__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[173]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_10__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[169]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_10__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[168]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_3__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[415]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_3__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[413]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_3__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[412]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_3__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[411]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_3__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[410]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_3__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[407]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_3__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[406]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_3__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[405]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_3__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[404]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_3__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[403]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_3__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[402]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_3__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[401]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_3__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[400]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_3__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[399]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_3__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[398]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_3__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[397]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_3__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[393]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_3__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[392]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_11__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[159]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_11__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[157]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_11__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[156]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_11__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[155]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_11__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[154]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_11__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[151]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_11__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[150]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_11__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[149]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_11__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[148]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_11__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[147]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_11__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[146]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_11__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[145]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_11__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[144]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_11__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[143]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_11__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[142]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_11__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[141]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_11__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[137]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_11__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[136]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_7__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[287]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_7__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[285]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_7__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[284]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_7__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[283]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_7__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[282]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_7__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[279]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_7__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[278]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_7__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[277]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_7__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[276]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_7__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[275]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_7__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[274]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_7__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[273]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_7__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[272]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_7__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[271]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_7__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[270]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_7__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[269]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_7__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[265]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_7__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[264]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_6__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[319]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_6__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[317]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_6__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[316]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_6__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[315]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_6__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[314]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_6__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[311]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_6__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[310]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_6__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[309]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_6__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[308]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_6__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[307]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_6__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[306]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_6__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[305]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_6__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[304]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_6__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[303]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_6__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[302]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_6__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[301]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_6__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[297]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_6__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[296]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_2__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[447]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_2__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[445]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_2__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[444]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_2__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[443]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_2__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[442]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_2__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[439]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_2__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[438]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_2__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[437]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_2__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[436]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_2__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[435]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_2__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[434]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_2__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[433]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_2__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[432]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_2__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[431]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_2__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[430]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_2__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[429]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_2__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[425]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_2__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[424]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_13__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[95]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_13__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[93]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_13__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[92]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_13__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[91]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_13__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[90]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_13__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[87]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_13__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[86]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_13__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[85]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_13__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[84]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_13__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[83]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_13__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[82]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_13__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[81]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_13__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[80]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_13__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[79]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_13__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[78]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_13__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[77]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_13__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[73]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_13__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[72]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_9__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[223]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_9__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[221]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_9__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[220]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_9__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[219]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_9__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[218]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_9__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[215]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_9__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[214]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_9__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[213]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_9__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[212]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_9__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[211]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_9__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[210]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_9__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[209]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_9__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[208]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_9__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[207]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_9__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[206]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_9__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[205]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_9__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[201]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_9__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[200]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_5__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[351]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_5__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[349]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_5__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[348]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_5__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[347]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_5__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[346]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_5__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[343]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_5__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[342]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_5__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[341]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_5__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[340]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_5__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[339]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_5__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[338]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_5__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[337]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_5__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[336]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_5__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[335]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_5__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[334]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_5__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[333]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_5__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[329]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_5__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[328]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_1__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[479]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_1__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[477]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_1__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[476]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_1__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[475]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_1__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[474]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_1__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[471]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_1__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[470]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_1__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[469]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_1__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[468]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_1__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[467]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_1__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[466]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_1__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[465]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_1__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[464]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_1__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[463]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_1__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[462]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_1__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[461]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_1__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[457]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_1__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[456]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_12__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[127]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_12__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[125]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_12__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[124]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_12__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[123]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_12__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[122]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_12__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[119]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_12__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[118]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_12__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[117]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_12__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[116]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_12__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[115]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_12__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[114]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_12__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[113]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_12__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[112]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_12__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[111]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_12__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[110]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_12__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[109]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_12__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[105]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_12__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[104]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_8__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[255]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_8__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[253]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_8__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[252]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_8__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[251]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_8__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[250]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_8__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[247]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_8__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[246]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_8__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[245]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_8__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[244]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_8__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[243]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_8__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[242]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_8__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[241]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_8__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[240]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_8__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[239]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_8__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[238]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_8__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[237]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_8__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[233]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_8__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[232]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_4__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[383]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_4__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[381]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_4__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[380]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_4__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[379]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_4__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[378]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_4__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[375]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_4__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[374]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_4__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[373]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_4__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[372]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_4__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[371]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_4__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[370]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_4__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[369]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_4__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[368]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_4__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[367]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_4__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[366]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_4__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[365]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_4__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[361]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_4__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[360]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_0__31_ ( 
+        .D(n84741), .DE(n59881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[511]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_0__29_ ( 
+        .D(n84743), .DE(n59881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[509]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_0__28_ ( 
+        .D(n84744), .DE(n59881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[508]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_0__27_ ( 
+        .D(n84745), .DE(n59881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[507]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_0__26_ ( 
+        .D(n84746), .DE(n59881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[506]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_0__23_ ( 
+        .D(n84749), .DE(n59881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[503]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_0__22_ ( 
+        .D(n84750), .DE(n59881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[502]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_0__21_ ( 
+        .D(n84751), .DE(n59881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[501]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_0__20_ ( 
+        .D(n84752), .DE(n59881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[500]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_0__19_ ( 
+        .D(n84753), .DE(n59881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[499]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_0__18_ ( 
+        .D(n84754), .DE(n59881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[498]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_0__17_ ( 
+        .D(n84755), .DE(n59881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[497]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_0__16_ ( 
+        .D(n84756), .DE(n59881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[496]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_0__15_ ( 
+        .D(n84757), .DE(n59881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[495]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_0__14_ ( 
+        .D(n84758), .DE(n59881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[494]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_0__13_ ( 
+        .D(n84759), .DE(n59881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[493]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_0__9_ ( 
+        .D(n84760), .DE(n59881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[489]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_0__8_ ( 
+        .D(n84761), .DE(n59881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[488]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state_1_3_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N153), 
+        .DE(n36472), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state_1_3)
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_opcode_reg_2_ ( 
+        .D(n84442), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_reg_0__2_ ( 
+        .D(n85465), .DE(n86449), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_reg_1__2_ ( 
+        .D(n85465), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_reg_2__2_ ( 
+        .D(n85465), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_reg_3__2_ ( 
+        .D(n85465), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_reg_4__2_ ( 
+        .D(n85465), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_reg_5__2_ ( 
+        .D(n85465), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_reg_6__2_ ( 
+        .D(n85465), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_reg_7__2_ ( 
+        .D(n85465), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_opcode_reg_2_ ( 
+        .D(n84443), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_opcode_reg_2_ ( 
+        .D(n85734), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_opcode_hold[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_opcode_reg_1__0_ ( 
+        .D(n84527), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_opcode[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_doUncachedResp_reg ( 
+        .D(n85816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_requestor_0_resp_bits_replay) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_size_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N766), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_uncachedReqs_0_size_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_size[1]), .DE(n37023), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_uncachedReqs_0_size[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_tag_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N754), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_requestor_0_resp_bits_tag[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_uncachedReqs_0_tag_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_requestor_0_resp_bits_tag[1]), .DE(n37023), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_uncachedReqs_0_tag[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_addr_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N707), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_uncachedReqs_0_addr_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[0]), .DE(n37023), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_367[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_1__0_ ( 
+        .D(n84444), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_0__0_ ( 
+        .D(n84444), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_coherent_jbar_auto_out_a_bits_address[0]), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_0__0_ ( 
+        .D(n84445), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_1__0_ ( 
+        .D(n84445), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_addr_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N708), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_uncachedReqs_0_addr_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[1]), .DE(n37023), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_367[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_1__1_ ( 
+        .D(n84446), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_0__1_ ( 
+        .D(n84446), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_coherent_jbar_auto_out_a_bits_address[1]), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_0__1_ ( 
+        .D(n84447), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_1__1_ ( 
+        .D(n84447), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_addr_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N709), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_1__2_ ( 
+        .D(n84448), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_0__2_ ( 
+        .D(n84448), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_coherent_jbar_auto_out_a_bits_address[2]), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_0__2_ ( 
+        .D(n84449), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_1__2_ ( 
+        .D(n84449), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_addr_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N710), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_1__3_ ( 
+        .D(n84450), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_0__3_ ( 
+        .D(n84450), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_coherent_jbar_auto_out_a_bits_address[3]), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_0__3_ ( 
+        .D(n84451), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_1__3_ ( 
+        .D(n84451), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_addr_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N711), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_1__4_ ( 
+        .D(n84452), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_0__4_ ( 
+        .D(n84452), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_coherent_jbar_auto_out_a_bits_address[4]), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_0__4_ ( 
+        .D(n84453), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_1__4_ ( 
+        .D(n84453), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[4]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_addr_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N712), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[5]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_addr_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N737), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[18]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_cmd_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N592), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_cmd[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_cmd_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N593), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_cmd[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_opcode_reg_1__1_ ( 
+        .D(n84454), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_opcode_reg_0__1_ ( 
+        .D(n84454), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_opcode[4]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_valid_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N604), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_valid) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[5]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[4]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[3]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[2]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_mask_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[0]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_49[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_mask_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[2]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_49[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_way_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_way[1]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_way[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data_r_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[0]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data_r_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[7]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data_r_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[5]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data_r_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[4]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[4]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_held_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N598), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_held) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_0__0_ ( 
+        .D(n84455), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_1__0_ ( 
+        .D(n84455), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_0__0_ ( 
+        .D(n84740), .DE(n59881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[480]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_1__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[448]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_2__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[416]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_3__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[384]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_4__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[352]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_5__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[320]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_6__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[288]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_7__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[256]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_8__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[224]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_9__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[192]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_10__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[160]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_11__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[128]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_12__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[96]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_13__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[64]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_14__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_15__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_0__0_ ( 
+        .D(n84740), .DE(n59919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[480]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_1__0_ ( 
+        .D(n84740), .DE(n59921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[448]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_2__0_ ( 
+        .D(n84740), .DE(n59920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[416]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_3__0_ ( 
+        .D(n84740), .DE(n59918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[384]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_4__0_ ( 
+        .D(n84740), .DE(n59909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[352]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_5__0_ ( 
+        .D(n84740), .DE(n59912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[320]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_6__0_ ( 
+        .D(n84740), .DE(n59910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[288]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_7__0_ ( 
+        .D(n84740), .DE(n86444), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[256]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_8__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[224]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_9__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[192]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_10__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[160]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_11__0_ ( 
+        .D(n84740), .DE(n59895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[128]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_12__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[96]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_13__0_ ( 
+        .D(n84740), .DE(n59882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[64]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_14__0_ ( 
+        .D(n84740), .DE(n59883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_15__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_0__0_ ( 
+        .D(n84740), .DE(n59938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[480]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_1__0_ ( 
+        .D(n84740), .DE(n59937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[448]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_2__0_ ( 
+        .D(n84740), .DE(n59936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[416]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_3__0_ ( 
+        .D(n84740), .DE(n59939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[384]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_4__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[352]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_5__0_ ( 
+        .D(n84740), .DE(n59901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[320]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_6__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[288]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_7__0_ ( 
+        .D(n84740), .DE(n59894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[256]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_8__0_ ( 
+        .D(n84740), .DE(n59942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[224]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_9__0_ ( 
+        .D(n84740), .DE(n59941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[192]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_10__0_ ( 
+        .D(n84740), .DE(n59943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[160]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_11__0_ ( 
+        .D(n84740), .DE(n59945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[128]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_12__0_ ( 
+        .D(n84740), .DE(n59904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[96]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_13__0_ ( 
+        .D(n84740), .DE(n59903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[64]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_14__0_ ( 
+        .D(n84740), .DE(n59906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_15__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_data_reg_0_ ( 
+        .D(n84740), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_0[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_0__1_ ( 
+        .D(n84456), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_1__1_ ( 
+        .D(n84456), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_0__1_ ( 
+        .D(n84768), .DE(n59881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[481]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_1__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[449]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_2__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[417]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_3__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[385]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_4__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[353]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_5__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[321]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_6__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[289]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_7__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[257]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_8__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[225]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_9__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[193]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_10__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[161]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_11__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[129]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_12__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[97]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_13__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[65]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_14__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_15__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_0__1_ ( 
+        .D(n84768), .DE(n59919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[481]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_1__1_ ( 
+        .D(n84768), .DE(n59921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[449]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_2__1_ ( 
+        .D(n84768), .DE(n59920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[417]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_3__1_ ( 
+        .D(n84768), .DE(n59918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[385]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_4__1_ ( 
+        .D(n84768), .DE(n59909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[353]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_5__1_ ( 
+        .D(n84768), .DE(n59912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[321]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_6__1_ ( 
+        .D(n84768), .DE(n59910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[289]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_7__1_ ( 
+        .D(n84768), .DE(n86444), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[257]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_8__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[225]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_9__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[193]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_10__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[161]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_11__1_ ( 
+        .D(n84768), .DE(n59895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[129]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_12__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[97]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_13__1_ ( 
+        .D(n84768), .DE(n59882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[65]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_14__1_ ( 
+        .D(n84768), .DE(n59883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_15__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_0__1_ ( 
+        .D(n84768), .DE(n59938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[481]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_1__1_ ( 
+        .D(n84768), .DE(n59937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[449]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_2__1_ ( 
+        .D(n84768), .DE(n59936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[417]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_3__1_ ( 
+        .D(n84768), .DE(n59939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[385]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_4__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[353]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_5__1_ ( 
+        .D(n84768), .DE(n59901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[321]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_6__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[289]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_7__1_ ( 
+        .D(n84768), .DE(n59894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[257]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_8__1_ ( 
+        .D(n84768), .DE(n59942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[225]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_9__1_ ( 
+        .D(n84768), .DE(n59941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[193]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_10__1_ ( 
+        .D(n84768), .DE(n59943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[161]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_11__1_ ( 
+        .D(n84768), .DE(n59945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[129]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_12__1_ ( 
+        .D(n84768), .DE(n59904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[97]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_13__1_ ( 
+        .D(n84768), .DE(n59903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[65]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_14__1_ ( 
+        .D(n84768), .DE(n59906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_15__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_data_reg_1_ ( 
+        .D(n84768), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_1[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_0__2_ ( 
+        .D(n84457), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_1__2_ ( 
+        .D(n84457), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_0__2_ ( 
+        .D(n84767), .DE(n59881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[482]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_1__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[450]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_2__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[418]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_3__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[386]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_4__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[354]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_5__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[322]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_6__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[290]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_7__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[258]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_8__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[226]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_9__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[194]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_10__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[162]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_11__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[130]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_12__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[98]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_13__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[66]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_14__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_15__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_0__2_ ( 
+        .D(n84767), .DE(n59919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[482]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_1__2_ ( 
+        .D(n84767), .DE(n59921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[450]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_2__2_ ( 
+        .D(n84767), .DE(n59920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[418]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_3__2_ ( 
+        .D(n84767), .DE(n59918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[386]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_4__2_ ( 
+        .D(n84767), .DE(n59909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[354]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_5__2_ ( 
+        .D(n84767), .DE(n59912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[322]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_6__2_ ( 
+        .D(n84767), .DE(n59910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[290]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_7__2_ ( 
+        .D(n84767), .DE(n86444), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[258]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_8__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[226]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_9__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[194]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_10__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[162]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_11__2_ ( 
+        .D(n84767), .DE(n59895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[130]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_12__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[98]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_13__2_ ( 
+        .D(n84767), .DE(n59882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[66]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_14__2_ ( 
+        .D(n84767), .DE(n59883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_15__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_0__2_ ( 
+        .D(n84767), .DE(n59938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[482]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_1__2_ ( 
+        .D(n84767), .DE(n59937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[450]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_2__2_ ( 
+        .D(n84767), .DE(n59936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[418]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_3__2_ ( 
+        .D(n84767), .DE(n59939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[386]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_4__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[354]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_5__2_ ( 
+        .D(n84767), .DE(n59901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[322]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_6__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[290]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_7__2_ ( 
+        .D(n84767), .DE(n59894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[258]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_8__2_ ( 
+        .D(n84767), .DE(n59942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[226]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_9__2_ ( 
+        .D(n84767), .DE(n59941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[194]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_10__2_ ( 
+        .D(n84767), .DE(n59943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[162]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_11__2_ ( 
+        .D(n84767), .DE(n59945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[130]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_12__2_ ( 
+        .D(n84767), .DE(n59904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[98]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_13__2_ ( 
+        .D(n84767), .DE(n59903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[66]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_14__2_ ( 
+        .D(n84767), .DE(n59906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_15__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_data_reg_2_ ( 
+        .D(n84767), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_2[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_0__3_ ( 
+        .D(n84458), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_1__3_ ( 
+        .D(n84458), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_0__3_ ( 
+        .D(n84766), .DE(n59881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[483]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_1__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[451]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_2__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[419]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_3__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[387]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_4__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[355]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_5__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[323]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_6__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[291]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_7__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[259]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_8__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[227]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_9__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[195]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_10__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[163]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_11__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[131]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_12__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[99]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_13__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[67]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_14__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_15__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_0__3_ ( 
+        .D(n84766), .DE(n59919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[483]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_1__3_ ( 
+        .D(n84766), .DE(n59921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[451]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_2__3_ ( 
+        .D(n84766), .DE(n59920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[419]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_3__3_ ( 
+        .D(n84766), .DE(n59918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[387]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_4__3_ ( 
+        .D(n84766), .DE(n59909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[355]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_5__3_ ( 
+        .D(n84766), .DE(n59912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[323]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_6__3_ ( 
+        .D(n84766), .DE(n59910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[291]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_7__3_ ( 
+        .D(n84766), .DE(n86444), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[259]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_8__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[227]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_9__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[195]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_10__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[163]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_11__3_ ( 
+        .D(n84766), .DE(n59895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[131]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_12__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[99]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_13__3_ ( 
+        .D(n84766), .DE(n59882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[67]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_14__3_ ( 
+        .D(n84766), .DE(n59883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_15__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_0__3_ ( 
+        .D(n84766), .DE(n59938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[483]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_1__3_ ( 
+        .D(n84766), .DE(n59937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[451]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_2__3_ ( 
+        .D(n84766), .DE(n59936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[419]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_3__3_ ( 
+        .D(n84766), .DE(n59939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[387]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_4__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[355]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_5__3_ ( 
+        .D(n84766), .DE(n59901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[323]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_6__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[291]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_7__3_ ( 
+        .D(n84766), .DE(n59894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[259]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_8__3_ ( 
+        .D(n84766), .DE(n59942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[227]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_9__3_ ( 
+        .D(n84766), .DE(n59941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[195]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_10__3_ ( 
+        .D(n84766), .DE(n59943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[163]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_11__3_ ( 
+        .D(n84766), .DE(n59945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[131]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_12__3_ ( 
+        .D(n84766), .DE(n59904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[99]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_13__3_ ( 
+        .D(n84766), .DE(n59903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[67]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_14__3_ ( 
+        .D(n84766), .DE(n59906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_15__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_data_reg_3_ ( 
+        .D(n84766), .DE(n86577), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_3[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_0__4_ ( 
+        .D(n84459), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_1__4_ ( 
+        .D(n84459), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_0__4_ ( 
+        .D(n84765), .DE(n59881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[484]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_1__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[452]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_2__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[420]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_3__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[388]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_4__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[356]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_5__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[324]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_6__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[292]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_7__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[260]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_8__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[228]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_9__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[196]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_10__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[164]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_11__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[132]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_12__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[100]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_13__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[68]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_14__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_15__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_0__4_ ( 
+        .D(n84765), .DE(n59919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[484]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_1__4_ ( 
+        .D(n84765), .DE(n59921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[452]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_2__4_ ( 
+        .D(n84765), .DE(n59920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[420]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_3__4_ ( 
+        .D(n84765), .DE(n59918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[388]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_4__4_ ( 
+        .D(n84765), .DE(n59909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[356]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_5__4_ ( 
+        .D(n84765), .DE(n59912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[324]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_6__4_ ( 
+        .D(n84765), .DE(n59910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[292]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_7__4_ ( 
+        .D(n84765), .DE(n86444), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[260]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_8__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[228]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_9__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[196]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_10__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[164]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_11__4_ ( 
+        .D(n84765), .DE(n59895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[132]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_12__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[100]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_13__4_ ( 
+        .D(n84765), .DE(n59882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[68]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_14__4_ ( 
+        .D(n84765), .DE(n59883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_15__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_0__4_ ( 
+        .D(n84765), .DE(n59938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[484]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_1__4_ ( 
+        .D(n84765), .DE(n59937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[452]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_2__4_ ( 
+        .D(n84765), .DE(n59936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[420]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_3__4_ ( 
+        .D(n84765), .DE(n59939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[388]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_4__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[356]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_5__4_ ( 
+        .D(n84765), .DE(n59901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[324]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_6__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[292]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_7__4_ ( 
+        .D(n84765), .DE(n59894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[260]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_8__4_ ( 
+        .D(n84765), .DE(n59942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[228]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_9__4_ ( 
+        .D(n84765), .DE(n59941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[196]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_10__4_ ( 
+        .D(n84765), .DE(n59943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[164]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_11__4_ ( 
+        .D(n84765), .DE(n59945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[132]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_12__4_ ( 
+        .D(n84765), .DE(n59904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[100]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_13__4_ ( 
+        .D(n84765), .DE(n59903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[68]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_14__4_ ( 
+        .D(n84765), .DE(n59906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_15__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_data_reg_4_ ( 
+        .D(n84765), .DE(n86577), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_4[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_0__5_ ( 
+        .D(n84460), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_1__5_ ( 
+        .D(n84460), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_0__5_ ( 
+        .D(n84764), .DE(n59881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[485]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_1__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[453]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_2__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[421]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_3__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[389]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_4__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[357]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_5__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[325]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_6__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[293]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_7__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[261]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_8__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[229]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_9__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[197]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_10__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[165]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_11__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[133]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_12__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[101]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_13__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[69]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_14__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_15__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_0__5_ ( 
+        .D(n84764), .DE(n59919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[485]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_1__5_ ( 
+        .D(n84764), .DE(n59921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[453]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_2__5_ ( 
+        .D(n84764), .DE(n59920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[421]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_3__5_ ( 
+        .D(n84764), .DE(n59918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[389]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_4__5_ ( 
+        .D(n84764), .DE(n59909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[357]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_5__5_ ( 
+        .D(n84764), .DE(n59912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[325]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_6__5_ ( 
+        .D(n84764), .DE(n59910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[293]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_7__5_ ( 
+        .D(n84764), .DE(n86444), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[261]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_8__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[229]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_9__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[197]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_10__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[165]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_11__5_ ( 
+        .D(n84764), .DE(n59895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[133]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_12__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[101]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_13__5_ ( 
+        .D(n84764), .DE(n59882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[69]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_14__5_ ( 
+        .D(n84764), .DE(n59883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_15__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_0__5_ ( 
+        .D(n84764), .DE(n59938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[485]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_1__5_ ( 
+        .D(n84764), .DE(n59937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[453]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_2__5_ ( 
+        .D(n84764), .DE(n59936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[421]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_3__5_ ( 
+        .D(n84764), .DE(n59939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[389]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_4__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[357]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_5__5_ ( 
+        .D(n84764), .DE(n59901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[325]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_6__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[293]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_7__5_ ( 
+        .D(n84764), .DE(n59894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[261]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_8__5_ ( 
+        .D(n84764), .DE(n59942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[229]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_9__5_ ( 
+        .D(n84764), .DE(n59941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[197]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_10__5_ ( 
+        .D(n84764), .DE(n59943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[165]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_11__5_ ( 
+        .D(n84764), .DE(n59945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[133]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_12__5_ ( 
+        .D(n84764), .DE(n59904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[101]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_13__5_ ( 
+        .D(n84764), .DE(n59903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[69]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_14__5_ ( 
+        .D(n84764), .DE(n59906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_15__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_data_reg_5_ ( 
+        .D(n84764), .DE(n86577), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_5[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_0__6_ ( 
+        .D(n84461), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_1__6_ ( 
+        .D(n84461), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_0__6_ ( 
+        .D(n84763), .DE(n59881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[486]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_1__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[454]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_2__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[422]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_3__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[390]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_4__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[358]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_5__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[326]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_6__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[294]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_7__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[262]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_8__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[230]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_9__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[198]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_10__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[166]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_11__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[134]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_12__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[102]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_13__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[70]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_14__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_15__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_0__6_ ( 
+        .D(n84763), .DE(n59919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[486]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_1__6_ ( 
+        .D(n84763), .DE(n59921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[454]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_2__6_ ( 
+        .D(n84763), .DE(n59920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[422]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_3__6_ ( 
+        .D(n84763), .DE(n59918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[390]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_4__6_ ( 
+        .D(n84763), .DE(n59909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[358]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_5__6_ ( 
+        .D(n84763), .DE(n59912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[326]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_6__6_ ( 
+        .D(n84763), .DE(n59910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[294]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_7__6_ ( 
+        .D(n84763), .DE(n86444), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[262]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_8__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[230]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_9__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[198]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_10__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[166]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_11__6_ ( 
+        .D(n84763), .DE(n59895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[134]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_12__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[102]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_13__6_ ( 
+        .D(n84763), .DE(n59882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[70]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_14__6_ ( 
+        .D(n84763), .DE(n59883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_15__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_0__6_ ( 
+        .D(n84763), .DE(n59938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[486]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_1__6_ ( 
+        .D(n84763), .DE(n59937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[454]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_2__6_ ( 
+        .D(n84763), .DE(n59936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[422]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_3__6_ ( 
+        .D(n84763), .DE(n59939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[390]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_4__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[358]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_5__6_ ( 
+        .D(n84763), .DE(n59901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[326]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_6__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[294]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_7__6_ ( 
+        .D(n84763), .DE(n59894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[262]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_8__6_ ( 
+        .D(n84763), .DE(n59942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[230]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_9__6_ ( 
+        .D(n84763), .DE(n59941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[198]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_10__6_ ( 
+        .D(n84763), .DE(n59943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[166]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_11__6_ ( 
+        .D(n84763), .DE(n59945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[134]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_12__6_ ( 
+        .D(n84763), .DE(n59904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[102]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_13__6_ ( 
+        .D(n84763), .DE(n59903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[70]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_14__6_ ( 
+        .D(n84763), .DE(n59906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_15__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_data_reg_6_ ( 
+        .D(n84763), .DE(n86577), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_6[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_0__7_ ( 
+        .D(n84462), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_1__7_ ( 
+        .D(n84462), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_0__7_ ( 
+        .D(n84762), .DE(n59881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[487]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_1__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[455]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_2__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[423]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_3__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[391]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_4__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[359]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_5__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[327]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_6__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[295]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_7__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[263]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_8__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[231]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_9__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[199]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_10__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[167]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_11__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[135]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_12__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[103]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_13__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[71]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_14__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_15__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_0__7_ ( 
+        .D(n84762), .DE(n59919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[487]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_1__7_ ( 
+        .D(n84762), .DE(n59921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[455]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_2__7_ ( 
+        .D(n84762), .DE(n59920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[423]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_3__7_ ( 
+        .D(n84762), .DE(n59918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[391]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_4__7_ ( 
+        .D(n84762), .DE(n59909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[359]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_5__7_ ( 
+        .D(n84762), .DE(n59912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[327]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_6__7_ ( 
+        .D(n84762), .DE(n59910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[295]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_7__7_ ( 
+        .D(n84762), .DE(n86444), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[263]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_8__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[231]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_9__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[199]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_10__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[167]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_11__7_ ( 
+        .D(n84762), .DE(n59895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[135]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_12__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[103]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_13__7_ ( 
+        .D(n84762), .DE(n59882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[71]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_14__7_ ( 
+        .D(n84762), .DE(n59883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_15__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_0__7_ ( 
+        .D(n84762), .DE(n59938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[487]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_1__7_ ( 
+        .D(n84762), .DE(n59937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[455]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_2__7_ ( 
+        .D(n84762), .DE(n59936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[423]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_3__7_ ( 
+        .D(n84762), .DE(n59939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[391]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_4__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[359]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_5__7_ ( 
+        .D(n84762), .DE(n59901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[327]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_6__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[295]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_7__7_ ( 
+        .D(n84762), .DE(n59894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[263]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_8__7_ ( 
+        .D(n84762), .DE(n59942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[231]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_9__7_ ( 
+        .D(n84762), .DE(n59941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[199]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_10__7_ ( 
+        .D(n84762), .DE(n59943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[167]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_11__7_ ( 
+        .D(n84762), .DE(n59945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[135]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_12__7_ ( 
+        .D(n84762), .DE(n59904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[103]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_13__7_ ( 
+        .D(n84762), .DE(n59903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[71]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_14__7_ ( 
+        .D(n84762), .DE(n59906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_15__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_data_reg_7_ ( 
+        .D(n84762), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_7[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_0__24_ ( 
+        .D(n84463), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_1__24_ ( 
+        .D(n84463), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_0__24_ ( 
+        .D(n84748), .DE(n59881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[504]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_1__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[472]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_2__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[440]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_3__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[408]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_4__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[376]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_5__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[344]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_6__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[312]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_7__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[280]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_8__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[248]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_9__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[216]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_10__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[184]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_11__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[152]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_12__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[120]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_13__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[88]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_14__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_15__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_0__24_ ( 
+        .D(n84748), .DE(n59919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[504]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_1__24_ ( 
+        .D(n84748), .DE(n59921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[472]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_2__24_ ( 
+        .D(n84748), .DE(n59920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[440]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_3__24_ ( 
+        .D(n84748), .DE(n59918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[408]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_4__24_ ( 
+        .D(n84748), .DE(n59909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[376]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_5__24_ ( 
+        .D(n84748), .DE(n59912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[344]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_6__24_ ( 
+        .D(n84748), .DE(n59910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[312]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_7__24_ ( 
+        .D(n84748), .DE(n86444), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[280]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_8__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[248]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_9__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[216]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_10__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[184]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_11__24_ ( 
+        .D(n84748), .DE(n59895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[152]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_12__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[120]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_13__24_ ( 
+        .D(n84748), .DE(n59882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[88]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_14__24_ ( 
+        .D(n84748), .DE(n59883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_15__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_0__24_ ( 
+        .D(n84748), .DE(n59938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[504]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_1__24_ ( 
+        .D(n84748), .DE(n59937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[472]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_2__24_ ( 
+        .D(n84748), .DE(n59936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[440]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_3__24_ ( 
+        .D(n84748), .DE(n59939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[408]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_4__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[376]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_5__24_ ( 
+        .D(n84748), .DE(n59901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[344]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_6__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[312]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_7__24_ ( 
+        .D(n84748), .DE(n59894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[280]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_8__24_ ( 
+        .D(n84748), .DE(n59942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[248]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_9__24_ ( 
+        .D(n84748), .DE(n59941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[216]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_10__24_ ( 
+        .D(n84748), .DE(n59943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[184]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_11__24_ ( 
+        .D(n84748), .DE(n59945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[152]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_12__24_ ( 
+        .D(n84748), .DE(n59904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[120]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_13__24_ ( 
+        .D(n84748), .DE(n59903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[88]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_14__24_ ( 
+        .D(n84748), .DE(n59906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_15__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_data_reg_24_ ( 
+        .D(n84748), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_24[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_0__25_ ( 
+        .D(n84464), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_1__25_ ( 
+        .D(n84464), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_0__25_ ( 
+        .D(n84747), .DE(n59881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[505]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_1__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[473]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_2__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[441]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_3__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[409]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_4__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[377]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_5__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[345]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_6__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[313]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_7__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[281]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_8__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[249]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_9__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[217]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_10__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[185]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_11__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[153]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_12__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[121]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_13__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[89]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_14__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_15__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_0__25_ ( 
+        .D(n84747), .DE(n59919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[505]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_1__25_ ( 
+        .D(n84747), .DE(n59921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[473]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_2__25_ ( 
+        .D(n84747), .DE(n59920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[441]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_3__25_ ( 
+        .D(n84747), .DE(n59918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[409]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_4__25_ ( 
+        .D(n84747), .DE(n59909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[377]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_5__25_ ( 
+        .D(n84747), .DE(n59912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[345]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_6__25_ ( 
+        .D(n84747), .DE(n59910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[313]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_7__25_ ( 
+        .D(n84747), .DE(n86444), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[281]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_8__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[249]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_9__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[217]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_10__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[185]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_11__25_ ( 
+        .D(n84747), .DE(n59895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[153]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_12__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[121]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_13__25_ ( 
+        .D(n84747), .DE(n59882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[89]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_14__25_ ( 
+        .D(n84747), .DE(n59883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_15__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_0__25_ ( 
+        .D(n84747), .DE(n59938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[505]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_1__25_ ( 
+        .D(n84747), .DE(n59937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[473]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_2__25_ ( 
+        .D(n84747), .DE(n59936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[441]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_3__25_ ( 
+        .D(n84747), .DE(n59939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[409]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_4__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[377]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_5__25_ ( 
+        .D(n84747), .DE(n59901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[345]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_6__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[313]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_7__25_ ( 
+        .D(n84747), .DE(n59894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[281]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_8__25_ ( 
+        .D(n84747), .DE(n59942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[249]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_9__25_ ( 
+        .D(n84747), .DE(n59941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[217]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_10__25_ ( 
+        .D(n84747), .DE(n59943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[185]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_11__25_ ( 
+        .D(n84747), .DE(n59945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[153]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_12__25_ ( 
+        .D(n84747), .DE(n59904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[121]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_13__25_ ( 
+        .D(n84747), .DE(n59903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[89]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_14__25_ ( 
+        .D(n84747), .DE(n59906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_15__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_data_reg_25_ ( 
+        .D(n84747), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_25[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_0__30_ ( 
+        .D(n84465), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[62]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_1__30_ ( 
+        .D(n84465), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_0__30_ ( 
+        .D(n84742), .DE(n59881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[510]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_1__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[478]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_2__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[446]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_3__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[414]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_4__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[382]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_5__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[350]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_6__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[318]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_7__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[286]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_8__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[254]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_9__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[222]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_10__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[190]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_11__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[158]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_12__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[126]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_13__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[94]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_14__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[62]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_15__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_0__30_ ( 
+        .D(n84742), .DE(n59919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[510]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_1__30_ ( 
+        .D(n84742), .DE(n59921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[478]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_2__30_ ( 
+        .D(n84742), .DE(n59920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[446]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_3__30_ ( 
+        .D(n84742), .DE(n59918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[414]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_4__30_ ( 
+        .D(n84742), .DE(n59909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[382]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_5__30_ ( 
+        .D(n84742), .DE(n59912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[350]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_6__30_ ( 
+        .D(n84742), .DE(n59910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[318]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_7__30_ ( 
+        .D(n84742), .DE(n86444), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[286]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_8__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[254]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_9__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[222]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_10__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[190]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_11__30_ ( 
+        .D(n84742), .DE(n59895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[158]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_12__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[126]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_13__30_ ( 
+        .D(n84742), .DE(n59882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[94]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_14__30_ ( 
+        .D(n84742), .DE(n59883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[62]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_15__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_0__30_ ( 
+        .D(n84742), .DE(n59938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[510]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_1__30_ ( 
+        .D(n84742), .DE(n59937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[478]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_2__30_ ( 
+        .D(n84742), .DE(n59936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[446]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_3__30_ ( 
+        .D(n84742), .DE(n59939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[414]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_4__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[382]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_5__30_ ( 
+        .D(n84742), .DE(n59901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[350]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_6__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[318]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_7__30_ ( 
+        .D(n84742), .DE(n59894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[286]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_8__30_ ( 
+        .D(n84742), .DE(n59942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[254]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_9__30_ ( 
+        .D(n84742), .DE(n59941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[222]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_10__30_ ( 
+        .D(n84742), .DE(n59943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[190]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_11__30_ ( 
+        .D(n84742), .DE(n59945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[158]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_12__30_ ( 
+        .D(n84742), .DE(n59904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[126]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_13__30_ ( 
+        .D(n84742), .DE(n59903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[94]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_14__30_ ( 
+        .D(n84742), .DE(n59906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[62]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_15__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_data_reg_30_ ( 
+        .D(n84742), .DE(n86577), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_30[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_param_reg_0__1_ ( 
+        .D(n84466), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_param[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_param_reg_1__1_ ( 
+        .D(n84466), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_param[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_lut_reg_1_ ( 
+        .D(n84524), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_lut[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_param_reg_1_ ( 
+        .D(n85548), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_param[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_0__29_ ( 
+        .D(n84467), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[60]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_1__29_ ( 
+        .D(n84467), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address_reg_29_ ( 
+        .D(n84521), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_0__29_ ( 
+        .D(n84468), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_1__29_ ( 
+        .D(n84468), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_0__30_ ( 
+        .D(n84469), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[61]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_1__30_ ( 
+        .D(n84469), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_param_reg_0__2_ ( 
+        .D(n84470), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_param[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_param_reg_1__2_ ( 
+        .D(n84470), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_param[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_param_reg_2_ ( 
+        .D(n84525), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_param[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_opcode_reg_1__0_ ( 
+        .D(n84471), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_opcode_reg_0__0_ ( 
+        .D(n84471), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_opcode[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_opcode_reg_0_ ( 
+        .D(n84646), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_opcode_0_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_source_reg_1__0_ ( 
+        .D(n84472), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_source_reg_0__0_ ( 
+        .D(n84472), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_source[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_source_reg_0_ ( 
+        .D(n85885), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_source_reg_0__0_ ( 
+        .D(n84473), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_source[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_source_reg_1__0_ ( 
+        .D(n84473), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_param_reg_0__0_ ( 
+        .D(n84474), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_param[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_param_reg_1__0_ ( 
+        .D(n84474), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_param[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_lut_reg_3_ ( 
+        .D(n84523), .DE(n86577), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_lut[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_lut_reg_2_ ( 
+        .D(n36590), .DE(n86577), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_lut[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_param_reg_0_ ( 
+        .D(n84475), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_param[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_size_reg_0__1_ ( 
+        .D(n84512), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_size[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_size_reg_1__1_ ( 
+        .D(n84512), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_size_reg_1_ ( 
+        .D(n85779), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_size[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_size_reg_0__1_ ( 
+        .D(n84477), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_size[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_size_reg_1__1_ ( 
+        .D(n84477), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_param_reg_0__0_ ( 
+        .D(n84478), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_param[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_param_reg_1__0_ ( 
+        .D(n84478), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_param[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_param_reg_0__1_ ( 
+        .D(n84479), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_param[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_param_reg_1__1_ ( 
+        .D(n84479), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_param[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_param_reg_0__2_ ( 
+        .D(n84480), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_param[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_param_reg_1__2_ ( 
+        .D(n84480), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_param[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_opcode_reg_0__0_ ( 
+        .D(n84481), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_opcode[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_opcode_reg_1__0_ ( 
+        .D(n84481), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_opcode_reg_0__1_ ( 
+        .D(n84482), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_opcode[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_opcode_reg_1__1_ ( 
+        .D(n84482), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_opcode_reg_0__2_ ( 
+        .D(n84483), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_opcode[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_opcode_reg_1__2_ ( 
+        .D(n84483), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_reg_1__2_ ( 
+        .D(n85901), .DE(n86413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_source_reg_5_ ( 
+        .D(n36557), .DE(n86596), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_slave_in_d_bits_source[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_value_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N50), .DE(n36482), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N43) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_maybe_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N60), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N59), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_7_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N107), .DE(n36409), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_7) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_4_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N104), .DE(n36409), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_4) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_readys_mask_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N95), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N96), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar__readys_unready_T_8[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_6_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N106), .DE(n36409), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_6) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_value_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N50), 
+        .DE(n36362), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N43) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_size_reg_0__2_ ( 
+        .D(n84484), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_size[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_0__1_ ( 
+        .D(n84485), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_0__2_ ( 
+        .D(n84486), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_0__3_ ( 
+        .D(n84487), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_0__4_ ( 
+        .D(n84488), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_0__5_ ( 
+        .D(n84489), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_0__6_ ( 
+        .D(n84490), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_0__7_ ( 
+        .D(n84491), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_0__8_ ( 
+        .D(n84492), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_0__9_ ( 
+        .D(n84493), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_0__10_ ( 
+        .D(n84494), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_0__11_ ( 
+        .D(n84495), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_0__12_ ( 
+        .D(n84496), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_0__13_ ( 
+        .D(n84497), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_0__15_ ( 
+        .D(n84498), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_0__20_ ( 
+        .D(n84499), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_0__21_ ( 
+        .D(n84500), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_0__22_ ( 
+        .D(n84501), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_0__23_ ( 
+        .D(n84502), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_0__24_ ( 
+        .D(n84503), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_0__25_ ( 
+        .D(n84504), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_0__26_ ( 
+        .D(n84505), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_0__27_ ( 
+        .D(n84506), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_0__28_ ( 
+        .D(n84507), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[60]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_0__29_ ( 
+        .D(n37114), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[61]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_0__30_ ( 
+        .D(n84508), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[62]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_0__31_ ( 
+        .D(n84509), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[63]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_0__0_ ( 
+        .D(n84510), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_value_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N55), .DE(n36353), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_source_reg_0__1_ ( 
+        .D(n84511), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_source[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_source_reg_1__1_ ( 
+        .D(n84511), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_source_reg_1__1_ ( 
+        .D(n84530), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_value_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_N55), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_N54), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_valid_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N67), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_valid) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1_reg_2_ ( 
+        .D(n36500), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data_reg_2_ ( 
+        .D(n85499), .DE(n86287), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data_reg_2_ ( 
+        .D(n86203), .DE(n86439), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data_reg_2_ ( 
+        .D(n86223), .DE(n86433), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data_reg_2_ ( 
+        .D(n86202), .DE(n86427), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data_reg_2_ ( 
+        .D(n86201), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_inst_reg_10_ ( 
+        .D(n85413), .DE(n86422), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_tag_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[4]), .DE(n86395), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_tag[4]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_tag_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N757), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_requestor_0_resp_bits_tag[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_uncachedReqs_0_tag_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_requestor_0_resp_bits_tag[4]), .DE(n37023), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_uncachedReqs_0_tag[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_inst_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[4]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b4_1[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_inst_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b4_1[2]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_waddr[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_inst_reg_11_ ( 
+        .D(n85406), .DE(n86422), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_tag_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[5]), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_tag[5]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_tag_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N758), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_requestor_0_resp_bits_tag[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_uncachedReqs_0_tag_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_requestor_0_resp_bits_tag[5]), .DE(n37023), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_uncachedReqs_0_tag[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_inst_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[5]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b4_1[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_inst_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b4_1[3]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_waddr[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_inst_reg_16_ ( 
+        .D(n85412), .DE(n86422), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b19_12_T_4[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_inst_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b19_12_T_4[4]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_hi_lo_hi_1[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_inst_reg_17_ ( 
+        .D(n85408), .DE(n86422), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b19_12_T_4[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_inst_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b19_12_T_4[5]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_hi_lo_hi_1[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_mem_size_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N429), .DE(n86309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_size_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_size[0]), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_tlb_xcpt_ma_st_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_ma_st), .DE(n86584), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_tlb_xcpt_ma_st) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_tlb_xcpt_ma_ld_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_ma_ld), .DE(n86584), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_tlb_xcpt_ma_ld) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_size_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_size[0]), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pma_checker_io_req_bits_size[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_s2_xcpt_REG_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N823), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_s2_xcpt_REG) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_size_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N765), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_uncachedReqs_0_size_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_size[0]), .DE(n37023), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_uncachedReqs_0_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_mask_reg_0__0_ ( 
+        .D(n84513), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_mask[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_mask_reg_1__0_ ( 
+        .D(n84513), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_mask[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_mask_reg_0_ ( 
+        .D(n84516), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_mask_0_)
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_mask_reg_0__2_ ( 
+        .D(n84514), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_mask[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_mask_reg_1__2_ ( 
+        .D(n84514), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_mask[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_mask_reg_2_ ( 
+        .D(n84518), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics__GEN_39[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_size_reg_1__0_ ( 
+        .D(n84515), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_size_reg_0__0_ ( 
+        .D(n84515), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_size[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_size_reg_0_ ( 
+        .D(n85910), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_size[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_mask_reg_0__0_ ( 
+        .D(n84517), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_mask[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_mask_reg_1__0_ ( 
+        .D(n84517), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_mask[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_mask_reg_0__2_ ( 
+        .D(n84519), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_mask[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_mask_reg_1__2_ ( 
+        .D(n84519), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_mask[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_size_reg_0__0_ ( 
+        .D(n84520), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_size[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_size_reg_1__0_ ( 
+        .D(n84520), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter_2_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N173), 
+        .DE(n36466), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter_2[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_REG_1_reg_24_ ( 
+        .D(n84660), .DE(n86445), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_REG_1_reg_23_ ( 
+        .D(n84521), .DE(n86445), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_REG_1_reg_1_ ( 
+        .D(n84522), .DE(n86445), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_REG_2_reg_1_ ( 
+        .D(n85898), .DE(n86445), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_param[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_REG_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N157), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_valid) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_value_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N45), .DE(n36471), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N38) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_param_reg_0__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_param[0]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N19), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_param[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_param_reg_0__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_param[1]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N19), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_param[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_0__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[7]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N19), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_0__29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[29]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N19), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_0__30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[30]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N19), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_param_reg_1__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_param[1]), .DE(n86415), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_param[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N569), .DE(n86372), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_state_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N482), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_state[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode_reg_1__1_ ( 
+        .D(n85817), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode_reg_0__1_ ( 
+        .D(n85817), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_state_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N481), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_state[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_state_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N480), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_state[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode_reg_1__0_ ( 
+        .D(n84526), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode_reg_0__0_ ( 
+        .D(n84526), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state_1_0_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N150), 
+        .DE(n36472), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state_1_0)
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state_1_2_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N152), 
+        .DE(n36472), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state_1_2)
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_value_1_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N101), .DE(n36284), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_io_deq_bits_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_value_1_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N102), .DE(n36284), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_io_deq_bits_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_value_1_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N103), .DE(n36284), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_io_deq_bits_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_value_1_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N104), .DE(n36284), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_io_deq_bits_MPORT_addr[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_maybe_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N109), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N108), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state__0_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N140), 
+        .DE(n36474), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state__0)
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state__1_reg ( 
+        .D(n85906), .DE(n36474), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state__1)
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_maybe_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_N60), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_N59), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_value_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_N50), .DE(n36306), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_N43) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_opcode_reg_0__0_ ( 
+        .D(n84527), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_opcode[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_size_reg_0__2_ ( 
+        .D(n84528), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_size[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_size_reg_0__0_ ( 
+        .D(n84529), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_size[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_source_reg_0__1_ ( 
+        .D(n84530), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_source[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_0__2_ ( 
+        .D(n84531), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_0__4_ ( 
+        .D(n84532), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_0__5_ ( 
+        .D(n84533), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_0__6_ ( 
+        .D(n84534), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_0__7_ ( 
+        .D(n84535), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_0__8_ ( 
+        .D(n84536), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_0__9_ ( 
+        .D(n84537), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_0__13_ ( 
+        .D(n84538), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_0__15_ ( 
+        .D(n84539), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_0__16_ ( 
+        .D(n84540), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_0__17_ ( 
+        .D(n84541), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_0__18_ ( 
+        .D(n84542), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_0__19_ ( 
+        .D(n84543), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_0__20_ ( 
+        .D(n84544), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_0__21_ ( 
+        .D(n84545), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_0__22_ ( 
+        .D(n84546), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_0__23_ ( 
+        .D(n84547), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_0__24_ ( 
+        .D(n84548), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_0__26_ ( 
+        .D(n84549), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_0__27_ ( 
+        .D(n84550), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_0__28_ ( 
+        .D(n84551), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[60]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_0__29_ ( 
+        .D(n84552), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[61]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_0__31_ ( 
+        .D(n84553), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[63]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_sink_reg_1__1_ ( 
+        .D(n84554), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_sink[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_state_1_reg ( 
+        .D(n85907), .DE(n36363), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_state_1) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_state_0_reg ( 
+        .D(n85459), .DE(n36363), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_state_0) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_value_1_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N55), 
+        .DE(n36305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_maybe_full_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N60), 
+        .DE(MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N59), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_data_reg_2_ ( 
+        .D(n84555), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_2[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_0__2_ ( 
+        .D(n84556), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_1__2_ ( 
+        .D(n84556), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_data_reg_7_ ( 
+        .D(n84557), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_7[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_0__7_ ( 
+        .D(n84558), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_1__7_ ( 
+        .D(n84558), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_data_reg_8_ ( 
+        .D(n84559), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_8[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_data_reg_9_ ( 
+        .D(n84560), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_9[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_data_reg_13_ ( 
+        .D(n84561), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_13[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_data_reg_15_ ( 
+        .D(n84562), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_15[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_data_reg_20_ ( 
+        .D(n84563), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_20[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_data_reg_21_ ( 
+        .D(n84564), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_21[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_data_reg_22_ ( 
+        .D(n84565), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_22[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_data_reg_23_ ( 
+        .D(n84566), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_23[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_data_reg_24_ ( 
+        .D(n84567), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_24[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_0__24_ ( 
+        .D(n84568), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data_reg_1__24_ ( 
+        .D(n84568), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_data_reg_26_ ( 
+        .D(n84569), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_26[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_data_reg_27_ ( 
+        .D(n84570), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_27[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_data_reg_28_ ( 
+        .D(n84571), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_28[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_data_reg_29_ ( 
+        .D(n84572), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_29[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_data_reg_31_ ( 
+        .D(n84573), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_31[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_readys_mask_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_N38), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_N39), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar__readys_unready_T_4_2_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_param_reg_0__0_ ( 
+        .D(n84574), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_param[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_param_reg_1__0_ ( 
+        .D(n84574), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_param[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_param_reg_0__1_ ( 
+        .D(n84575), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_param[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_param_reg_1__1_ ( 
+        .D(n84575), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_param[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_size_reg_0__2_ ( 
+        .D(n84576), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_size[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_size_reg_1__2_ ( 
+        .D(n84576), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_size_reg_0__1_ ( 
+        .D(n85882), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_size_reg_1__1_ ( 
+        .D(n85882), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N853), .DE(n86372), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N847), .DE(n86372), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N848), .DE(n86372), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N849), .DE(n86372), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N850), .DE(n86372), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N851), .DE(n86372), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N852), .DE(n86372), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N854), .DE(n86372), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N570), .DE(n86372), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N571), .DE(n86372), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N572), .DE(n86372), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N573), .DE(n86372), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N574), .DE(n86372), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N575), .DE(n86372), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N576), .DE(n86372), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_1__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[6]), .DE(n86415), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_address_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N521), .DE(n86334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_ack_addr_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[6]), .DE(n86373), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_0__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[6]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_1__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[6]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_addr_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[6]), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[6]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[6]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr[6]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_addr_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N713), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_0__6_ ( 
+        .D(n84577), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_1__6_ ( 
+        .D(n84577), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_REG_1_reg_0_ ( 
+        .D(n84578), .DE(n86445), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_0__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[6]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N19), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address_reg_6_ ( 
+        .D(n84578), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_0__6_ ( 
+        .D(n84579), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_1__6_ ( 
+        .D(n84579), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_vaddr_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[6]), .DE(n86395), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_1__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[7]), .DE(n86415), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_address_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N522), .DE(n86334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_ack_addr_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[7]), .DE(n86373), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_0__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[7]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_1__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[7]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_addr_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[7]), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[7]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[7]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr[7]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_addr_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N714), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_vaddr_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[7]), .DE(n86395), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_1__8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[8]), .DE(n86415), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_address_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N523), .DE(n86334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_ack_addr_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[8]), .DE(n86373), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_0__8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[8]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_1__8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[8]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_addr_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[8]), .DE(n86395), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[8]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[8]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr[8]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_addr_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N715), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_0__8_ ( 
+        .D(n84580), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_1__8_ ( 
+        .D(n84580), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_REG_1_reg_2_ ( 
+        .D(n84581), .DE(n86445), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_0__8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[8]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N19), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address_reg_8_ ( 
+        .D(n84581), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_0__8_ ( 
+        .D(n84582), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_1__8_ ( 
+        .D(n84582), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_vaddr_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[8]), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_1__9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[9]), .DE(n86415), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_address_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N524), .DE(n86334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_ack_addr_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[9]), .DE(n86373), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_0__9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[9]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_1__9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[9]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_addr_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[9]), .DE(n86395), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[9]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[9]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr[9]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_addr_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N716), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_0__9_ ( 
+        .D(n84583), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_1__9_ ( 
+        .D(n84583), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_REG_1_reg_3_ ( 
+        .D(n84584), .DE(n86445), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_0__9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[9]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N19), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address_reg_9_ ( 
+        .D(n84584), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_0__9_ ( 
+        .D(n84585), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_1__9_ ( 
+        .D(n84585), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_vaddr_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[9]), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_1__10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[10]), .DE(n86415), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_address_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N525), .DE(n86334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_ack_addr_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[10]), .DE(n86373), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_0__10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[10]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_1__10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[10]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_addr_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[10]), .DE(n86395), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[10]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[10]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr[10]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_addr_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N717), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_0__10_ ( 
+        .D(n84586), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_1__10_ ( 
+        .D(n84586), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_REG_1_reg_4_ ( 
+        .D(n84587), .DE(n86445), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_0__10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[10]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N19), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address_reg_10_ ( 
+        .D(n84587), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_0__10_ ( 
+        .D(n84588), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_1__10_ ( 
+        .D(n84588), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_vaddr_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[10]), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_1__11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[11]), .DE(n86415), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_address_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N526), .DE(n86334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_ack_addr_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[11]), .DE(n86373), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_0__11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[11]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_1__11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[11]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_addr_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[11]), .DE(n86395), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[11]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[11]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr[11]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_addr_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N718), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_0__11_ ( 
+        .D(n84589), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_1__11_ ( 
+        .D(n84589), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_REG_1_reg_5_ ( 
+        .D(n84590), .DE(n86445), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_0__11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[11]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N19), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address_reg_11_ ( 
+        .D(n84590), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_0__11_ ( 
+        .D(n84591), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_1__11_ ( 
+        .D(n84591), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_vaddr_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[11]), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_1__12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[12]), .DE(n86415), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_address_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N527), .DE(n86334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_ack_addr_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[12]), .DE(n86373), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_0__12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[12]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_1__12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[12]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_vaddr_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[12]), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[12]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_addr_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N719), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_0__12_ ( 
+        .D(n84592), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_1__12_ ( 
+        .D(n84592), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_REG_1_reg_6_ ( 
+        .D(n84593), .DE(n86445), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_0__12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[12]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N19), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address_reg_12_ ( 
+        .D(n84593), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_0__12_ ( 
+        .D(n84594), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_1__12_ ( 
+        .D(n84594), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_1__13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[13]), .DE(n86415), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_address_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N528), .DE(n86334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_ack_addr_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[13]), .DE(n86373), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_0__13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[13]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_1__13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[13]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_vaddr_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[13]), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[13]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_addr_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N720), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_0__13_ ( 
+        .D(n84595), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_1__13_ ( 
+        .D(n84595), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_REG_1_reg_7_ ( 
+        .D(n84596), .DE(n86445), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_0__13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[13]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N19), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address_reg_13_ ( 
+        .D(n84596), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_0__13_ ( 
+        .D(n84597), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_1__13_ ( 
+        .D(n84597), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_1__14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[14]), .DE(n86415), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_address_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N529), .DE(n86334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_ack_addr_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[14]), .DE(n86373), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_0__14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[14]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_1__14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[14]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_vaddr_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[14]), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[14]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_addr_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N721), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_0__14_ ( 
+        .D(n84598), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_1__14_ ( 
+        .D(n84598), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_REG_1_reg_8_ ( 
+        .D(n84599), .DE(n86445), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_0__14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[14]), .DE(n86416), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address_reg_14_ ( 
+        .D(n84599), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_0__14_ ( 
+        .D(n84600), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_1__14_ ( 
+        .D(n84600), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_1__15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[15]), .DE(n86415), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_address_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N530), .DE(n86334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_ack_addr_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[15]), .DE(n86373), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_0__15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[15]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_1__15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[15]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_vaddr_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[15]), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[15]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_addr_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N722), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_0__15_ ( 
+        .D(n84601), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_1__15_ ( 
+        .D(n84601), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_REG_1_reg_9_ ( 
+        .D(n84602), .DE(n86445), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_0__15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[15]), .DE(n86416), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address_reg_15_ ( 
+        .D(n84602), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_0__15_ ( 
+        .D(n84603), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_1__15_ ( 
+        .D(n84603), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_1__16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[16]), .DE(n86415), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_address_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N531), .DE(n86334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_ack_addr_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[16]), .DE(n86373), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_0__16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[16]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_1__16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[16]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_vaddr_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[16]), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[16]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_addr_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N723), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_0__16_ ( 
+        .D(n84604), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_1__16_ ( 
+        .D(n84604), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_REG_1_reg_10_ ( 
+        .D(n84605), .DE(n86445), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_0__16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[16]), .DE(n86416), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address_reg_16_ ( 
+        .D(n84605), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_0__16_ ( 
+        .D(n84606), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_1__16_ ( 
+        .D(n84606), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_1__17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[17]), .DE(n86415), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_address_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N532), .DE(n86334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_ack_addr_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[17]), .DE(n86373), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_0__17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[17]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_1__17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[17]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_vaddr_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[17]), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[17]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_addr_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N724), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_0__17_ ( 
+        .D(n84607), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_1__17_ ( 
+        .D(n84607), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_REG_1_reg_11_ ( 
+        .D(n84608), .DE(n86445), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_0__17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[17]), .DE(n86416), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address_reg_17_ ( 
+        .D(n84608), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_0__17_ ( 
+        .D(n84609), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_1__17_ ( 
+        .D(n84609), .DE(n36831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_1__18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[18]), .DE(n86415), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_address_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N533), .DE(n86334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_ack_addr_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[18]), .DE(n86373), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_0__18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[18]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_1__18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[18]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_vaddr_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[18]), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[18]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_addr_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N725), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_0__18_ ( 
+        .D(n84610), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_1__18_ ( 
+        .D(n84610), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_REG_1_reg_12_ ( 
+        .D(n84611), .DE(n86445), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_0__18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[18]), .DE(n86416), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address_reg_18_ ( 
+        .D(n84611), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_0__18_ ( 
+        .D(n84612), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_1__18_ ( 
+        .D(n84612), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_1__19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[19]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N18), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_address_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N534), .DE(n86334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_ack_addr_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[19]), .DE(n86373), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_0__19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[19]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_1__19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[19]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_vaddr_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[19]), .DE(n86395), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[19]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_addr_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N726), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_0__19_ ( 
+        .D(n84613), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_1__19_ ( 
+        .D(n84613), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_REG_1_reg_13_ ( 
+        .D(n84614), .DE(n86445), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_0__19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[19]), .DE(n86416), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address_reg_19_ ( 
+        .D(n84614), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_0__19_ ( 
+        .D(n84615), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_1__19_ ( 
+        .D(n84615), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_1__20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[20]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N18), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_address_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N535), .DE(n86334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_ack_addr_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[20]), .DE(n86373), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_0__20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[20]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_1__20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[20]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_vaddr_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[20]), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[20]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_addr_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N727), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_0__20_ ( 
+        .D(n84616), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_1__20_ ( 
+        .D(n84616), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_REG_1_reg_14_ ( 
+        .D(n84617), .DE(n86445), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_0__20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[20]), .DE(n86416), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address_reg_20_ ( 
+        .D(n84617), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_0__20_ ( 
+        .D(n84618), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_1__20_ ( 
+        .D(n84618), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_1__21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[21]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N18), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_address_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N536), .DE(n86334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_ack_addr_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[21]), .DE(n86373), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_0__21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[21]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_1__21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[21]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_vaddr_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[21]), .DE(n86395), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[21]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_addr_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N728), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_0__21_ ( 
+        .D(n84619), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_1__21_ ( 
+        .D(n84619), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_REG_1_reg_15_ ( 
+        .D(n84620), .DE(n86445), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_0__21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[21]), .DE(n86416), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address_reg_21_ ( 
+        .D(n84620), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_0__21_ ( 
+        .D(n84621), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_1__21_ ( 
+        .D(n84621), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_1__22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[22]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N18), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_address_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N537), .DE(n86334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_0__22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[22]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_1__22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[22]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_vaddr_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[22]), .DE(n86395), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[22]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_addr_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N729), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_0__22_ ( 
+        .D(n84622), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_1__22_ ( 
+        .D(n84622), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_REG_1_reg_16_ ( 
+        .D(n84623), .DE(n86445), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_0__22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[22]), .DE(n86416), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address_reg_22_ ( 
+        .D(n84623), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_0__22_ ( 
+        .D(n84624), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_1__22_ ( 
+        .D(n84624), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_1__23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[23]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N18), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_address_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N538), .DE(n86334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_0__23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[23]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_1__23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[23]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_vaddr_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[23]), .DE(n86395), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[23]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_addr_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N730), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_0__23_ ( 
+        .D(n84625), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_1__23_ ( 
+        .D(n84625), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_REG_1_reg_17_ ( 
+        .D(n84626), .DE(n86445), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_0__23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[23]), .DE(n86416), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address_reg_23_ ( 
+        .D(n84626), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_0__23_ ( 
+        .D(n84627), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_1__23_ ( 
+        .D(n84627), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_1__24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[24]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N18), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_address_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N539), .DE(n86334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_0__24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[24]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_1__24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[24]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_vaddr_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[24]), .DE(n86395), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[24]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_addr_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N731), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_0__24_ ( 
+        .D(n84628), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_1__24_ ( 
+        .D(n84628), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_REG_1_reg_18_ ( 
+        .D(n84629), .DE(n86445), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_0__24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[24]), .DE(n86416), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address_reg_24_ ( 
+        .D(n84629), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_0__24_ ( 
+        .D(n84630), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_1__24_ ( 
+        .D(n84630), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_1__25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[25]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N18), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_address_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N540), .DE(n86334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_0__25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[25]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_1__25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[25]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_vaddr_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[25]), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[25]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_addr_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N732), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_0__25_ ( 
+        .D(n84631), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_1__25_ ( 
+        .D(n84631), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_REG_1_reg_19_ ( 
+        .D(n84632), .DE(n86445), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_0__25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[25]), .DE(n86416), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address_reg_25_ ( 
+        .D(n84632), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_0__25_ ( 
+        .D(n84633), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_1__25_ ( 
+        .D(n84633), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_1__26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[26]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N18), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_address_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N541), .DE(n86334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_0__26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[26]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_1__26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[26]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_vaddr_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[26]), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[26]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_addr_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N733), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_0__26_ ( 
+        .D(n84634), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_1__26_ ( 
+        .D(n84634), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_REG_1_reg_20_ ( 
+        .D(n84635), .DE(n86445), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_0__26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[26]), .DE(n86416), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address_reg_26_ ( 
+        .D(n84635), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_0__26_ ( 
+        .D(n84636), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_1__26_ ( 
+        .D(n84636), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_1__27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[27]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N18), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_address_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N542), .DE(n86334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_0__27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[27]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_1__27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[27]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_vaddr_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[27]), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[27]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_addr_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N734), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_0__27_ ( 
+        .D(n84637), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_1__27_ ( 
+        .D(n84637), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_REG_1_reg_21_ ( 
+        .D(n84638), .DE(n86445), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_0__27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[27]), .DE(n86416), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address_reg_27_ ( 
+        .D(n84638), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_0__27_ ( 
+        .D(n84639), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_1__27_ ( 
+        .D(n84639), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_1__28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[28]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N18), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_address_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N543), .DE(n86334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_0__28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[28]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address_reg_1__28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[28]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_tlb_req_vaddr_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[28]), .DE(n86395), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[28]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_addr_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N735), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_0__28_ ( 
+        .D(n84640), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address_reg_1__28_ ( 
+        .D(n84640), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_REG_1_reg_22_ ( 
+        .D(n84641), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1__T_472), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_0__28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[28]), .DE(n86416), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address_reg_28_ ( 
+        .D(n84641), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_0__28_ ( 
+        .D(n84642), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address_reg_1__28_ ( 
+        .D(n84642), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_value_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N45), .DE(n36410), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N38) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_opcode_reg_0__0_ ( 
+        .D(n85810), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_opcode[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_opcode_reg_0__2_ ( 
+        .D(n85901), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_opcode[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_opcode_reg_0__1_ ( 
+        .D(n85811), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_opcode[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_size_reg_0__1_ ( 
+        .D(n84859), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_size[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_size_reg_0__2_ ( 
+        .D(n54320), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_size[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_size_reg_0__0_ ( 
+        .D(n84860), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_size[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_source_reg_0__1_ ( 
+        .D(n85809), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_source[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_source_reg_0__0_ ( 
+        .D(n85808), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_source[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_opcode_reg_1__0_ ( 
+        .D(n85810), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N14), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_opcode_reg_1__2_ ( 
+        .D(n85901), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N14), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_opcode_reg_1__1_ ( 
+        .D(n85811), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N14), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_size_reg_1__1_ ( 
+        .D(n84859), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N14), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_size_reg_1__2_ ( 
+        .D(n54320), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N14), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_size_reg_1__0_ ( 
+        .D(n84860), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N14), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_source_reg_1__1_ ( 
+        .D(n85809), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N14), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_source_reg_1__0_ ( 
+        .D(n85808), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N14), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_value_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N50), .DE(n36416), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_ram_source_reg_0__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_auto_out_a_bits_source[0]), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_ram_opcode_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_auto_in_d_bits_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_source_reg_0__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_auto_in_d_bits_source[0]), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_source[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_source_reg_1__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_auto_in_d_bits_source[0]), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_ram_source_reg_0__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_auto_out_a_bits_source[1]), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_ram_opcode_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_auto_in_d_bits_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_source_reg_0__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_auto_in_d_bits_source[1]), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_source[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_source_reg_1__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_auto_in_d_bits_source[1]), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_ram_size_reg_0__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_auto_out_a_bits_size[0]), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_ram_opcode_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_auto_in_d_bits_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_size_reg_0__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_auto_in_d_bits_size[0]), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_size[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_size_reg_1__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_auto_in_d_bits_size[0]), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_ram_size_reg_0__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_auto_out_a_bits_size[1]), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_ram_opcode_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_auto_in_d_bits_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_size_reg_0__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_auto_in_d_bits_size[1]), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_size[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_size_reg_1__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_auto_in_d_bits_size[1]), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_ram_size_reg_0__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_auto_out_a_bits_size[2]), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_ram_opcode_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_auto_in_d_bits_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_size_reg_0__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_auto_in_d_bits_size[2]), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_size[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_size_reg_1__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_auto_in_d_bits_size[2]), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_ram_opcode_reg_0__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_auto_out_a_bits_opcode[0]), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_ram_opcode_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_io_deq_bits_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_ram_opcode_reg_0__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_auto_out_a_bits_opcode[1]), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_ram_opcode_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_io_deq_bits_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_ram_opcode_reg_0__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_auto_out_a_bits_opcode[2]), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_ram_opcode_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_io_deq_bits_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_opcode_reg_0__1_ ( 
+        .D(n84643), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_opcode[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_opcode_reg_1__1_ ( 
+        .D(n84643), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N52), .DE(n36259), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N53), .DE(n36259), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N54), .DE(n36259), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N55), .DE(n36259), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N56), .DE(n36259), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N57), .DE(n36259), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N58), .DE(n36259), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N59), .DE(n36259), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_opcode_reg_0__2_ ( 
+        .D(n84644), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_opcode[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_opcode_reg_1__2_ ( 
+        .D(n84644), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_corrupt_reg_0_ ( 
+        .D(n85891), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_corrupt[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_corrupt_reg_1_ ( 
+        .D(n85891), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_corrupt[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_opcode_reg_1__0_ ( 
+        .D(n85891), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_opcode_reg_0__0_ ( 
+        .D(n85891), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_opcode[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N87), .DE(n36351), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N88), .DE(n36351), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N89), .DE(n36351), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N90), .DE(n36351), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N91), .DE(n36351), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N92), .DE(n36351), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N93), .DE(n36351), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N94), .DE(n36351), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_maybe_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N55), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N54), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_gennum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_N34), .DE(n36358), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_gennum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_gennum_reg_3_ ( 
+        .D(n85447), .DE(n36358), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_gennum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_opcode_reg_0_ ( 
+        .D(n85810), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_opcode_reg_2_ ( 
+        .D(n85901), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_opcode_reg_1_ ( 
+        .D(n85811), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_size_reg_1_ ( 
+        .D(n84859), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_size_reg_2_ ( 
+        .D(n54320), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_size_reg_0_ ( 
+        .D(n84860), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_source_reg_1_ ( 
+        .D(n85809), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_source_reg_0_ ( 
+        .D(n85808), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_address_reg_2_ ( 
+        .D(n85781), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_address_reg_3_ ( 
+        .D(n84862), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_address_reg_4_ ( 
+        .D(n85782), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_address_reg_5_ ( 
+        .D(n85783), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_address_reg_6_ ( 
+        .D(n84863), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_address_reg_7_ ( 
+        .D(n85806), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_address_reg_8_ ( 
+        .D(n84864), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_address_reg_9_ ( 
+        .D(n85807), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_address_reg_10_ ( 
+        .D(n84865), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_address_reg_11_ ( 
+        .D(n84866), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_gennum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_N35), .DE(n36358), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_gennum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_gennum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_N36), .DE(n36358), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_gennum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_acknum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_N22), .DE(n36358), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_acknum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_dOrig_reg_2_ ( 
+        .D(n85525), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_dOrig[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_acknum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_N21), .DE(n36358), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_acknum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_acknum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_N23), .DE(n36358), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_acknum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_acknum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_N24), .DE(n36358), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_acknum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_dOrig_reg_0_ ( 
+        .D(n85198), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_dOrig[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_dOrig_reg_1_ ( 
+        .D(n85157), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_dOrig[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_gennum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_N34), .DE(n36361), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_gennum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_gennum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_N35), .DE(n36361), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_gennum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_size_reg_0_ ( 
+        .D(n84860), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_size_reg_2_ ( 
+        .D(n54320), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_size_reg_1_ ( 
+        .D(n84859), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_source_reg_1_ ( 
+        .D(n85809), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_source_reg_0_ ( 
+        .D(n85808), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address_reg_2_ ( 
+        .D(n85781), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address_reg_3_ ( 
+        .D(n84862), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address_reg_4_ ( 
+        .D(n85782), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address_reg_6_ ( 
+        .D(n84863), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address_reg_7_ ( 
+        .D(n85806), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address_reg_8_ ( 
+        .D(n84864), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address_reg_9_ ( 
+        .D(n85807), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address_reg_10_ ( 
+        .D(n84865), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address_reg_11_ ( 
+        .D(n84866), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address_reg_12_ ( 
+        .D(n84867), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address_reg_13_ ( 
+        .D(n85436), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address_reg_14_ ( 
+        .D(n85435), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address_reg_15_ ( 
+        .D(n85797), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_gennum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_N36), .DE(n36361), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_gennum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_gennum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_N37), .DE(n36361), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_gennum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_acknum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_N21), .DE(n36361), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_acknum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_acknum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_N24), .DE(n36361), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_acknum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_dOrig_reg_2_ ( 
+        .D(n85457), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_dOrig[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_acknum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_N22), .DE(n36361), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_acknum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_acknum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_N23), .DE(n36361), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_acknum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_dOrig_reg_0_ ( 
+        .D(n85196), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_dOrig[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_dOrig_reg_1_ ( 
+        .D(n85155), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_dOrig[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_opcode_reg_0_ ( 
+        .D(n85810), .DE(n86376), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_opcode_reg_2_ ( 
+        .D(n85901), .DE(n86376), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_opcode_reg_1_ ( 
+        .D(n85811), .DE(n86376), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_size_reg_1_ ( 
+        .D(n84859), .DE(n86376), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_size_reg_2_ ( 
+        .D(n54320), .DE(n86376), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_size_reg_0_ ( 
+        .D(n84860), .DE(n86376), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_source_reg_1_ ( 
+        .D(n85809), .DE(n86376), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_source_reg_0_ ( 
+        .D(n85808), .DE(n86376), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address_reg_2_ ( 
+        .D(n85781), .DE(n86376), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address_reg_3_ ( 
+        .D(n84862), .DE(n86376), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address_reg_4_ ( 
+        .D(n85782), .DE(n86376), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address_reg_5_ ( 
+        .D(n85783), .DE(n86376), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address_reg_6_ ( 
+        .D(n84863), .DE(n86376), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address_reg_7_ ( 
+        .D(n85806), .DE(n86376), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address_reg_8_ ( 
+        .D(n84864), .DE(n86376), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address_reg_9_ ( 
+        .D(n85807), .DE(n86376), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address_reg_10_ ( 
+        .D(n84865), .DE(n86376), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address_reg_11_ ( 
+        .D(n84866), .DE(n86376), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address_reg_12_ ( 
+        .D(n84867), .DE(n86376), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address_reg_13_ ( 
+        .D(n85436), .DE(n86376), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address_reg_14_ ( 
+        .D(n85435), .DE(n86376), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address_reg_15_ ( 
+        .D(n85797), .DE(n86376), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_gennum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_N35), .DE(n36356), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_gennum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_gennum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_N37), .DE(n36356), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_gennum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_acknum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_N21), .DE(n36356), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_acknum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_acknum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_N22), .DE(n36356), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_acknum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_dOrig_reg_2_ ( 
+        .D(n84645), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_dOrig[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_acknum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_N23), .DE(n36356), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_acknum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_acknum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_N24), .DE(n36356), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_acknum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_dOrig_reg_0_ ( 
+        .D(n85200), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_dOrig[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_dOrig_reg_1_ ( 
+        .D(n85159), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_dOrig[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_1__29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[29]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N18), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address_reg_1__30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_bits_address[30]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N18), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_maybe_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N55), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N54), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter_2_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N171), 
+        .DE(n36466), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter_2[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter_2_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N172), 
+        .DE(n36466), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter_2[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter_2_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N174), 
+        .DE(n36466), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter_2[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_opcode_reg_0_ ( 
+        .D(n84646), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_opcode_reg_2_ ( 
+        .D(n85787), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_opcode_reg_1_ ( 
+        .D(n85786), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_size_reg_1_ ( 
+        .D(n85779), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_size_reg_2_ ( 
+        .D(n85909), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_size_reg_0_ ( 
+        .D(n85910), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_source_reg_1_ ( 
+        .D(n85884), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_source_reg_0_ ( 
+        .D(n85885), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_address_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N35), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_address_reg_30_ ( 
+        .D(n86283), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_address_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N64), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_address_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N63), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_address_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N62), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_address_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N61), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_address_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N60), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_address_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N59), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_address_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N58), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_address_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N57), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_address_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N56), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_address_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N55), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_address_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N54), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_address_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N53), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_address_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N52), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_address_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N51), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_address_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N50), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_address_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N49), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_address_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N48), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_address_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N47), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_address_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N46), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_address_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N45), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_address_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N44), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_address_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N43), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_address_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N42), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_address_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N41), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[6]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_shared_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N25), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_shared) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_address_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N40), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_address_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N39), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_address_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N38), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_address_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N37), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_address_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N36), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_opcode_reg_0_ ( 
+        .D(n84646), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_opcode_reg_2_ ( 
+        .D(n85787), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_opcode_reg_1_ ( 
+        .D(n85786), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_size_reg_1_ ( 
+        .D(n85779), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_size_reg_2_ ( 
+        .D(n85909), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_size_reg_0_ ( 
+        .D(n85910), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_source_reg_1_ ( 
+        .D(n85884), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_source_reg_0_ ( 
+        .D(n85885), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_address_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N35), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_address_reg_30_ ( 
+        .D(n86284), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_address_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N64), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_address_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N63), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_address_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N62), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_address_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N61), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_address_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N60), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_address_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N59), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_address_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N58), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_address_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N57), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_address_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N56), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_address_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N55), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_address_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N54), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_address_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N53), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_address_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N52), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_address_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N51), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_address_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N50), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_address_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N49), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_address_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N48), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_address_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N47), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_address_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N46), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_address_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N45), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_address_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N44), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_address_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N43), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_address_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N42), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_address_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N41), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[6]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_shared_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N25), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_shared) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_address_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N40), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_address_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N39), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_address_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N38), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_address_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N37), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_address_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N36), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_opcode_reg_0_ ( 
+        .D(n84646), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_opcode_reg_2_ ( 
+        .D(n85787), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_opcode_reg_1_ ( 
+        .D(n85786), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_size_reg_1_ ( 
+        .D(n85779), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_size_reg_2_ ( 
+        .D(n85909), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_size_reg_0_ ( 
+        .D(n85910), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_source_reg_1_ ( 
+        .D(n85884), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_source_reg_0_ ( 
+        .D(n85885), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_address_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N35), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_address_reg_30_ ( 
+        .D(n86285), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_address_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N64), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_address_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N63), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_address_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N62), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_address_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N61), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_address_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N60), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_address_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N59), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_address_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N58), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_address_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N57), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_address_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N56), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_address_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N55), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_address_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N54), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_address_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N53), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_address_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N52), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_address_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N51), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_address_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N50), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_address_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N49), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_address_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N48), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_address_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N47), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_address_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N46), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_address_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N45), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_address_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N44), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_address_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N43), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_address_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N42), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_address_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N41), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[6]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_shared_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N25), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_shared) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_address_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N40), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_address_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N39), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_address_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N38), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_address_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N37), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_address_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N36), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_opcode_reg_0_ ( 
+        .D(n84646), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_opcode_reg_2_ ( 
+        .D(n85787), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_opcode_reg_1_ ( 
+        .D(n85786), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_source_reg_3_ ( 
+        .D(n84647), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_source[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source_reg_0__3_ ( 
+        .D(n84648), .DE(n86449), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source_reg_1__3_ ( 
+        .D(n84648), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source_reg_2__3_ ( 
+        .D(n84648), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source_reg_3__3_ ( 
+        .D(n84648), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source_reg_4__3_ ( 
+        .D(n84648), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source_reg_5__3_ ( 
+        .D(n84648), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source_reg_6__3_ ( 
+        .D(n84648), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source_reg_7__3_ ( 
+        .D(n84648), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_source_reg_3_ ( 
+        .D(n85815), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_source[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_source_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_bundleIn_0_a_bits_source[10]), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_binder_auto_in_d_bits_source[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_opcode_reg_0_ ( 
+        .D(n84649), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_reg_0__0_ ( 
+        .D(n84650), .DE(n86449), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_reg_1__0_ ( 
+        .D(n84650), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_reg_2__0_ ( 
+        .D(n84650), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_reg_3__0_ ( 
+        .D(n84650), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_reg_4__0_ ( 
+        .D(n84650), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_reg_5__0_ ( 
+        .D(n84650), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_reg_6__0_ ( 
+        .D(n84650), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_reg_7__0_ ( 
+        .D(n84650), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_opcode_reg_0_ ( 
+        .D(n85704), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_opcode_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_bundleIn_0_a_bits_opcode_0_), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_opcode_hold[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_opcode_reg_1_ ( 
+        .D(n84651), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_reg_0__1_ ( 
+        .D(n84652), .DE(n86449), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_reg_1__1_ ( 
+        .D(n84652), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_reg_2__1_ ( 
+        .D(n84652), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_reg_3__1_ ( 
+        .D(n84652), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_reg_4__1_ ( 
+        .D(n84652), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_reg_5__1_ ( 
+        .D(n84652), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_reg_6__1_ ( 
+        .D(n84652), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_reg_7__1_ ( 
+        .D(n84652), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_opcode_reg_1_ ( 
+        .D(n84653), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_opcode_reg_1_ ( 
+        .D(n85735), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_opcode_hold[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_size_reg_1_ ( 
+        .D(n85779), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_size_reg_2_ ( 
+        .D(n85909), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_size_reg_0_ ( 
+        .D(n85910), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_source_reg_1_ ( 
+        .D(n85884), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_source_reg_0_ ( 
+        .D(n85885), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_source_reg_0_ ( 
+        .D(n84654), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source_reg_0__0_ ( 
+        .D(n84655), .DE(n86449), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source_reg_1__0_ ( 
+        .D(n84655), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source_reg_2__0_ ( 
+        .D(n84655), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source_reg_3__0_ ( 
+        .D(n84655), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source_reg_4__0_ ( 
+        .D(n84655), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source_reg_5__0_ ( 
+        .D(n84655), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source_reg_6__0_ ( 
+        .D(n84655), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source_reg_7__0_ ( 
+        .D(n84655), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_source_reg_0_ ( 
+        .D(n85812), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_source_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_bundleIn_0_a_bits_source[7]), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_binder_auto_in_d_bits_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_r_reg_3_ ( 
+        .D(n84656), .DE(n36473), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_r[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_r_reg_2_ ( 
+        .D(n84657), .DE(n36473), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_r[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_sent_d_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N24), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_sent_d) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_r_reg_1_ ( 
+        .D(n84838), .DE(n36473), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_r[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_sent_d_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N24), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_sent_d) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_shared_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N25), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_shared) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_source_reg_2_ ( 
+        .D(n84658), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_source[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source_reg_0__2_ ( 
+        .D(n84659), .DE(n86449), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source_reg_1__2_ ( 
+        .D(n84659), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source_reg_2__2_ ( 
+        .D(n84659), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source_reg_3__2_ ( 
+        .D(n84659), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source_reg_4__2_ ( 
+        .D(n84659), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source_reg_5__2_ ( 
+        .D(n84659), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source_reg_6__2_ ( 
+        .D(n84659), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source_reg_7__2_ ( 
+        .D(n84659), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_source_reg_2_ ( 
+        .D(n85814), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_source[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_source_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_bundleIn_0_a_bits_source[9]), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_binder_auto_in_d_bits_source[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_count_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3__GEN_13_0_), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3__GEN_15_0_) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_count_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1__GEN_13_0_), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1__GEN_15_0_) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_count_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2__GEN_13_0_), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2__GEN_15_0_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_address_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N35), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_address_reg_30_ ( 
+        .D(n85886), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_address_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N64), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_address_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N63), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address_reg_28_ ( 
+        .D(n84661), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_0__28_ ( 
+        .D(n84662), .DE(n86449), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[231]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_1__28_ ( 
+        .D(n84662), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[202]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_2__28_ ( 
+        .D(n84662), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[173]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_3__28_ ( 
+        .D(n84662), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[144]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_4__28_ ( 
+        .D(n84662), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[115]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_5__28_ ( 
+        .D(n84662), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[86]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_6__28_ ( 
+        .D(n84662), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_7__28_ ( 
+        .D(n84662), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_28_ ( 
+        .D(n84663), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_address_reg_28_ ( 
+        .D(n85705), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_address_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N62), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address_reg_27_ ( 
+        .D(n84664), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_0__27_ ( 
+        .D(n84665), .DE(n86449), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[230]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_1__27_ ( 
+        .D(n84665), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[201]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_2__27_ ( 
+        .D(n84665), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[172]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_3__27_ ( 
+        .D(n84665), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[143]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_4__27_ ( 
+        .D(n84665), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[114]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_5__27_ ( 
+        .D(n84665), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[85]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_6__27_ ( 
+        .D(n84665), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_7__27_ ( 
+        .D(n84665), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_27_ ( 
+        .D(n84666), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_address_reg_27_ ( 
+        .D(n85733), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_address_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N61), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address_reg_26_ ( 
+        .D(n84667), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_0__26_ ( 
+        .D(n84668), .DE(n86449), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[229]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_1__26_ ( 
+        .D(n84668), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[200]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_2__26_ ( 
+        .D(n84668), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[171]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_3__26_ ( 
+        .D(n84668), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[142]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_4__26_ ( 
+        .D(n84668), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[113]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_5__26_ ( 
+        .D(n84668), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[84]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_6__26_ ( 
+        .D(n84668), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_7__26_ ( 
+        .D(n84668), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_26_ ( 
+        .D(n84669), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_address_reg_26_ ( 
+        .D(n85706), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_address_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N60), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address_reg_25_ ( 
+        .D(n84670), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_0__25_ ( 
+        .D(n84671), .DE(n86449), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[228]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_1__25_ ( 
+        .D(n84671), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[199]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_2__25_ ( 
+        .D(n84671), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[170]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_3__25_ ( 
+        .D(n84671), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[141]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_4__25_ ( 
+        .D(n84671), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[112]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_5__25_ ( 
+        .D(n84671), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[83]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_6__25_ ( 
+        .D(n84671), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_7__25_ ( 
+        .D(n84671), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_25_ ( 
+        .D(n84672), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_address_reg_25_ ( 
+        .D(n85732), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_address_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N59), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address_reg_24_ ( 
+        .D(n84673), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_0__24_ ( 
+        .D(n84674), .DE(n86450), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[227]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_1__24_ ( 
+        .D(n84674), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[198]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_2__24_ ( 
+        .D(n84674), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[169]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_3__24_ ( 
+        .D(n84674), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[140]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_4__24_ ( 
+        .D(n84674), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[111]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_5__24_ ( 
+        .D(n84674), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[82]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_6__24_ ( 
+        .D(n84674), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_7__24_ ( 
+        .D(n84674), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_24_ ( 
+        .D(n84675), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_address_reg_24_ ( 
+        .D(n85713), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_address_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N58), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address_reg_23_ ( 
+        .D(n84676), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_0__23_ ( 
+        .D(n84677), .DE(n86450), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[226]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_1__23_ ( 
+        .D(n84677), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[197]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_2__23_ ( 
+        .D(n84677), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[168]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_3__23_ ( 
+        .D(n84677), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[139]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_4__23_ ( 
+        .D(n84677), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[110]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_5__23_ ( 
+        .D(n84677), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[81]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_6__23_ ( 
+        .D(n84677), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_7__23_ ( 
+        .D(n84677), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_23_ ( 
+        .D(n84678), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_address_reg_23_ ( 
+        .D(n85707), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_address_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N57), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address_reg_22_ ( 
+        .D(n84679), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_0__22_ ( 
+        .D(n84680), .DE(n86450), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[225]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_1__22_ ( 
+        .D(n84680), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[196]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_2__22_ ( 
+        .D(n84680), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[167]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_3__22_ ( 
+        .D(n84680), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[138]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_4__22_ ( 
+        .D(n84680), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[109]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_5__22_ ( 
+        .D(n84680), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[80]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_6__22_ ( 
+        .D(n84680), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_7__22_ ( 
+        .D(n84680), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_22_ ( 
+        .D(n84681), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_address_reg_22_ ( 
+        .D(n85708), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_address_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N56), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address_reg_21_ ( 
+        .D(n84682), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_0__21_ ( 
+        .D(n84683), .DE(n86450), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[224]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_1__21_ ( 
+        .D(n84683), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[195]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_2__21_ ( 
+        .D(n84683), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[166]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_3__21_ ( 
+        .D(n84683), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[137]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_4__21_ ( 
+        .D(n84683), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[108]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_5__21_ ( 
+        .D(n84683), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[79]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_6__21_ ( 
+        .D(n84683), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_7__21_ ( 
+        .D(n84683), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_21_ ( 
+        .D(n84684), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_address_reg_21_ ( 
+        .D(n85711), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_address_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N55), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address_reg_20_ ( 
+        .D(n84685), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_0__20_ ( 
+        .D(n84686), .DE(n86450), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[223]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_1__20_ ( 
+        .D(n84686), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[194]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_2__20_ ( 
+        .D(n84686), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[165]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_3__20_ ( 
+        .D(n84686), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[136]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_4__20_ ( 
+        .D(n84686), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[107]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_5__20_ ( 
+        .D(n84686), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[78]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_6__20_ ( 
+        .D(n84686), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_7__20_ ( 
+        .D(n84686), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_20_ ( 
+        .D(n84687), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_address_reg_20_ ( 
+        .D(n85712), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_address_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N54), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address_reg_19_ ( 
+        .D(n84688), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_0__19_ ( 
+        .D(n84689), .DE(n86450), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[222]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_1__19_ ( 
+        .D(n84689), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[193]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_2__19_ ( 
+        .D(n84689), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[164]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_3__19_ ( 
+        .D(n84689), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[135]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_4__19_ ( 
+        .D(n84689), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[106]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_5__19_ ( 
+        .D(n84689), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[77]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_6__19_ ( 
+        .D(n84689), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_7__19_ ( 
+        .D(n84689), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_19_ ( 
+        .D(n84690), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_address_reg_19_ ( 
+        .D(n85709), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_address_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N53), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address_reg_18_ ( 
+        .D(n84691), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_0__18_ ( 
+        .D(n84692), .DE(n86450), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[221]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_1__18_ ( 
+        .D(n84692), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[192]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_2__18_ ( 
+        .D(n84692), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[163]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_3__18_ ( 
+        .D(n84692), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[134]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_4__18_ ( 
+        .D(n84692), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[105]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_5__18_ ( 
+        .D(n84692), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[76]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_6__18_ ( 
+        .D(n84692), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_7__18_ ( 
+        .D(n84692), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_18_ ( 
+        .D(n84693), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_address_reg_18_ ( 
+        .D(n85710), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_address_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N52), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address_reg_17_ ( 
+        .D(n84694), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_0__17_ ( 
+        .D(n84695), .DE(n86450), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[220]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_1__17_ ( 
+        .D(n84695), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[191]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_2__17_ ( 
+        .D(n84695), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[162]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_3__17_ ( 
+        .D(n84695), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[133]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_4__17_ ( 
+        .D(n84695), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[104]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_5__17_ ( 
+        .D(n84695), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[75]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_6__17_ ( 
+        .D(n84695), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_7__17_ ( 
+        .D(n84695), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_17_ ( 
+        .D(n84696), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_address_reg_17_ ( 
+        .D(n85725), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_address_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N51), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address_reg_16_ ( 
+        .D(n84697), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_0__16_ ( 
+        .D(n84698), .DE(n86450), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[219]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_1__16_ ( 
+        .D(n84698), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[190]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_2__16_ ( 
+        .D(n84698), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[161]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_3__16_ ( 
+        .D(n84698), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[132]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_4__16_ ( 
+        .D(n84698), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[103]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_5__16_ ( 
+        .D(n84698), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[74]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_6__16_ ( 
+        .D(n84698), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_7__16_ ( 
+        .D(n84698), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_16_ ( 
+        .D(n84699), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_address_reg_16_ ( 
+        .D(n85726), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_address_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N50), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address_reg_15_ ( 
+        .D(n84700), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_0__15_ ( 
+        .D(n84701), .DE(n86450), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[218]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_1__15_ ( 
+        .D(n84701), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[189]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_2__15_ ( 
+        .D(n84701), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[160]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_3__15_ ( 
+        .D(n84701), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[131]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_4__15_ ( 
+        .D(n84701), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[102]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_5__15_ ( 
+        .D(n84701), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[73]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_6__15_ ( 
+        .D(n84701), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_7__15_ ( 
+        .D(n84701), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_15_ ( 
+        .D(n84702), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_address_reg_15_ ( 
+        .D(n85723), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_address_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N49), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address_reg_14_ ( 
+        .D(n84703), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_0__14_ ( 
+        .D(n84704), .DE(n86450), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[217]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_1__14_ ( 
+        .D(n84704), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[188]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_2__14_ ( 
+        .D(n84704), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[159]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_3__14_ ( 
+        .D(n84704), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[130]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_4__14_ ( 
+        .D(n84704), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[101]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_5__14_ ( 
+        .D(n84704), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[72]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_6__14_ ( 
+        .D(n84704), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_7__14_ ( 
+        .D(n84704), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_14_ ( 
+        .D(n84705), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_address_reg_14_ ( 
+        .D(n85724), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_address_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N48), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address_reg_13_ ( 
+        .D(n84706), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_0__13_ ( 
+        .D(n84707), .DE(n86450), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[216]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_1__13_ ( 
+        .D(n84707), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[187]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_2__13_ ( 
+        .D(n84707), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[158]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_3__13_ ( 
+        .D(n84707), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[129]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_4__13_ ( 
+        .D(n84707), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[100]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_5__13_ ( 
+        .D(n84707), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[71]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_6__13_ ( 
+        .D(n84707), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_7__13_ ( 
+        .D(n84707), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_13_ ( 
+        .D(n84708), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_address_reg_13_ ( 
+        .D(n85730), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_address_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N47), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address_reg_12_ ( 
+        .D(n84709), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_0__12_ ( 
+        .D(n84710), .DE(n86450), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[215]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_1__12_ ( 
+        .D(n84710), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[186]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_2__12_ ( 
+        .D(n84710), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[157]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_3__12_ ( 
+        .D(n84710), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[128]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_4__12_ ( 
+        .D(n84710), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[99]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_5__12_ ( 
+        .D(n84710), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[70]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_6__12_ ( 
+        .D(n84710), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_7__12_ ( 
+        .D(n84710), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_12_ ( 
+        .D(n84711), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_address_reg_12_ ( 
+        .D(n85731), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_address_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N46), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address_reg_11_ ( 
+        .D(n84712), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_0__11_ ( 
+        .D(n84713), .DE(n86449), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[214]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_1__11_ ( 
+        .D(n84713), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[185]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_2__11_ ( 
+        .D(n84713), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[156]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_3__11_ ( 
+        .D(n84713), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[127]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_4__11_ ( 
+        .D(n84713), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[98]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_5__11_ ( 
+        .D(n84713), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[69]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_6__11_ ( 
+        .D(n84713), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_7__11_ ( 
+        .D(n84713), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_11_ ( 
+        .D(n84714), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_address_reg_11_ ( 
+        .D(n85729), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_address_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N45), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address_reg_10_ ( 
+        .D(n84715), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_0__10_ ( 
+        .D(n84716), .DE(n86449), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[213]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_1__10_ ( 
+        .D(n84716), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[184]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_2__10_ ( 
+        .D(n84716), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[155]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_3__10_ ( 
+        .D(n84716), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[126]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_4__10_ ( 
+        .D(n84716), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[97]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_5__10_ ( 
+        .D(n84716), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[68]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_6__10_ ( 
+        .D(n84716), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_7__10_ ( 
+        .D(n84716), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_10_ ( 
+        .D(n84717), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_address_reg_10_ ( 
+        .D(n85728), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_address_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N44), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address_reg_9_ ( 
+        .D(n84718), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_0__9_ ( 
+        .D(n84719), .DE(n86449), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[212]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_1__9_ ( 
+        .D(n84719), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[183]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_2__9_ ( 
+        .D(n84719), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[154]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_3__9_ ( 
+        .D(n84719), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[125]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_4__9_ ( 
+        .D(n84719), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[96]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_5__9_ ( 
+        .D(n84719), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[67]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_6__9_ ( 
+        .D(n84719), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_7__9_ ( 
+        .D(n84719), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_9_ ( 
+        .D(n84720), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_address_reg_9_ ( 
+        .D(n85727), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_address_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N43), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address_reg_8_ ( 
+        .D(n84721), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_0__8_ ( 
+        .D(n84722), .DE(n86449), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[211]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_1__8_ ( 
+        .D(n84722), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[182]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_2__8_ ( 
+        .D(n84722), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[153]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_3__8_ ( 
+        .D(n84722), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[124]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_4__8_ ( 
+        .D(n84722), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[95]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_5__8_ ( 
+        .D(n84722), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[66]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_6__8_ ( 
+        .D(n84722), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_7__8_ ( 
+        .D(n84722), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_8_ ( 
+        .D(n84723), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_address_reg_8_ ( 
+        .D(n85716), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_address_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N42), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address_reg_7_ ( 
+        .D(n84724), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_0__7_ ( 
+        .D(n84725), .DE(n86449), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[210]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_1__7_ ( 
+        .D(n84725), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[181]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_2__7_ ( 
+        .D(n84725), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[152]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_3__7_ ( 
+        .D(n84725), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[123]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_4__7_ ( 
+        .D(n84725), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[94]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_5__7_ ( 
+        .D(n84725), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[65]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_6__7_ ( 
+        .D(n84725), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_7__7_ ( 
+        .D(n84725), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_7_ ( 
+        .D(n84726), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_address_reg_7_ ( 
+        .D(n85715), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_address_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N41), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address_reg_6_ ( 
+        .D(n84727), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_0__6_ ( 
+        .D(n84728), .DE(n86449), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[209]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_1__6_ ( 
+        .D(n84728), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[180]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_2__6_ ( 
+        .D(n84728), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[151]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_3__6_ ( 
+        .D(n84728), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[122]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_4__6_ ( 
+        .D(n84728), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[93]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_5__6_ ( 
+        .D(n84728), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[64]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_6__6_ ( 
+        .D(n84728), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_7__6_ ( 
+        .D(n84728), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_6_ ( 
+        .D(n84729), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_address_reg_6_ ( 
+        .D(n85714), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_address_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N40), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address_reg_5_ ( 
+        .D(n84730), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_0__5_ ( 
+        .D(n84731), .DE(n86449), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[208]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_1__5_ ( 
+        .D(n84731), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[179]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_2__5_ ( 
+        .D(n84731), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[150]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_3__5_ ( 
+        .D(n84731), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[121]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_4__5_ ( 
+        .D(n84731), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[92]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_5__5_ ( 
+        .D(n84731), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[63]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_6__5_ ( 
+        .D(n84731), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_7__5_ ( 
+        .D(n84731), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_5_ ( 
+        .D(n85221), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_address_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N39), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address_reg_4_ ( 
+        .D(n84732), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_0__4_ ( 
+        .D(n84733), .DE(n86449), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[207]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_1__4_ ( 
+        .D(n84733), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[178]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_2__4_ ( 
+        .D(n84733), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[149]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_3__4_ ( 
+        .D(n84733), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[120]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_4__4_ ( 
+        .D(n84733), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[91]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_5__4_ ( 
+        .D(n84733), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[62]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_6__4_ ( 
+        .D(n84733), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_7__4_ ( 
+        .D(n84733), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_4_ ( 
+        .D(n84734), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_address_reg_4_ ( 
+        .D(n85717), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_address_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N38), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address_reg_3_ ( 
+        .D(n84735), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_0__3_ ( 
+        .D(n84736), .DE(n86449), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[206]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_1__3_ ( 
+        .D(n84736), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[177]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_2__3_ ( 
+        .D(n84736), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[148]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_3__3_ ( 
+        .D(n84736), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[119]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_4__3_ ( 
+        .D(n84736), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[90]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_5__3_ ( 
+        .D(n84736), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[61]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_6__3_ ( 
+        .D(n84736), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_7__3_ ( 
+        .D(n84736), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_3_ ( 
+        .D(n84737), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_address_reg_3_ ( 
+        .D(n85720), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_address_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N37), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address_reg_2_ ( 
+        .D(n84738), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_0__2_ ( 
+        .D(n84739), .DE(n86449), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[205]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_1__2_ ( 
+        .D(n84739), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[176]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_2__2_ ( 
+        .D(n84739), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[147]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_3__2_ ( 
+        .D(n84739), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[118]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_4__2_ ( 
+        .D(n84739), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[89]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_5__2_ ( 
+        .D(n84739), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[60]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_6__2_ ( 
+        .D(n84739), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_7__2_ ( 
+        .D(n84739), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_2_ ( 
+        .D(n85220), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_address_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N36), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_value_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N94), .DE(n36470), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_value_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N95), .DE(n36470), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_value_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N96), .DE(n36470), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_value_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N97), .DE(n36470), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_MPORT_addr[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_15__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_15__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_15__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_15__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_15__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_15__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_15__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_15__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_15__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_15__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_15__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_15__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_15__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_15__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_15__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_15__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_15__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_15__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_15__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_15__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_15__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_15__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_15__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_15__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_15__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_15__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_15__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_15__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_15__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_14__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_14__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[63]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_14__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[62]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_14__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[61]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_14__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[60]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_14__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_14__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_14__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_14__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_14__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_14__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_14__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_14__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_14__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_14__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_14__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_14__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_14__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_14__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_14__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_14__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_14__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_14__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_14__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_14__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_14__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_14__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_14__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_14__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_10__0_ ( 
+        .D(n84740), .DE(n59816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[160]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_10__31_ ( 
+        .D(n84741), .DE(n59816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[191]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_10__30_ ( 
+        .D(n84742), .DE(n59816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[190]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_10__29_ ( 
+        .D(n84743), .DE(n59816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[189]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_10__28_ ( 
+        .D(n84744), .DE(n59816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[188]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_10__27_ ( 
+        .D(n84745), .DE(n59816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[187]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_10__26_ ( 
+        .D(n84746), .DE(n59816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[186]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_10__25_ ( 
+        .D(n84747), .DE(n59816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[185]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_10__24_ ( 
+        .D(n84748), .DE(n59816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[184]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_10__23_ ( 
+        .D(n84749), .DE(n59816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[183]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_10__22_ ( 
+        .D(n84750), .DE(n59816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[182]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_10__21_ ( 
+        .D(n84751), .DE(n59816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[181]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_10__20_ ( 
+        .D(n84752), .DE(n59816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[180]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_10__19_ ( 
+        .D(n84753), .DE(n59816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[179]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_10__18_ ( 
+        .D(n84754), .DE(n59816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[178]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_10__17_ ( 
+        .D(n84755), .DE(n59816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[177]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_10__16_ ( 
+        .D(n84756), .DE(n59816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[176]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_10__15_ ( 
+        .D(n84757), .DE(n59816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[175]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_10__14_ ( 
+        .D(n84758), .DE(n59816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[174]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_10__13_ ( 
+        .D(n84759), .DE(n59816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[173]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_10__9_ ( 
+        .D(n84760), .DE(n59816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[169]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_10__8_ ( 
+        .D(n84761), .DE(n59816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[168]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_10__7_ ( 
+        .D(n84762), .DE(n59816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[167]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_10__6_ ( 
+        .D(n84763), .DE(n59816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[166]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_10__5_ ( 
+        .D(n84764), .DE(n59816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[165]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_10__4_ ( 
+        .D(n84765), .DE(n59816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[164]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_10__3_ ( 
+        .D(n84766), .DE(n59816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[163]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_10__2_ ( 
+        .D(n84767), .DE(n59816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[162]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_10__1_ ( 
+        .D(n84768), .DE(n59816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[161]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_3__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[384]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_3__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[415]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_3__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[414]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_3__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[413]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_3__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[412]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_3__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[411]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_3__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[410]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_3__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[409]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_3__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[408]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_3__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[407]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_3__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[406]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_3__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[405]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_3__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[404]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_3__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[403]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_3__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[402]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_3__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[401]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_3__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[400]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_3__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[399]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_3__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[398]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_3__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[397]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_3__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[393]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_3__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[392]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_3__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[391]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_3__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[390]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_3__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[389]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_3__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[388]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_3__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[387]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_3__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[386]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_3__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[385]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_11__0_ ( 
+        .D(n84740), .DE(n59813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[128]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_11__31_ ( 
+        .D(n84741), .DE(n59813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[159]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_11__30_ ( 
+        .D(n84742), .DE(n59813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[158]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_11__29_ ( 
+        .D(n84743), .DE(n59813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[157]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_11__28_ ( 
+        .D(n84744), .DE(n59813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[156]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_11__27_ ( 
+        .D(n84745), .DE(n59813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[155]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_11__26_ ( 
+        .D(n84746), .DE(n59813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[154]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_11__25_ ( 
+        .D(n84747), .DE(n59813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[153]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_11__24_ ( 
+        .D(n84748), .DE(n59813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[152]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_11__23_ ( 
+        .D(n84749), .DE(n59813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[151]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_11__22_ ( 
+        .D(n84750), .DE(n59813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[150]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_11__21_ ( 
+        .D(n84751), .DE(n59813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[149]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_11__20_ ( 
+        .D(n84752), .DE(n59813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[148]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_11__19_ ( 
+        .D(n84753), .DE(n59813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[147]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_11__18_ ( 
+        .D(n84754), .DE(n59813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[146]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_11__17_ ( 
+        .D(n84755), .DE(n59813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[145]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_11__16_ ( 
+        .D(n84756), .DE(n59813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[144]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_11__15_ ( 
+        .D(n84757), .DE(n59813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[143]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_11__14_ ( 
+        .D(n84758), .DE(n59813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[142]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_11__13_ ( 
+        .D(n84759), .DE(n59813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[141]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_11__9_ ( 
+        .D(n84760), .DE(n59813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[137]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_11__8_ ( 
+        .D(n84761), .DE(n59813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[136]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_11__7_ ( 
+        .D(n84762), .DE(n59813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[135]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_11__6_ ( 
+        .D(n84763), .DE(n59813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[134]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_11__5_ ( 
+        .D(n84764), .DE(n59813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[133]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_11__4_ ( 
+        .D(n84765), .DE(n59813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[132]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_11__3_ ( 
+        .D(n84766), .DE(n59813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[131]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_11__2_ ( 
+        .D(n84767), .DE(n59813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[130]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_11__1_ ( 
+        .D(n84768), .DE(n59813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[129]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_7__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[256]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_7__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[287]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_7__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[286]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_7__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[285]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_7__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[284]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_7__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[283]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_7__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[282]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_7__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[281]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_7__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[280]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_7__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[279]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_7__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[278]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_7__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[277]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_7__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[276]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_7__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[275]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_7__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[274]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_7__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[273]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_7__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[272]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_7__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[271]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_7__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[270]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_7__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[269]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_7__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[265]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_7__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[264]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_7__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[263]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_7__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[262]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_7__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[261]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_7__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[260]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_7__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[259]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_7__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[258]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_7__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[257]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_6__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[288]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_6__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[319]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_6__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[318]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_6__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[317]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_6__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[316]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_6__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[315]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_6__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[314]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_6__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[313]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_6__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[312]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_6__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[311]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_6__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[310]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_6__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[309]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_6__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[308]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_6__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[307]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_6__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[306]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_6__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[305]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_6__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[304]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_6__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[303]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_6__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[302]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_6__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[301]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_6__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[297]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_6__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[296]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_6__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[295]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_6__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[294]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_6__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[293]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_6__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[292]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_6__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[291]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_6__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[290]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_6__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[289]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_2__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[416]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_2__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[447]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_2__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[446]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_2__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[445]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_2__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[444]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_2__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[443]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_2__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[442]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_2__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[441]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_2__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[440]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_2__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[439]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_2__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[438]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_2__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[437]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_2__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[436]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_2__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[435]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_2__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[434]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_2__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[433]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_2__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[432]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_2__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[431]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_2__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[430]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_2__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[429]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_2__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[425]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_2__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[424]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_2__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[423]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_2__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[422]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_2__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[421]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_2__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[420]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_2__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[419]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_2__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[418]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_2__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[417]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_13__0_ ( 
+        .D(n84740), .DE(n59820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[64]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_13__31_ ( 
+        .D(n84741), .DE(n59820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[95]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_13__30_ ( 
+        .D(n84742), .DE(n59820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[94]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_13__29_ ( 
+        .D(n84743), .DE(n59820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[93]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_13__28_ ( 
+        .D(n84744), .DE(n59820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[92]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_13__27_ ( 
+        .D(n84745), .DE(n59820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[91]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_13__26_ ( 
+        .D(n84746), .DE(n59820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[90]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_13__25_ ( 
+        .D(n84747), .DE(n59820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[89]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_13__24_ ( 
+        .D(n84748), .DE(n59820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[88]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_13__23_ ( 
+        .D(n84749), .DE(n59820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[87]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_13__22_ ( 
+        .D(n84750), .DE(n59820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[86]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_13__21_ ( 
+        .D(n84751), .DE(n59820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[85]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_13__20_ ( 
+        .D(n84752), .DE(n59820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[84]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_13__19_ ( 
+        .D(n84753), .DE(n59820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[83]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_13__18_ ( 
+        .D(n84754), .DE(n59820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[82]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_13__17_ ( 
+        .D(n84755), .DE(n59820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[81]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_13__16_ ( 
+        .D(n84756), .DE(n59820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[80]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_13__15_ ( 
+        .D(n84757), .DE(n59820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[79]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_13__14_ ( 
+        .D(n84758), .DE(n59820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[78]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_13__13_ ( 
+        .D(n84759), .DE(n59820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[77]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_13__9_ ( 
+        .D(n84760), .DE(n59820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[73]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_13__8_ ( 
+        .D(n84761), .DE(n59820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[72]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_13__7_ ( 
+        .D(n84762), .DE(n59820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[71]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_13__6_ ( 
+        .D(n84763), .DE(n59820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[70]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_13__5_ ( 
+        .D(n84764), .DE(n59820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[69]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_13__4_ ( 
+        .D(n84765), .DE(n59820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[68]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_13__3_ ( 
+        .D(n84766), .DE(n59820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[67]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_13__2_ ( 
+        .D(n84767), .DE(n59820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[66]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_13__1_ ( 
+        .D(n84768), .DE(n59820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[65]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_9__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[192]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_9__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[223]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_9__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[222]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_9__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[221]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_9__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[220]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_9__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[219]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_9__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[218]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_9__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[217]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_9__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[216]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_9__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[215]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_9__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[214]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_9__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[213]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_9__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[212]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_9__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[211]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_9__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[210]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_9__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[209]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_9__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[208]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_9__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[207]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_9__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[206]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_9__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[205]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_9__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[201]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_9__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[200]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_9__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[199]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_9__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[198]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_9__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[197]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_9__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[196]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_9__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[195]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_9__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[194]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_9__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[193]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_5__0_ ( 
+        .D(n84740), .DE(n59819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[320]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_5__31_ ( 
+        .D(n84741), .DE(n59819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[351]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_5__30_ ( 
+        .D(n84742), .DE(n59819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[350]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_5__29_ ( 
+        .D(n84743), .DE(n59819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[349]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_5__28_ ( 
+        .D(n84744), .DE(n59819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[348]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_5__27_ ( 
+        .D(n84745), .DE(n59819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[347]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_5__26_ ( 
+        .D(n84746), .DE(n59819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[346]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_5__25_ ( 
+        .D(n84747), .DE(n59819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[345]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_5__24_ ( 
+        .D(n84748), .DE(n59819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[344]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_5__23_ ( 
+        .D(n84749), .DE(n59819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[343]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_5__22_ ( 
+        .D(n84750), .DE(n59819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[342]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_5__21_ ( 
+        .D(n84751), .DE(n59819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[341]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_5__20_ ( 
+        .D(n84752), .DE(n59819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[340]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_5__19_ ( 
+        .D(n84753), .DE(n59819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[339]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_5__18_ ( 
+        .D(n84754), .DE(n59819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[338]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_5__17_ ( 
+        .D(n84755), .DE(n59819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[337]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_5__16_ ( 
+        .D(n84756), .DE(n59819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[336]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_5__15_ ( 
+        .D(n84757), .DE(n59819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[335]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_5__14_ ( 
+        .D(n84758), .DE(n59819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[334]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_5__13_ ( 
+        .D(n84759), .DE(n59819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[333]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_5__9_ ( 
+        .D(n84760), .DE(n59819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[329]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_5__8_ ( 
+        .D(n84761), .DE(n59819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[328]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_5__7_ ( 
+        .D(n84762), .DE(n59819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[327]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_5__6_ ( 
+        .D(n84763), .DE(n59819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[326]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_5__5_ ( 
+        .D(n84764), .DE(n59819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[325]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_5__4_ ( 
+        .D(n84765), .DE(n59819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[324]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_5__3_ ( 
+        .D(n84766), .DE(n59819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[323]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_5__2_ ( 
+        .D(n84767), .DE(n59819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[322]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_5__1_ ( 
+        .D(n84768), .DE(n59819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[321]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_1__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[448]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_1__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[479]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_1__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[478]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_1__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[477]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_1__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[476]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_1__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[475]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_1__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[474]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_1__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[473]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_1__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[472]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_1__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[471]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_1__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[470]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_1__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[469]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_1__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[468]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_1__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[467]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_1__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[466]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_1__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[465]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_1__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[464]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_1__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[463]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_1__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[462]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_1__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[461]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_1__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[457]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_1__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[456]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_1__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[455]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_1__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[454]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_1__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[453]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_1__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[452]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_1__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[451]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_1__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[450]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_1__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[449]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_12__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[96]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_12__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[127]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_12__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[126]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_12__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[125]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_12__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[124]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_12__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[123]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_12__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[122]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_12__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[121]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_12__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[120]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_12__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[119]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_12__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[118]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_12__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[117]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_12__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[116]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_12__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[115]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_12__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[114]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_12__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[113]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_12__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[112]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_12__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[111]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_12__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[110]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_12__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[109]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_12__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[105]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_12__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[104]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_12__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[103]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_12__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[102]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_12__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[101]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_12__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[100]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_12__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[99]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_12__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[98]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_12__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[97]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_8__0_ ( 
+        .D(n84740), .DE(n59814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[224]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_8__31_ ( 
+        .D(n84741), .DE(n59814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[255]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_8__30_ ( 
+        .D(n84742), .DE(n59814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[254]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_8__29_ ( 
+        .D(n84743), .DE(n59814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[253]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_8__28_ ( 
+        .D(n84744), .DE(n59814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[252]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_8__27_ ( 
+        .D(n84745), .DE(n59814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[251]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_8__26_ ( 
+        .D(n84746), .DE(n59814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[250]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_8__25_ ( 
+        .D(n84747), .DE(n59814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[249]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_8__24_ ( 
+        .D(n84748), .DE(n59814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[248]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_8__23_ ( 
+        .D(n84749), .DE(n59814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[247]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_8__22_ ( 
+        .D(n84750), .DE(n59814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[246]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_8__21_ ( 
+        .D(n84751), .DE(n59814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[245]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_8__20_ ( 
+        .D(n84752), .DE(n59814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[244]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_8__19_ ( 
+        .D(n84753), .DE(n59814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[243]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_8__18_ ( 
+        .D(n84754), .DE(n59814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[242]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_8__17_ ( 
+        .D(n84755), .DE(n59814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[241]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_8__16_ ( 
+        .D(n84756), .DE(n59814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[240]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_8__15_ ( 
+        .D(n84757), .DE(n59814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[239]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_8__14_ ( 
+        .D(n84758), .DE(n59814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[238]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_8__13_ ( 
+        .D(n84759), .DE(n59814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[237]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_8__9_ ( 
+        .D(n84760), .DE(n59814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[233]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_8__8_ ( 
+        .D(n84761), .DE(n59814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[232]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_8__7_ ( 
+        .D(n84762), .DE(n59814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[231]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_8__6_ ( 
+        .D(n84763), .DE(n59814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[230]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_8__5_ ( 
+        .D(n84764), .DE(n59814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[229]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_8__4_ ( 
+        .D(n84765), .DE(n59814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[228]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_8__3_ ( 
+        .D(n84766), .DE(n59814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[227]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_8__2_ ( 
+        .D(n84767), .DE(n59814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[226]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_8__1_ ( 
+        .D(n84768), .DE(n59814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[225]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_4__0_ ( 
+        .D(n84740), .DE(n59818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[352]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_4__31_ ( 
+        .D(n84741), .DE(n59818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[383]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_4__30_ ( 
+        .D(n84742), .DE(n59818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[382]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_4__29_ ( 
+        .D(n84743), .DE(n59818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[381]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_4__28_ ( 
+        .D(n84744), .DE(n59818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[380]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_4__27_ ( 
+        .D(n84745), .DE(n59818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[379]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_4__26_ ( 
+        .D(n84746), .DE(n59818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[378]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_4__25_ ( 
+        .D(n84747), .DE(n59818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[377]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_4__24_ ( 
+        .D(n84748), .DE(n59818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[376]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_4__23_ ( 
+        .D(n84749), .DE(n59818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[375]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_4__22_ ( 
+        .D(n84750), .DE(n59818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[374]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_4__21_ ( 
+        .D(n84751), .DE(n59818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[373]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_4__20_ ( 
+        .D(n84752), .DE(n59818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[372]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_4__19_ ( 
+        .D(n84753), .DE(n59818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[371]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_4__18_ ( 
+        .D(n84754), .DE(n59818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[370]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_4__17_ ( 
+        .D(n84755), .DE(n59818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[369]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_4__16_ ( 
+        .D(n84756), .DE(n59818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[368]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_4__15_ ( 
+        .D(n84757), .DE(n59818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[367]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_4__14_ ( 
+        .D(n84758), .DE(n59818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[366]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_4__13_ ( 
+        .D(n84759), .DE(n59818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[365]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_4__9_ ( 
+        .D(n84760), .DE(n59818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[361]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_4__8_ ( 
+        .D(n84761), .DE(n59818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[360]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_4__7_ ( 
+        .D(n84762), .DE(n59818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[359]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_4__6_ ( 
+        .D(n84763), .DE(n59818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[358]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_4__5_ ( 
+        .D(n84764), .DE(n59818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[357]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_4__4_ ( 
+        .D(n84765), .DE(n59818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[356]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_4__3_ ( 
+        .D(n84766), .DE(n59818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[355]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_4__2_ ( 
+        .D(n84767), .DE(n59818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[354]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_4__1_ ( 
+        .D(n84768), .DE(n59818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[353]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_0__0_ ( 
+        .D(n84740), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[480]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_0__31_ ( 
+        .D(n84741), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[511]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_0__30_ ( 
+        .D(n84742), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[510]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_0__29_ ( 
+        .D(n84743), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[509]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_0__28_ ( 
+        .D(n84744), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[508]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_0__27_ ( 
+        .D(n84745), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[507]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_0__26_ ( 
+        .D(n84746), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[506]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_0__25_ ( 
+        .D(n84747), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[505]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_0__24_ ( 
+        .D(n84748), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[504]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_0__23_ ( 
+        .D(n84749), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[503]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_0__22_ ( 
+        .D(n84750), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[502]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_0__21_ ( 
+        .D(n84751), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[501]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_0__20_ ( 
+        .D(n84752), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[500]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_0__19_ ( 
+        .D(n84753), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[499]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_0__18_ ( 
+        .D(n84754), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[498]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_0__17_ ( 
+        .D(n84755), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[497]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_0__16_ ( 
+        .D(n84756), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[496]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_0__15_ ( 
+        .D(n84757), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[495]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_0__14_ ( 
+        .D(n84758), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[494]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_0__13_ ( 
+        .D(n84759), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[493]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_0__9_ ( 
+        .D(n84760), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[489]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_0__8_ ( 
+        .D(n84761), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[488]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_0__7_ ( 
+        .D(n84762), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[487]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_0__6_ ( 
+        .D(n84763), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[486]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_0__5_ ( 
+        .D(n84764), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[485]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_0__4_ ( 
+        .D(n84765), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[484]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_0__3_ ( 
+        .D(n84766), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[483]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_0__2_ ( 
+        .D(n84767), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[482]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_0__1_ ( 
+        .D(n84768), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[481]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_mask_reg_1_ ( 
+        .D(n84769), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_mask_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[1]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_49[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_mask_reg_0__1_ ( 
+        .D(n84770), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_mask[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_mask_reg_1__1_ ( 
+        .D(n84770), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_mask[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_mask_reg_1_ ( 
+        .D(n84771), .DE(n36835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics__GEN_39[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_mask_reg_0__1_ ( 
+        .D(n84772), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_mask[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_mask_reg_1__1_ ( 
+        .D(n84772), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_mask[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data_r_1_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[8]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data_r_1_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[15]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_mask_reg_3_ ( 
+        .D(n84773), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_mask_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[3]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_49[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_mask_reg_0__3_ ( 
+        .D(n84774), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_mask[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_mask_reg_1__3_ ( 
+        .D(n84774), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_mask[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_mask_reg_3_ ( 
+        .D(n84775), .DE(n86577), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics__GEN_39[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_mask_reg_0__3_ ( 
+        .D(n84776), .DE(n36833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_mask[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_mask_reg_1__3_ ( 
+        .D(n84776), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_mask[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_mask_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_auto_out_a_bits_mask[3]), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_mask[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_36_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_mask[3]), 
+        .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_io_en), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_mask[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_mask_reg_0__3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_mask[3]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_back_io_deq_bits_mask[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_small__reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N19), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[4]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_io_rst_reg_reg__reg ( 
+        .D(n444), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_aonrst_catch__io_sync_reset_WIRE), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_io_resetCause_latches_latch_1_N3) );
+  sky130_fd_sc_hd__dfbbp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_io_resetCause_latches_latch_1_latch_reg ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_pmu__core_io_resetCause_T[1]), 
+        .CLK(n36852), .SET_B(wbs_dat_o[0]), .RESET_B(n443), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu__core_io_resetCause_T[1]), 
+        .Q_N() );
+  sky130_fd_sc_hd__dfbbn_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_io_resetCause_latches_latch_2_latch_reg ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_pmu__core_io_resetCause_T[2]), 
+        .CLK_N(net247089), .SET_B(n443), .RESET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu__core_io_resetCause_T[2]), 
+        .Q_N() );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_aonrst_catch_io_sync_reset_chain_output_chain_sync_2_reg ( 
+        .D(net247089), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(
+        n441), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aonrst_catch_io_sync_reset_chain_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_aonrst_catch_io_sync_reset_chain_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_aonrst_catch_io_sync_reset_chain_output_chain_sync_2), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(n441), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aonrst_catch_io_sync_reset_chain_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_aonrst_catch_io_sync_reset_chain_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_aonrst_catch_io_sync_reset_chain_output_chain_sync_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(n441), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aonrst_catch__io_sync_reset_WIRE) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_slave_reset_catcher_io_sync_reset_chain_output_chain_sync_2_reg ( 
+        .D(net247089), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(
+        n440), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_slave_reset_catcher_io_sync_reset_chain_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_slave_reset_catcher_io_sync_reset_chain_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_slave_reset_catcher_io_sync_reset_chain_output_chain_sync_2), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(n440), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_slave_reset_catcher_io_sync_reset_chain_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_slave_reset_catcher_io_sync_reset_chain_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_slave_reset_catcher_io_sync_reset_chain_output_chain_sync_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(n440), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_slave_reset_catcher__io_sync_reset_WIRE) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_sink_valid_0_io_out_source_valid_0_output_chain_sync_2_reg ( 
+        .D(net247089), .CLK(wb_clk_i), .RESET_B(n439), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_sink_valid_0_io_out_source_valid_0_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_sink_valid_0_io_out_source_valid_0_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_sink_valid_0_io_out_source_valid_0_output_chain_sync_2), .CLK(wb_clk_i), .RESET_B(n439), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_sink_valid_0_io_out_source_valid_0_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_sink_valid_0_io_out_source_valid_0_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_sink_valid_0_io_out_source_valid_0_output_chain_sync_1), .CLK(wb_clk_i), .RESET_B(n439), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_sink_valid_0_io_out) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_sink_valid_1_io_out_source_valid_0_output_chain_sync_2_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_sink_valid_0_io_out), .CLK(wb_clk_i), .RESET_B(n439), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_sink_valid_1_io_out_source_valid_0_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_sink_valid_1_io_out_source_valid_0_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_sink_valid_1_io_out_source_valid_0_output_chain_sync_2), .CLK(wb_clk_i), .RESET_B(n439), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_sink_valid_1_io_out_source_valid_0_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_sink_valid_1_io_out_source_valid_0_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_sink_valid_1_io_out_source_valid_0_output_chain_sync_1), .CLK(wb_clk_i), .RESET_B(n439), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_d_safe_ridx_valid) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_source_valid_0_io_out_source_valid_0_output_chain_sync_2_reg ( 
+        .D(net247089), .CLK(wb_clk_i), .RESET_B(n439), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_source_valid_0_io_out_source_valid_0_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_source_valid_0_io_out_source_valid_0_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_source_valid_0_io_out_source_valid_0_output_chain_sync_2), .CLK(wb_clk_i), .RESET_B(n439), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_source_valid_0_io_out_source_valid_0_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_source_valid_0_io_out_source_valid_0_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_source_valid_0_io_out_source_valid_0_output_chain_sync_1), .CLK(wb_clk_i), .RESET_B(n439), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_source_valid_0_io_out) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_source_valid_1_io_out_source_valid_0_output_chain_sync_2_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_source_valid_0_io_out), .CLK(wb_clk_i), .RESET_B(n439), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_source_valid_1_io_out_source_valid_0_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_source_valid_1_io_out_source_valid_0_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_source_valid_1_io_out_source_valid_0_output_chain_sync_2), .CLK(wb_clk_i), .RESET_B(n439), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_source_valid_1_io_out_source_valid_0_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_source_valid_1_io_out_source_valid_0_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_source_valid_1_io_out_source_valid_0_output_chain_sync_1), .CLK(wb_clk_i), .RESET_B(n439), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_safe_widx_valid) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_source_valid_0_io_out_source_valid_0_output_chain_sync_2_reg ( 
+        .D(net247089), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(
+        n438), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_source_valid_0_io_out_source_valid_0_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_source_valid_0_io_out_source_valid_0_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_source_valid_0_io_out_source_valid_0_output_chain_sync_2), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(n438), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_source_valid_0_io_out_source_valid_0_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_source_valid_0_io_out_source_valid_0_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_source_valid_0_io_out_source_valid_0_output_chain_sync_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(n438), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_source_valid_0_io_out) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_source_valid_1_io_out_source_valid_0_output_chain_sync_2_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_source_valid_0_io_out), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(n438), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_source_valid_1_io_out_source_valid_0_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_source_valid_1_io_out_source_valid_0_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_source_valid_1_io_out_source_valid_0_output_chain_sync_2), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(n438), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_source_valid_1_io_out_source_valid_0_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_source_valid_1_io_out_source_valid_0_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_source_valid_1_io_out_source_valid_0_output_chain_sync_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(n438), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_safe_widx_valid)
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_source_extend_io_out_source_valid_0_output_chain_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_safe_widx_valid), .CLK(wb_clk_i), .RESET_B(n439), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_source_extend_io_out_source_valid_0_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_source_extend_io_out_source_valid_0_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_source_extend_io_out_source_valid_0_output_chain_sync_2), .CLK(wb_clk_i), .RESET_B(n439), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_source_extend_io_out_source_valid_0_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_source_extend_io_out_source_valid_0_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_source_extend_io_out_source_valid_0_output_chain_sync_1), .CLK(wb_clk_i), .RESET_B(n439), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_source_extend_io_out) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_source_valid_io_out_source_valid_0_output_chain_sync_2_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_source_extend_io_out), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_source_valid_io_out_source_valid_0_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_source_valid_io_out_source_valid_0_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_source_valid_io_out_source_valid_0_output_chain_sync_2), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_source_valid_io_out_source_valid_0_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_source_valid_io_out_source_valid_0_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_source_valid_io_out_source_valid_0_output_chain_sync_1), .CLK(wb_clk_i), .RESET_B(n86753), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_source_ready) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_sink_extend_io_out_source_valid_0_output_chain_sync_2_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_d_safe_ridx_valid), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(n438), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_sink_extend_io_out_source_valid_0_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_sink_extend_io_out_source_valid_0_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_sink_extend_io_out_source_valid_0_output_chain_sync_2), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(n438), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_sink_extend_io_out_source_valid_0_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_sink_extend_io_out_source_valid_0_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_sink_extend_io_out_source_valid_0_output_chain_sync_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(n438), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_sink_extend_io_out) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_sink_valid_0_io_out_source_valid_0_output_chain_sync_2_reg ( 
+        .D(net247089), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(
+        n438), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_sink_valid_0_io_out_source_valid_0_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_sink_valid_0_io_out_source_valid_0_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_sink_valid_0_io_out_source_valid_0_output_chain_sync_2), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(n438), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_sink_valid_0_io_out_source_valid_0_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_sink_valid_0_io_out_source_valid_0_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_sink_valid_0_io_out_source_valid_0_output_chain_sync_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(n438), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_sink_valid_0_io_out) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_sink_valid_1_io_out_source_valid_0_output_chain_sync_2_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_sink_valid_0_io_out), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(n438), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_sink_valid_1_io_out_source_valid_0_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_sink_valid_1_io_out_source_valid_0_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_sink_valid_1_io_out_source_valid_0_output_chain_sync_2), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(n438), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_sink_valid_1_io_out_source_valid_0_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_sink_valid_1_io_out_source_valid_0_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_sink_valid_1_io_out_source_valid_0_output_chain_sync_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(n438), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_a_safe_ridx_valid)
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_sink_extend_io_out_source_valid_0_output_chain_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_a_safe_ridx_valid), .CLK(wb_clk_i), .RESET_B(n439), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_sink_extend_io_out_source_valid_0_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_sink_extend_io_out_source_valid_0_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_sink_extend_io_out_source_valid_0_output_chain_sync_2), .CLK(wb_clk_i), .RESET_B(n439), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_sink_extend_io_out_source_valid_0_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_sink_extend_io_out_source_valid_0_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_sink_extend_io_out_source_valid_0_output_chain_sync_1), .CLK(wb_clk_i), .RESET_B(n439), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_sink_extend_io_out) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_sink_valid_io_out_source_valid_0_output_chain_sync_2_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_sink_extend_io_out), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_sink_valid_io_out_source_valid_0_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_sink_valid_io_out_source_valid_0_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_sink_valid_io_out_source_valid_0_output_chain_sync_2), .CLK(wb_clk_i), .RESET_B(n36801), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_sink_valid_io_out_source_valid_0_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_sink_valid_io_out_source_valid_0_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_sink_valid_io_out_source_valid_0_output_chain_sync_1), .CLK(wb_clk_i), .RESET_B(n37037), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_sink_ready) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_source_extend_io_out_source_valid_0_output_chain_sync_2_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_safe_widx_valid), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(n438), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_source_extend_io_out_source_valid_0_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_source_extend_io_out_source_valid_0_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_source_extend_io_out_source_valid_0_output_chain_sync_2), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(n438), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_source_extend_io_out_source_valid_0_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_source_extend_io_out_source_valid_0_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_source_extend_io_out_source_valid_0_output_chain_sync_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(n438), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_source_extend_io_out) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_source_valid_io_out_source_valid_0_output_chain_sync_2_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_source_extend_io_out), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_slave_reset_catcher__io_sync_reset_WIRE), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_source_valid_io_out_source_valid_0_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_source_valid_io_out_source_valid_0_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_source_valid_io_out_source_valid_0_output_chain_sync_2), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_slave_reset_catcher__io_sync_reset_WIRE), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_source_valid_io_out_source_valid_0_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_source_valid_io_out_source_valid_0_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_source_valid_io_out_source_valid_0_output_chain_sync_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_slave_reset_catcher__io_sync_reset_WIRE), .Q(MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_source_ready)
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_sink_valid_io_out_source_valid_0_output_chain_sync_2_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_sink_extend_io_out), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_slave_reset_catcher__io_sync_reset_WIRE), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_sink_valid_io_out_source_valid_0_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_sink_valid_io_out_source_valid_0_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_sink_valid_io_out_source_valid_0_output_chain_sync_2), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_slave_reset_catcher__io_sync_reset_WIRE), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_sink_valid_io_out_source_valid_0_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_sink_valid_io_out_source_valid_0_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_sink_valid_io_out_source_valid_0_output_chain_sync_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_slave_reset_catcher__io_sync_reset_WIRE), .Q(MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_sink_ready)
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_coreReset_x4_reg ( 
+        .D(n85911), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_coreReset_x4) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_maybe_full_reg ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_out_back_N11), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_back_N10), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_back_maybe_full) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_ridx_ridx_bin_reg ( 
+        .D(n84778), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_slave_reset_catcher__io_sync_reset_WIRE), .Q(MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_ridx_ridx_bin) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_ridx_gray_reg ( 
+        .D(n84778), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_slave_reset_catcher__io_sync_reset_WIRE), .Q(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_a_ridx) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_ridx_ridx_gray_output_chain_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_a_ridx), .CLK(
+        wb_clk_i), .RESET_B(n37040), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_ridx_ridx_gray_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_ridx_ridx_gray_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_ridx_ridx_gray_output_chain_sync_2), .CLK(wb_clk_i), .RESET_B(n36801), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_ridx_ridx_gray_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_ridx_ridx_gray_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_ridx_ridx_gray_output_chain_sync_1), .CLK(wb_clk_i), .RESET_B(n36846), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_ridx) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_ready_reg_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_N8), .CLK(wb_clk_i), .RESET_B(n86402), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_ready_reg) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_opcode_reg_0_ ( 
+        .D(n85810), .DE(n86375), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_opcode_reg_2_ ( 
+        .D(n85901), .DE(n86375), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_opcode_reg_1_ ( 
+        .D(n85811), .DE(n86375), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_size_reg_1_ ( 
+        .D(n84859), .DE(n86375), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_size_reg_2_ ( 
+        .D(n54320), .DE(n86375), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_size_reg_0_ ( 
+        .D(n84860), .DE(n86375), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_source_reg_1_ ( 
+        .D(n85809), .DE(n86375), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_source_reg_0_ ( 
+        .D(n85808), .DE(n86375), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_address_reg_2_ ( 
+        .D(n85781), .DE(n86375), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_address_reg_3_ ( 
+        .D(n84862), .DE(n86375), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_address_reg_4_ ( 
+        .D(n85782), .DE(n86375), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_address_reg_6_ ( 
+        .D(n84863), .DE(n86375), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_address_reg_7_ ( 
+        .D(n85806), .DE(n86375), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_address_reg_8_ ( 
+        .D(n84864), .DE(n86375), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_address_reg_9_ ( 
+        .D(n85807), .DE(n86375), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_address_reg_10_ ( 
+        .D(n84865), .DE(n86375), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_address_reg_11_ ( 
+        .D(n84866), .DE(n86375), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_opcode_reg_2_ ( 
+        .D(n85425), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_address_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_deq_bits_address[9]), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_address_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_deq_bits_address[8]), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_address_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_deq_bits_address[7]), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_address_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_deq_bits_address[6]), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_data_reg_0_ ( 
+        .D(n85581), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_data_reg_31_ ( 
+        .D(n85868), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_data_reg_30_ ( 
+        .D(n85867), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_data_reg_29_ ( 
+        .D(n85866), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_data_reg_28_ ( 
+        .D(n85865), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_data_reg_27_ ( 
+        .D(n85864), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_data_reg_26_ ( 
+        .D(n85863), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_data_reg_25_ ( 
+        .D(n85862), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_data_reg_24_ ( 
+        .D(n85861), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_data_reg_23_ ( 
+        .D(n85876), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_data_reg_22_ ( 
+        .D(n85875), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source__widx_T_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_data_reg_21_ ( 
+        .D(n85874), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_data_reg_20_ ( 
+        .D(n85873), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source__widx_T_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_data_reg_19_ ( 
+        .D(n85872), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_data_reg_18_ ( 
+        .D(n85871), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source__widx_T_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_data_reg_17_ ( 
+        .D(n85870), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_data_reg_16_ ( 
+        .D(n85869), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source__widx_T_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_data_reg_15_ ( 
+        .D(n85666), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_data_reg_14_ ( 
+        .D(n85584), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source__widx_T_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_data_reg_13_ ( 
+        .D(n85585), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_data_reg_12_ ( 
+        .D(n85586), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source__widx_T_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_data_reg_11_ ( 
+        .D(n85572), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_data_reg_10_ ( 
+        .D(n85578), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source__widx_T_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_data_reg_9_ ( 
+        .D(n85587), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_data_reg_8_ ( 
+        .D(n85574), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_data_reg_7_ ( 
+        .D(n85562), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_data_reg_6_ ( 
+        .D(n85575), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_data_reg_5_ ( 
+        .D(n85570), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source__widx_T_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_data_reg_4_ ( 
+        .D(n85579), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source__widx_T_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_data_reg_3_ ( 
+        .D(n85569), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source__widx_T_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_data_reg_2_ ( 
+        .D(n85582), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source__widx_T_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_data_reg_1_ ( 
+        .D(n85583), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source__widx_T_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[1]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_widx_widx_bin_reg ( 
+        .D(n84777), .CLK(wb_clk_i), .RESET_B(n36846), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_widx_widx_bin) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_widx_gray_reg ( 
+        .D(n84777), .CLK(wb_clk_i), .RESET_B(n49230), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_widx) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_widx_widx_gray_output_chain_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_widx), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_slave_reset_catcher__io_sync_reset_WIRE), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_widx_widx_gray_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_widx_widx_gray_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_widx_widx_gray_output_chain_sync_2), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_slave_reset_catcher__io_sync_reset_WIRE), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_widx_widx_gray_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_widx_widx_gray_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_widx_widx_gray_output_chain_sync_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_slave_reset_catcher__io_sync_reset_WIRE), .Q(MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_widx) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_address_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_deq_bits_address[10]), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source__widx_T_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_address_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_deq_bits_address[11]), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source__widx_T_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_source_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_auto_out_a_bits_source[5]), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source__widx_T_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_source[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_source_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_auto_out_a_bits_source[6]), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source__widx_T_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_source[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_opcode_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_auto_out_a_bits_opcode[0]), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_opcode_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_auto_out_a_bits_opcode[1]), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_mask_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_auto_out_a_bits_mask[0]), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_mask[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_mask_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_auto_out_a_bits_mask[1]), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_mask[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_mask_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_auto_out_a_bits_mask[2]), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_mask[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_source_reg_0_ ( 
+        .D(n85440), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_gennum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_N34), .DE(n36413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_gennum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_address_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_auto_out_a_bits_address[2]), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_source_reg_1_ ( 
+        .D(n85441), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_address_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_auto_out_a_bits_address[3]), .DE(n86453), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_gennum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_N35), .DE(n36413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_gennum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_source_reg_2_ ( 
+        .D(n85442), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source__widx_T_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_source[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_gennum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_N36), .DE(n36413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_gennum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_address_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_auto_out_a_bits_address[4]), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source__widx_T_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_gennum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_N37), .DE(n36413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_gennum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_source_reg_3_ ( 
+        .D(n85784), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source__widx_T_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_source[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_size_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_auto_out_a_bits_size_0_), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source__widx_T_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_mem_0_size_reg_1_ ( 
+        .D(n85888), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source__widx_T_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[0]), 
+        .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_io_en), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_data_reg_0__0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[0]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[1]), 
+        .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_io_en), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_data_reg_0__1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[1]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_7_reg_1_ ( 
+        .D(n84853), .DE(n36314), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_775[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[2]), 
+        .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_io_en), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_data_reg_0__2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[2]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_7_reg_2_ ( 
+        .D(n84852), .DE(n36314), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_775[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[3]), 
+        .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_io_en), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_data_reg_0__3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[3]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_7_reg_3_ ( 
+        .D(n84851), .DE(n36314), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_775[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[4]), 
+        .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_io_en), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_data_reg_0__4_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[4]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_7_reg_4_ ( 
+        .D(n84845), .DE(n36314), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_775[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[5]), 
+        .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_io_en), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_data_reg_0__5_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[5]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_7_reg_5_ ( 
+        .D(n84844), .DE(n36314), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_775[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[6]), 
+        .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_io_en), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_data_reg_0__6_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[6]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_7_reg_6_ ( 
+        .D(n84843), .DE(n36314), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_775[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[7]), 
+        .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_data_reg_0__7_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[7]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_7_reg_7_ ( 
+        .D(n84842), .DE(n36314), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_775[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[8]), 
+        .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_data_reg_0__8_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[8]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_7_reg_8_ ( 
+        .D(n84841), .DE(n36314), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_775[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[9]), 
+        .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_data_reg_0__9_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[9]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[10]), .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_data_reg_0__10_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[10]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[11]), .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_data_reg_0__11_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[11]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[12]), .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_data_reg_0__12_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[12]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[13]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_io_en), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_data_reg_0__13_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[13]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[14]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_io_en), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_data_reg_0__14_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[14]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[15]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_io_en), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_data_reg_0__15_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[15]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[16]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_io_en), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_data_reg_0__16_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[16]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[17]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_io_en), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_data_reg_0__17_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[17]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[18]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_io_en), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_data_reg_0__18_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[18]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[19]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_io_en), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_data_reg_0__19_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[19]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[20]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_io_en), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_data_reg_0__20_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[20]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[21]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_io_en), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_data_reg_0__21_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[21]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[22]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_io_en), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_data_reg_0__22_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[22]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[23]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_io_en), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_data_reg_0__23_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[23]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[24]), .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_data_reg_0__24_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[24]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[25]), .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_data_reg_0__25_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[25]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[26]), .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_data_reg_0__26_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[26]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[27]), .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_data_reg_0__27_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[27]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[28]), .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_data_reg_0__28_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[28]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[29]), .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_data_reg_0__29_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[29]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[30]), .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_data_reg_0__30_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[30]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_32_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[31]), .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_data_reg_0__31_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_data[31]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_33_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_mask[0]), 
+        .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_mask[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_mask_reg_0__0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_mask[0]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_back_io_deq_bits_mask[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_34_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_mask[1]), 
+        .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_mask[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_mask_reg_0__1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_mask[1]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_back_io_deq_bits_mask[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_35_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_mask[2]), 
+        .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_mask[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_mask_reg_0__2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_mask[2]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_back_io_deq_bits_mask[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_39_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_address[2]), 
+        .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_address[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_index_reg_0__0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_address[2]), .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_40_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_address[3]), 
+        .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_address[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_index_reg_0__1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_address[3]), .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_41_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_address[4]), 
+        .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_address[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_index_reg_0__2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_address[4]), .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_43_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_address[6]), 
+        .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_address[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_index_reg_0__4_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_address[6]), .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_44_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_address[7]), 
+        .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_address[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_index_reg_0__5_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_address[7]), .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_45_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_address[8]), 
+        .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_address[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_index_reg_0__6_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_address[8]), .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[0]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[31]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[30]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3_reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[29]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[28]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3_reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[27]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3_reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[26]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3_reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[25]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3_reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[24]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[23]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[22]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[21]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[20]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3_reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[19]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3_reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[18]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3_reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[17]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3_reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[16]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[15]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[14]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[13]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[12]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[11]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[10]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[9]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[8]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[7]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[6]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[5]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[4]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[3]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[2]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[1]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[0]), .DE(n86407), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[31]), .DE(n86407), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[30]), .DE(n86407), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1_reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[29]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_28), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[28]), .DE(n86407), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1_reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[27]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_28), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1_reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[26]), .DE(n86407), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1_reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[25]), .DE(n86407), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1_reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[24]), .DE(n86407), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[23]), .DE(n86407), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[22]), .DE(n86407), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[21]), .DE(n86407), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[20]), .DE(n86407), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1_reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[19]), .DE(n86407), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1_reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[18]), .DE(n86407), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1_reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[17]), .DE(n86407), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1_reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[16]), .DE(n86407), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[15]), .DE(n86407), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[14]), .DE(n86407), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[13]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_28), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[12]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_28), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[11]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_28), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[10]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_28), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[9]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_28), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[8]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_28), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[7]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_28), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[6]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_28), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[5]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_28), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[4]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_28), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[3]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_28), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[2]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_28), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[1]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_28), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[0]), .DE(n86408), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[31]), .DE(n86408), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[30]), .DE(n86408), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0_reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[29]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_34), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[28]), .DE(n86408), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0_reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[27]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_34), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0_reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[26]), .DE(n86408), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0_reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[25]), .DE(n86408), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0_reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[24]), .DE(n86408), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[23]), .DE(n86408), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[22]), .DE(n86408), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[21]), .DE(n86408), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[20]), .DE(n86408), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0_reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[19]), .DE(n86408), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0_reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[18]), .DE(n86408), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0_reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[17]), .DE(n86408), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0_reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[16]), .DE(n86408), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[15]), .DE(n86408), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[14]), .DE(n86408), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[13]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_34), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[12]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_34), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[11]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_34), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[10]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_34), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[9]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_34), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[8]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_34), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[7]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_34), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[6]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_34), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[5]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_34), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[4]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_34), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[3]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_34), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[2]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_34), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[1]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_34), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[0]), .DE(n86409), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[31]), .DE(n86409), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[30]), .DE(n86409), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2_reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[29]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_35), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[28]), .DE(n86409), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2_reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[27]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_35), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2_reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[26]), .DE(n86409), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2_reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[25]), .DE(n86409), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2_reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[24]), .DE(n86409), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[23]), .DE(n86409), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[22]), .DE(n86409), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[21]), .DE(n86409), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[20]), .DE(n86409), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2_reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[19]), .DE(n86409), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2_reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[18]), .DE(n86409), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2_reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[17]), .DE(n86409), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2_reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[16]), .DE(n86409), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[15]), .DE(n86409), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[14]), .DE(n86409), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[13]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_35), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[12]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_35), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[11]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_35), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[10]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_35), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[9]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_35), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[8]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_35), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[7]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_35), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[6]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_35), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[5]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_35), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[4]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_35), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[3]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_35), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[2]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_35), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[1]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_35), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[0]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[31]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[30]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4_reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[29]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[28]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4_reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[27]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4_reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[26]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4_reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[25]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4_reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[24]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[23]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[22]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[21]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[20]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4_reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[19]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4_reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[18]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4_reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[17]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4_reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[16]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[15]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[14]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[13]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[12]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[11]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[10]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[9]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[8]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[7]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[6]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[5]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[4]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[3]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[2]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[1]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[0]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[31]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[30]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5_reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[29]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[28]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5_reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[27]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5_reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[26]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5_reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[25]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5_reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[24]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[23]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[22]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[21]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[20]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5_reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[19]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5_reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[18]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5_reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[17]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5_reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[16]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[15]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[14]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[13]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[12]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[11]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[10]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[9]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[8]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[7]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[6]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[5]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[4]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[3]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[2]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[1]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[0]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[31]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[30]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6_reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[29]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[28]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6_reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[27]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6_reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[26]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6_reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[25]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6_reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[24]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[23]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[22]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[21]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[20]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6_reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[19]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6_reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[18]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6_reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[17]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6_reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[16]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[15]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[14]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[13]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[12]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[11]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[10]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[9]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[8]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[7]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[6]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[5]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[4]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[3]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[2]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[1]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[0]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[31]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[30]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7_reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[29]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[28]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7_reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[27]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7_reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[26]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7_reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[25]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7_reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[24]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[23]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[22]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[21]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[20]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7_reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[19]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7_reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[18]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7_reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[17]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7_reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[16]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[15]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[14]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[13]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[12]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[11]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[10]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[9]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[8]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[7]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[6]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[5]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[4]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[3]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[2]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[1]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[0]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[31]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[30]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9_reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[29]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[28]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9_reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[27]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9_reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[26]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9_reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[25]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9_reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[24]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[23]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[22]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[21]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[20]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9_reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[19]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9_reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[18]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9_reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[17]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9_reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[16]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[15]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[14]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[13]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[12]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[11]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[10]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[9]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[8]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[7]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[6]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[5]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[4]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[3]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[2]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[1]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[0]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[31]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[30]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8_reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[29]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[28]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8_reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[27]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8_reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[26]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8_reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[25]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8_reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[24]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[23]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[22]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[21]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[20]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8_reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[19]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8_reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[18]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8_reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[17]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8_reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[16]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[15]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[14]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[13]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[12]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[11]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[10]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[9]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[8]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[7]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[6]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[5]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[4]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[3]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[2]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[1]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[0]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[31]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[30]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11_reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[29]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[28]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11_reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[27]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11_reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[26]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11_reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[25]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11_reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[24]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[23]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[22]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[21]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[20]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11_reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[19]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11_reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[18]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11_reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[17]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11_reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[16]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[15]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[14]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[13]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[12]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[11]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[10]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[9]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[8]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[7]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[6]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[5]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[4]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[3]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[2]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[1]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[0]), .DE(n86405), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[31]), .DE(n86405), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[30]), .DE(n86405), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10_reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[29]), .DE(n86405), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[28]), .DE(n86405), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10_reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[27]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_18), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10_reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[26]), .DE(n86405), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10_reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[25]), .DE(n86405), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10_reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[24]), .DE(n86405), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[23]), .DE(n86405), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[22]), .DE(n86405), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[21]), .DE(n86405), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[20]), .DE(n86405), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10_reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[19]), .DE(n86405), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10_reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[18]), .DE(n86405), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10_reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[17]), .DE(n86405), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10_reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[16]), .DE(n86405), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[15]), .DE(n86405), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[14]), .DE(n86405), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[13]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_18), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[12]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_18), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[11]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_18), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[10]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_18), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[9]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_18), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[8]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_18), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[7]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_18), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[6]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_18), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[5]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_18), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[4]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_18), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[3]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_18), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[2]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_18), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[1]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_18), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[0]), .DE(n86410), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[31]), .DE(n86410), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[30]), .DE(n86410), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12_reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[29]), .DE(n86410), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[28]), .DE(n86410), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12_reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[27]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_39), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12_reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[26]), .DE(n86410), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12_reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[25]), .DE(n86410), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12_reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[24]), .DE(n86410), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[23]), .DE(n86410), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[22]), .DE(n86410), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[21]), .DE(n86410), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[20]), .DE(n86410), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12_reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[19]), .DE(n86410), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12_reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[18]), .DE(n86410), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12_reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[17]), .DE(n86410), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12_reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[16]), .DE(n86410), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[15]), .DE(n86410), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[14]), .DE(n86410), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[13]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_39), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[12]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_39), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[11]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_39), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[10]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_39), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[9]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_39), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[8]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_39), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[7]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_39), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[6]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_39), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[5]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_39), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[4]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_39), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[3]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_39), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[2]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_39), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[1]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_39), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[0]), .DE(n86406), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[31]), .DE(n86406), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[30]), .DE(n86406), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14_reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[29]), .DE(n86406), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[28]), .DE(n86406), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14_reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[27]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_22), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14_reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[26]), .DE(n86406), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14_reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[25]), .DE(n86406), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14_reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[24]), .DE(n86406), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[23]), .DE(n86406), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[22]), .DE(n86406), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[21]), .DE(n86406), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[20]), .DE(n86406), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14_reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[19]), .DE(n86406), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14_reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[18]), .DE(n86406), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14_reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[17]), .DE(n86406), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14_reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[16]), .DE(n86406), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[15]), .DE(n86406), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[14]), .DE(n86406), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[13]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_22), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[12]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_22), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[11]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_22), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[10]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_22), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[9]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_22), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[8]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_22), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[7]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_22), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[6]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_22), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[5]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_22), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[4]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_22), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[3]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_22), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[2]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_22), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[1]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_22), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[0]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[31]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[30]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15_reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[29]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[28]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15_reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[27]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15_reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[26]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15_reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[25]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15_reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[24]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[23]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[22]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[21]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[20]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15_reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[19]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15_reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[18]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15_reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[17]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15_reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[16]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[15]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[14]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[13]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[12]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[11]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[10]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[9]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[8]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[7]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[6]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[5]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[4]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[3]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[2]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[1]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[0]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[31]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[30]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13_reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[29]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[28]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13_reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[27]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13_reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[26]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13_reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[25]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13_reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[24]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[23]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[22]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[21]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[20]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13_reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[19]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13_reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[18]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13_reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[17]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13_reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[16]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[15]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[14]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[13]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[12]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[11]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[10]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[9]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[8]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[7]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[6]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[5]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[4]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[3]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[2]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[1]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_cmp_0_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[1]), .DE(n86411), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_cmp_0_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[2]), .DE(n86411), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_cmp_0_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[3]), .DE(n86411), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_cmp_0_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[4]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_scale_io_regs_cmp_0_write_valid), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_cmp_0_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[5]), .DE(n86411), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_cmp_0_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[6]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_scale_io_regs_cmp_0_write_valid), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_cmp_0_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[7]), .DE(n86411), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_cmp_0_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[8]), .DE(n86411), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_cmp_0_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[9]), .DE(n86411), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_cmp_0_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[10]), .DE(n86411), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_cmp_0_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[11]), .DE(n86411), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_cmp_0_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[12]), .DE(n86411), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_cmp_0_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[13]), .DE(n86411), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_cmp_0_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[14]), .DE(n86411), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_cmp_0_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[15]), .DE(n86411), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_cmp_0_reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[16]), .DE(n86411), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_cmp_0_reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[17]), .DE(n86411), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_cmp_0_reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[18]), .DE(n86411), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_cmp_0_reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[19]), .DE(n86411), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_cmp_0_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[20]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_scale_io_regs_cmp_0_write_valid), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_cmp_0_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[21]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_scale_io_regs_cmp_0_write_valid), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_cmp_0_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[22]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_scale_io_regs_cmp_0_write_valid), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_cmp_0_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[23]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_scale_io_regs_cmp_0_write_valid), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_cmp_0_reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[24]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_scale_io_regs_cmp_0_write_valid), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_cmp_0_reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[25]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_scale_io_regs_cmp_0_write_valid), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_cmp_0_reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[26]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_scale_io_regs_cmp_0_write_valid), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_cmp_0_reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[27]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_scale_io_regs_cmp_0_write_valid), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_cmp_0_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[28]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_scale_io_regs_cmp_0_write_valid), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_cmp_0_reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[29]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_scale_io_regs_cmp_0_write_valid), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_cmp_0_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[30]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_scale_io_regs_cmp_0_write_valid), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_cmp_0_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[31]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_scale_io_regs_cmp_0_write_valid), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_cmp_0_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[0]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_scale_io_regs_cmp_0_write_valid), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_7_reg_8_ ( 
+        .D(n84846), .DE(n36322), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_447[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_7_reg_7_ ( 
+        .D(n84847), .DE(n36322), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_447[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_7_reg_6_ ( 
+        .D(n84848), .DE(n36322), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_447[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_7_reg_5_ ( 
+        .D(n84849), .DE(n36322), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_447[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_7_reg_4_ ( 
+        .D(n84850), .DE(n36322), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_447[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_7_reg_3_ ( 
+        .D(n84851), .DE(n36322), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_447[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_7_reg_2_ ( 
+        .D(n84852), .DE(n36322), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_447[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_7_reg_1_ ( 
+        .D(n84853), .DE(n36322), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_447[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_7_reg_0_ ( 
+        .D(n84854), .DE(n36322), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_447[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_46_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_address[9]), 
+        .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_address[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_index_reg_0__7_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_address[9]), .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_bindex[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_47_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_address[10]), .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_address[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_index_reg_0__8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_address[10]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_bindex[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_48_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_address[11]), .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_address[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_index_reg_0__9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_address[11]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_bindex[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_66_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_source[0]), 
+        .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_extra_tlrr_extra_source_reg_0__0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_source[0]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_67_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_source[1]), 
+        .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_extra_tlrr_extra_source_reg_0__1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_source[1]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_68_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_source[2]), 
+        .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_source[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_extra_tlrr_extra_source_reg_0__2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_source[2]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_source[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_69_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_source[3]), 
+        .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_source[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_extra_tlrr_extra_source_reg_0__3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_source[3]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_source[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_71_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_source[5]), 
+        .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_source[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_extra_tlrr_extra_source_reg_0__5_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_source[5]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_source[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_72_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_source[6]), 
+        .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_source[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_extra_tlrr_extra_source_reg_0__6_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_source[6]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_source[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_73_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_size[0]), 
+        .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_extra_tlrr_extra_size_reg_0__0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_size[0]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_74_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_size[1]), 
+        .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_extra_tlrr_extra_size_reg_0__1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_size[1]), 
+        .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_78_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_opcode[0]), 
+        .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_79_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_opcode[1]), 
+        .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_80_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_opcode[2]), 
+        .DE(n86403), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_out_back_ram_read_reg_0_ ( 
+        .D(n36602), .DE(n86404), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_opcode_0_) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_valid_reg_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_io_en), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_slave_reset_catcher__io_sync_reset_WIRE), .Q(MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_valid_reg) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_scale_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[1]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_scale_io_regs_cfg_write_scale), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_scale_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[2]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_scale_io_regs_cfg_write_scale), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_scale_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[3]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_scale_io_regs_cfg_write_scale), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_scale_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[0]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_scale_io_regs_cfg_write_scale), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_countAlways_reg_reg__reg ( 
+        .D(n437), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_aonrst_catch__io_sync_reset_WIRE), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_prepend_23_12_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_ie_r_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[1]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core__ie_T), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_502[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_ie_r_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[3]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core__ie_T), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_502[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_ie_r_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[2]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core__ie_T), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_502[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_run_reg ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N77), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_run) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wantSleep_reg ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N87), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wantSleep) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_pc_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N90), .DE(n86371), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_pc[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_pc_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N91), .DE(n86371), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_pc[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupCause_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N99), .DE(n36598), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core__GEN_34[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupCause_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N100), .DE(n36598), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core__GEN_34[1]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_scale_unlocked_reg_reg__reg ( 
+        .D(n436), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_aonrst_catch__io_sync_reset_WIRE), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_472_0_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_zerocmp_reg ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[9]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog__countReset_zerocmp_T), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_prepend_7_9) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_countEn_countAlways_reg_reg__reg ( 
+        .D(n435), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_aonrst_catch__io_sync_reset_WIRE), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_prepend_7[12]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_countEn_countAwake_reg_reg__reg ( 
+        .D(n434), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_aonrst_catch__io_sync_reset_WIRE), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_prepend_7[13]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_io_regs_cfg_read_sticky_rsten_reg_reg__reg ( 
+        .D(n433), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_aonrst_catch__io_sync_reset_WIRE), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_prepend_7_8) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_scale_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[0]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog__scale_T), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_scale_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[3]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog__scale_T), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_scale_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[2]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog__scale_T), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_scale_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[1]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog__scale_T), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_cmp_0_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[0]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog__cmp_T), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_cmp_0_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[15]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog__cmp_T), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_cmp_0_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[14]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog__cmp_T), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_cmp_0_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[13]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog__cmp_T), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_cmp_0_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[12]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog__cmp_T), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_cmp_0_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[11]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog__cmp_T), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_cmp_0_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[10]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog__cmp_T), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_cmp_0_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[9]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog__cmp_T), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_cmp_0_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[8]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog__cmp_T), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_cmp_0_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[7]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog__cmp_T), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_cmp_0_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[6]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog__cmp_T), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_cmp_0_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[5]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog__cmp_T), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_cmp_0_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[4]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog__cmp_T), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_cmp_0_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[3]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog__cmp_T), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_cmp_0_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[2]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog__cmp_T), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_cmp_0_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[1]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog__cmp_T), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_opcode_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_opcode_0_), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_opcode_0_)
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_size_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_size[0]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_size_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_size[1]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_source_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_source[0]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_source[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_source_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_source[6]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_source[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_source_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_source[5]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_source[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_source_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_source[3]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_source[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_source_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_source[2]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_source[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_source_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_source[1]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_source[1])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_widx_widx_bin_reg ( 
+        .D(n84779), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_slave_reset_catcher__io_sync_reset_WIRE), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_widx_widx_bin) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_widx_gray_reg ( 
+        .D(n84779), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_slave_reset_catcher__io_sync_reset_WIRE), .Q(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_widx) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_widx_widx_gray_output_chain_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_widx), .CLK(
+        wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_widx_widx_gray_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_widx_widx_gray_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_widx_widx_gray_output_chain_sync_2), .CLK(wb_clk_i), .RESET_B(n83351), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_widx_widx_gray_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_widx_widx_gray_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_widx_widx_gray_output_chain_sync_1), .CLK(wb_clk_i), .RESET_B(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_widx) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_35_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_source[0]), .DE(n86451), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_auto_in_d_bits_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_36_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_source[1]), .DE(n86451), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_auto_in_d_bits_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_37_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_source[2]), .DE(n86451), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_auto_in_d_bits_source[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_38_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_source[3]), .DE(n86451), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_auto_in_d_bits_source[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_40_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_source[5]), .DE(n86451), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_41_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_source[6]), .DE(n86451), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_42_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_size[0]), 
+        .DE(n86451), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_auto_in_d_bits_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_43_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_size[1]), 
+        .DE(n86451), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_auto_in_d_bits_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_46_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_opcode_0_), .DE(n86451), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_opcode_0_) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_valid_reg_reg ( 
+        .D(n86451), .CLK(wb_clk_i), .RESET_B(n36801), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_valid_reg) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_ridx_ridx_bin_reg ( 
+        .D(n84780), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_ridx_ridx_bin) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_ridx_gray_reg ( 
+        .D(n84780), .CLK(wb_clk_i), .RESET_B(n49230), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_d_ridx) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_ridx_ridx_gray_output_chain_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_d_ridx), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_slave_reset_catcher__io_sync_reset_WIRE), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_ridx_ridx_gray_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_ridx_ridx_gray_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_ridx_ridx_gray_output_chain_sync_2), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_slave_reset_catcher__io_sync_reset_WIRE), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_ridx_ridx_gray_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_ridx_ridx_gray_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_ridx_ridx_gray_output_chain_sync_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_slave_reset_catcher__io_sync_reset_WIRE), .Q(MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_ridx) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_ready_reg_reg ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_N8), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_slave_reset_catcher__io_sync_reset_WIRE), .Q(MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_ready_reg)
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_acknum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_N22), .DE(n36360), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_acknum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_dOrig_reg_0_ ( 
+        .D(n85197), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_dOrig[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_dOrig_reg_1_ ( 
+        .D(n85158), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_dOrig[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_dOrig_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_dFirst_size_2_), .DE(MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_N26), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_dOrig[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_acknum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_N21), .DE(n36360), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_acknum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_acknum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_N23), .DE(n36360), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_acknum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_acknum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_N24), .DE(n36360), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_acknum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_readys_mask_reg_0_ ( 
+        .D(n85890), .DE(MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N96), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar__readys_unready_T_8[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_readys_mask_reg_1_ ( 
+        .D(n85892), .DE(MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N96), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar__readys_unready_T_8[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_readys_mask_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N91), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N96), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar__readys_unready_T_8[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_2_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N102), .DE(n36409), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_2) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_maybe_full_reg ( 
+        .D(n85481), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_N10), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_maybe_full)
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_opcode_reg_0_ ( 
+        .D(n85810), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_opcode_reg_2_ ( 
+        .D(n85901), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_opcode_reg_1_ ( 
+        .D(n85811), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_size_reg_1_ ( 
+        .D(n84859), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_size_reg_2_ ( 
+        .D(n54320), .DE(n86454), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_size_reg_0_ ( 
+        .D(n84860), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_source_reg_1_ ( 
+        .D(n85809), .DE(n86454), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_source_reg_0_ ( 
+        .D(n85808), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address_reg_2_ ( 
+        .D(n85781), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address_reg_3_ ( 
+        .D(n84862), .DE(n86454), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address_reg_4_ ( 
+        .D(n85782), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address_reg_5_ ( 
+        .D(n85783), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address_reg_6_ ( 
+        .D(n84863), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address_reg_7_ ( 
+        .D(n85806), .DE(n86454), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address_reg_8_ ( 
+        .D(n84864), .DE(n86454), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address_reg_9_ ( 
+        .D(n85807), .DE(n86454), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address_reg_10_ ( 
+        .D(n84865), .DE(n86454), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address_reg_11_ ( 
+        .D(n84866), .DE(n86454), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address_reg_12_ ( 
+        .D(n84867), .DE(n86454), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address_reg_13_ ( 
+        .D(n85436), .DE(n86454), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address_reg_14_ ( 
+        .D(n85435), .DE(n86454), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address_reg_15_ ( 
+        .D(n85797), .DE(n86454), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address_reg_16_ ( 
+        .D(n84868), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address_reg_17_ ( 
+        .D(n85798), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address_reg_18_ ( 
+        .D(n85799), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address_reg_19_ ( 
+        .D(n85800), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address_reg_20_ ( 
+        .D(n85801), .DE(n86454), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address_reg_21_ ( 
+        .D(n85802), .DE(n86454), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address_reg_22_ ( 
+        .D(n85803), .DE(n86454), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address_reg_23_ ( 
+        .D(n85804), .DE(n86454), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address_reg_24_ ( 
+        .D(n85805), .DE(n86454), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address_reg_25_ ( 
+        .D(n84869), .DE(n86454), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_reg_0_ ( 
+        .D(n36414), .DE(n86412), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_auto_tl_in_d_bits_opcode_0_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_index_reg_0__23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[25]), .DE(n86412), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex_23) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_index_reg_0__22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[24]), .DE(n86412), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex_22) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_index_reg_0__21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[23]), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex_21) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_index_reg_0__20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[22]), .DE(n86412), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex_20) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_index_reg_0__19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[21]), .DE(n86412), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_index_reg_0__18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[20]), .DE(n86412), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_index_reg_0__17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[19]), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_index_reg_0__16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[18]), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_index_reg_0__15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[17]), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_index_reg_0__14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[16]), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_index_reg_0__13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[15]), .DE(n86412), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_index_reg_0__12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[14]), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_index_reg_0__11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[13]), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_index_reg_0__10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[12]), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_index_reg_0__9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[11]), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex_9) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_index_reg_0__8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[10]), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex_8) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_index_reg_0__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[9]), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex_7) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_index_reg_0__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[8]), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex_6) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_index_reg_0__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[7]), .DE(n86412), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_index_reg_0__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[6]), .DE(n86412), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_data_reg_0__0_ ( 
+        .D(n85581), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_data_reg_0__31_ ( 
+        .D(n85868), .DE(n86412), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_data_reg_0__29_ ( 
+        .D(n85866), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_data_reg_0__28_ ( 
+        .D(n85865), .DE(n86412), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_data_reg_0__27_ ( 
+        .D(n85864), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_data_reg_0__26_ ( 
+        .D(n85863), .DE(n86412), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_data_reg_0__24_ ( 
+        .D(n85861), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_data_reg_0__23_ ( 
+        .D(n85876), .DE(n86412), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_data_reg_0__22_ ( 
+        .D(n85875), .DE(n86412), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_data_reg_0__20_ ( 
+        .D(n85873), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_data_reg_0__15_ ( 
+        .D(n85666), .DE(n86412), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_data_reg_0__9_ ( 
+        .D(n85587), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_data_reg_0__7_ ( 
+        .D(n85562), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_data_reg_0__2_ ( 
+        .D(n85582), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_mask_reg_0__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_plic_fragmenter_out_a_bits_mask[0]), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_io_deq_bits_mask[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_mask_reg_0__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_plic_fragmenter_out_a_bits_mask[2]), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_io_deq_bits_mask[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_mask_reg_0__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_plic_fragmenter_out_a_bits_mask[1]), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_io_deq_bits_mask[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_extra_tlrr_extra_source_reg_0__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_plic_fragmenter_out_a_bits_source[6]), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_auto_tl_in_d_bits_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_extra_tlrr_extra_source_reg_0__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_plic_fragmenter_out_a_bits_source[5]), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_auto_tl_in_d_bits_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_extra_tlrr_extra_size_reg_0__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_plic_fragmenter_out_a_bits_size_0_), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_auto_plic_in_d_bits_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_extra_tlrr_extra_size_reg_0__1_ ( 
+        .D(n85889), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_auto_plic_in_d_bits_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_gennum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_N35), .DE(n36411), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_gennum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_extra_tlrr_extra_source_reg_0__0_ ( 
+        .D(n85480), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_auto_plic_in_d_bits_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_gennum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_N34), .DE(n36411), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_gennum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_index_reg_0__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_plic_fragmenter_out_a_bits_address[2]), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_extra_tlrr_extra_source_reg_0__1_ ( 
+        .D(n85479), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_auto_plic_in_d_bits_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_index_reg_0__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_plic_fragmenter_out_a_bits_address[3]), .DE(n86412), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_extra_tlrr_extra_source_reg_0__2_ ( 
+        .D(n85478), .DE(n86412), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_auto_plic_in_d_bits_source[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_gennum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_N36), .DE(n36411), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_gennum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_index_reg_0__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_plic_fragmenter_out_a_bits_address[4]), .DE(n86412), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_index_reg_0__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_plic_fragmenter_out_a_bits_address[5]), .DE(n86412), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_gennum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_N37), .DE(n36411), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_gennum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_extra_tlrr_extra_source_reg_0__3_ ( 
+        .D(n85780), .DE(n86412), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_auto_plic_in_d_bits_source[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_6_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[23]), 
+        .DE(n86558), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_6_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[22]), 
+        .DE(n86558), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_6_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[20]), 
+        .DE(n86558), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_5_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[15]), 
+        .DE(n86568), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_5_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[9]), 
+        .DE(n86568), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_4_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86564), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_4_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[7]), 
+        .DE(n86564), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_4_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[4]), 
+        .DE(n86564), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_4_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[3]), 
+        .DE(n86564), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_4_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86564), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_4_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86564), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_2_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[23]), 
+        .DE(n86559), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_2_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[22]), 
+        .DE(n86559), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_2_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[20]), 
+        .DE(n86559), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_2_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[19]), 
+        .DE(n86559), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_2_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[17]), 
+        .DE(n86559), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_1_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[15]), 
+        .DE(n86549), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_1_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[14]), 
+        .DE(n86549), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_1_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[9]), 
+        .DE(n86549), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_0_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86566), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_0_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[7]), 
+        .DE(n86566), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_0_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[4]), 
+        .DE(n86566), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_0_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[3]), 
+        .DE(n86566), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_0_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86566), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_2_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[23]), 
+        .DE(n86554), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_2_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[22]), 
+        .DE(n86554), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_2_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[20]), 
+        .DE(n86554), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_2_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[19]), 
+        .DE(n86554), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_2_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[18]), 
+        .DE(n86554), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_2_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[17]), 
+        .DE(n86554), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_1_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[15]), 
+        .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_75), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_1_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[14]), 
+        .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_75), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_1_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[9]), 
+        .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_75), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_0_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86565), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_0_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[7]), 
+        .DE(n86565), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_0_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[4]), 
+        .DE(n86565), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_0_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[3]), 
+        .DE(n86565), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_0_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86565), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_6_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[23]), 
+        .DE(n86553), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_6_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[22]), 
+        .DE(n86553), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_6_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[20]), 
+        .DE(n86553), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_6_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[19]), 
+        .DE(n86553), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_6_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[17]), 
+        .DE(n86553), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_5_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[15]), 
+        .DE(n86548), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_5_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[14]), 
+        .DE(n86548), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_5_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[9]), 
+        .DE(n86548), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_4_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86522), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_4_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[7]), 
+        .DE(n86522), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_4_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[4]), 
+        .DE(n86522), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_4_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[3]), 
+        .DE(n86522), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_4_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86522), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_4_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86522), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_50_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86504), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_50[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_50_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86504), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_50[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_50_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86504), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_50[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_54_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86500), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_54[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_54_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86500), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_54[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_54_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86500), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_54[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_48_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86506), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_48[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_48_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86506), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_48[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_48_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86506), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_48[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_15_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_15[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_15_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_15[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_15_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_15[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_47_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86505), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_47[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_47_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86505), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_47[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_47_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86505), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_47[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_threshold_0_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_71), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_prepend_39[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_threshold_0_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_71), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_prepend_39[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_threshold_0_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_71), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_prepend_39[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_threshold_1_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_69), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_prepend_38[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_threshold_1_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_69), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_prepend_38[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_threshold_1_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_69), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_prepend_38[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_18_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86544), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_18[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_18_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86544), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_18[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_18_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86544), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_18[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_22_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86560), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_22[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_22_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86560), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_22[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_22_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86560), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_22[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_30_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86539), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_30[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_30_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86539), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_30[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_30_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86539), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_30[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_26_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86541), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_26[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_26_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86541), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_26[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_26_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86541), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_26[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_16_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_68), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_16[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_16_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_68), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_16[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_16_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_68), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_16[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_49_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86503), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_49[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_49_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86503), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_49[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_49_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86503), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_49[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_17_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86545), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_17[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_17_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86545), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_17[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_17_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86545), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_17[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_33_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86518), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_33[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_33_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86518), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_33[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_33_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86518), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_33[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_1_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86524), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_1[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_1_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86524), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_1[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_1_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86524), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_1[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_46_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86512), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_46[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_46_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86512), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_46[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_46_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86512), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_46[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_42_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86508), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_42[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_42_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86508), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_42[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_42_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86508), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_42[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_34_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86519), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_34[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_34_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86519), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_34[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_34_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86519), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_34[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_38_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86517), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_38[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_38_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86517), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_38[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_38_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86517), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_38[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_31_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86521), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_31[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_31_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86521), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_31[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_31_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86521), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_31[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_32_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86520), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_32[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_32_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86520), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_32[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_32_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86520), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_32[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_11_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86536), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_11[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_11_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86536), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_11[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_11_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86536), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_11[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_43_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86510), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_43[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_43_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86510), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_43[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_43_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86510), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_43[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_27_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_51), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_27[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_27_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_51), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_27[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_27_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_51), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_27[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_53_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86499), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_53[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_53_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86499), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_53[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_53_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86499), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_53[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_21_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86561), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_21[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_21_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86561), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_21[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_21_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86561), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_21[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_37_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86516), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_37[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_37_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86516), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_37[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_37_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86516), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_37[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_5_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86528), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_5[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_5_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86528), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_5[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_5_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86528), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_5[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_14_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86535), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_14[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_14_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86535), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_14[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_14_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86535), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_14[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_10_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86533), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_10[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_10_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86533), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_10[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_10_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86533), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_10[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_2_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86525), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_2[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_2_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86525), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_2[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_2_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86525), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_2[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_6_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86529), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_6[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_6_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86529), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_6[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_6_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86529), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_6[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_0_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86523), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_0[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_0_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86523), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_0[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_0_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86523), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_0[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_44_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86511), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_44[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_44_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86511), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_44[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_44_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86511), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_44[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_12_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86537), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_12[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_12_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86537), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_12[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_12_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86537), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_12[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_28_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_47), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_28[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_28_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_47), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_28[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_28_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_47), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_28[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_29_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86538), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_29[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_29_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86538), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_29[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_29_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86538), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_29[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_45_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86513), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_45[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_45_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86513), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_45[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_45_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86513), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_45[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_13_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86534), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_13[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_13_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86534), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_13[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_13_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86534), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_13[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_3_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86526), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_3[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_3_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86526), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_3[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_3_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86526), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_3[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_35_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86514), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_35[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_35_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86514), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_35[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_35_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86514), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_35[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_19_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86547), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_19[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_19_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86547), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_19[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_19_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86547), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_19[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_51_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86502), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_51[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_51_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86502), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_51[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_51_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86502), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_51[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_40_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86562), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_40[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_40_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86562), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_40[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_40_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86562), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_40[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_8_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86531), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_8[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_8_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86531), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_8[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_8_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86531), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_8[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_24_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86543), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_24[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_24_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86543), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_24[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_24_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86543), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_24[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_7_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86530), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_7[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_7_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86530), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_7[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_7_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86530), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_7[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_39_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86563), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_39[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_39_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86563), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_39[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_39_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86563), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_39[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_23_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86542), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_23[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_23_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86542), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_23[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_23_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86542), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_23[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_55_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86507), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_55[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_55_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86507), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_55[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_55_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86507), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_55[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_25_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86540), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_25[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_25_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86540), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_25[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_25_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86540), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_25[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_41_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86509), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_41[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_41_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86509), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_41[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_41_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86509), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_41[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_9_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86532), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_9[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_9_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86532), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_9[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_9_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86532), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_9[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_52_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86501), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_52[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_52_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86501), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_52[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_52_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86501), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_52[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_20_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86546), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_20[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_20_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86546), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_20[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_20_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86546), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_20[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_36_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86515), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_36[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_36_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86515), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_36[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_36_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86515), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_36[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_4_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), 
+        .DE(n86527), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_4[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_4_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), 
+        .DE(n86527), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_4[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_priority_4_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), 
+        .DE(n86527), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_4[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_acknum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_N22), .DE(n36355), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_acknum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_dOrig_reg_0_ ( 
+        .D(n85199), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_dOrig[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_dOrig_reg_1_ ( 
+        .D(n85156), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_dOrig[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_dOrig_reg_2_ ( 
+        .D(n84781), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_dOrig[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_acknum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_N21), .DE(n36355), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_acknum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_acknum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_N23), .DE(n36355), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_acknum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_acknum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_N24), .DE(n36355), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_acknum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_readys_mask_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N93), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N96), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar__readys_unready_T_8[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_readys_mask_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N94), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N96), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar__readys_unready_T_8[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_5_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N105), .DE(n36409), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_5) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_value_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N55), .DE(n36359), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_respValid_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N65), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_slave_in_d_valid) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_opcode_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_auto_out_a_bits_opcode_2_), .DE(n86596), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_opcode_2_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_opcode_reg_1__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_opcode_2_), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_opcode_1__0_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_opcode_reg_0__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_opcode_2_), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_opcode_0__0_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr_reg_7_ ( 
+        .D(n85417), .DE(n86596), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[7]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_slaveValid_reg ( 
+        .D(n85878), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_slaveValid) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_hit_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_hit), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_hit) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_slaveValid_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N61), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_slaveValid) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_gennum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_N38), .DE(n36488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_gennum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_source_reg_3_ ( 
+        .D(n85203), .DE(n86596), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_slave_in_d_bits_source[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_opcode_reg_2_ ( 
+        .D(n85434), .DE(n85947), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address_reg_7_ ( 
+        .D(n84782), .DE(n85947), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_value_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N55), .DE(n36489), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_maybe_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N60), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N59), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_value_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N50), .DE(n36412), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N43) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_reg_0__0_ ( 
+        .D(n85810), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_reg_0__2_ ( 
+        .D(n85901), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_reg_0__1_ ( 
+        .D(n85811), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_size_reg_0__1_ ( 
+        .D(n84859), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_size[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_size_reg_0__2_ ( 
+        .D(n54320), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_size[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_size_reg_0__0_ ( 
+        .D(n84860), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_size[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_source_reg_0__1_ ( 
+        .D(n85809), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_source[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_source_reg_0__0_ ( 
+        .D(n85808), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_source[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address_reg_0__2_ ( 
+        .D(n85781), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address_reg_0__3_ ( 
+        .D(n84862), .DE(n86414), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address_reg_0__4_ ( 
+        .D(n85782), .DE(n86414), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address_reg_0__6_ ( 
+        .D(n84863), .DE(n86414), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address_reg_0__7_ ( 
+        .D(n85806), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address_reg_0__8_ ( 
+        .D(n84864), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address_reg_0__9_ ( 
+        .D(n85807), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address_reg_0__10_ ( 
+        .D(n84865), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address_reg_0__11_ ( 
+        .D(n84866), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address_reg_0__12_ ( 
+        .D(n84867), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address_reg_0__13_ ( 
+        .D(n85436), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_mask_reg_0__1_ ( 
+        .D(n84871), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_mask[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_mask_reg_0__2_ ( 
+        .D(n85565), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_mask[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_mask_reg_0__0_ ( 
+        .D(n85563), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_mask[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_0__1_ ( 
+        .D(n85583), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_0__2_ ( 
+        .D(n85582), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_0__3_ ( 
+        .D(n85569), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_0__4_ ( 
+        .D(n85579), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_0__7_ ( 
+        .D(n85562), .DE(n86414), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_0__9_ ( 
+        .D(n85587), .DE(n86414), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_0__14_ ( 
+        .D(n85584), .DE(n86414), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_0__15_ ( 
+        .D(n85666), .DE(n86414), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_0__17_ ( 
+        .D(n85870), .DE(n86414), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_0__18_ ( 
+        .D(n85871), .DE(n86414), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_0__19_ ( 
+        .D(n85872), .DE(n86414), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_0__20_ ( 
+        .D(n85873), .DE(n86414), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_0__22_ ( 
+        .D(n85875), .DE(n86414), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_0__23_ ( 
+        .D(n85876), .DE(n86414), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_0__24_ ( 
+        .D(n85861), .DE(n86414), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_0__26_ ( 
+        .D(n85863), .DE(n86414), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_0__27_ ( 
+        .D(n85864), .DE(n86414), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_0__28_ ( 
+        .D(n85865), .DE(n86414), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[60]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_0__29_ ( 
+        .D(n85866), .DE(n86414), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[61]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_0__31_ ( 
+        .D(n85868), .DE(n86414), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[63]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_0__0_ ( 
+        .D(n85581), .DE(n86414), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_reg_1__0_ ( 
+        .D(n85810), .DE(n86413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_opcode_reg_0_ ( 
+        .D(n85899), .DE(n85947), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_reg_1__1_ ( 
+        .D(n85811), .DE(n86413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_opcode_reg_1_ ( 
+        .D(n85900), .DE(n85947), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_size_reg_1__1_ ( 
+        .D(n84859), .DE(n86413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_size_reg_1_ ( 
+        .D(n84783), .DE(n85947), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_size_reg_1__2_ ( 
+        .D(n54320), .DE(n86413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_size_reg_2_ ( 
+        .D(n84784), .DE(n85947), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_size_reg_1__0_ ( 
+        .D(n84860), .DE(n86413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_size_reg_0_ ( 
+        .D(n84787), .DE(n85947), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_source_reg_1__1_ ( 
+        .D(n85809), .DE(n86413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_source_reg_1_ ( 
+        .D(n85821), .DE(n85947), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_source_reg_1__0_ ( 
+        .D(n85808), .DE(n86413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_source_reg_0_ ( 
+        .D(n85820), .DE(n85947), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address_reg_1__2_ ( 
+        .D(n85781), .DE(n86413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address_reg_2_ ( 
+        .D(n84789), .DE(n85947), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address_reg_1__3_ ( 
+        .D(n84862), .DE(n86413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address_reg_3_ ( 
+        .D(n84788), .DE(n85947), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address_reg_1__4_ ( 
+        .D(n85782), .DE(n86413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address_reg_4_ ( 
+        .D(n84790), .DE(n85947), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address_reg_1__6_ ( 
+        .D(n84863), .DE(n86413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address_reg_6_ ( 
+        .D(n84823), .DE(n85947), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address_reg_1__8_ ( 
+        .D(n84864), .DE(n86413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address_reg_8_ ( 
+        .D(n84824), .DE(n85947), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address_reg_1__9_ ( 
+        .D(n85807), .DE(n86413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address_reg_9_ ( 
+        .D(n84825), .DE(n85947), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address_reg_1__10_ ( 
+        .D(n84865), .DE(n86413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address_reg_10_ ( 
+        .D(n84826), .DE(n85947), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address_reg_1__11_ ( 
+        .D(n84866), .DE(n86413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address_reg_11_ ( 
+        .D(n84785), .DE(n85947), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address_reg_1__12_ ( 
+        .D(n84867), .DE(n86413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address_reg_12_ ( 
+        .D(n84786), .DE(n85947), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address_reg_1__13_ ( 
+        .D(n85436), .DE(n86413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address_reg_13_ ( 
+        .D(n84791), .DE(n85947), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_mask_reg_1__1_ ( 
+        .D(n84871), .DE(n86413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_mask[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_mask_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_auto_out_a_bits_mask[1]), .DE(n86596), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_mask[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_mask_reg_1__2_ ( 
+        .D(n85565), .DE(n86413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_mask[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_mask_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_auto_out_a_bits_mask[2]), .DE(n86596), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_mask[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_mask_reg_1__0_ ( 
+        .D(n85563), .DE(n86413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_mask[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_mask_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_auto_out_a_bits_mask[0]), .DE(n86596), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_mask[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_1__1_ ( 
+        .D(n85583), .DE(n86413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveData_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N635), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N698), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_0__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[1]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_1__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[1]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_1__2_ ( 
+        .D(n85582), .DE(n86413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveData_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N636), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N698), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_0__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[2]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_1__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[2]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_1__3_ ( 
+        .D(n85569), .DE(n86413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_1__4_ ( 
+        .D(n85579), .DE(n86413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_1__7_ ( 
+        .D(n85562), .DE(n86413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_1__9_ ( 
+        .D(n85587), .DE(n86413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveData_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N643), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N695), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_0__9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[9]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_1__9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[9]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_1__14_ ( 
+        .D(n85584), .DE(n86413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveData_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N648), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N695), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_0__14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[14]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_1__14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[14]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_1__15_ ( 
+        .D(n85666), .DE(n86413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveData_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N649), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N695), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_0__15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[15]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_1__15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[15]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_1__17_ ( 
+        .D(n85870), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveData_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N651), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N692), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_0__17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[17]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_1__17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[17]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_1__18_ ( 
+        .D(n85871), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_1__19_ ( 
+        .D(n85872), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_1__20_ ( 
+        .D(n85873), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_1__22_ ( 
+        .D(n85875), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_1__23_ ( 
+        .D(n85876), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_1__24_ ( 
+        .D(n85861), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_1__26_ ( 
+        .D(n85863), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_1__27_ ( 
+        .D(n85864), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_1__28_ ( 
+        .D(n85865), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_1__29_ ( 
+        .D(n85866), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_1__31_ ( 
+        .D(n85868), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_1__0_ ( 
+        .D(n85581), .DE(n86413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveData_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N634), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N698), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_0__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[0]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_1__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[0]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_source_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_auto_out_a_bits_source[6]), .DE(n86596), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_source_reg_0__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_source[0]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_source[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_source_reg_1__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_source[0]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_source_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_auto_out_a_bits_source[7]), .DE(n86596), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_source_reg_0__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_source[1]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_source[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_source_reg_1__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_source[1]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_size_reg_1_ ( 
+        .D(n85879), .DE(n86596), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_slave_in_d_bits_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_size_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_auto_out_a_bits_size_0_), .DE(n86596), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_slave_in_d_bits_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_source_reg_0_ ( 
+        .D(n85475), .DE(n86596), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_slave_in_d_bits_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_gennum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_N37), .DE(n36488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_gennum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_source_reg_1_ ( 
+        .D(n85474), .DE(n86596), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_slave_in_d_bits_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr_reg_3_ ( 
+        .D(n85423), .DE(n86596), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr_reg_2_ ( 
+        .D(n85419), .DE(n86596), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_gennum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_N39), .DE(n36488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_gennum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr_reg_4_ ( 
+        .D(n85771), .DE(n86596), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_source_reg_2_ ( 
+        .D(n85476), .DE(n86596), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_slave_in_d_bits_source[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr_reg_13_ ( 
+        .D(n85881), .DE(n86596), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr_reg_12_ ( 
+        .D(n85432), .DE(n86596), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_scratchpadMax_reg_6_ ( 
+        .D(n85432), .DE(n36487), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[6]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0_reg_17_ ( 
+        .D(n36546), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0_reg_18_ ( 
+        .D(n36545), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0_reg_19_ ( 
+        .D(n36544), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0_reg_1_ ( 
+        .D(n36543), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0_reg_20_ ( 
+        .D(n36542), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0_reg_21_ ( 
+        .D(n36541), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0_reg_22_ ( 
+        .D(n36540), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0_reg_23_ ( 
+        .D(n36539), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0_reg_24_ ( 
+        .D(n36538), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0_reg_25_ ( 
+        .D(n36537), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0_reg_26_ ( 
+        .D(n36536), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0_reg_27_ ( 
+        .D(n36535), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0_reg_28_ ( 
+        .D(n36534), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0_reg_29_ ( 
+        .D(n36533), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0_reg_2_ ( 
+        .D(n36532), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0_reg_30_ ( 
+        .D(n36531), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0_reg_31_ ( 
+        .D(n36530), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0_reg_3_ ( 
+        .D(n36529), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0_reg_4_ ( 
+        .D(n36528), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0_reg_5_ ( 
+        .D(n36527), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0_reg_6_ ( 
+        .D(n36526), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0_reg_7_ ( 
+        .D(n36525), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0_reg_8_ ( 
+        .D(n36524), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0_reg_9_ ( 
+        .D(n36523), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1_reg_15_ ( 
+        .D(n36516), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1_reg_16_ ( 
+        .D(n36515), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1_reg_17_ ( 
+        .D(n36514), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1_reg_18_ ( 
+        .D(n36513), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveData_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N652), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N692), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_0__18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[18]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_1__18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[18]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data_reg_18_ ( 
+        .D(n85502), .DE(n86288), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data_reg_18_ ( 
+        .D(n86200), .DE(n86439), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data_reg_18_ ( 
+        .D(n86222), .DE(n86433), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data_reg_18_ ( 
+        .D(n86231), .DE(n86427), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data_reg_18_ ( 
+        .D(n86199), .DE(n86299), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_inst_reg_18_ ( 
+        .D(n85407), .DE(n86424), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b19_12_T_4[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_inst_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b19_12_T_4[6]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_hi_lo_hi_1[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1[2]), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1_reg_19_ ( 
+        .D(n36512), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveData_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N653), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N692), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_0__19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[19]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_1__19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[19]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data_reg_19_ ( 
+        .D(n85498), .DE(n86296), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data_reg_19_ ( 
+        .D(n86198), .DE(n86439), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data_reg_19_ ( 
+        .D(n86221), .DE(n86433), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data_reg_19_ ( 
+        .D(n86197), .DE(n86427), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data_reg_19_ ( 
+        .D(n86196), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1_reg_20_ ( 
+        .D(n36510), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveData_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N654), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N692), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_0__20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[20]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_1__20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[20]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data_reg_20_ ( 
+        .D(n85507), .DE(n86289), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data_reg_20_ ( 
+        .D(n86247), .DE(n86439), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data_reg_20_ ( 
+        .D(n86220), .DE(n86433), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data_reg_20_ ( 
+        .D(n86230), .DE(n86427), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data_reg_20_ ( 
+        .D(n86239), .DE(n86298), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1_reg_21_ ( 
+        .D(n36509), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data_reg_21_ ( 
+        .D(n85752), .DE(n86286), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data_reg_21_ ( 
+        .D(n86153), .DE(n86439), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data_reg_21_ ( 
+        .D(n86152), .DE(n86433), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data_reg_21_ ( 
+        .D(n86151), .DE(n86427), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data_reg_21_ ( 
+        .D(n86150), .DE(n86299), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1_reg_22_ ( 
+        .D(n36508), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveData_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N656), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N692), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_0__22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[22]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_1__22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[22]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data_reg_22_ ( 
+        .D(n85511), .DE(n86290), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data_reg_22_ ( 
+        .D(n86253), .DE(n86439), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data_reg_22_ ( 
+        .D(n86252), .DE(n86433), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data_reg_22_ ( 
+        .D(n86251), .DE(n86427), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data_reg_22_ ( 
+        .D(n86250), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1_reg_23_ ( 
+        .D(n36507), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveData_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N657), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N692), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_0__23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[23]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_1__23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[23]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data_reg_23_ ( 
+        .D(n85497), .DE(n86296), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data_reg_23_ ( 
+        .D(n86195), .DE(n86439), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data_reg_23_ ( 
+        .D(n86219), .DE(n86433), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data_reg_23_ ( 
+        .D(n86194), .DE(n86427), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data_reg_23_ ( 
+        .D(n86193), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1_reg_24_ ( 
+        .D(n36506), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data_reg_24_ ( 
+        .D(n85496), .DE(n86292), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data_reg_24_ ( 
+        .D(n86192), .DE(n86439), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data_reg_24_ ( 
+        .D(n86218), .DE(n86433), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data_reg_24_ ( 
+        .D(n86191), .DE(n86427), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data_reg_24_ ( 
+        .D(n86190), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1[8]), .DE(n86397), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1_reg_25_ ( 
+        .D(n36505), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data_reg_25_ ( 
+        .D(n85495), .DE(n86297), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data_reg_25_ ( 
+        .D(n86189), .DE(n86439), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data_reg_25_ ( 
+        .D(n86217), .DE(n86433), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data_reg_25_ ( 
+        .D(n86188), .DE(n86427), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data_reg_25_ ( 
+        .D(n86187), .DE(n86298), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1[9]), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1_reg_26_ ( 
+        .D(n36504), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data_reg_26_ ( 
+        .D(n85491), .DE(n86286), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data_reg_26_ ( 
+        .D(n86161), .DE(n86439), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data_reg_26_ ( 
+        .D(n86160), .DE(n86433), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data_reg_26_ ( 
+        .D(n86159), .DE(n86427), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data_reg_26_ ( 
+        .D(n86158), .DE(n86298), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1[10]), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1_reg_27_ ( 
+        .D(n36503), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data_reg_27_ ( 
+        .D(n85494), .DE(n86290), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data_reg_27_ ( 
+        .D(n86186), .DE(n86438), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data_reg_27_ ( 
+        .D(n86216), .DE(n86432), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data_reg_27_ ( 
+        .D(n86185), .DE(n86426), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data_reg_27_ ( 
+        .D(n86184), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1[11]), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1_reg_28_ ( 
+        .D(n36502), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data_reg_28_ ( 
+        .D(n85512), .DE(n36781), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data_reg_28_ ( 
+        .D(n86257), .DE(n86438), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data_reg_28_ ( 
+        .D(n86256), .DE(n86432), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data_reg_28_ ( 
+        .D(n86255), .DE(n86426), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data_reg_28_ ( 
+        .D(n86254), .DE(n86299), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1[12]), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1_reg_29_ ( 
+        .D(n36501), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data_reg_29_ ( 
+        .D(n85753), .DE(n86287), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data_reg_29_ ( 
+        .D(n86165), .DE(n86438), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data_reg_29_ ( 
+        .D(n86164), .DE(n86432), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data_reg_29_ ( 
+        .D(n86163), .DE(n86426), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data_reg_29_ ( 
+        .D(n86162), .DE(n86299), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1[13]), .DE(n86397), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_inst_reg_29_ ( 
+        .D(n85543), .DE(n86424), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_inst_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[9]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b10_5[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_inst_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b10_5[4]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1_reg_30_ ( 
+        .D(n36499), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data_reg_30_ ( 
+        .D(n85506), .DE(n86297), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data_reg_30_ ( 
+        .D(n86246), .DE(n86438), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data_reg_30_ ( 
+        .D(n86172), .DE(n86432), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data_reg_30_ ( 
+        .D(n86229), .DE(n86426), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data_reg_30_ ( 
+        .D(n86238), .DE(n86298), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1[14]), .DE(n86397), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1_reg_31_ ( 
+        .D(n36498), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data_reg_31_ ( 
+        .D(n85505), .DE(n36781), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data_reg_31_ ( 
+        .D(n86245), .DE(n86438), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data_reg_31_ ( 
+        .D(n86171), .DE(n86432), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data_reg_31_ ( 
+        .D(n86228), .DE(n86426), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data_reg_31_ ( 
+        .D(n86237), .DE(n86298), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1[15]), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1_reg_3_ ( 
+        .D(n36497), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveData_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N637), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N698), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_0__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[3]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_1__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[3]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data_reg_3_ ( 
+        .D(n85503), .DE(n36781), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data_reg_3_ ( 
+        .D(n86183), .DE(n86438), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data_reg_3_ ( 
+        .D(n86215), .DE(n86432), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data_reg_3_ ( 
+        .D(n86182), .DE(n86426), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data_reg_3_ ( 
+        .D(n86236), .DE(n86299), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_inst_reg_19_ ( 
+        .D(n85409), .DE(n86424), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b19_12_T_4[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_inst_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b19_12_T_4[7]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_hi_lo_hi_1[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1[3]), .DE(n86397), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_inst_reg_13_ ( 
+        .D(n85546), .DE(n86424), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b19_12_T_4[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_inst_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b19_12_T_4[1]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_hi_lo_hi_1[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1_reg_4_ ( 
+        .D(n36496), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveData_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N638), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N698), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_0__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[4]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_1__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[4]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data_reg_4_ ( 
+        .D(n85501), .DE(n86289), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data_reg_4_ ( 
+        .D(n86181), .DE(n86438), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data_reg_4_ ( 
+        .D(n86170), .DE(n86432), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data_reg_4_ ( 
+        .D(n86227), .DE(n86426), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data_reg_4_ ( 
+        .D(n86180), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1[4]), .DE(n86397), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_inst_reg_9_ ( 
+        .D(n85410), .DE(n86424), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_tag_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[3]), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_tag[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_tag_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N756), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_requestor_0_resp_bits_tag[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_uncachedReqs_0_tag_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_requestor_0_resp_bits_tag[3]), .DE(n37023), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_uncachedReqs_0_tag[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_inst_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[3]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b4_1[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_inst_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b4_1[1]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_waddr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1_reg_5_ ( 
+        .D(n36495), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data_reg_5_ ( 
+        .D(n85493), .DE(n86294), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data_reg_5_ ( 
+        .D(n86179), .DE(n86438), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data_reg_5_ ( 
+        .D(n86214), .DE(n86432), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data_reg_5_ ( 
+        .D(n86178), .DE(n86426), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data_reg_5_ ( 
+        .D(n86177), .DE(n86298), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_inst_reg_21_ ( 
+        .D(n85402), .DE(n86424), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_inst_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[1]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_br_target_T_5[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_inst_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_br_target_T_5[1]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1[5]), .DE(n86397), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_inst_reg_14_ ( 
+        .D(n85534), .DE(n86424), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b19_12_T_4[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_inst_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b19_12_T_4[2]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_hi_lo_hi_1[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_signed_reg ( 
+        .D(n84792), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_signed) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_signed_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N771), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_signed) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_uncachedReqs_0_signed_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_signed), .DE(n37023), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_uncachedReqs_0_signed) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_inst_reg_12_ ( 
+        .D(n85536), .DE(n86424), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b19_12_T_4[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_inst_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b19_12_T_4[0]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_hi_lo_hi_1[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1_reg_6_ ( 
+        .D(n36494), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_br_pc_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_br_pc_1_), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_br_pc_1_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_partial_insn_reg_2_ ( 
+        .D(n85502), .DE(n86483), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_partial_insn_reg_3_ ( 
+        .D(n85498), .DE(n86483), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_partial_insn_reg_4_ ( 
+        .D(n85507), .DE(n86483), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_partial_insn_reg_5_ ( 
+        .D(n85752), .DE(n86483), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_partial_insn_reg_6_ ( 
+        .D(n85511), .DE(n86483), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_partial_insn_reg_7_ ( 
+        .D(n85497), .DE(n86483), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_partial_insn_reg_8_ ( 
+        .D(n85496), .DE(n86483), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_partial_insn_reg_9_ ( 
+        .D(n85495), .DE(n86483), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_partial_insn_reg_10_ ( 
+        .D(n85491), .DE(n86483), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_partial_insn_reg_11_ ( 
+        .D(n85494), .DE(n86483), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_partial_insn_reg_12_ ( 
+        .D(n85512), .DE(n86483), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_partial_insn_reg_13_ ( 
+        .D(n85753), .DE(n86483), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_partial_insn_reg_14_ ( 
+        .D(n85506), .DE(n86483), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_partial_insn_reg_15_ ( 
+        .D(n85505), .DE(n86483), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_partial_insn_valid_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N124), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N123), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_partial_insn_valid) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data_reg_6_ ( 
+        .D(n85515), .DE(n86292), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data_reg_6_ ( 
+        .D(n86264), .DE(n86438), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data_reg_6_ ( 
+        .D(n86259), .DE(n86432), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data_reg_6_ ( 
+        .D(n86261), .DE(n86426), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data_reg_6_ ( 
+        .D(n86263), .DE(n86298), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1[6]), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1_reg_7_ ( 
+        .D(n36493), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveData_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N641), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N698), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_0__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[7]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_1__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[7]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data_reg_7_ ( 
+        .D(n85490), .DE(n86296), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data_reg_7_ ( 
+        .D(n86157), .DE(n86438), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data_reg_7_ ( 
+        .D(n86156), .DE(n86432), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data_reg_7_ ( 
+        .D(n86155), .DE(n86426), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data_reg_7_ ( 
+        .D(n86154), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_count_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1680), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1729), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_count[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pos_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1694), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1733), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pos[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pos_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1696), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1733), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pos[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pos_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1695), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1733), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pos[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_count_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1678), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1729), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_count[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_count_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1679), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1729), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_count[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_wrong_path_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N131), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_wrong_path) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5_reg_31_ ( 
+        .D(n84793), .DE(n86346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5_reg_30_ ( 
+        .D(n84794), .DE(n86346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5_reg_29_ ( 
+        .D(n84795), .DE(n86346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5_reg_28_ ( 
+        .D(n84796), .DE(n86346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5_reg_27_ ( 
+        .D(n84797), .DE(n86346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5_reg_26_ ( 
+        .D(n84798), .DE(n86346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5_reg_25_ ( 
+        .D(n84799), .DE(n86346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5_reg_24_ ( 
+        .D(n84800), .DE(n86346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5_reg_23_ ( 
+        .D(n84801), .DE(n86346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5_reg_22_ ( 
+        .D(n84802), .DE(n86346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5_reg_21_ ( 
+        .D(n84803), .DE(n86346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5_reg_20_ ( 
+        .D(n84804), .DE(n86346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5_reg_19_ ( 
+        .D(n84805), .DE(n86346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5_reg_18_ ( 
+        .D(n84806), .DE(n86346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5_reg_17_ ( 
+        .D(n84807), .DE(n86346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5_reg_16_ ( 
+        .D(n84808), .DE(n86346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5_reg_15_ ( 
+        .D(n84809), .DE(n86346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5_reg_14_ ( 
+        .D(n84810), .DE(n86346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5_reg_13_ ( 
+        .D(n84811), .DE(n86346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5_reg_12_ ( 
+        .D(n84812), .DE(n86346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5_reg_11_ ( 
+        .D(n84813), .DE(n86346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5_reg_10_ ( 
+        .D(n84814), .DE(n86346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5_reg_9_ ( 
+        .D(n84815), .DE(n86346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5_reg_8_ ( 
+        .D(n84816), .DE(n86346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5_reg_7_ ( 
+        .D(n84817), .DE(n86346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5_reg_6_ ( 
+        .D(n84818), .DE(n86346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5_reg_5_ ( 
+        .D(n84819), .DE(n86346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5_reg_4_ ( 
+        .D(n84820), .DE(n86346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5_reg_3_ ( 
+        .D(n84821), .DE(n86346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5_reg_2_ ( 
+        .D(n84822), .DE(n86346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5_reg_1_ ( 
+        .D(n85644), .DE(n86346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4_reg_31_ ( 
+        .D(n84793), .DE(n86344), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4_reg_30_ ( 
+        .D(n84794), .DE(n86344), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4_reg_29_ ( 
+        .D(n84795), .DE(n86344), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4_reg_28_ ( 
+        .D(n84796), .DE(n86344), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4_reg_27_ ( 
+        .D(n84797), .DE(n86344), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4_reg_26_ ( 
+        .D(n84798), .DE(n86344), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4_reg_25_ ( 
+        .D(n84799), .DE(n86344), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4_reg_24_ ( 
+        .D(n84800), .DE(n86344), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4_reg_23_ ( 
+        .D(n84801), .DE(n86344), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4_reg_22_ ( 
+        .D(n84802), .DE(n86344), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4_reg_21_ ( 
+        .D(n84803), .DE(n86344), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4_reg_20_ ( 
+        .D(n84804), .DE(n86344), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4_reg_19_ ( 
+        .D(n84805), .DE(n86344), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4_reg_18_ ( 
+        .D(n84806), .DE(n86344), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4_reg_17_ ( 
+        .D(n84807), .DE(n86344), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4_reg_16_ ( 
+        .D(n84808), .DE(n86344), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4_reg_15_ ( 
+        .D(n84809), .DE(n86344), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4_reg_14_ ( 
+        .D(n84810), .DE(n86344), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4_reg_13_ ( 
+        .D(n84811), .DE(n86344), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4_reg_12_ ( 
+        .D(n84812), .DE(n86344), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4_reg_11_ ( 
+        .D(n84813), .DE(n86344), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4_reg_10_ ( 
+        .D(n84814), .DE(n86344), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4_reg_9_ ( 
+        .D(n84815), .DE(n86344), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4_reg_8_ ( 
+        .D(n84816), .DE(n86344), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4_reg_7_ ( 
+        .D(n84817), .DE(n86344), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4_reg_6_ ( 
+        .D(n84818), .DE(n86344), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4_reg_5_ ( 
+        .D(n84819), .DE(n86344), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4_reg_4_ ( 
+        .D(n84820), .DE(n86344), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4_reg_3_ ( 
+        .D(n84821), .DE(n86344), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4_reg_2_ ( 
+        .D(n84822), .DE(n86344), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4_reg_1_ ( 
+        .D(n85644), .DE(n86344), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3_reg_31_ ( 
+        .D(n84793), .DE(n86342), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3_reg_30_ ( 
+        .D(n84794), .DE(n86342), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3_reg_29_ ( 
+        .D(n84795), .DE(n86342), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3_reg_28_ ( 
+        .D(n84796), .DE(n86342), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3_reg_27_ ( 
+        .D(n84797), .DE(n86342), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3_reg_26_ ( 
+        .D(n84798), .DE(n86342), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3_reg_25_ ( 
+        .D(n84799), .DE(n86342), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3_reg_24_ ( 
+        .D(n84800), .DE(n86342), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3_reg_23_ ( 
+        .D(n84801), .DE(n86342), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3_reg_22_ ( 
+        .D(n84802), .DE(n86342), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3_reg_21_ ( 
+        .D(n84803), .DE(n86342), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3_reg_20_ ( 
+        .D(n84804), .DE(n86342), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3_reg_19_ ( 
+        .D(n84805), .DE(n86342), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3_reg_18_ ( 
+        .D(n84806), .DE(n86342), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3_reg_17_ ( 
+        .D(n84807), .DE(n86342), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3_reg_16_ ( 
+        .D(n84808), .DE(n86342), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3_reg_15_ ( 
+        .D(n84809), .DE(n86342), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3_reg_14_ ( 
+        .D(n84810), .DE(n86342), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3_reg_13_ ( 
+        .D(n84811), .DE(n86342), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3_reg_12_ ( 
+        .D(n84812), .DE(n86342), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3_reg_11_ ( 
+        .D(n84813), .DE(n86342), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3_reg_10_ ( 
+        .D(n84814), .DE(n86342), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3_reg_9_ ( 
+        .D(n84815), .DE(n86342), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3_reg_8_ ( 
+        .D(n84816), .DE(n86342), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3_reg_7_ ( 
+        .D(n84817), .DE(n86342), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3_reg_6_ ( 
+        .D(n84818), .DE(n86342), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3_reg_5_ ( 
+        .D(n84819), .DE(n86342), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3_reg_4_ ( 
+        .D(n84820), .DE(n86342), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3_reg_3_ ( 
+        .D(n84821), .DE(n86342), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3_reg_2_ ( 
+        .D(n84822), .DE(n86342), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3_reg_1_ ( 
+        .D(n85644), .DE(n86342), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2_reg_31_ ( 
+        .D(n84793), .DE(n86343), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2_reg_30_ ( 
+        .D(n84794), .DE(n86343), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2_reg_29_ ( 
+        .D(n84795), .DE(n86343), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2_reg_28_ ( 
+        .D(n84796), .DE(n86343), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2_reg_27_ ( 
+        .D(n84797), .DE(n86343), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2_reg_26_ ( 
+        .D(n84798), .DE(n86343), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2_reg_25_ ( 
+        .D(n84799), .DE(n86343), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2_reg_24_ ( 
+        .D(n84800), .DE(n86343), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2_reg_23_ ( 
+        .D(n84801), .DE(n86343), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2_reg_22_ ( 
+        .D(n84802), .DE(n86343), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2_reg_21_ ( 
+        .D(n84803), .DE(n86343), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2_reg_20_ ( 
+        .D(n84804), .DE(n86343), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2_reg_19_ ( 
+        .D(n84805), .DE(n86343), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2_reg_18_ ( 
+        .D(n84806), .DE(n86343), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2_reg_17_ ( 
+        .D(n84807), .DE(n86343), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2_reg_16_ ( 
+        .D(n84808), .DE(n86343), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2_reg_15_ ( 
+        .D(n84809), .DE(n86343), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2_reg_14_ ( 
+        .D(n84810), .DE(n86343), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2_reg_13_ ( 
+        .D(n84811), .DE(n86343), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2_reg_12_ ( 
+        .D(n84812), .DE(n86343), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2_reg_11_ ( 
+        .D(n84813), .DE(n86343), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2_reg_10_ ( 
+        .D(n84814), .DE(n86343), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2_reg_9_ ( 
+        .D(n84815), .DE(n86343), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2_reg_8_ ( 
+        .D(n84816), .DE(n86343), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2_reg_7_ ( 
+        .D(n84817), .DE(n86343), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2_reg_6_ ( 
+        .D(n84818), .DE(n86343), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2_reg_5_ ( 
+        .D(n84819), .DE(n86343), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2_reg_4_ ( 
+        .D(n84820), .DE(n86343), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2_reg_3_ ( 
+        .D(n84821), .DE(n86343), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2_reg_2_ ( 
+        .D(n84822), .DE(n86343), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2_reg_1_ ( 
+        .D(n85644), .DE(n86343), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1_reg_31_ ( 
+        .D(n84793), .DE(n86590), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1_reg_30_ ( 
+        .D(n84794), .DE(n86590), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1_reg_29_ ( 
+        .D(n84795), .DE(n86590), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1_reg_28_ ( 
+        .D(n84796), .DE(n86590), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1_reg_27_ ( 
+        .D(n84797), .DE(n86394), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1_reg_26_ ( 
+        .D(n84798), .DE(n86394), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1_reg_25_ ( 
+        .D(n84799), .DE(n86394), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1_reg_24_ ( 
+        .D(n84800), .DE(n86590), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1_reg_23_ ( 
+        .D(n84801), .DE(n86394), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1_reg_22_ ( 
+        .D(n84802), .DE(n86394), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1_reg_21_ ( 
+        .D(n84803), .DE(n86394), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1_reg_20_ ( 
+        .D(n84804), .DE(n86394), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1_reg_19_ ( 
+        .D(n84805), .DE(n86590), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1_reg_18_ ( 
+        .D(n84806), .DE(n86394), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1_reg_17_ ( 
+        .D(n84807), .DE(n86394), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1_reg_16_ ( 
+        .D(n84808), .DE(n86590), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1_reg_15_ ( 
+        .D(n84809), .DE(n86590), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1_reg_14_ ( 
+        .D(n84810), .DE(n86590), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1_reg_13_ ( 
+        .D(n84811), .DE(n86590), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1_reg_12_ ( 
+        .D(n84812), .DE(n86394), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1_reg_11_ ( 
+        .D(n84813), .DE(n86590), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1_reg_10_ ( 
+        .D(n84814), .DE(n86590), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1_reg_9_ ( 
+        .D(n84815), .DE(n86394), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1_reg_8_ ( 
+        .D(n84816), .DE(n86394), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1_reg_7_ ( 
+        .D(n84817), .DE(n86394), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1_reg_6_ ( 
+        .D(n84818), .DE(n86394), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1_reg_5_ ( 
+        .D(n84819), .DE(n86394), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1_reg_4_ ( 
+        .D(n84820), .DE(n86394), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1_reg_3_ ( 
+        .D(n84821), .DE(n86394), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1_reg_2_ ( 
+        .D(n84822), .DE(n86394), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1_reg_1_ ( 
+        .D(n85644), .DE(n86590), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0_reg_31_ ( 
+        .D(n84793), .DE(n86345), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0_reg_30_ ( 
+        .D(n84794), .DE(n86345), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0_reg_29_ ( 
+        .D(n84795), .DE(n86345), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0_reg_28_ ( 
+        .D(n84796), .DE(n86345), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0_reg_27_ ( 
+        .D(n84797), .DE(n86345), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0_reg_26_ ( 
+        .D(n84798), .DE(n86345), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0_reg_25_ ( 
+        .D(n84799), .DE(n86345), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0_reg_24_ ( 
+        .D(n84800), .DE(n86345), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0_reg_23_ ( 
+        .D(n84801), .DE(n86345), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0_reg_22_ ( 
+        .D(n84802), .DE(n86345), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0_reg_21_ ( 
+        .D(n84803), .DE(n86345), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0_reg_20_ ( 
+        .D(n84804), .DE(n86345), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0_reg_19_ ( 
+        .D(n84805), .DE(n86345), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0_reg_18_ ( 
+        .D(n84806), .DE(n86345), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0_reg_17_ ( 
+        .D(n84807), .DE(n86345), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0_reg_16_ ( 
+        .D(n84808), .DE(n86345), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0_reg_15_ ( 
+        .D(n84809), .DE(n86345), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0_reg_14_ ( 
+        .D(n84810), .DE(n86345), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0_reg_13_ ( 
+        .D(n84811), .DE(n86345), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0_reg_12_ ( 
+        .D(n84812), .DE(n86345), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0_reg_11_ ( 
+        .D(n84813), .DE(n86345), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0_reg_10_ ( 
+        .D(n84814), .DE(n86345), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0_reg_9_ ( 
+        .D(n84815), .DE(n86345), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0_reg_8_ ( 
+        .D(n84816), .DE(n86345), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0_reg_7_ ( 
+        .D(n84817), .DE(n86345), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0_reg_6_ ( 
+        .D(n84818), .DE(n86345), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0_reg_5_ ( 
+        .D(n84819), .DE(n86345), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0_reg_4_ ( 
+        .D(n84820), .DE(n86345), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0_reg_3_ ( 
+        .D(n84821), .DE(n86345), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0_reg_2_ ( 
+        .D(n84822), .DE(n86345), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0_reg_1_ ( 
+        .D(n85644), .DE(n86345), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1[7]), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_inst_reg_15_ ( 
+        .D(n85404), .DE(n86424), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b19_12_T_4[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_inst_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b19_12_T_4[3]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_hi_lo_hi_1[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr_reg_6_ ( 
+        .D(n85428), .DE(n86596), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_scratchpadMax_reg_0_ ( 
+        .D(n85428), .DE(n36487), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr_reg_8_ ( 
+        .D(n85427), .DE(n86596), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_scratchpadMax_reg_2_ ( 
+        .D(n85427), .DE(n36487), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr_reg_9_ ( 
+        .D(n85429), .DE(n86596), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_scratchpadMax_reg_3_ ( 
+        .D(n85429), .DE(n36487), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr_reg_10_ ( 
+        .D(n85430), .DE(n86596), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_scratchpadMax_reg_4_ ( 
+        .D(n85430), .DE(n36487), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr_reg_11_ ( 
+        .D(n85431), .DE(n86596), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_scratchpadMax_reg_5_ ( 
+        .D(n85431), .DE(n36487), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_gennum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_N40), .DE(n36488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_gennum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_scratchpadOn_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N56), .DE(n36486), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_scratchpadOn) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_acknum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_N25), .DE(n36483), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_acknum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_dOrig_reg_0_ ( 
+        .D(n84827), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_N29), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_dOrig[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_size_reg_0__0_ ( 
+        .D(n84828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_size[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_size_reg_1__0_ ( 
+        .D(n84828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_dOrig_reg_1_ ( 
+        .D(n84829), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_N29), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_dOrig[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_size_reg_0__1_ ( 
+        .D(n84830), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_size[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_size_reg_1__1_ ( 
+        .D(n84830), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_dOrig_reg_2_ ( 
+        .D(n85426), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_N29), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_dOrig[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_size_reg_0__2_ ( 
+        .D(n84831), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_size[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_size_reg_1__2_ ( 
+        .D(n84831), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_acknum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_N24), .DE(n36483), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_acknum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_acknum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_N26), .DE(n36483), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_acknum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_acknum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_N27), .DE(n36483), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_acknum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_readys_mask_reg_3_ ( 
+        .D(n85482), .DE(MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N96), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar__readys_unready_T_8[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_1_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N101), .DE(n36409), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_1) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_value_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N55), 
+        .DE(n36354), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_maybe_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N60), 
+        .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N59), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_value_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N50), 
+        .DE(n36367), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N43)
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_size_reg_0__1_ ( 
+        .D(n85897), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_size[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_size_reg_0__2_ ( 
+        .D(n85895), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_size[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_0__5_ ( 
+        .D(n84832), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_0__10_ ( 
+        .D(n84833), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_0__11_ ( 
+        .D(n84834), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_0__13_ ( 
+        .D(n84835), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_0__21_ ( 
+        .D(n84836), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_0__30_ ( 
+        .D(n84837), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[62]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_size_reg_1__1_ ( 
+        .D(n85897), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_sink_reg_0__0_ ( 
+        .D(n84839), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_sink[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_sink_reg_1__0_ ( 
+        .D(n84839), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_sink[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_ram_sink_reg_0__0_ ( 
+        .D(n84840), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_N10), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_ram_sink[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_ram_sink_reg_1__0_ ( 
+        .D(n84840), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_ram_sink[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_got_e_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N23), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_got_e) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_got_e_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N23), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_got_e) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_ip_0_reg ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_wdog__ip_T), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog__ip_T_2), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_intsource_out_sync_0) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_intsink_4_chain_output_chain_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_intsource_out_sync_0), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_intsink_4_chain_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_intsink_4_chain_output_chain_sync_1_reg ( 
+        .D(MarmotCaravelChip_dut_sys_intsink_4_chain_output_chain_sync_2), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_intsink_4_chain_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_intsink_4_chain_output_chain_sync_0_reg ( 
+        .D(MarmotCaravelChip_dut_sys_intsink_4_chain_output_chain_sync_1), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_0) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_small__reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N15), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_small__reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N16), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_small__reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N17), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_small__reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N18), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_large__reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N20), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[5]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_large__reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N21), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[6]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_large__reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N22), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[7]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_large__reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N23), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[8]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_large__reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N24), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[9]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_large__reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N25), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[10]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_large__reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N26), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[11]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_large__reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N27), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[12]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_large__reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N28), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[13]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_large__reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N29), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[14]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_large__reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N30), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[15]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_large__reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N31), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[16]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_large__reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N32), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[17]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_large__reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N33), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[18]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_large__reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N34), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[19]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_large__reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N35), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[20]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_large__reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N36), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[21]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_large__reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N37), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[22]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_large__reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N38), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[23]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_large__reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N39), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[24]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_large__reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N40), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[25]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_large__reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N41), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[26]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_large__reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N42), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[27]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_large__reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N43), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[28]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_large__reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N44), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[29]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_wdog_large__reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N45), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_0_reg_8_ ( 
+        .D(n84841), .DE(n36329), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_424[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_0_reg_7_ ( 
+        .D(n84842), .DE(n36329), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_424[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_0_reg_6_ ( 
+        .D(n84843), .DE(n36329), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_424[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_0_reg_5_ ( 
+        .D(n84849), .DE(n36329), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_424[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_0_reg_4_ ( 
+        .D(n84850), .DE(n36329), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_424[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_0_reg_3_ ( 
+        .D(n84851), .DE(n36329), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_424[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_0_reg_2_ ( 
+        .D(n84852), .DE(n36329), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_424[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_0_reg_1_ ( 
+        .D(n84853), .DE(n36329), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_424[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_0_reg_0_ ( 
+        .D(n84854), .DE(n36329), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_424[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_1_reg_8_ ( 
+        .D(n84846), .DE(n36328), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_364[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_1_reg_7_ ( 
+        .D(n84842), .DE(n36328), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_364[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_1_reg_6_ ( 
+        .D(n84843), .DE(n36328), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_364[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_1_reg_5_ ( 
+        .D(n84849), .DE(n36328), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_364[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_1_reg_4_ ( 
+        .D(n84850), .DE(n36328), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_364[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_1_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N113), .DE(n36328), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_364[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_1_reg_2_ ( 
+        .D(n84852), .DE(n36328), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_364[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_1_reg_1_ ( 
+        .D(n84853), .DE(n36328), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_364[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_1_reg_0_ ( 
+        .D(n84854), .DE(n36328), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_364[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_2_reg_8_ ( 
+        .D(n84846), .DE(n36327), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_486[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_2_reg_7_ ( 
+        .D(n84847), .DE(n36327), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_486[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_2_reg_6_ ( 
+        .D(n84848), .DE(n36327), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_486[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_2_reg_5_ ( 
+        .D(n84849), .DE(n36327), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_486[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_2_reg_4_ ( 
+        .D(n84850), .DE(n36327), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_486[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_2_reg_3_ ( 
+        .D(n84851), .DE(n36327), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_486[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_2_reg_2_ ( 
+        .D(n84852), .DE(n36327), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_486[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_2_reg_1_ ( 
+        .D(n84853), .DE(n36327), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_486[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_2_reg_0_ ( 
+        .D(n84854), .DE(n36327), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_486[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_4_reg_8_ ( 
+        .D(n84846), .DE(n36325), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_789[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_4_reg_7_ ( 
+        .D(n84847), .DE(n36325), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_789[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_4_reg_6_ ( 
+        .D(n84848), .DE(n36325), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_789[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_4_reg_5_ ( 
+        .D(n84849), .DE(n36325), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_789[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_4_reg_4_ ( 
+        .D(n84850), .DE(n36325), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_789[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_4_reg_3_ ( 
+        .D(n84851), .DE(n36325), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_789[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_4_reg_2_ ( 
+        .D(n84852), .DE(n36325), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_789[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_4_reg_1_ ( 
+        .D(n84853), .DE(n36325), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_789[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_4_reg_0_ ( 
+        .D(n84854), .DE(n36325), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_789[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_5_reg_8_ ( 
+        .D(n84846), .DE(n36324), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_105[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_5_reg_7_ ( 
+        .D(n84847), .DE(n36324), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_105[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_5_reg_6_ ( 
+        .D(n84848), .DE(n36324), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_105[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_5_reg_5_ ( 
+        .D(n84849), .DE(n36324), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_105[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_5_reg_4_ ( 
+        .D(n84850), .DE(n36324), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_105[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_5_reg_3_ ( 
+        .D(n84851), .DE(n36324), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_105[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_5_reg_2_ ( 
+        .D(n84852), .DE(n36324), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_105[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_5_reg_1_ ( 
+        .D(n84853), .DE(n36324), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_105[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_5_reg_0_ ( 
+        .D(n84854), .DE(n36324), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_105[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_0_reg_8_ ( 
+        .D(n84846), .DE(n36321), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_693[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_0_reg_7_ ( 
+        .D(n84842), .DE(n36321), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_693[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_0_reg_6_ ( 
+        .D(n84843), .DE(n36321), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_693[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_0_reg_5_ ( 
+        .D(n84849), .DE(n36321), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_693[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_0_reg_4_ ( 
+        .D(n84850), .DE(n36321), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_693[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_0_reg_3_ ( 
+        .D(n84851), .DE(n36321), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_693[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_0_reg_2_ ( 
+        .D(n84852), .DE(n36321), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_693[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_0_reg_1_ ( 
+        .D(n84853), .DE(n36321), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_693[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_0_reg_0_ ( 
+        .D(n84854), .DE(n36321), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_693[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_1_reg_8_ ( 
+        .D(n84841), .DE(n36320), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_385[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_1_reg_7_ ( 
+        .D(n84842), .DE(n36320), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_385[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_1_reg_6_ ( 
+        .D(n84843), .DE(n36320), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_385[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_1_reg_5_ ( 
+        .D(n84849), .DE(n36320), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_385[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_1_reg_4_ ( 
+        .D(n84850), .DE(n36320), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_385[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_1_reg_3_ ( 
+        .D(n84851), .DE(n36320), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_385[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_1_reg_2_ ( 
+        .D(n84852), .DE(n36320), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_385[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_1_reg_1_ ( 
+        .D(n84853), .DE(n36320), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_385[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_1_reg_0_ ( 
+        .D(n84854), .DE(n36320), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_385[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_2_reg_8_ ( 
+        .D(n84841), .DE(n36319), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_325[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_2_reg_7_ ( 
+        .D(n84842), .DE(n36319), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_325[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_2_reg_6_ ( 
+        .D(n84843), .DE(n36319), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_325[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_2_reg_5_ ( 
+        .D(n84844), .DE(n36319), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_325[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_2_reg_4_ ( 
+        .D(n84850), .DE(n36319), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_325[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_2_reg_3_ ( 
+        .D(n84851), .DE(n36319), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_325[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_2_reg_2_ ( 
+        .D(n84852), .DE(n36319), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_325[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_2_reg_1_ ( 
+        .D(n84853), .DE(n36319), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_325[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_2_reg_0_ ( 
+        .D(n84854), .DE(n36319), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_325[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_4_reg_8_ ( 
+        .D(n84841), .DE(n36317), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_461[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_4_reg_7_ ( 
+        .D(n84842), .DE(n36317), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_461[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_4_reg_6_ ( 
+        .D(n84843), .DE(n36317), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_461[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_4_reg_5_ ( 
+        .D(n84844), .DE(n36317), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_461[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_4_reg_4_ ( 
+        .D(n84845), .DE(n36317), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_461[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_4_reg_3_ ( 
+        .D(n84851), .DE(n36317), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_461[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_4_reg_2_ ( 
+        .D(n84852), .DE(n36317), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_461[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_4_reg_1_ ( 
+        .D(n84853), .DE(n36317), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_461[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_4_reg_0_ ( 
+        .D(n84854), .DE(n36317), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_461[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_5_reg_8_ ( 
+        .D(n84841), .DE(n36316), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_371[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_5_reg_7_ ( 
+        .D(n84842), .DE(n36316), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_371[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_5_reg_6_ ( 
+        .D(n84843), .DE(n36316), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_371[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_5_reg_5_ ( 
+        .D(n84844), .DE(n36316), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_371[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_5_reg_4_ ( 
+        .D(n84845), .DE(n36316), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_371[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_5_reg_3_ ( 
+        .D(n84851), .DE(n36316), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_371[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_5_reg_2_ ( 
+        .D(n84852), .DE(n36316), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_371[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_5_reg_1_ ( 
+        .D(n84853), .DE(n36316), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_371[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_5_reg_0_ ( 
+        .D(n84854), .DE(n36316), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_371[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_6_reg_8_ ( 
+        .D(n84841), .DE(n36315), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_318[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_6_reg_7_ ( 
+        .D(n84842), .DE(n36315), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_318[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_6_reg_6_ ( 
+        .D(n84843), .DE(n36315), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_318[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_6_reg_5_ ( 
+        .D(n84844), .DE(n36315), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_318[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_6_reg_4_ ( 
+        .D(n84845), .DE(n36315), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_318[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_6_reg_3_ ( 
+        .D(n84851), .DE(n36315), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_318[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_6_reg_2_ ( 
+        .D(n84852), .DE(n36315), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_318[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_6_reg_1_ ( 
+        .D(n84853), .DE(n36315), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_318[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_6_reg_0_ ( 
+        .D(n84854), .DE(n36315), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_318[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_6_reg_8_ ( 
+        .D(n84846), .DE(n36323), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_350[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_6_reg_7_ ( 
+        .D(n84847), .DE(n36323), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_350[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_6_reg_6_ ( 
+        .D(n84848), .DE(n36323), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_350[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_6_reg_5_ ( 
+        .D(n84849), .DE(n36323), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_350[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_6_reg_4_ ( 
+        .D(n84850), .DE(n36323), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_350[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_6_reg_3_ ( 
+        .D(n84851), .DE(n36323), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_350[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_6_reg_2_ ( 
+        .D(n84852), .DE(n36323), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_350[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_6_reg_1_ ( 
+        .D(n84853), .DE(n36323), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_350[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_6_reg_0_ ( 
+        .D(n84854), .DE(n36323), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_350[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_small__reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N12), .DE(n36331), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_small__reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N13), .DE(n36331), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_small__reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N14), .DE(n36331), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_small__reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N15), .DE(n36331), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_small__reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N16), .DE(n36331), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_small__reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N17), .DE(n36331), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N43), .DE(n36331), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N42), .DE(n36331), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N41), .DE(n36331), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N40), .DE(n36331), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N39), .DE(n36331), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N38), .DE(n36331), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N37), .DE(n36331), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N36), .DE(n36331), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N35), .DE(n36331), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N34), .DE(n36331), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N33), .DE(n36331), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N32), .DE(n36331), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N31), .DE(n36331), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N30), .DE(n36331), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N29), .DE(n36331), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N28), .DE(n36331), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_data_reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[16]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[16]), 
+        .DE(n86451), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N27), .DE(n36331), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N26), .DE(n36331), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N25), .DE(n36331), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N24), .DE(n36331), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N23), .DE(n36331), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N22), .DE(n36331), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N21), .DE(n36331), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N20), .DE(n36331), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N19), .DE(n36331), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N18), .DE(n36331), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_41_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N59), .DE(n36330), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_data_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[15]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[15]), 
+        .DE(n86451), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_40_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N58), .DE(n36330), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_data_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[14]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[14]), 
+        .DE(n86451), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_39_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N57), .DE(n36330), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_data_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[13]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[13]), 
+        .DE(n86451), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_38_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N56), .DE(n36330), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_data_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[12]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[12]), 
+        .DE(n86451), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_37_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N55), .DE(n36330), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_data_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[11]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[11]), 
+        .DE(n86451), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_36_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N54), .DE(n36330), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_data_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[10]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[10]), 
+        .DE(n86451), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_35_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N53), .DE(n36330), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_data_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[9]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[9]), 
+        .DE(n86451), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_34_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N52), .DE(n36330), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_33_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N51), .DE(n36330), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_32_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N50), .DE(n36330), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N49), .DE(n36330), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N48), .DE(n36330), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N47), .DE(n36330), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N46), .DE(n36330), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N45), .DE(n36330), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_rtc_large__reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N44), .DE(n36330), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_data_reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[24]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[24]), 
+        .DE(n86451), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_data_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[28]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[28]), 
+        .DE(n86451), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_data_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[20]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[20]), 
+        .DE(n86451), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_data_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[30]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[30]), 
+        .DE(n86451), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_data_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[22]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[22]), 
+        .DE(n86451), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_data_reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[26]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[26]), 
+        .DE(n86451), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_data_reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[18]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[18]), 
+        .DE(n86451), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_data_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[31]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_32_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[31]), 
+        .DE(n86451), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_data_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[23]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[23]), 
+        .DE(n86452), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_data_reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[27]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[27]), 
+        .DE(n86452), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_data_reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[19]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[19]), 
+        .DE(n86452), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_data_reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[29]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[29]), 
+        .DE(n86452), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_data_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[21]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[21]), 
+        .DE(n86452), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_data_reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[25]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[25]), 
+        .DE(n86452), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_data_reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[17]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[17]), 
+        .DE(n86452), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_3_reg_8_ ( 
+        .D(n84841), .DE(n36318), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_734[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_3_reg_7_ ( 
+        .D(n84842), .DE(n36318), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_734[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_3_reg_6_ ( 
+        .D(n84843), .DE(n36318), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_734[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_3_reg_5_ ( 
+        .D(n84844), .DE(n36318), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_734[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_3_reg_4_ ( 
+        .D(n84845), .DE(n36318), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_734[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_3_reg_3_ ( 
+        .D(n84851), .DE(n36318), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_734[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_3_reg_2_ ( 
+        .D(n84852), .DE(n36318), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_734[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_3_reg_1_ ( 
+        .D(n84853), .DE(n36318), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_734[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_sleepProgram_3_reg_0_ ( 
+        .D(n84854), .DE(n36318), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_734[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_3_reg_8_ ( 
+        .D(n84846), .DE(n36326), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_527[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_data_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[8]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[8]), 
+        .DE(n86452), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_3_reg_7_ ( 
+        .D(n84847), .DE(n36326), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_527[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_data_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[7]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[7]), 
+        .DE(n86452), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_3_reg_6_ ( 
+        .D(n84848), .DE(n36326), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_527[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_data_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[6]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[6]), 
+        .DE(n86452), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_3_reg_5_ ( 
+        .D(n84849), .DE(n36326), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_527[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_data_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[5]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[5]), 
+        .DE(n86452), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_3_reg_4_ ( 
+        .D(n84850), .DE(n36326), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_527[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_data_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[4]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[4]), 
+        .DE(n86452), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_3_reg_3_ ( 
+        .D(n84851), .DE(n36326), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_527[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_data_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[3]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[3]), 
+        .DE(n86451), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_3_reg_2_ ( 
+        .D(n84852), .DE(n36326), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_527[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_data_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[2]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[2]), 
+        .DE(n86452), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_3_reg_1_ ( 
+        .D(n84853), .DE(n36326), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_527[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_data_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[1]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[1]), 
+        .DE(n86452), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wakeupProgram_3_reg_0_ ( 
+        .D(n84854), .DE(n36326), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), 
+        .Q(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_527[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_mask_reg_0__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_plic_fragmenter_out_a_bits_mask[3]), .DE(n86412), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_io_deq_bits_mask[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_7_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[24]), 
+        .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_129), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_3_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[24]), 
+        .DE(n86555), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_3_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[31]), 
+        .DE(n86555), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_3_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[29]), 
+        .DE(n86555), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_3_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[28]), 
+        .DE(n86555), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_3_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[27]), 
+        .DE(n86555), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_3_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[26]), 
+        .DE(n86555), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_3_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[24]), 
+        .DE(n86556), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_3_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[31]), 
+        .DE(n86556), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_3_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[29]), 
+        .DE(n86556), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_3_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[28]), 
+        .DE(n86556), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_3_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[27]), 
+        .DE(n86556), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_3_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[26]), 
+        .DE(n86556), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_7_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[24]), 
+        .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_45), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_mask_reg_0__3_ ( 
+        .D(n85564), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_mask[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_mask_reg_1__3_ ( 
+        .D(n84872), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_mask[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N618), .DE(
+        n36773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[12])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_12_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_io_pins_gpio_pins_12_i_ival), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_12_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_12_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_12_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_12_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_12_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_12_sync_1), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_iof_0_iof_0_12_i_ival) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_fSDA_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N333), .DE(
+        n36637), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__fSDA_T[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_fSDA_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N334), .DE(
+        n36637), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__fSDA_T[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_fSDA_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N335), .DE(
+        n36637), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__fSDA_T[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_sSDA_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N337), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_sSDA) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_dSDA_reg ( 
+        .D(n85825), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_dSDA) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_arbLost_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N412), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_arbLost) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N408), .DE(
+        n36634), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N411), .DE(
+        n36634), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N407), .DE(
+        n36634), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_sclOen_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N343), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_sclOen) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_dSCLOen_reg ( 
+        .D(n85791), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_dSCLOen) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_clkEn_reg ( 
+        .D(n85524), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_clkEn) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmdAck_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N397), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmdAck) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_load_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N416), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_load) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCnt_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N436), .DE(
+        n36633), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCnt[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_byteState_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N445), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N538), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_byteState[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_byteState_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N444), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N538), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_byteState[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_byteState_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N446), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N538), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_byteState[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cmdAck_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N426), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cmdAck) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_statusReadReady_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N447), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_statusReadReady)
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_13_reg ( 
+        .D(n86094), .DE(n36364), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_13) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_gennum_reg_0_ ( 
+        .D(n85766), .DE(n36218), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_gennum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_gennum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_N35), .DE(n36218), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_gennum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_source_reg_0__1_ ( 
+        .D(n84855), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_source[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_source_reg_1__1_ ( 
+        .D(n84855), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_source[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_s_0_state_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_N78), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_s_0_state[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_maybe_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N60), 
+        .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N59), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_value_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N55), 
+        .DE(n36307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_value_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N50), 
+        .DE(n36369), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N43)
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode_reg_0__0_ ( 
+        .D(n85810), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode_reg_0__2_ ( 
+        .D(n85901), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode_reg_0__1_ ( 
+        .D(n85811), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_param_reg_0__1_ ( 
+        .D(n84856), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_param[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_param_reg_0__2_ ( 
+        .D(n84857), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_param[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_param_reg_0__0_ ( 
+        .D(n84858), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_param[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_size_reg_0__1_ ( 
+        .D(n84859), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_size[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_size_reg_0__2_ ( 
+        .D(n54320), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_size[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_size_reg_0__0_ ( 
+        .D(n84860), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_size[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_source_reg_0__1_ ( 
+        .D(n85809), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_source[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_source_reg_0__0_ ( 
+        .D(n85808), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_source[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_0__1_ ( 
+        .D(n84861), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_0__2_ ( 
+        .D(n85781), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_0__3_ ( 
+        .D(n84862), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_0__4_ ( 
+        .D(n85782), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_0__5_ ( 
+        .D(n85783), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_0__6_ ( 
+        .D(n84863), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_0__7_ ( 
+        .D(n85806), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_0__8_ ( 
+        .D(n84864), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_0__9_ ( 
+        .D(n85807), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_0__10_ ( 
+        .D(n84865), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_0__11_ ( 
+        .D(n84866), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_0__12_ ( 
+        .D(n84867), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_0__13_ ( 
+        .D(n85436), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_0__14_ ( 
+        .D(n85435), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_0__15_ ( 
+        .D(n85797), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_0__16_ ( 
+        .D(n84868), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_0__17_ ( 
+        .D(n85798), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_0__18_ ( 
+        .D(n85799), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_0__19_ ( 
+        .D(n85800), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_0__20_ ( 
+        .D(n85801), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_0__21_ ( 
+        .D(n85802), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_0__22_ ( 
+        .D(n85803), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_0__23_ ( 
+        .D(n85804), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_0__24_ ( 
+        .D(n85805), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_0__25_ ( 
+        .D(n84869), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_0__26_ ( 
+        .D(n85438), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_0__27_ ( 
+        .D(n85437), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_0__28_ ( 
+        .D(n36981), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_0__29_ ( 
+        .D(n85439), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_0__0_ ( 
+        .D(n84870), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_mask_reg_0__1_ ( 
+        .D(n84871), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_mask[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_mask_reg_0__2_ ( 
+        .D(n85565), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_mask[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_mask_reg_0__0_ ( 
+        .D(n85563), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_mask[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_0__1_ ( 
+        .D(n85583), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_0__2_ ( 
+        .D(n85582), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_0__3_ ( 
+        .D(n85569), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_0__4_ ( 
+        .D(n85579), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_0__7_ ( 
+        .D(n85562), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_0__9_ ( 
+        .D(n85587), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_0__14_ ( 
+        .D(n85584), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_0__15_ ( 
+        .D(n85666), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_0__17_ ( 
+        .D(n85870), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_0__18_ ( 
+        .D(n85871), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_0__19_ ( 
+        .D(n85872), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_0__20_ ( 
+        .D(n85873), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_0__22_ ( 
+        .D(n85875), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_0__23_ ( 
+        .D(n85876), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_0__24_ ( 
+        .D(n85861), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_0__26_ ( 
+        .D(n85863), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_0__27_ ( 
+        .D(n85864), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_0__28_ ( 
+        .D(n85865), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[60]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_0__29_ ( 
+        .D(n85866), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[61]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_0__31_ ( 
+        .D(n85868), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[63]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_0__0_ ( 
+        .D(n85581), .DE(n86465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode_reg_1__0_ ( 
+        .D(n85810), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode_reg_1__2_ ( 
+        .D(n85901), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode_reg_1__1_ ( 
+        .D(n85811), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_param_reg_1__1_ ( 
+        .D(n84856), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_param[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_param_reg_1__2_ ( 
+        .D(n84857), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_param[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_param_reg_1__0_ ( 
+        .D(n84858), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_param[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_size_reg_1__1_ ( 
+        .D(n84859), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_size_reg_1__2_ ( 
+        .D(n54320), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_size_reg_1__0_ ( 
+        .D(n84860), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_source_reg_1__1_ ( 
+        .D(n85809), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_source_reg_1__0_ ( 
+        .D(n85808), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_1__1_ ( 
+        .D(n84861), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_1__2_ ( 
+        .D(n85781), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_1__3_ ( 
+        .D(n84862), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_1__4_ ( 
+        .D(n85782), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_1__5_ ( 
+        .D(n85783), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_1__6_ ( 
+        .D(n84863), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_1__7_ ( 
+        .D(n85806), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_1__8_ ( 
+        .D(n84864), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_1__9_ ( 
+        .D(n85807), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_1__10_ ( 
+        .D(n84865), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_1__11_ ( 
+        .D(n84866), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_1__12_ ( 
+        .D(n84867), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_1__13_ ( 
+        .D(n85436), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_1__14_ ( 
+        .D(n85435), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_1__15_ ( 
+        .D(n85797), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_1__16_ ( 
+        .D(n84868), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_1__17_ ( 
+        .D(n85798), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_1__18_ ( 
+        .D(n85799), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_1__19_ ( 
+        .D(n85800), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_1__20_ ( 
+        .D(n85801), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_1__21_ ( 
+        .D(n85802), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_1__22_ ( 
+        .D(n85803), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_1__23_ ( 
+        .D(n85804), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_1__24_ ( 
+        .D(n85805), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_1__25_ ( 
+        .D(n84869), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_1__26_ ( 
+        .D(n85438), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_1__27_ ( 
+        .D(n85437), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_1__28_ ( 
+        .D(n36981), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_1__29_ ( 
+        .D(n85439), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address_reg_1__0_ ( 
+        .D(n84870), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_mask_reg_1__1_ ( 
+        .D(n84871), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_mask[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_mask_reg_1__2_ ( 
+        .D(n85565), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_mask[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_mask_reg_1__3_ ( 
+        .D(n85564), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_mask[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_mask_reg_1__0_ ( 
+        .D(n85563), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_mask[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_1__1_ ( 
+        .D(n85583), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_1__2_ ( 
+        .D(n85582), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_1__3_ ( 
+        .D(n85569), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_1__4_ ( 
+        .D(n85579), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_1__5_ ( 
+        .D(n85570), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_1__6_ ( 
+        .D(n85575), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_1__7_ ( 
+        .D(n85562), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_1__8_ ( 
+        .D(n85574), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_1__9_ ( 
+        .D(n85587), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_1__10_ ( 
+        .D(n85578), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_1__11_ ( 
+        .D(n85572), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_1__12_ ( 
+        .D(n85586), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_1__13_ ( 
+        .D(n85585), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_1__14_ ( 
+        .D(n85584), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_1__15_ ( 
+        .D(n85666), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_1__16_ ( 
+        .D(n85869), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_1__17_ ( 
+        .D(n85870), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_1__18_ ( 
+        .D(n85871), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_1__19_ ( 
+        .D(n85872), .DE(n86464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_1__20_ ( 
+        .D(n85873), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_1__21_ ( 
+        .D(n85874), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_1__22_ ( 
+        .D(n85875), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_1__23_ ( 
+        .D(n85876), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_1__24_ ( 
+        .D(n85861), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_1__25_ ( 
+        .D(n85862), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_1__26_ ( 
+        .D(n85863), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_1__27_ ( 
+        .D(n85864), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_1__28_ ( 
+        .D(n85865), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_1__29_ ( 
+        .D(n85866), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_1__30_ ( 
+        .D(n85867), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_1__31_ ( 
+        .D(n85868), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data_reg_1__0_ ( 
+        .D(n85581), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_beatsLeft_reg_2_ ( 
+        .D(n36309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_beatsLeft[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_state_1_reg ( 
+        .D(n85903), .DE(n36368), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_state_1) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_state_0_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_N143), .DE(n36368), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_state_0) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_value_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_N50), .DE(n36312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_N43)
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_opcode_reg_0__0_ ( 
+        .D(n84903), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_opcode[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_opcode_reg_0__2_ ( 
+        .D(n84904), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_opcode[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_opcode_reg_0__1_ ( 
+        .D(n84905), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_opcode[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_mask_reg_0__3_ ( 
+        .D(n84872), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_mask[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_0__1_ ( 
+        .D(n84873), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_0__2_ ( 
+        .D(n84874), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_0__3_ ( 
+        .D(n84875), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_0__4_ ( 
+        .D(n84876), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_0__5_ ( 
+        .D(n84877), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_0__6_ ( 
+        .D(n84878), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_0__7_ ( 
+        .D(n84879), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_0__8_ ( 
+        .D(n84880), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_0__9_ ( 
+        .D(n84881), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_0__10_ ( 
+        .D(n84882), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_0__11_ ( 
+        .D(n84883), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_0__12_ ( 
+        .D(n84884), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_0__13_ ( 
+        .D(n84885), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_0__14_ ( 
+        .D(n84886), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_0__15_ ( 
+        .D(n84887), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_0__16_ ( 
+        .D(n84888), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_0__17_ ( 
+        .D(n84889), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_0__18_ ( 
+        .D(n84890), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_0__19_ ( 
+        .D(n84891), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_0__20_ ( 
+        .D(n84892), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_0__21_ ( 
+        .D(n84893), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_0__22_ ( 
+        .D(n84894), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_0__23_ ( 
+        .D(n84895), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_0__25_ ( 
+        .D(n84896), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_0__26_ ( 
+        .D(n84897), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_0__28_ ( 
+        .D(n84898), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[60]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_0__29_ ( 
+        .D(n84899), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[61]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_0__30_ ( 
+        .D(n84900), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[62]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_0__31_ ( 
+        .D(n84901), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[63]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_0__0_ ( 
+        .D(n84902), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_opcode_reg_1__0_ ( 
+        .D(n84903), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_opcode_reg_0_ ( 
+        .D(n85013), .DE(n60965), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_opcode_reg_0_ ( 
+        .D(n85013), .DE(n86496), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_opcode_reg_1__2_ ( 
+        .D(n84904), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_opcode_reg_2_ ( 
+        .D(n61167), .DE(n60965), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_opcode_reg_2_ ( 
+        .D(n61167), .DE(n86496), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_opcode_reg_1__1_ ( 
+        .D(n84905), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_opcode_reg_1_ ( 
+        .D(n85014), .DE(n60965), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_opcode_reg_1_ ( 
+        .D(n85014), .DE(n86496), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_opcode[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_beatsLeft_reg_0_ ( 
+        .D(n36311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_beatsLeft[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_beatsLeft_reg_1_ ( 
+        .D(n36310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_beatsLeft[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_beatsLeft_reg_3_ ( 
+        .D(n36308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_beatsLeft[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_opcode_reg_0_ ( 
+        .D(n84906), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_opcode_0_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_param_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_in_xbar_auto_out_a_bits_param_2_), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_param[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_param_reg_1_ ( 
+        .D(n85567), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_param[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_param_reg_0_ ( 
+        .D(n85566), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_param[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_size_reg_2_ ( 
+        .D(n85902), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_size[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_size_reg_0__2_ ( 
+        .D(n84907), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_size[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_size_reg_1__2_ ( 
+        .D(n84907), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size_reg_0__2_ ( 
+        .D(n36777), .DE(n86462), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size_reg_1__2_ ( 
+        .D(n36778), .DE(n86461), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size_reg_2__2_ ( 
+        .D(n47614), .DE(n86460), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size_reg_3__2_ ( 
+        .D(n36777), .DE(n86459), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size_reg_4__2_ ( 
+        .D(n36778), .DE(n86458), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size_reg_5__2_ ( 
+        .D(n47614), .DE(n86457), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size_reg_6__2_ ( 
+        .D(n36778), .DE(n86456), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size_reg_7__2_ ( 
+        .D(n36777), .DE(n86455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_size_reg_2_ ( 
+        .D(n47614), .DE(n60965), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_size_reg_2_ ( 
+        .D(n47614), .DE(n86496), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_gennum_reg_1_ ( 
+        .D(n86582), .DE(n36225), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_gennum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_size_reg_1_ ( 
+        .D(n85905), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_size[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_size_reg_0__1_ ( 
+        .D(n84908), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_size[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_size_reg_1__1_ ( 
+        .D(n84908), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size_reg_0__1_ ( 
+        .D(n85002), .DE(n86462), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size_reg_1__1_ ( 
+        .D(n85002), .DE(n86461), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size_reg_2__1_ ( 
+        .D(n85002), .DE(n86460), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size_reg_3__1_ ( 
+        .D(n84998), .DE(n86459), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size_reg_4__1_ ( 
+        .D(n84998), .DE(n86458), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size_reg_5__1_ ( 
+        .D(n85002), .DE(n86457), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size_reg_6__1_ ( 
+        .D(n84998), .DE(n86456), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size_reg_7__1_ ( 
+        .D(n85002), .DE(n86455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_size_reg_1_ ( 
+        .D(n85002), .DE(n60965), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_size_reg_1_ ( 
+        .D(n85002), .DE(n86496), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_gennum_reg_3_ ( 
+        .D(n86580), .DE(n36225), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_gennum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_acknum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_N24), .DE(n36225), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_acknum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_acknum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_N22), .DE(n36225), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_acknum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_dOrig_reg_1_ ( 
+        .D(n84909), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_dOrig[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_dOrig_reg_2_ ( 
+        .D(n85126), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_dOrig[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_acknum_reg_0_ ( 
+        .D(n86027), .DE(n36225), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_acknum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_acknum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_N23), .DE(n36225), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_acknum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_dOrig_reg_0_ ( 
+        .D(n85142), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_dOrig[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_size_reg_0_ ( 
+        .D(n85904), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_size[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_size_reg_0__0_ ( 
+        .D(n84910), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_size[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_size_reg_1__0_ ( 
+        .D(n84910), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size_reg_0__0_ ( 
+        .D(n85015), .DE(n86462), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size_reg_1__0_ ( 
+        .D(n85015), .DE(n86461), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size_reg_2__0_ ( 
+        .D(n85015), .DE(n86460), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size_reg_3__0_ ( 
+        .D(n85015), .DE(n86459), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size_reg_4__0_ ( 
+        .D(n85015), .DE(n86458), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size_reg_5__0_ ( 
+        .D(n85015), .DE(n86457), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size_reg_6__0_ ( 
+        .D(n85015), .DE(n86456), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size_reg_7__0_ ( 
+        .D(n85015), .DE(n86455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_gennum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N35), .DE(n36374), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_gennum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_size_reg_0_ ( 
+        .D(n84911), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_size_reg_2_ ( 
+        .D(n84913), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_size_reg_1_ ( 
+        .D(n84912), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_size[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N6), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_source_reg_0_ ( 
+        .D(n85470), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_auto_qspi_0_mem_xing_in_d_bits_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_source_reg_4_ ( 
+        .D(n85894), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_auto_qspi_0_mem_xing_in_d_bits_source[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_source_reg_3_ ( 
+        .D(n85468), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_auto_qspi_0_mem_xing_in_d_bits_source[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_source_reg_2_ ( 
+        .D(n85469), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_auto_qspi_0_mem_xing_in_d_bits_source[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_source_reg_1_ ( 
+        .D(n85467), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_auto_qspi_0_mem_xing_in_d_bits_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_gennum_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N39), .DE(n36374), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_gennum[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_gennum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N38), .DE(n36374), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_gennum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_gennum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N37), .DE(n36374), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_gennum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_gennum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N36), .DE(n36374), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_gennum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_gennum_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N40), .DE(n36374), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_gennum[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_source_reg_5_ ( 
+        .D(n85466), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_auto_qspi_0_mem_xing_in_d_bits_source[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_size_reg_0_ ( 
+        .D(n85015), .DE(n60965), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_size_reg_0_ ( 
+        .D(n85015), .DE(n86496), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_source_reg_1_ ( 
+        .D(n84914), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_source_reg_0__1_ ( 
+        .D(n84915), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_source[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_source_reg_1__1_ ( 
+        .D(n84915), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source_reg_0__1_ ( 
+        .D(n85016), .DE(n86462), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source_reg_1__1_ ( 
+        .D(n85016), .DE(n86461), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source_reg_2__1_ ( 
+        .D(n85016), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N146), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source_reg_3__1_ ( 
+        .D(n85016), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source_reg_4__1_ ( 
+        .D(n85016), .DE(n86458), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source_reg_5__1_ ( 
+        .D(n85016), .DE(n86457), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source_reg_6__1_ ( 
+        .D(n85016), .DE(n86456), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source_reg_7__1_ ( 
+        .D(n85016), .DE(n86455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_source_reg_1_ ( 
+        .D(n85796), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_source_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_bundleIn_0_a_bits_source[8]), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_auto_tl_in_d_bits_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_source_reg_1_ ( 
+        .D(n85016), .DE(n60965), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_source_reg_1_ ( 
+        .D(n85016), .DE(n86496), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_source_reg_0_ ( 
+        .D(n84916), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_source_reg_0__0_ ( 
+        .D(n84917), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_source[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_source_reg_1__0_ ( 
+        .D(n84917), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source_reg_0__0_ ( 
+        .D(n85017), .DE(n86462), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source_reg_1__0_ ( 
+        .D(n85017), .DE(n86461), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source_reg_2__0_ ( 
+        .D(n85017), .DE(n86460), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source_reg_3__0_ ( 
+        .D(n85017), .DE(n86459), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source_reg_4__0_ ( 
+        .D(n85017), .DE(n86458), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source_reg_5__0_ ( 
+        .D(n85017), .DE(n86457), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source_reg_6__0_ ( 
+        .D(n85017), .DE(n86456), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source_reg_7__0_ ( 
+        .D(n85017), .DE(n86455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_source_reg_0_ ( 
+        .D(n85795), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_source_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_bundleIn_0_a_bits_source[7]), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_auto_tl_in_d_bits_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_source_reg_0_ ( 
+        .D(n85017), .DE(n60965), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_source_reg_0_ ( 
+        .D(n85017), .DE(n86496), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address_reg_29_ ( 
+        .D(n84918), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_0__29_ ( 
+        .D(n84919), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_1__29_ ( 
+        .D(n84919), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address_reg_28_ ( 
+        .D(n84920), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_0__28_ ( 
+        .D(n84921), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_1__28_ ( 
+        .D(n84921), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_0__28_ ( 
+        .D(n84922), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N148), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[231]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_1__28_ ( 
+        .D(n84922), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N147), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[202]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_2__28_ ( 
+        .D(n84922), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N146), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[173]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_3__28_ ( 
+        .D(n84922), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[144]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_4__28_ ( 
+        .D(n84922), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N144), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[115]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_5__28_ ( 
+        .D(n84922), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N143), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[86]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_6__28_ ( 
+        .D(n84922), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N142), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_7__28_ ( 
+        .D(n84922), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N141), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address_reg_28_ ( 
+        .D(n84923), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_address_reg_28_ ( 
+        .D(n85702), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address_reg_27_ ( 
+        .D(n84924), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_0__27_ ( 
+        .D(n84925), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_1__27_ ( 
+        .D(n84925), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_0__27_ ( 
+        .D(n84926), .DE(n86462), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[230]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_1__27_ ( 
+        .D(n84926), .DE(n86461), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[201]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_2__27_ ( 
+        .D(n84926), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N146), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[172]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_3__27_ ( 
+        .D(n84926), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[143]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_4__27_ ( 
+        .D(n84926), .DE(n86458), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[114]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_5__27_ ( 
+        .D(n84926), .DE(n86457), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[85]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_6__27_ ( 
+        .D(n84926), .DE(n86456), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_7__27_ ( 
+        .D(n84926), .DE(n86455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address_reg_27_ ( 
+        .D(n84927), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_address_reg_27_ ( 
+        .D(n85701), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address_reg_26_ ( 
+        .D(n84928), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_0__26_ ( 
+        .D(n84929), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_1__26_ ( 
+        .D(n84929), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_0__26_ ( 
+        .D(n84930), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N148), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[229]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_1__26_ ( 
+        .D(n84930), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N147), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[200]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_2__26_ ( 
+        .D(n84930), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N146), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[171]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_3__26_ ( 
+        .D(n84930), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[142]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_4__26_ ( 
+        .D(n84930), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N144), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[113]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_5__26_ ( 
+        .D(n84930), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N143), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[84]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_6__26_ ( 
+        .D(n84930), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N142), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_7__26_ ( 
+        .D(n84930), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N141), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address_reg_26_ ( 
+        .D(n84931), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_address_reg_26_ ( 
+        .D(n85700), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address_reg_25_ ( 
+        .D(n84932), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_0__25_ ( 
+        .D(n84933), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_1__25_ ( 
+        .D(n84933), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_0__25_ ( 
+        .D(n84934), .DE(n86462), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[228]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_1__25_ ( 
+        .D(n84934), .DE(n86461), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[199]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_2__25_ ( 
+        .D(n84934), .DE(n86460), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[170]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_3__25_ ( 
+        .D(n84934), .DE(n86459), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[141]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_4__25_ ( 
+        .D(n84934), .DE(n86458), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[112]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_5__25_ ( 
+        .D(n84934), .DE(n86457), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[83]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_6__25_ ( 
+        .D(n84934), .DE(n86456), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_7__25_ ( 
+        .D(n84934), .DE(n86455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address_reg_25_ ( 
+        .D(n84935), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_address_reg_25_ ( 
+        .D(n85699), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address_reg_24_ ( 
+        .D(n84936), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_0__24_ ( 
+        .D(n84937), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_1__24_ ( 
+        .D(n84937), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_0__24_ ( 
+        .D(n84938), .DE(n86462), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[227]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_1__24_ ( 
+        .D(n84938), .DE(n86461), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[198]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_2__24_ ( 
+        .D(n84938), .DE(n86460), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[169]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_3__24_ ( 
+        .D(n84938), .DE(n86459), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[140]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_4__24_ ( 
+        .D(n84938), .DE(n86458), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[111]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_5__24_ ( 
+        .D(n84938), .DE(n86457), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[82]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_6__24_ ( 
+        .D(n84938), .DE(n86456), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_7__24_ ( 
+        .D(n84938), .DE(n86455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address_reg_24_ ( 
+        .D(n84939), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_address_reg_24_ ( 
+        .D(n85689), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address_reg_23_ ( 
+        .D(n84940), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_0__23_ ( 
+        .D(n84941), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_1__23_ ( 
+        .D(n84941), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_0__23_ ( 
+        .D(n84942), .DE(n86462), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[226]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_1__23_ ( 
+        .D(n84942), .DE(n86461), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[197]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_2__23_ ( 
+        .D(n84942), .DE(n86460), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[168]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_3__23_ ( 
+        .D(n84942), .DE(n86459), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[139]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_4__23_ ( 
+        .D(n84942), .DE(n86458), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[110]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_5__23_ ( 
+        .D(n84942), .DE(n86457), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[81]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_6__23_ ( 
+        .D(n84942), .DE(n86456), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_7__23_ ( 
+        .D(n84942), .DE(n86455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address_reg_23_ ( 
+        .D(n84943), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_address_reg_23_ ( 
+        .D(n85688), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address_reg_22_ ( 
+        .D(n84944), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_0__22_ ( 
+        .D(n84945), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_1__22_ ( 
+        .D(n84945), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_0__22_ ( 
+        .D(n84946), .DE(n86462), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[225]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_1__22_ ( 
+        .D(n84946), .DE(n86461), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[196]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_2__22_ ( 
+        .D(n84946), .DE(n86460), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[167]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_3__22_ ( 
+        .D(n84946), .DE(n86459), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[138]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_4__22_ ( 
+        .D(n84946), .DE(n86458), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[109]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_5__22_ ( 
+        .D(n84946), .DE(n86457), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[80]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_6__22_ ( 
+        .D(n84946), .DE(n86456), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_7__22_ ( 
+        .D(n84946), .DE(n86455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address_reg_22_ ( 
+        .D(n84947), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_address_reg_22_ ( 
+        .D(n85687), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address_reg_21_ ( 
+        .D(n84948), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_0__21_ ( 
+        .D(n84949), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_1__21_ ( 
+        .D(n84949), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_0__21_ ( 
+        .D(n84950), .DE(n86462), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[224]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_1__21_ ( 
+        .D(n84950), .DE(n86461), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[195]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_2__21_ ( 
+        .D(n84950), .DE(n86460), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[166]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_3__21_ ( 
+        .D(n84950), .DE(n86459), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[137]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_4__21_ ( 
+        .D(n84950), .DE(n86458), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[108]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_5__21_ ( 
+        .D(n84950), .DE(n86457), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[79]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_6__21_ ( 
+        .D(n84950), .DE(n86456), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_7__21_ ( 
+        .D(n84950), .DE(n86455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address_reg_21_ ( 
+        .D(n84951), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_address_reg_21_ ( 
+        .D(n85694), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address_reg_20_ ( 
+        .D(n84952), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_0__20_ ( 
+        .D(n84953), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_1__20_ ( 
+        .D(n84953), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_0__20_ ( 
+        .D(n84954), .DE(n86462), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[223]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_1__20_ ( 
+        .D(n84954), .DE(n86461), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[194]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_2__20_ ( 
+        .D(n84954), .DE(n86460), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[165]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_3__20_ ( 
+        .D(n84954), .DE(n86459), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[136]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_4__20_ ( 
+        .D(n84954), .DE(n86458), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[107]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_5__20_ ( 
+        .D(n84954), .DE(n86457), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[78]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_6__20_ ( 
+        .D(n84954), .DE(n86456), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_7__20_ ( 
+        .D(n84954), .DE(n86455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address_reg_20_ ( 
+        .D(n84955), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_address_reg_20_ ( 
+        .D(n85695), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address_reg_19_ ( 
+        .D(n84956), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_0__19_ ( 
+        .D(n84957), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_1__19_ ( 
+        .D(n84957), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_0__19_ ( 
+        .D(n84958), .DE(n86462), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[222]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_1__19_ ( 
+        .D(n84958), .DE(n86461), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[193]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_2__19_ ( 
+        .D(n84958), .DE(n86460), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[164]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_3__19_ ( 
+        .D(n84958), .DE(n86459), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[135]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_4__19_ ( 
+        .D(n84958), .DE(n86458), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[106]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_5__19_ ( 
+        .D(n84958), .DE(n86457), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[77]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_6__19_ ( 
+        .D(n84958), .DE(n86456), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_7__19_ ( 
+        .D(n84958), .DE(n86455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address_reg_19_ ( 
+        .D(n84959), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_address_reg_19_ ( 
+        .D(n85696), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address_reg_18_ ( 
+        .D(n84960), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_0__18_ ( 
+        .D(n84961), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_1__18_ ( 
+        .D(n84961), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_0__18_ ( 
+        .D(n84962), .DE(n86462), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[221]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_1__18_ ( 
+        .D(n84962), .DE(n86461), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[192]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_2__18_ ( 
+        .D(n84962), .DE(n86460), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[163]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_3__18_ ( 
+        .D(n84962), .DE(n86459), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[134]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_4__18_ ( 
+        .D(n84962), .DE(n86458), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[105]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_5__18_ ( 
+        .D(n84962), .DE(n86457), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[76]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_6__18_ ( 
+        .D(n84962), .DE(n86456), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_7__18_ ( 
+        .D(n84962), .DE(n86455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address_reg_18_ ( 
+        .D(n84963), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_address_reg_18_ ( 
+        .D(n85698), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address_reg_17_ ( 
+        .D(n84964), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_0__17_ ( 
+        .D(n84965), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_1__17_ ( 
+        .D(n84965), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_0__17_ ( 
+        .D(n84966), .DE(n86462), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[220]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_1__17_ ( 
+        .D(n84966), .DE(n86461), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[191]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_2__17_ ( 
+        .D(n84966), .DE(n86460), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[162]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_3__17_ ( 
+        .D(n84966), .DE(n86459), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[133]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_4__17_ ( 
+        .D(n84966), .DE(n86458), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[104]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_5__17_ ( 
+        .D(n84966), .DE(n86457), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[75]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_6__17_ ( 
+        .D(n84966), .DE(n86456), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_7__17_ ( 
+        .D(n84966), .DE(n86455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address_reg_17_ ( 
+        .D(n84967), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_address_reg_17_ ( 
+        .D(n85697), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address_reg_16_ ( 
+        .D(n84968), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_0__16_ ( 
+        .D(n84969), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_1__16_ ( 
+        .D(n84969), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_0__16_ ( 
+        .D(n84970), .DE(n86462), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[219]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_1__16_ ( 
+        .D(n84970), .DE(n86461), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[190]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_2__16_ ( 
+        .D(n84970), .DE(n86460), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[161]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_3__16_ ( 
+        .D(n84970), .DE(n86459), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[132]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_4__16_ ( 
+        .D(n84970), .DE(n86458), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[103]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_5__16_ ( 
+        .D(n84970), .DE(n86457), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[74]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_6__16_ ( 
+        .D(n84970), .DE(n86456), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_7__16_ ( 
+        .D(n84970), .DE(n86455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address_reg_16_ ( 
+        .D(n84971), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_address_reg_16_ ( 
+        .D(n85690), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address_reg_15_ ( 
+        .D(n84972), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_0__15_ ( 
+        .D(n84973), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_1__15_ ( 
+        .D(n84973), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_0__15_ ( 
+        .D(n84974), .DE(n86462), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[218]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_1__15_ ( 
+        .D(n84974), .DE(n86461), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[189]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_2__15_ ( 
+        .D(n84974), .DE(n86460), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[160]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_3__15_ ( 
+        .D(n84974), .DE(n86459), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[131]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_4__15_ ( 
+        .D(n84974), .DE(n86458), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[102]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_5__15_ ( 
+        .D(n84974), .DE(n86457), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[73]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_6__15_ ( 
+        .D(n84974), .DE(n86456), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_7__15_ ( 
+        .D(n84974), .DE(n86455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address_reg_15_ ( 
+        .D(n84975), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_address_reg_15_ ( 
+        .D(n85691), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address_reg_14_ ( 
+        .D(n84976), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_0__14_ ( 
+        .D(n84977), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_1__14_ ( 
+        .D(n84977), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_0__14_ ( 
+        .D(n84978), .DE(n86462), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[217]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_1__14_ ( 
+        .D(n84978), .DE(n86461), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[188]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_2__14_ ( 
+        .D(n84978), .DE(n86460), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[159]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_3__14_ ( 
+        .D(n84978), .DE(n86459), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[130]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_4__14_ ( 
+        .D(n84978), .DE(n86458), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[101]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_5__14_ ( 
+        .D(n84978), .DE(n86457), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[72]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_6__14_ ( 
+        .D(n84978), .DE(n86456), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_7__14_ ( 
+        .D(n84978), .DE(n86455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address_reg_14_ ( 
+        .D(n84979), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_address_reg_14_ ( 
+        .D(n85693), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address_reg_13_ ( 
+        .D(n84980), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_0__13_ ( 
+        .D(n84981), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_1__13_ ( 
+        .D(n84981), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_0__13_ ( 
+        .D(n84982), .DE(n86462), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[216]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_1__13_ ( 
+        .D(n84982), .DE(n86461), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[187]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_2__13_ ( 
+        .D(n84982), .DE(n86460), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[158]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_3__13_ ( 
+        .D(n84982), .DE(n86459), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[129]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_4__13_ ( 
+        .D(n84982), .DE(n86458), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[100]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_5__13_ ( 
+        .D(n84982), .DE(n86457), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[71]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_6__13_ ( 
+        .D(n84982), .DE(n86456), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_7__13_ ( 
+        .D(n84982), .DE(n86455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address_reg_13_ ( 
+        .D(n84983), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_address_reg_13_ ( 
+        .D(n85692), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address_reg_12_ ( 
+        .D(n84984), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_0__12_ ( 
+        .D(n84985), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_1__12_ ( 
+        .D(n84985), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_opcode_reg_0_ ( 
+        .D(n85013), .DE(n60895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_opcode_reg_2_ ( 
+        .D(n61167), .DE(n60895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_opcode_reg_1_ ( 
+        .D(n85014), .DE(n60895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_size_reg_1_ ( 
+        .D(n84998), .DE(n60895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_size_reg_2_ ( 
+        .D(n36778), .DE(n60895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_size_reg_0_ ( 
+        .D(n85015), .DE(n60895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_source_reg_1_ ( 
+        .D(n85016), .DE(n60895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_source_reg_0_ ( 
+        .D(n85017), .DE(n60895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_gennum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_N35), .DE(n36234), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_gennum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_gennum_reg_3_ ( 
+        .D(n85453), .DE(n36234), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_gennum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_acknum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_N21), .DE(n36234), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_acknum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_acknum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_N22), .DE(n36234), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_acknum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_dOrig_reg_2_ ( 
+        .D(n84986), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_dOrig[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_acknum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_N23), .DE(n36234), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_acknum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_acknum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_N24), .DE(n36234), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_acknum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_dOrig_reg_0_ ( 
+        .D(n85139), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_dOrig[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_dOrig_reg_1_ ( 
+        .D(n84987), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_dOrig[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_opcode_reg_0_ ( 
+        .D(n85013), .DE(n60011), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_opcode_reg_2_ ( 
+        .D(n61167), .DE(n60011), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_opcode_reg_1_ ( 
+        .D(n85014), .DE(n60011), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_size_reg_1_ ( 
+        .D(n84998), .DE(n60011), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_size_reg_2_ ( 
+        .D(n36777), .DE(n60011), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_size_reg_0_ ( 
+        .D(n85015), .DE(n60011), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_source_reg_1_ ( 
+        .D(n85016), .DE(n60011), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_source_reg_0_ ( 
+        .D(n85017), .DE(n60011), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_gennum_reg_2_ ( 
+        .D(n85762), .DE(n36223), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_gennum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_gennum_reg_0_ ( 
+        .D(n85760), .DE(n36223), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_gennum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_gennum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_N35), .DE(n36223), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_gennum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_acknum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_N24), .DE(n36223), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_acknum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_acknum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_N21), .DE(n36223), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_acknum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_acknum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_N22), .DE(n36223), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_acknum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_acknum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_N23), .DE(n36223), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_acknum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_gennum_reg_3_ ( 
+        .D(n85761), .DE(n36223), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_gennum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_dOrig_reg_1_ ( 
+        .D(n84988), .DE(n85959), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_dOrig[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_dOrig_reg_0_ ( 
+        .D(n85141), .DE(n85959), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_dOrig[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_dOrig_reg_2_ ( 
+        .D(n85132), .DE(n85959), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_dOrig[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_0_reg ( 
+        .D(n86093), .DE(n36364), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_0) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_readys_mask_reg_0_ ( 
+        .D(n84989), .DE(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_readys_mask_reg_4_ ( 
+        .D(n84990), .DE(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_opcode_reg_0_ ( 
+        .D(n85013), .DE(n86377), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_opcode_reg_2_ ( 
+        .D(n61167), .DE(n86377), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_opcode_reg_1_ ( 
+        .D(n85014), .DE(n86377), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_size_reg_1_ ( 
+        .D(n84998), .DE(n86377), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_size_reg_2_ ( 
+        .D(n47614), .DE(n86377), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_size_reg_0_ ( 
+        .D(n85015), .DE(n86377), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_source_reg_1_ ( 
+        .D(n85016), .DE(n86377), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_source_reg_0_ ( 
+        .D(n85017), .DE(n86377), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_gennum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_N34), .DE(n36228), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_gennum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_gennum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_N35), .DE(n36228), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_gennum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_acknum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_N24), .DE(n36228), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_acknum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_dOrig_reg_2_ ( 
+        .D(n85460), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_dOrig[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_acknum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_N21), .DE(n36228), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_acknum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_acknum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_N22), .DE(n36228), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_acknum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_acknum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_N23), .DE(n36228), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_acknum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_dOrig_reg_0_ ( 
+        .D(n85148), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_dOrig[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_dOrig_reg_1_ ( 
+        .D(n84991), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_dOrig[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_opcode_reg_0_ ( 
+        .D(n85013), .DE(n86583), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_opcode_reg_2_ ( 
+        .D(n61167), .DE(n86583), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_opcode_reg_1_ ( 
+        .D(n85014), .DE(n86583), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_size_reg_1_ ( 
+        .D(n84998), .DE(n86583), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_size_reg_2_ ( 
+        .D(n36778), .DE(n86583), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_size_reg_0_ ( 
+        .D(n85015), .DE(n86583), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_source_reg_1_ ( 
+        .D(n85016), .DE(n86583), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_source_reg_0_ ( 
+        .D(n85017), .DE(n86583), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_gennum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_N35), .DE(n36227), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_gennum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_gennum_reg_3_ ( 
+        .D(n85757), .DE(n36227), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_gennum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_acknum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_N21), .DE(n36227), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_acknum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_acknum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_N22), .DE(n36227), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_acknum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_dOrig_reg_2_ ( 
+        .D(n85129), .DE(n85960), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_dOrig[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_acknum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_N23), .DE(n36227), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_acknum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_acknum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_N24), .DE(n36227), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_acknum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_dOrig_reg_0_ ( 
+        .D(n85146), .DE(n85960), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_dOrig[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_dOrig_reg_1_ ( 
+        .D(n84992), .DE(n85960), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_dOrig[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_opcode_reg_0_ ( 
+        .D(n85013), .DE(n61092), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_opcode_reg_2_ ( 
+        .D(n61167), .DE(n61092), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_opcode_reg_1_ ( 
+        .D(n85014), .DE(n61092), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_size_reg_1_ ( 
+        .D(n84998), .DE(n61092), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_size_reg_2_ ( 
+        .D(n36777), .DE(n61092), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_size_reg_0_ ( 
+        .D(n85015), .DE(n61092), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_source_reg_1_ ( 
+        .D(n85016), .DE(n61092), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_source_reg_0_ ( 
+        .D(n85017), .DE(n61092), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_gennum_reg_1_ ( 
+        .D(n85768), .DE(n36226), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_gennum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_gennum_reg_3_ ( 
+        .D(n85740), .DE(n36226), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_gennum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_acknum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_N21), .DE(n36226), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_acknum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_acknum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_N22), .DE(n36226), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_acknum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_dOrig_reg_2_ ( 
+        .D(n85131), .DE(n85958), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_dOrig[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_acknum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_N23), .DE(n36226), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_acknum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_acknum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_N24), .DE(n36226), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_acknum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_dOrig_reg_0_ ( 
+        .D(n85140), .DE(n85958), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_dOrig[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_dOrig_reg_1_ ( 
+        .D(n84993), .DE(n85958), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_dOrig[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_0__12_ ( 
+        .D(n37006), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N148), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[215]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_1__12_ ( 
+        .D(n37006), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N147), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[186]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_2__12_ ( 
+        .D(n37006), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N146), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[157]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_3__12_ ( 
+        .D(n37006), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[128]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_4__12_ ( 
+        .D(n37006), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N144), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[99]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_5__12_ ( 
+        .D(n37006), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N143), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[70]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_6__12_ ( 
+        .D(n37006), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N142), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_7__12_ ( 
+        .D(n37006), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N141), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address_reg_12_ ( 
+        .D(n84995), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_address_reg_12_ ( 
+        .D(n85677), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_opcode_reg_0_ ( 
+        .D(n85013), .DE(n86304), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_opcode_reg_2_ ( 
+        .D(n61167), .DE(n86304), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_opcode_reg_1_ ( 
+        .D(n85014), .DE(n86304), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_size_reg_1_ ( 
+        .D(n85002), .DE(n86304), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_size_reg_2_ ( 
+        .D(n47614), .DE(n86304), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_size_reg_0_ ( 
+        .D(n85015), .DE(n86304), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_source_reg_1_ ( 
+        .D(n85016), .DE(n86304), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_source_reg_0_ ( 
+        .D(n85017), .DE(n86304), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_gennum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_N36), .DE(n36232), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_gennum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_gennum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_N34), .DE(n36232), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_gennum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_gennum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_N35), .DE(n36232), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_gennum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_acknum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_N24), .DE(n36232), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_acknum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_acknum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_N21), .DE(n36232), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_acknum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_acknum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_N22), .DE(n36232), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_acknum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_acknum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_N23), .DE(n36232), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_acknum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_gennum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_N37), .DE(n36232), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_gennum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_dOrig_reg_1_ ( 
+        .D(n84996), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_dOrig[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_dOrig_reg_0_ ( 
+        .D(n85144), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_dOrig[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_dOrig_reg_2_ ( 
+        .D(n85449), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_dOrig[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_8_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N172), .DE(n36364), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_8) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_opcode_reg_0_ ( 
+        .D(n85013), .DE(n85916), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_opcode_reg_2_ ( 
+        .D(n61167), .DE(n85916), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_opcode_reg_1_ ( 
+        .D(n85014), .DE(n85916), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_size_reg_1_ ( 
+        .D(n85002), .DE(n85916), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_size_reg_2_ ( 
+        .D(n36778), .DE(n85916), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_size_reg_0_ ( 
+        .D(n85015), .DE(n85916), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_source_reg_1_ ( 
+        .D(n85016), .DE(n85916), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_source_reg_0_ ( 
+        .D(n85017), .DE(n85916), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_gennum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_N35), .DE(n36229), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_gennum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_gennum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_N37), .DE(n36229), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_gennum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_gennum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_N34), .DE(n36229), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_gennum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_gennum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_N36), .DE(n36229), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_gennum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_acknum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_N22), .DE(n36229), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_acknum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_acknum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_N21), .DE(n36229), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_acknum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_acknum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_N23), .DE(n36229), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_acknum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_acknum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_N24), .DE(n36229), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_acknum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_dOrig_reg_0_ ( 
+        .D(n85147), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_dOrig[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_dOrig_reg_1_ ( 
+        .D(n84997), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_dOrig[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_dOrig_reg_2_ ( 
+        .D(n85458), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_dOrig[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_5_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N169), .DE(n36364), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_5) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_opcode_reg_0_ ( 
+        .D(n85013), .DE(n60906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_opcode_reg_2_ ( 
+        .D(n61167), .DE(n60906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_opcode_reg_1_ ( 
+        .D(n85014), .DE(n60906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_size_reg_1_ ( 
+        .D(n84998), .DE(n60906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_size_reg_2_ ( 
+        .D(n47614), .DE(n60906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_size_reg_0_ ( 
+        .D(n85015), .DE(n60906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_source_reg_1_ ( 
+        .D(n85016), .DE(n60906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_source_reg_0_ ( 
+        .D(n85017), .DE(n60906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_source[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_N6), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_io_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_gennum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_N34), .DE(n36235), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_gennum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_gennum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_N35), .DE(n36235), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_gennum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_acknum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_N24), .DE(n36235), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_acknum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_dOrig_reg_2_ ( 
+        .D(n85130), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_dOrig[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_acknum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_N21), .DE(n36235), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_acknum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_acknum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_N22), .DE(n36235), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_acknum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_acknum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_N23), .DE(n36235), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_acknum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_dOrig_reg_0_ ( 
+        .D(n85138), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_dOrig[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_dOrig_reg_1_ ( 
+        .D(n84999), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_dOrig[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_gennum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_N34), .DE(n36236), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_gennum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_gennum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_N36), .DE(n36236), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_gennum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_opcode_reg_0_ ( 
+        .D(n85013), .DE(n60882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_opcode_reg_2_ ( 
+        .D(n61167), .DE(n60882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_opcode_reg_1_ ( 
+        .D(n85014), .DE(n60882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_size_reg_1_ ( 
+        .D(n85002), .DE(n60882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_size_reg_2_ ( 
+        .D(n36777), .DE(n60882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_size_reg_0_ ( 
+        .D(n85015), .DE(n60882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_source_reg_1_ ( 
+        .D(n85016), .DE(n60882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_source_reg_0_ ( 
+        .D(n85017), .DE(n60882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_source[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_N6), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_io_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_gennum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_N35), .DE(n36236), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_gennum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_gennum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_N37), .DE(n36236), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_gennum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_acknum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_N21), .DE(n36236), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_acknum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_acknum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_N22), .DE(n36236), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_acknum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_dOrig_reg_2_ ( 
+        .D(n85454), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_dOrig[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_acknum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_N23), .DE(n36236), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_acknum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_acknum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_N24), .DE(n36236), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_acknum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_dOrig_reg_0_ ( 
+        .D(n85136), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_dOrig[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_dOrig_reg_1_ ( 
+        .D(n85000), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_dOrig[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_12_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N176), .DE(n36364), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_12) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_9_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N173), .DE(n36364), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_9) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_gennum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_N34), .DE(n36233), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_gennum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_gennum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_N36), .DE(n36233), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_gennum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_opcode_reg_0_ ( 
+        .D(n85013), .DE(n85915), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_opcode_reg_2_ ( 
+        .D(n61167), .DE(n85915), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_opcode_reg_1_ ( 
+        .D(n85014), .DE(n85915), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_size_reg_1_ ( 
+        .D(n84998), .DE(n85915), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_size_reg_2_ ( 
+        .D(n36778), .DE(n85915), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_size_reg_0_ ( 
+        .D(n85015), .DE(n85915), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_source_reg_1_ ( 
+        .D(n85016), .DE(n85915), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_source_reg_0_ ( 
+        .D(n85017), .DE(n85915), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_gennum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_N35), .DE(n36233), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_gennum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_gennum_reg_3_ ( 
+        .D(n85452), .DE(n36233), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_gennum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_acknum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_N21), .DE(n36233), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_acknum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_acknum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_N22), .DE(n36233), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_acknum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_dOrig_reg_2_ ( 
+        .D(n85128), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_dOrig[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_acknum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_N23), .DE(n36233), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_acknum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_acknum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_N24), .DE(n36233), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_acknum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_dOrig_reg_1_ ( 
+        .D(n85001), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_dOrig[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_dOrig_reg_0_ ( 
+        .D(n85145), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_dOrig[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_N34), .DE(n36231), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_N36), .DE(n36231), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_opcode_reg_0_ ( 
+        .D(n85013), .DE(n86578), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_opcode_reg_2_ ( 
+        .D(n61167), .DE(n86578), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_opcode_reg_1_ ( 
+        .D(n85014), .DE(n86578), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_size_reg_1_ ( 
+        .D(n85002), .DE(n86578), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_size_reg_2_ ( 
+        .D(n47614), .DE(n86578), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_size_reg_0_ ( 
+        .D(n85015), .DE(n86578), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_source_reg_1_ ( 
+        .D(n85016), .DE(n86578), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_source_reg_0_ ( 
+        .D(n85017), .DE(n86578), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_N35), .DE(n36231), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum_reg_3_ ( 
+        .D(n85450), .DE(n36231), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_N21), .DE(n36231), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_N22), .DE(n36231), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_dOrig_reg_2_ ( 
+        .D(n85127), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_dOrig[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_N23), .DE(n36231), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_N24), .DE(n36231), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_dOrig_reg_0_ ( 
+        .D(n85143), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_dOrig[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_dOrig_reg_1_ ( 
+        .D(n85003), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_dOrig[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_7_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N171), .DE(n36364), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_7) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_readys_mask_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N153), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_readys_mask_reg_11_ ( 
+        .D(n85528), .DE(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_readys_mask_reg_8_ ( 
+        .D(n85005), .DE(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_readys_mask_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N158), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_6_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N170), .DE(n36364), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_6) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_acknum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N20), .DE(n36230), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_acknum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_acknum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N22), .DE(n36230), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_acknum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_acknum_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N25), .DE(n36230), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_acknum[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_dOrig_reg_0_ ( 
+        .D(n85006), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N27), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_dOrig[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_dOrig_reg_1_ ( 
+        .D(n85007), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N27), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_dOrig[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_dOrig_reg_2_ ( 
+        .D(n85008), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N27), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_dOrig[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_acknum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N21), .DE(n36230), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_acknum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_acknum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N23), .DE(n36230), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_acknum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_acknum_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N24), .DE(n36230), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_acknum[4]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_written_once_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_N31), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_written_once) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_count_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_N27), .DE(n36230), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget__enable_T_6_0_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_count_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_N28), .DE(n36230), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget__enable_T_3_1_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_1_reg_2_ ( 
+        .D(n85009), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_N37), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_1[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_1_reg_3_ ( 
+        .D(n85010), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_N37), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_1[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_1_reg_4_ ( 
+        .D(n85270), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_N37), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_1[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_1_reg_5_ ( 
+        .D(n85011), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_N37), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_1[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_1_reg_6_ ( 
+        .D(n85307), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_N37), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_1[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_1_reg_7_ ( 
+        .D(n85012), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_N37), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_1[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_0_reg_2_ ( 
+        .D(n85009), .DE(n85948), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_0[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_0_reg_3_ ( 
+        .D(n85010), .DE(n85948), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_0[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_0_reg_4_ ( 
+        .D(n85270), .DE(n85948), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_0[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_0_reg_5_ ( 
+        .D(n85011), .DE(n85948), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_0[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_0_reg_6_ ( 
+        .D(n85307), .DE(n85948), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_0[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_0_reg_7_ ( 
+        .D(n85012), .DE(n85948), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_0[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_2_reg_2_ ( 
+        .D(n85009), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_N40), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_2[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_2_reg_3_ ( 
+        .D(n85010), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_N40), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_2[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_2_reg_4_ ( 
+        .D(n85270), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_N40), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_2[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_2_reg_5_ ( 
+        .D(n85011), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_N40), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_2[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_2_reg_6_ ( 
+        .D(n85307), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_N40), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_2[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_2_reg_7_ ( 
+        .D(n85012), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_N40), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_2[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_gennum_reg_0_ ( 
+        .D(n85737), .DE(n36241), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_gennum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_gennum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_N37), .DE(n36241), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_gennum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_opcode_reg_0_ ( 
+        .D(n85013), .DE(n61032), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_opcode_reg_2_ ( 
+        .D(n61167), .DE(n61032), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_opcode_reg_1_ ( 
+        .D(n85014), .DE(n61032), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_size_reg_1_ ( 
+        .D(n84998), .DE(n61032), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_size_reg_2_ ( 
+        .D(n36778), .DE(n61032), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_size_reg_0_ ( 
+        .D(n85015), .DE(n61032), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_source_reg_1_ ( 
+        .D(n85016), .DE(n61032), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_source_reg_0_ ( 
+        .D(n85017), .DE(n61032), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_source[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_N6), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_io_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_source_reg_0__0_ ( 
+        .D(n85018), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_source[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_source_reg_1__0_ ( 
+        .D(n85018), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_source_reg_0__0_ ( 
+        .D(n85019), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_source[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_source_reg_1__0_ ( 
+        .D(n85019), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_source_reg_0__0_ ( 
+        .D(n85020), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_source[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_source_reg_1__0_ ( 
+        .D(n85020), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_source_reg_0__0_ ( 
+        .D(n85021), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_source[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_source_reg_1__0_ ( 
+        .D(n85021), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_source[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_gennum_reg_1_ ( 
+        .D(n85738), .DE(n36241), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_gennum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_gennum_reg_2_ ( 
+        .D(n85739), .DE(n36241), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_gennum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_acknum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_N22), .DE(n36241), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_acknum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_dOrig_reg_2_ ( 
+        .D(n85125), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_dOrig[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_acknum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_N21), .DE(n36241), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_acknum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_acknum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_N23), .DE(n36241), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_acknum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_acknum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_N24), .DE(n36241), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_acknum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_dOrig_reg_0_ ( 
+        .D(n85137), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_dOrig[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_dOrig_reg_1_ ( 
+        .D(n85022), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_N26), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_dOrig[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address_reg_11_ ( 
+        .D(n85023), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_0__11_ ( 
+        .D(n85024), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_1__11_ ( 
+        .D(n85024), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_0__11_ ( 
+        .D(n85026), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N148), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[214]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_1__11_ ( 
+        .D(n85026), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N147), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[185]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_2__11_ ( 
+        .D(n85026), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N146), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[156]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_3__11_ ( 
+        .D(n85026), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[127]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_4__11_ ( 
+        .D(n85026), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N144), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[98]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_5__11_ ( 
+        .D(n85026), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N143), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[69]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_6__11_ ( 
+        .D(n85026), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N142), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_7__11_ ( 
+        .D(n85026), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N141), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address_reg_11_ ( 
+        .D(n85025), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_address_reg_11_ ( 
+        .D(n85678), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_address_reg_11_ ( 
+        .D(n85026), .DE(n85916), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_address_reg_11_ ( 
+        .D(n85026), .DE(n86377), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_address_reg_11_ ( 
+        .D(n85026), .DE(n86583), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_address_reg_11_ ( 
+        .D(n85026), .DE(n61092), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_address_reg_11_ ( 
+        .D(n85026), .DE(n60965), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_address_reg_11_ ( 
+        .D(n85026), .DE(n60011), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_11_ ( 
+        .D(n85026), .DE(n86578), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_address_reg_11_ ( 
+        .D(n85026), .DE(n86304), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_address_reg_11_ ( 
+        .D(n85026), .DE(n85915), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_address_reg_11_ ( 
+        .D(n85026), .DE(n60895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_address_reg_11_ ( 
+        .D(n85026), .DE(n60906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_address_reg_11_ ( 
+        .D(n85026), .DE(n60882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_address_reg_11_ ( 
+        .D(n85026), .DE(n86496), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_address_reg_11_ ( 
+        .D(n85026), .DE(n61032), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address_reg_10_ ( 
+        .D(n85027), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_0__10_ ( 
+        .D(n85028), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_1__10_ ( 
+        .D(n85028), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_0__10_ ( 
+        .D(n85030), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N148), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[213]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_1__10_ ( 
+        .D(n85030), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N147), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[184]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_2__10_ ( 
+        .D(n85030), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N146), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[155]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_3__10_ ( 
+        .D(n85030), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[126]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_4__10_ ( 
+        .D(n85030), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N144), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[97]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_5__10_ ( 
+        .D(n85030), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N143), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[68]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_6__10_ ( 
+        .D(n85030), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N142), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_7__10_ ( 
+        .D(n85030), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N141), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address_reg_10_ ( 
+        .D(n85029), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_address_reg_10_ ( 
+        .D(n85680), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_address_reg_10_ ( 
+        .D(n85030), .DE(n85916), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_address_reg_10_ ( 
+        .D(n85030), .DE(n86377), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_address_reg_10_ ( 
+        .D(n85030), .DE(n86583), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_address_reg_10_ ( 
+        .D(n85030), .DE(n61092), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_address_reg_10_ ( 
+        .D(n85030), .DE(n60965), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_address_reg_10_ ( 
+        .D(n85030), .DE(n60011), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_10_ ( 
+        .D(n85030), .DE(n86578), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_address_reg_10_ ( 
+        .D(n85030), .DE(n86304), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_address_reg_10_ ( 
+        .D(n85030), .DE(n85915), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_address_reg_10_ ( 
+        .D(n85030), .DE(n60895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_address_reg_10_ ( 
+        .D(n85030), .DE(n60906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_address_reg_10_ ( 
+        .D(n85030), .DE(n60882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_address_reg_10_ ( 
+        .D(n85030), .DE(n86496), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_address_reg_10_ ( 
+        .D(n85030), .DE(n61032), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address_reg_9_ ( 
+        .D(n85031), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_0__9_ ( 
+        .D(n85032), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_1__9_ ( 
+        .D(n85032), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_0__9_ ( 
+        .D(n85034), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N148), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[212]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_1__9_ ( 
+        .D(n85034), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N147), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[183]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_2__9_ ( 
+        .D(n85034), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N146), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[154]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_3__9_ ( 
+        .D(n85034), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[125]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_4__9_ ( 
+        .D(n85034), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N144), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[96]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_5__9_ ( 
+        .D(n85034), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N143), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[67]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_6__9_ ( 
+        .D(n85034), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N142), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_7__9_ ( 
+        .D(n85034), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N141), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address_reg_9_ ( 
+        .D(n85033), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_address_reg_9_ ( 
+        .D(n85679), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_address_reg_9_ ( 
+        .D(n85034), .DE(n85916), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_address_reg_9_ ( 
+        .D(n85034), .DE(n86377), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_address_reg_9_ ( 
+        .D(n85034), .DE(n86583), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_address_reg_9_ ( 
+        .D(n85034), .DE(n61092), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_address_reg_9_ ( 
+        .D(n85034), .DE(n60965), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_address_reg_9_ ( 
+        .D(n85034), .DE(n60011), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_9_ ( 
+        .D(n85034), .DE(n86578), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_address_reg_9_ ( 
+        .D(n85034), .DE(n86304), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_address_reg_9_ ( 
+        .D(n85034), .DE(n85915), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_address_reg_9_ ( 
+        .D(n85034), .DE(n60895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_address_reg_9_ ( 
+        .D(n85034), .DE(n60906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_address_reg_9_ ( 
+        .D(n85034), .DE(n60882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_address_reg_9_ ( 
+        .D(n85034), .DE(n86496), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_address_reg_9_ ( 
+        .D(n85034), .DE(n61032), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address_reg_8_ ( 
+        .D(n85035), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_0__8_ ( 
+        .D(n85036), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_1__8_ ( 
+        .D(n85036), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_0__8_ ( 
+        .D(n85038), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N148), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[211]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_1__8_ ( 
+        .D(n85038), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N147), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[182]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_2__8_ ( 
+        .D(n85038), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N146), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[153]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_3__8_ ( 
+        .D(n85038), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[124]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_4__8_ ( 
+        .D(n85038), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N144), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[95]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_5__8_ ( 
+        .D(n85038), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N143), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[66]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_6__8_ ( 
+        .D(n85038), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N142), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_7__8_ ( 
+        .D(n85038), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N141), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address_reg_8_ ( 
+        .D(n85037), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_address_reg_8_ ( 
+        .D(n85674), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_address_reg_8_ ( 
+        .D(n85038), .DE(n85916), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_address_reg_8_ ( 
+        .D(n85038), .DE(n86377), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_address_reg_8_ ( 
+        .D(n85038), .DE(n86583), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_address_reg_8_ ( 
+        .D(n85038), .DE(n61092), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_address_reg_8_ ( 
+        .D(n85038), .DE(n60965), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_address_reg_8_ ( 
+        .D(n85038), .DE(n60011), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_8_ ( 
+        .D(n85038), .DE(n86578), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_address_reg_8_ ( 
+        .D(n85038), .DE(n86304), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_address_reg_8_ ( 
+        .D(n85038), .DE(n85915), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_address_reg_8_ ( 
+        .D(n85038), .DE(n60895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_address_reg_8_ ( 
+        .D(n85038), .DE(n60906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_address_reg_8_ ( 
+        .D(n85038), .DE(n60882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_address_reg_8_ ( 
+        .D(n85038), .DE(n86496), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_address_reg_8_ ( 
+        .D(n85038), .DE(n61032), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address_reg_7_ ( 
+        .D(n85039), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_0__7_ ( 
+        .D(n85040), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_1__7_ ( 
+        .D(n85040), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_0__7_ ( 
+        .D(n85042), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N148), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[210]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_1__7_ ( 
+        .D(n85042), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N147), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[181]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_2__7_ ( 
+        .D(n85042), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N146), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[152]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_3__7_ ( 
+        .D(n85042), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[123]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_4__7_ ( 
+        .D(n85042), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N144), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[94]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_5__7_ ( 
+        .D(n85042), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N143), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[65]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_6__7_ ( 
+        .D(n85042), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N142), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_7__7_ ( 
+        .D(n85042), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N141), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address_reg_7_ ( 
+        .D(n85041), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_address_reg_7_ ( 
+        .D(n85676), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_address_reg_7_ ( 
+        .D(n85042), .DE(n85916), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_address_reg_7_ ( 
+        .D(n85042), .DE(n86377), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_address_reg_7_ ( 
+        .D(n85042), .DE(n86583), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_address_reg_7_ ( 
+        .D(n85042), .DE(n61092), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_address_reg_7_ ( 
+        .D(n85042), .DE(n60965), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_address_reg_7_ ( 
+        .D(n85042), .DE(n60011), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_7_ ( 
+        .D(n85042), .DE(n86578), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_address_reg_7_ ( 
+        .D(n85042), .DE(n86304), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_address_reg_7_ ( 
+        .D(n85042), .DE(n85915), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_address_reg_7_ ( 
+        .D(n85042), .DE(n60895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_address_reg_7_ ( 
+        .D(n85042), .DE(n60906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_address_reg_7_ ( 
+        .D(n85042), .DE(n60882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_address_reg_7_ ( 
+        .D(n85042), .DE(n86496), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_address_reg_7_ ( 
+        .D(n85042), .DE(n61032), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address_reg_6_ ( 
+        .D(n85043), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_0__6_ ( 
+        .D(n85044), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_1__6_ ( 
+        .D(n85044), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_0__6_ ( 
+        .D(n37070), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N148), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[209]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_1__6_ ( 
+        .D(n37070), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N147), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[180]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_2__6_ ( 
+        .D(n37070), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N146), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[151]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_3__6_ ( 
+        .D(n37070), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[122]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_4__6_ ( 
+        .D(n37070), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N144), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[93]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_5__6_ ( 
+        .D(n37070), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N143), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[64]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_6__6_ ( 
+        .D(n37070), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N142), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_7__6_ ( 
+        .D(n37070), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N141), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address_reg_6_ ( 
+        .D(n85045), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_address_reg_6_ ( 
+        .D(n85675), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_address_reg_6_ ( 
+        .D(n37070), .DE(n85916), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_address_reg_6_ ( 
+        .D(n37070), .DE(n86377), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_address_reg_6_ ( 
+        .D(n37070), .DE(n86583), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_address_reg_6_ ( 
+        .D(n37070), .DE(n61092), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_address_reg_6_ ( 
+        .D(n37070), .DE(n60965), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_address_reg_6_ ( 
+        .D(n37070), .DE(n60011), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_6_ ( 
+        .D(n37070), .DE(n86578), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_address_reg_6_ ( 
+        .D(n37070), .DE(n86304), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_address_reg_6_ ( 
+        .D(n37070), .DE(n85915), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_address_reg_6_ ( 
+        .D(n37070), .DE(n60895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_address_reg_6_ ( 
+        .D(n37070), .DE(n60906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_address_reg_6_ ( 
+        .D(n37070), .DE(n60882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_address_reg_6_ ( 
+        .D(n37070), .DE(n86496), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_address_reg_6_ ( 
+        .D(n37070), .DE(n61032), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address_reg_5_ ( 
+        .D(n85046), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_0__5_ ( 
+        .D(n85047), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_1__5_ ( 
+        .D(n85047), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_0__5_ ( 
+        .D(n85049), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N148), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[208]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_1__5_ ( 
+        .D(n85049), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N147), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[179]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_2__5_ ( 
+        .D(n85049), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N146), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[150]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_3__5_ ( 
+        .D(n85049), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[121]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_4__5_ ( 
+        .D(n85049), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N144), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[92]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_5__5_ ( 
+        .D(n85049), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N143), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[63]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_6__5_ ( 
+        .D(n85049), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N142), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_7__5_ ( 
+        .D(n85049), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N141), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address_reg_5_ ( 
+        .D(n85048), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_address_reg_5_ ( 
+        .D(n85681), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_address_reg_5_ ( 
+        .D(n85049), .DE(n85916), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_address_reg_5_ ( 
+        .D(n85049), .DE(n86377), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_address_reg_5_ ( 
+        .D(n85049), .DE(n86583), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_address_reg_5_ ( 
+        .D(n85049), .DE(n61092), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_address_reg_5_ ( 
+        .D(n85049), .DE(n60965), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_address_reg_5_ ( 
+        .D(n85049), .DE(n60011), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_5_ ( 
+        .D(n85049), .DE(n86578), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_address_reg_5_ ( 
+        .D(n85049), .DE(n86304), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_address_reg_5_ ( 
+        .D(n85049), .DE(n85915), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_address_reg_5_ ( 
+        .D(n85049), .DE(n60895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_address_reg_5_ ( 
+        .D(n85049), .DE(n60906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_address_reg_5_ ( 
+        .D(n85049), .DE(n60882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_address_reg_5_ ( 
+        .D(n85049), .DE(n86496), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_address_reg_5_ ( 
+        .D(n85049), .DE(n61032), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address_reg_4_ ( 
+        .D(n85050), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_0__4_ ( 
+        .D(n85051), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_1__4_ ( 
+        .D(n85051), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_0__4_ ( 
+        .D(n85053), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N148), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[207]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_1__4_ ( 
+        .D(n85053), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N147), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[178]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_2__4_ ( 
+        .D(n85053), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N146), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[149]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_3__4_ ( 
+        .D(n85053), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[120]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_4__4_ ( 
+        .D(n85053), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N144), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[91]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_5__4_ ( 
+        .D(n85053), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N143), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[62]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_6__4_ ( 
+        .D(n85053), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N142), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_7__4_ ( 
+        .D(n85053), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N141), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address_reg_4_ ( 
+        .D(n85052), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_address_reg_4_ ( 
+        .D(n85682), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_address_reg_4_ ( 
+        .D(n85053), .DE(n85916), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_address_reg_4_ ( 
+        .D(n85053), .DE(n86377), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_address_reg_4_ ( 
+        .D(n85053), .DE(n86583), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_address_reg_4_ ( 
+        .D(n85053), .DE(n61092), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_address_reg_4_ ( 
+        .D(n85053), .DE(n60965), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_address_reg_4_ ( 
+        .D(n85053), .DE(n60011), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_4_ ( 
+        .D(n85053), .DE(n86578), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_address_reg_4_ ( 
+        .D(n85053), .DE(n86304), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_address_reg_4_ ( 
+        .D(n85053), .DE(n85915), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_address_reg_4_ ( 
+        .D(n85053), .DE(n60895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_address_reg_4_ ( 
+        .D(n85053), .DE(n60906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_address_reg_4_ ( 
+        .D(n85053), .DE(n60882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_address_reg_4_ ( 
+        .D(n85053), .DE(n86496), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_address_reg_4_ ( 
+        .D(n85053), .DE(n61032), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address_reg_3_ ( 
+        .D(n85054), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_0__3_ ( 
+        .D(n85055), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_1__3_ ( 
+        .D(n85055), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_0__3_ ( 
+        .D(n85057), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N148), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[206]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_1__3_ ( 
+        .D(n85057), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N147), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[177]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_2__3_ ( 
+        .D(n85057), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N146), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[148]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_3__3_ ( 
+        .D(n85057), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[119]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_4__3_ ( 
+        .D(n85057), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N144), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[90]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_5__3_ ( 
+        .D(n85057), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N143), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[61]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_6__3_ ( 
+        .D(n85057), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N142), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_7__3_ ( 
+        .D(n85057), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N141), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address_reg_3_ ( 
+        .D(n85056), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_address_reg_3_ ( 
+        .D(n85684), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_address_reg_3_ ( 
+        .D(n85057), .DE(n85916), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_address_reg_3_ ( 
+        .D(n85057), .DE(n86377), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_address_reg_3_ ( 
+        .D(n85057), .DE(n86583), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_address_reg_3_ ( 
+        .D(n85057), .DE(n61092), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_address_reg_3_ ( 
+        .D(n85057), .DE(n60965), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_address_reg_3_ ( 
+        .D(n85057), .DE(n60011), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_3_ ( 
+        .D(n85057), .DE(n86578), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_address_reg_3_ ( 
+        .D(n85057), .DE(n86304), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_address_reg_3_ ( 
+        .D(n85057), .DE(n85915), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_address_reg_3_ ( 
+        .D(n85057), .DE(n60895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_address_reg_3_ ( 
+        .D(n85057), .DE(n60906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_address_reg_3_ ( 
+        .D(n85057), .DE(n60882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_address_reg_3_ ( 
+        .D(n85057), .DE(n86496), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_address_reg_3_ ( 
+        .D(n85057), .DE(n61032), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address_reg_2_ ( 
+        .D(n85058), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_0__2_ ( 
+        .D(n85059), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_1__2_ ( 
+        .D(n85059), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_0__2_ ( 
+        .D(n85061), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N148), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[205]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_1__2_ ( 
+        .D(n85061), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N147), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[176]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_2__2_ ( 
+        .D(n85061), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N146), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[147]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_3__2_ ( 
+        .D(n85061), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[118]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_4__2_ ( 
+        .D(n85061), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N144), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[89]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_5__2_ ( 
+        .D(n85061), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N143), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[60]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_6__2_ ( 
+        .D(n85061), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N142), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_7__2_ ( 
+        .D(n85061), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N141), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address_reg_2_ ( 
+        .D(n85060), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_address_reg_2_ ( 
+        .D(n85683), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_address_reg_2_ ( 
+        .D(n85061), .DE(n85916), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_address_reg_2_ ( 
+        .D(n85061), .DE(n86377), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_address_reg_2_ ( 
+        .D(n85061), .DE(n86583), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_address_reg_2_ ( 
+        .D(n85061), .DE(n61092), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_address_reg_2_ ( 
+        .D(n85061), .DE(n60965), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_address_reg_2_ ( 
+        .D(n85061), .DE(n60011), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_2_ ( 
+        .D(n85061), .DE(n86578), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_address_reg_2_ ( 
+        .D(n85061), .DE(n86304), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_address_reg_2_ ( 
+        .D(n85061), .DE(n85915), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_address[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N496), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[28])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg_reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N497), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[29])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N499), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[31])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_oeReg_reg__reg_1_ ( 
+        .D(n432), .CLK(wb_clk_i), .RESET_B(n49230), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146_1)
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_oeReg_reg__reg_2_ ( 
+        .D(n431), .CLK(wb_clk_i), .RESET_B(n86753), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146_2)
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_oeReg_reg__reg_3_ ( 
+        .D(n430), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146_3)
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_oeReg_reg__reg_4_ ( 
+        .D(n429), .CLK(wb_clk_i), .RESET_B(n37039), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146_4)
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_oeReg_reg__reg_5_ ( 
+        .D(n428), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146_5)
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_oeReg_reg__reg_6_ ( 
+        .D(n427), .CLK(wb_clk_i), .RESET_B(n36801), .Q(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_6_o_oe) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_oeReg_reg__reg_7_ ( 
+        .D(n426), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_7_o_oe) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_oeReg_reg__reg_8_ ( 
+        .D(n425), .CLK(wb_clk_i), .RESET_B(n86753), .Q(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_8_o_oe) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_oeReg_reg__reg_9_ ( 
+        .D(n424), .CLK(wb_clk_i), .RESET_B(n36846), .Q(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_9_o_oe) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_oeReg_reg__reg_11_ ( 
+        .D(n423), .CLK(wb_clk_i), .RESET_B(n37037), .Q(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_11_o_oe) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_oeReg_reg__reg_12_ ( 
+        .D(n422), .CLK(wb_clk_i), .RESET_B(n36846), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[12]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_oeReg_reg__reg_13_ ( 
+        .D(n421), .CLK(wb_clk_i), .RESET_B(n36801), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[13]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_oeReg_reg__reg_14_ ( 
+        .D(n420), .CLK(wb_clk_i), .RESET_B(n37040), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[14]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_oeReg_reg__reg_16_ ( 
+        .D(n419), .CLK(wb_clk_i), .RESET_B(n83116), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[16]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_oeReg_reg__reg_17_ ( 
+        .D(n418), .CLK(wb_clk_i), .RESET_B(n37040), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[17]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_oeReg_reg__reg_21_ ( 
+        .D(n417), .CLK(wb_clk_i), .RESET_B(n83116), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[21]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_oeReg_reg__reg_22_ ( 
+        .D(n416), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[22]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_oeReg_reg__reg_23_ ( 
+        .D(n415), .CLK(wb_clk_i), .RESET_B(n83351), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[23]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_oeReg_reg__reg_25_ ( 
+        .D(n414), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_25_o_oe) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_oeReg_reg__reg_26_ ( 
+        .D(n413), .CLK(wb_clk_i), .RESET_B(n37039), .Q(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_26_o_oe) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_oeReg_reg__reg_28_ ( 
+        .D(n412), .CLK(wb_clk_i), .RESET_B(n49230), .Q(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_28_o_oe) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_oeReg_reg__reg_29_ ( 
+        .D(n411), .CLK(wb_clk_i), .RESET_B(n36846), .Q(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_29_o_oe) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_oeReg_reg__reg_30_ ( 
+        .D(n410), .CLK(wb_clk_i), .RESET_B(n37039), .Q(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_30_o_oe) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_oeReg_reg__reg_31_ ( 
+        .D(n409), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_31_o_oe) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_oeReg_reg__reg_0_ ( 
+        .D(n408), .CLK(wb_clk_i), .RESET_B(n86753), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146_0)
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofEnReg_reg__reg_1_ ( 
+        .D(n407), .CLK(wb_clk_i), .RESET_B(n36801), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[1])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofEnReg_reg__reg_2_ ( 
+        .D(n406), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[2])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofEnReg_reg__reg_3_ ( 
+        .D(n405), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[3])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofEnReg_reg__reg_4_ ( 
+        .D(n404), .CLK(wb_clk_i), .RESET_B(n36801), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[4])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofEnReg_reg__reg_5_ ( 
+        .D(n403), .CLK(wb_clk_i), .RESET_B(n36801), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[5])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofEnReg_reg__reg_6_ ( 
+        .D(n402), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[6])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofEnReg_reg__reg_7_ ( 
+        .D(n401), .CLK(wb_clk_i), .RESET_B(n36801), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[7])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofEnReg_reg__reg_8_ ( 
+        .D(n400), .CLK(wb_clk_i), .RESET_B(n36846), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[8])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofEnReg_reg__reg_9_ ( 
+        .D(n399), .CLK(wb_clk_i), .RESET_B(n36846), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[9])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofEnReg_reg__reg_11_ ( 
+        .D(n398), .CLK(wb_clk_i), .RESET_B(n37039), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[11])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofEnReg_reg__reg_16_ ( 
+        .D(n393), .CLK(wb_clk_i), .RESET_B(n37040), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[16])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofEnReg_reg__reg_17_ ( 
+        .D(n392), .CLK(wb_clk_i), .RESET_B(n37037), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[17])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofEnReg_reg__reg_21_ ( 
+        .D(n391), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[21])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofEnReg_reg__reg_22_ ( 
+        .D(n390), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[22])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofEnReg_reg__reg_23_ ( 
+        .D(n389), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[23])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofEnReg_reg__reg_25_ ( 
+        .D(n388), .CLK(wb_clk_i), .RESET_B(n49230), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[25])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofEnReg_reg__reg_26_ ( 
+        .D(n387), .CLK(wb_clk_i), .RESET_B(n36801), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[26])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofEnReg_reg__reg_28_ ( 
+        .D(n386), .CLK(wb_clk_i), .RESET_B(n36801), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[28])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofEnReg_reg__reg_29_ ( 
+        .D(n385), .CLK(wb_clk_i), .RESET_B(n83351), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[29])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofEnReg_reg__reg_30_ ( 
+        .D(n384), .CLK(wb_clk_i), .RESET_B(n36846), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[30])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofEnReg_reg__reg_31_ ( 
+        .D(n383), .CLK(wb_clk_i), .RESET_B(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[31])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofEnReg_reg__reg_0_ ( 
+        .D(n382), .CLK(wb_clk_i), .RESET_B(n86402), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N262), .DE(
+        n61073), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N293), .DE(
+        n86349), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N292), .DE(
+        n86349), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg_reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N291), .DE(
+        n86349), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N290), .DE(
+        n86349), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg_reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N288), .DE(
+        n86349), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg_reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N287), .DE(
+        n86348), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N285), .DE(
+        n86349), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N284), .DE(
+        n86348), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N283), .DE(
+        n86349), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N282), .DE(
+        n86348), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg_reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N281), .DE(
+        n86349), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg_reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N280), .DE(
+        n86349), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg_reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N279), .DE(
+        n86349), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg_reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N278), .DE(
+        n86349), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N277), .DE(
+        n86349), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N276), .DE(
+        n86348), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N275), .DE(
+        n86348), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N274), .DE(
+        n86348), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N273), .DE(
+        n86349), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N272), .DE(
+        n86348), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N271), .DE(
+        n86348), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N270), .DE(
+        n61073), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N269), .DE(
+        n86349), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N268), .DE(
+        n86349), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N267), .DE(
+        n86349), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N266), .DE(
+        n86349), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N265), .DE(
+        n86349), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N264), .DE(
+        n86348), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N263), .DE(
+        n61073), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N334), .DE(
+        n86380), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N365), .DE(
+        n86381), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N364), .DE(
+        n86381), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg_reg_29_ ( 
+        .D(n85994), .DE(n86381), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N362), .DE(
+        n86380), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg_reg_26_ ( 
+        .D(n85984), .DE(n86380), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg_reg_25_ ( 
+        .D(n85983), .DE(n86381), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N357), .DE(
+        n86380), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N356), .DE(
+        n86380), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N355), .DE(
+        n86380), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N354), .DE(
+        n86381), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg_reg_19_ ( 
+        .D(n86070), .DE(n86381), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg_reg_18_ ( 
+        .D(n86069), .DE(n86381), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg_reg_17_ ( 
+        .D(n85929), .DE(n86381), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg_reg_16_ ( 
+        .D(n85934), .DE(n86380), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg_reg_15_ ( 
+        .D(n85967), .DE(n86381), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg_reg_14_ ( 
+        .D(n85966), .DE(n86380), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N347), .DE(
+        n86380), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N346), .DE(
+        n86381), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg_reg_11_ ( 
+        .D(n85970), .DE(n86381), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N344), .DE(
+        n86381), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N343), .DE(
+        n86381), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg_reg_8_ ( 
+        .D(n85974), .DE(n86380), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg_reg_7_ ( 
+        .D(n86000), .DE(n86380), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg_reg_6_ ( 
+        .D(n85999), .DE(n86381), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg_reg_5_ ( 
+        .D(n86063), .DE(n86381), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg_reg_4_ ( 
+        .D(n86059), .DE(n86380), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N337), .DE(
+        n86380), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N336), .DE(
+        n86380), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N335), .DE(
+        n86380), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N571), .DE(
+        n86355), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N602), .DE(
+        n86355), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N601), .DE(
+        n86354), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg_reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N600), .DE(
+        n86354), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N599), .DE(
+        n61074), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg_reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N597), .DE(
+        n86354), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg_reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N596), .DE(
+        n86355), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N594), .DE(
+        n61074), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N593), .DE(
+        n86354), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N592), .DE(
+        n86355), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N591), .DE(
+        n61074), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg_reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N590), .DE(
+        n86354), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg_reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N589), .DE(
+        n61074), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg_reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N588), .DE(
+        n86354), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg_reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N587), .DE(
+        n86355), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N586), .DE(
+        n61074), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N585), .DE(
+        n86354), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N584), .DE(
+        n61074), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N583), .DE(
+        n86354), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N582), .DE(
+        n86355), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N581), .DE(
+        n86355), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N580), .DE(
+        n61074), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N579), .DE(
+        n86355), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N578), .DE(
+        n61074), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N577), .DE(
+        n61074), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N576), .DE(
+        n86354), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N575), .DE(
+        n86355), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N574), .DE(
+        n86354), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N573), .DE(
+        n86355), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N572), .DE(
+        n61074), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N529), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[28])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg_reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N530), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[29])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N532), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[31])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N433), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[31])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_ieReg_reg__reg_1_ ( 
+        .D(n381), .CLK(wb_clk_i), .RESET_B(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[1])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_ieReg_reg__reg_2_ ( 
+        .D(n380), .CLK(wb_clk_i), .RESET_B(n83116), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[2])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_ieReg_reg__reg_3_ ( 
+        .D(n379), .CLK(wb_clk_i), .RESET_B(n37040), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[3])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_ieReg_reg__reg_4_ ( 
+        .D(n378), .CLK(wb_clk_i), .RESET_B(n36801), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[4])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_ieReg_reg__reg_5_ ( 
+        .D(n377), .CLK(wb_clk_i), .RESET_B(n37037), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[5])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_ieReg_reg__reg_6_ ( 
+        .D(n376), .CLK(wb_clk_i), .RESET_B(n36846), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[6])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_ieReg_reg__reg_7_ ( 
+        .D(n375), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[7])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_ieReg_reg__reg_8_ ( 
+        .D(n374), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[8])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_ieReg_reg__reg_9_ ( 
+        .D(n373), .CLK(wb_clk_i), .RESET_B(n37039), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[9])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_ieReg_reg__reg_11_ ( 
+        .D(n372), .CLK(wb_clk_i), .RESET_B(n49230), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[11]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_ieReg_reg__reg_12_ ( 
+        .D(n371), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[12]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_ieReg_reg__reg_13_ ( 
+        .D(n370), .CLK(wb_clk_i), .RESET_B(n79910), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[13]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_ieReg_reg__reg_14_ ( 
+        .D(n369), .CLK(wb_clk_i), .RESET_B(n49230), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[14]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_ieReg_reg__reg_16_ ( 
+        .D(n368), .CLK(wb_clk_i), .RESET_B(n86753), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[16]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_ieReg_reg__reg_17_ ( 
+        .D(n367), .CLK(wb_clk_i), .RESET_B(n86402), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[17]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_17_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_io_pins_gpio_pins_17_i_ival), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_17_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_17_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_17_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_17_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_17_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_17_sync_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_17)
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg_reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N243), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[17])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_ieReg_reg__reg_21_ ( 
+        .D(n366), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[21]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_21_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_io_pins_gpio_pins_21_i_ival), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_21_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_21_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_21_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_21_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_21_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_21_sync_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_21)
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N247), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[21])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_ieReg_reg__reg_22_ ( 
+        .D(n365), .CLK(wb_clk_i), .RESET_B(n83351), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[22]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_ieReg_reg__reg_23_ ( 
+        .D(n364), .CLK(wb_clk_i), .RESET_B(n86753), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[23]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_23_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_io_pins_gpio_pins_23_i_ival), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_23_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_23_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_23_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_23_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_23_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_23_sync_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg[23])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N249), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[23])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_ieReg_reg__reg_25_ ( 
+        .D(n363), .CLK(wb_clk_i), .RESET_B(n49230), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[25]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_ieReg_reg__reg_26_ ( 
+        .D(n362), .CLK(wb_clk_i), .RESET_B(n36801), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[26]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_ieReg_reg__reg_28_ ( 
+        .D(n361), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[28]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_ieReg_reg__reg_29_ ( 
+        .D(n360), .CLK(wb_clk_i), .RESET_B(n37037), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[29]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_ieReg_reg__reg_30_ ( 
+        .D(n359), .CLK(wb_clk_i), .RESET_B(n36801), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[30]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_ieReg_reg__reg_31_ ( 
+        .D(n358), .CLK(wb_clk_i), .RESET_B(n49230), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[31]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_ieReg_reg__reg_0_ ( 
+        .D(n357), .CLK(wb_clk_i), .RESET_B(n86402), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N192), .DE(
+        n86378), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N223), .DE(
+        n86378), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N222), .DE(
+        n86378), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0_reg_29_ ( 
+        .D(n85993), .DE(n86379), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N220), .DE(
+        n86379), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0_reg_26_ ( 
+        .D(n85982), .DE(n86379), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0_reg_25_ ( 
+        .D(n85981), .DE(n86378), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N215), .DE(
+        n86379), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N214), .DE(
+        n86378), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N213), .DE(
+        n86378), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N212), .DE(
+        n86379), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0_reg_19_ ( 
+        .D(n86068), .DE(n86379), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0_reg_18_ ( 
+        .D(n86067), .DE(n86379), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0_reg_17_ ( 
+        .D(n85928), .DE(n86378), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0_reg_16_ ( 
+        .D(n85933), .DE(n86378), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0_reg_15_ ( 
+        .D(n85965), .DE(n86378), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0_reg_14_ ( 
+        .D(n85964), .DE(n86379), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N205), .DE(
+        n86378), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N204), .DE(
+        n86378), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0_reg_11_ ( 
+        .D(n85969), .DE(n86378), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N202), .DE(
+        n86378), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N201), .DE(
+        n86379), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0_reg_8_ ( 
+        .D(n85973), .DE(n86378), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0_reg_7_ ( 
+        .D(n85998), .DE(n86378), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0_reg_6_ ( 
+        .D(n85997), .DE(n86378), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0_reg_5_ ( 
+        .D(n86062), .DE(n86379), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0_reg_4_ ( 
+        .D(n86058), .DE(n86378), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N195), .DE(
+        n86379), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N194), .DE(
+        n86378), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N193), .DE(
+        n86378), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N536), .DE(
+        n86365), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N567), .DE(
+        n86364), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N566), .DE(
+        n86365), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg_reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N565), .DE(
+        n86365), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N564), .DE(
+        n86365), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg_reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N562), .DE(
+        n86365), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg_reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N561), .DE(
+        n86365), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N559), .DE(
+        n86364), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N558), .DE(
+        n86364), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N557), .DE(
+        n86364), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N556), .DE(
+        n86365), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg_reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N555), .DE(
+        n86365), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg_reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N554), .DE(
+        n86364), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg_reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N553), .DE(
+        n86365), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg_reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N552), .DE(
+        n86365), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N551), .DE(
+        n86365), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N550), .DE(
+        n86364), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N549), .DE(
+        n86365), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N548), .DE(
+        n86365), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N547), .DE(
+        n86364), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N546), .DE(
+        n86365), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N545), .DE(
+        n86364), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N544), .DE(
+        n86365), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N543), .DE(
+        n86365), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N542), .DE(
+        n86364), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N541), .DE(
+        n86364), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N540), .DE(
+        n86364), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N539), .DE(
+        n86364), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N538), .DE(
+        n86364), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N537), .DE(
+        n86364), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N641), .DE(
+        n86363), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N672), .DE(
+        n86361), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N671), .DE(
+        n86361), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg_reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N670), .DE(
+        n86361), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N669), .DE(
+        n86361), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg_reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N667), .DE(
+        n86363), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg_reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N666), .DE(
+        n86363), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N664), .DE(
+        n86363), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N663), .DE(
+        n86361), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N662), .DE(
+        n86363), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N661), .DE(
+        n86363), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg_reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N660), .DE(
+        n86363), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg_reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N659), .DE(
+        n86363), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg_reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N658), .DE(
+        n86363), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg_reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N657), .DE(
+        n86361), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N656), .DE(
+        n86361), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N655), .DE(
+        n86361), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N654), .DE(
+        n86361), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N653), .DE(
+        n86363), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N652), .DE(
+        n86361), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N651), .DE(
+        n86363), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N650), .DE(
+        n86363), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N649), .DE(
+        n86363), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N648), .DE(
+        n86363), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N647), .DE(
+        n86361), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N646), .DE(
+        n86361), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N645), .DE(
+        n86361), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N644), .DE(
+        n86361), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N643), .DE(
+        n86361), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N642), .DE(
+        n86363), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[1]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_pueReg_reg__reg_1_ ( 
+        .D(n356), .CLK(wb_clk_i), .RESET_B(n36846), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[1])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_pueReg_reg__reg_2_ ( 
+        .D(n355), .CLK(wb_clk_i), .RESET_B(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[2])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_pueReg_reg__reg_3_ ( 
+        .D(n354), .CLK(wb_clk_i), .RESET_B(n49230), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[3])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_pueReg_reg__reg_4_ ( 
+        .D(n353), .CLK(wb_clk_i), .RESET_B(n36846), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[4])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_pueReg_reg__reg_5_ ( 
+        .D(n352), .CLK(wb_clk_i), .RESET_B(n86753), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[5])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_pueReg_reg__reg_6_ ( 
+        .D(n351), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[6])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_pueReg_reg__reg_7_ ( 
+        .D(n350), .CLK(wb_clk_i), .RESET_B(n79910), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[7])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_pueReg_reg__reg_8_ ( 
+        .D(n349), .CLK(wb_clk_i), .RESET_B(n36801), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[8])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_pueReg_reg__reg_9_ ( 
+        .D(n348), .CLK(wb_clk_i), .RESET_B(n37040), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[9])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_pueReg_reg__reg_11_ ( 
+        .D(n347), .CLK(wb_clk_i), .RESET_B(n83116), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[11]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_pueReg_reg__reg_12_ ( 
+        .D(n346), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[12]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_pueReg_reg__reg_13_ ( 
+        .D(n345), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[13]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_pueReg_reg__reg_14_ ( 
+        .D(n344), .CLK(wb_clk_i), .RESET_B(n37039), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[14]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_pueReg_reg__reg_16_ ( 
+        .D(n343), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[16]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_pueReg_reg__reg_17_ ( 
+        .D(n342), .CLK(wb_clk_i), .RESET_B(n86753), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[17]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_pueReg_reg__reg_21_ ( 
+        .D(n341), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[21]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_pueReg_reg__reg_22_ ( 
+        .D(n340), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[22]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_pueReg_reg__reg_23_ ( 
+        .D(n339), .CLK(wb_clk_i), .RESET_B(n36801), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[23]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_pueReg_reg__reg_25_ ( 
+        .D(n338), .CLK(wb_clk_i), .RESET_B(n37040), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[25]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_pueReg_reg__reg_26_ ( 
+        .D(n337), .CLK(wb_clk_i), .RESET_B(n37039), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[26]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_pueReg_reg__reg_28_ ( 
+        .D(n336), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[28]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_pueReg_reg__reg_29_ ( 
+        .D(n335), .CLK(wb_clk_i), .RESET_B(n36846), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[29]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_pueReg_reg__reg_30_ ( 
+        .D(n334), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[30]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_pueReg_reg__reg_31_ ( 
+        .D(n333), .CLK(wb_clk_i), .RESET_B(n49230), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[31]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_pueReg_reg__reg_0_ ( 
+        .D(n332), .CLK(wb_clk_i), .RESET_B(n83116), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N298), .DE(
+        n86353), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N329), .DE(
+        n86353), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N328), .DE(
+        n86352), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg_reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N327), .DE(
+        n86352), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N326), .DE(
+        n86352), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg_reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N324), .DE(
+        n86353), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg_reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N323), .DE(
+        n86352), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N321), .DE(
+        n86352), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N320), .DE(
+        n86352), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N319), .DE(
+        n86352), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N318), .DE(
+        n86352), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg_reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N317), .DE(
+        n86352), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg_reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N316), .DE(
+        n86353), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg_reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N315), .DE(
+        n86353), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg_reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N314), .DE(
+        n86353), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N313), .DE(
+        n86353), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N312), .DE(
+        n86353), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N311), .DE(
+        n86352), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N310), .DE(
+        n86352), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N309), .DE(
+        n86353), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N308), .DE(
+        n86352), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N307), .DE(
+        n86353), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N306), .DE(
+        n86353), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N305), .DE(
+        n86353), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N304), .DE(
+        n86352), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N303), .DE(
+        n86353), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N302), .DE(
+        n86353), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N301), .DE(
+        n86353), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N300), .DE(
+        n86353), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N299), .DE(
+        n86353), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N369), .DE(
+        n86351), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N400), .DE(
+        n86351), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N399), .DE(
+        n86351), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg_reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N398), .DE(
+        n86351), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N397), .DE(
+        n86351), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg_reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N395), .DE(
+        n86351), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg_reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N394), .DE(
+        n61072), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N392), .DE(
+        n86350), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N391), .DE(
+        n86351), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N390), .DE(
+        n86350), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N389), .DE(
+        n86350), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg_reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N388), .DE(
+        n86351), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg_reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N387), .DE(
+        n86351), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg_reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N386), .DE(
+        n86351), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg_reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N385), .DE(
+        n86351), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N384), .DE(
+        n86350), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N383), .DE(
+        n86350), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N382), .DE(
+        n86351), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N381), .DE(
+        n86351), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N380), .DE(
+        n86350), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N379), .DE(
+        n86350), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N378), .DE(
+        n86351), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N377), .DE(
+        n86350), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N376), .DE(
+        n86351), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N375), .DE(
+        n86351), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N374), .DE(
+        n86350), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N373), .DE(
+        n86350), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N372), .DE(
+        n86351), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N371), .DE(
+        n61072), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N370), .DE(
+        n61072), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[1])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N463), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[28])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg_reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N464), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[29])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N466), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[31])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_reg__reg__reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_31), .CLK(wb_clk_i), .RESET_B(n36846), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_41) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N606), .DE(
+        n36773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[0])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_io_pins_gpio_pins_0_i_ival), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_sync_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_0)
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N226), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[0])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N501), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[0])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N468), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[0])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N435), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[0])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N402), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[0])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_reg__reg__reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_0), .CLK(wb_clk_i), .RESET_B(n36801), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_10) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N619), .DE(
+        n36773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[13])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_13_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_io_pins_gpio_pins_13_i_ival), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_13_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_13_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_13_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_13_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_13_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_13_sync_1), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_iof_0_iof_0_13_i_ival) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N239), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[13])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N481), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[13])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N448), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[13])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N514), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N620), .DE(
+        n36773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[14])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_14_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_io_pins_gpio_pins_14_i_ival), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_14_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_14_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_14_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_14_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_14_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_14_sync_1), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_iof_0_iof_0_14_i_ival) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N240), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[14])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N482), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[14])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N449), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[14])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N515), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N621), .DE(
+        n36773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg_reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N622), .DE(
+        n36773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[16])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_16_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_io_pins_gpio_pins_16_i_ival), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_16_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_16_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_16_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_16_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_16_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_16_sync_1), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_iof_0_iof_0_16_i_ival) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg_reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N242), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg_reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N623), .DE(
+        n36773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg_reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N624), .DE(
+        n36773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg_reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N625), .DE(
+        n36773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N626), .DE(
+        n36773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N627), .DE(
+        n36773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N628), .DE(
+        n36773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[22])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_22_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_io_pins_gpio_pins_22_i_ival), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_22_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_22_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_22_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_22_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_22_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_22_sync_1), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_iof_0_iof_0_22_i_ival) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N248), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N629), .DE(
+        n36773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg_reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N631), .DE(
+        n36773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg_reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N632), .DE(
+        n36773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N634), .DE(
+        n36773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg_reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N635), .DE(
+        n36773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N636), .DE(
+        n36773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N637), .DE(
+        n36773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_address_reg_2_ ( 
+        .D(n85061), .DE(n60895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_address_reg_2_ ( 
+        .D(n85061), .DE(n60906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_address_reg_2_ ( 
+        .D(n85061), .DE(n60882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_gang_1_reg ( 
+        .D(n85835), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__gang_T_3), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_gang_2_reg ( 
+        .D(n85836), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__gang_T_3), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_address_reg_2_ ( 
+        .D(n85061), .DE(n86496), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_address_reg_2_ ( 
+        .D(n85061), .DE(n61032), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address_reg_1_ ( 
+        .D(n85062), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_0__1_ ( 
+        .D(n85063), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_1__1_ ( 
+        .D(n85063), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_0__1_ ( 
+        .D(n85064), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N148), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[204]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_1__1_ ( 
+        .D(n85064), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N147), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[175]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_2__1_ ( 
+        .D(n85064), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N146), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[146]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_3__1_ ( 
+        .D(n85064), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[117]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_4__1_ ( 
+        .D(n85064), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N144), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[88]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_5__1_ ( 
+        .D(n85064), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N143), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_6__1_ ( 
+        .D(n85064), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N142), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_7__1_ ( 
+        .D(n85064), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N141), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address_reg_1_ ( 
+        .D(n85065), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_address_reg_1_ ( 
+        .D(n85686), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_in_xbar_auto_out_a_bits_address_0_), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_mask_reg_0__1_ ( 
+        .D(n85066), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_mask[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_mask_reg_1__1_ ( 
+        .D(n85066), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_mask[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_zerocmp_reg ( 
+        .D(n85669), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_scale_io_regs_cfg_write_deglitch), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_7[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_ip_doSticky_x1_sticky_r_reg ( 
+        .D(n85573), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_scale_io_regs_cfg_write_deglitch), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_7[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_countAlways_reg ( 
+        .D(n86090), .DE(n36217), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_7_12) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_data_proto_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N326), 
+        .DE(n36166), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_data_proto_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N327), 
+        .DE(n36166), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_addr_proto_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N298), 
+        .DE(n36166), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_addr_proto_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N297), 
+        .DE(n36166), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_cmd_proto_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N270), 
+        .DE(n36166), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_cmd_proto_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N269), 
+        .DE(n36166), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_pgsz_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N331), 
+        .DE(n36165), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_pgsz_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N334), 
+        .DE(n36165), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_pgsz_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N333), 
+        .DE(n36165), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_pgsz_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N332), 
+        .DE(n36165), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_mask_reg_0__2_ ( 
+        .D(n85067), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_mask[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_mask_reg_1__2_ ( 
+        .D(n85067), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_mask[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_center_0_reg ( 
+        .D(n85589), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__elapsed_center_T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_16[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_center_1_reg ( 
+        .D(n85667), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__elapsed_center_T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_16[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_fmt_len_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N126), .DE(
+        n36183), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_9[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_fmt_len_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N129), .DE(
+        n36183), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_9[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_dla_interxfr_reg_0_ ( 
+        .D(n85932), .DE(n36185), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_10[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_dla_interxfr_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N207), .DE(
+        n36185), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_10[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_dla_interxfr_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N206), .DE(
+        n36185), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_10[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_dla_interxfr_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N205), .DE(
+        n36185), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_10[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_dla_interxfr_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N204), .DE(
+        n36185), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_10[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_dla_interxfr_reg_3_ ( 
+        .D(n86085), .DE(n36185), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_10[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_dla_interxfr_reg_2_ ( 
+        .D(n86080), .DE(n36185), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_10[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_dla_interxfr_reg_1_ ( 
+        .D(n85927), .DE(n36185), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_10[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_dla_sckcs_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N178), .DE(
+        n36184), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_1[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_dla_sckcs_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N185), .DE(
+        n36184), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_1[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_dla_sckcs_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N184), .DE(
+        n36184), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_1[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_dla_sckcs_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N183), .DE(
+        n36184), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_1[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_dla_sckcs_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N182), .DE(
+        n36184), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_1[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_dla_sckcs_reg_3_ ( 
+        .D(n86084), .DE(n36184), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_1[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_dla_sckcs_reg_2_ ( 
+        .D(n86079), .DE(n36184), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_1[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_dla_sckcs_reg_1_ ( 
+        .D(n85926), .DE(n36184), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_1[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_dla_interxfr_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N213), 
+        .DE(n36164), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_16[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_dla_interxfr_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N220), 
+        .DE(n36164), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_16[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_dla_interxfr_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N219), 
+        .DE(n36164), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_16[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_dla_interxfr_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N218), 
+        .DE(n36164), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_16[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_dla_interxfr_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N217), 
+        .DE(n36164), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_16[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_dla_interxfr_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N216), 
+        .DE(n36164), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_16[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_dla_interxfr_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N215), 
+        .DE(n36164), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_16[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_dla_interxfr_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N214), 
+        .DE(n36164), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_16[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_xfr_reg ( 
+        .D(n85789), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N170), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_xfr) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_cmd_code_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N274), 
+        .DE(n86598), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_cmd_code_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N281), 
+        .DE(n86598), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_cmd_code_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N280), 
+        .DE(n86598), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_cmd_code_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N279), 
+        .DE(n86598), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_cmd_code_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N278), 
+        .DE(n86598), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_cmd_code_reg_3_ ( 
+        .D(n86083), .DE(n86598), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_cmd_code_reg_2_ ( 
+        .D(n86078), .DE(n86598), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_cmd_code_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N275), 
+        .DE(n86598), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_dla_sckcs_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N191), 
+        .DE(n36162), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_dla_sckcs_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N198), 
+        .DE(n36162), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_dla_sckcs_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N197), 
+        .DE(n36162), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_dla_sckcs_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N196), 
+        .DE(n36162), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_dla_sckcs_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N195), 
+        .DE(n36162), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_dla_sckcs_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N194), 
+        .DE(n36162), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_dla_sckcs_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N193), 
+        .DE(n36162), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_dla_sckcs_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N192), 
+        .DE(n36162), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_fmt_len_reg_0_ ( 
+        .D(n86088), .DE(n36161), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_15[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_fmt_len_reg_2_ ( 
+        .D(n86087), .DE(n36161), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_15[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_fmt_len_reg_1_ ( 
+        .D(n86086), .DE(n36161), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_15[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_max_cen_cyc_reg_0_ ( 
+        .D(n85923), .DE(n60992), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_max_cen_cyc_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N349), 
+        .DE(n60992), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_max_cen_cyc_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N348), 
+        .DE(n60992), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_max_cen_cyc_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N347), 
+        .DE(n60992), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_max_cen_cyc_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N346), 
+        .DE(n60992), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_max_cen_cyc_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N345), 
+        .DE(n60992), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_max_cen_cyc_reg_6_ ( 
+        .D(n86077), .DE(n60992), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_max_cen_cyc_reg_5_ ( 
+        .D(n85925), .DE(n60992), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_max_cen_cyc_reg_4_ ( 
+        .D(n85931), .DE(n60992), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_max_cen_cyc_reg_3_ ( 
+        .D(n85922), .DE(n60992), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_max_cen_cyc_reg_2_ ( 
+        .D(n85963), .DE(n60992), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_max_cen_cyc_reg_1_ ( 
+        .D(n86076), .DE(n60992), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_dla_interxfr_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N207), .DE(
+        n36142), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_16[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_dla_interxfr_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N214), .DE(
+        n36142), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_16[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_dla_interxfr_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N213), .DE(
+        n36142), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_16[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_dla_interxfr_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N212), .DE(
+        n36142), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_16[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_dla_interxfr_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N209), .DE(
+        n36142), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_16[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_dla_interxfr_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N208), .DE(
+        n36142), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_16[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_dla_sckcs_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N185), .DE(
+        n36140), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_dla_sckcs_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N192), .DE(
+        n36140), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_dla_sckcs_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N191), .DE(
+        n36140), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_dla_sckcs_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N190), .DE(
+        n36140), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_dla_sckcs_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N187), .DE(
+        n36140), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_dla_sckcs_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N186), .DE(
+        n36140), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_fmt_len_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N134), .DE(
+        n36139), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_15[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_fmt_len_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N135), .DE(
+        n36139), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_15[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_mask_reg_0__0_ ( 
+        .D(n85068), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_mask[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_mask_reg_1__0_ ( 
+        .D(n85068), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_mask[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_scale_reg_1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_scale_io_regs_cfg_write_scale), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_scale_reg_2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_scale_io_regs_cfg_write_scale), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_scale_reg_3_ ( 
+        .D(n85756), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_scale_io_regs_cfg_write_scale), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_scale_reg_0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_scale_io_regs_cfg_write_scale), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_3_reg_1_ ( 
+        .D(n85291), .DE(n86557), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_3_reg_2_ ( 
+        .D(n85527), .DE(n86557), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_3_reg_3_ ( 
+        .D(n85756), .DE(n86557), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_3_reg_6_ ( 
+        .D(n85286), .DE(n86557), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_3_reg_7_ ( 
+        .D(n85285), .DE(n86557), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_3_reg_8_ ( 
+        .D(n85573), .DE(n86557), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_3_reg_9_ ( 
+        .D(n85669), .DE(n86557), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_3_reg_11_ ( 
+        .D(n85670), .DE(n86557), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_3_reg_12_ ( 
+        .D(n85571), .DE(n86557), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_3_reg_13_ ( 
+        .D(n85577), .DE(n86557), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_3_reg_14_ ( 
+        .D(n85580), .DE(n86557), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_3_reg_0_ ( 
+        .D(n85487), .DE(n86557), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_2_reg_1_ ( 
+        .D(n85291), .DE(n86551), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_2_reg_2_ ( 
+        .D(n85527), .DE(n86551), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_2_reg_3_ ( 
+        .D(n85756), .DE(n86551), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_2_reg_6_ ( 
+        .D(n85286), .DE(n86551), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_2_reg_7_ ( 
+        .D(n85285), .DE(n86551), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_2_reg_8_ ( 
+        .D(n85573), .DE(n86551), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_2_reg_9_ ( 
+        .D(n85669), .DE(n86551), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_2_reg_11_ ( 
+        .D(n85670), .DE(n86551), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_2_reg_12_ ( 
+        .D(n85571), .DE(n86551), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_2_reg_13_ ( 
+        .D(n85577), .DE(n86551), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_2_reg_14_ ( 
+        .D(n85580), .DE(n86551), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_2_reg_0_ ( 
+        .D(n85487), .DE(n86551), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_1_reg_1_ ( 
+        .D(n85291), .DE(n86550), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_1_reg_2_ ( 
+        .D(n85527), .DE(n86550), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_1_reg_3_ ( 
+        .D(n85756), .DE(n86550), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_1_reg_6_ ( 
+        .D(n85286), .DE(n86550), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_1_reg_7_ ( 
+        .D(n85285), .DE(n86550), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_1_reg_8_ ( 
+        .D(n85573), .DE(n86550), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_1_reg_9_ ( 
+        .D(n85669), .DE(n86550), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_1_reg_11_ ( 
+        .D(n85670), .DE(n86550), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_1_reg_12_ ( 
+        .D(n85571), .DE(n86550), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_1_reg_13_ ( 
+        .D(n85577), .DE(n86550), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_1_reg_14_ ( 
+        .D(n85580), .DE(n86550), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_1_reg_0_ ( 
+        .D(n85487), .DE(n86550), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_0_reg_1_ ( 
+        .D(n85291), .DE(n86552), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_0_reg_2_ ( 
+        .D(n85527), .DE(n86552), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_0_reg_3_ ( 
+        .D(n85756), .DE(n86552), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_0_reg_6_ ( 
+        .D(n85286), .DE(n86552), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_0_reg_7_ ( 
+        .D(n85285), .DE(n86552), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_0_reg_8_ ( 
+        .D(n85573), .DE(n86552), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_0_reg_9_ ( 
+        .D(n85669), .DE(n86552), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_0_reg_11_ ( 
+        .D(n85670), .DE(n86552), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_0_reg_12_ ( 
+        .D(n85571), .DE(n86552), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_0_reg_13_ ( 
+        .D(n85577), .DE(n86552), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_0_reg_14_ ( 
+        .D(n85580), .DE(n86552), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_0_reg_0_ ( 
+        .D(n85487), .DE(n86552), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[0])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_small__reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N25), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[0])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_small__reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N26), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[1])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_large__reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N45), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[12]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_large__reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N46), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[13]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_large__reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N47), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[14]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_large__reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N61), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[28]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_large__reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N62), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[29]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_large__reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N38), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[5])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_small__reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N27), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[2])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_small__reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_deq_ptr_value_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N45), .DE(n36178), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_deq_ptr_value_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N46), .DE(n36178), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_deq_ptr_value_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N47), .DE(n36178), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_maybe_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N52), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N51), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_enq_ptr_value_reg_0_ ( 
+        .D(n85844), .DE(n36630), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_enq_ptr_value_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N40), .DE(n36630), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_3__0_ ( 
+        .D(n85070), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N32), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_3__7_ ( 
+        .D(n85069), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N32), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_3__6_ ( 
+        .D(n85071), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N32), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_3__5_ ( 
+        .D(n85072), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N32), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_3__4_ ( 
+        .D(n85073), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N32), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_3__3_ ( 
+        .D(n85074), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N32), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_3__2_ ( 
+        .D(n85075), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N32), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_3__1_ ( 
+        .D(n85076), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N32), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_enq_ptr_value_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N41), .DE(n36630), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_7__0_ ( 
+        .D(n85070), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N28), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_7__7_ ( 
+        .D(n85069), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N28), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_7__6_ ( 
+        .D(n85071), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N28), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_7__5_ ( 
+        .D(n85072), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N28), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_7__4_ ( 
+        .D(n85073), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N28), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_7__3_ ( 
+        .D(n85074), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N28), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_7__2_ ( 
+        .D(n85075), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N28), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_7__1_ ( 
+        .D(n85076), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N28), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_5__0_ ( 
+        .D(n85070), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N30), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_5__7_ ( 
+        .D(n85069), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N30), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_5__6_ ( 
+        .D(n85071), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N30), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_5__5_ ( 
+        .D(n85072), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N30), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_5__4_ ( 
+        .D(n85073), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N30), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_5__3_ ( 
+        .D(n85074), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N30), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_5__2_ ( 
+        .D(n85075), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N30), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_5__1_ ( 
+        .D(n85076), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N30), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_2__0_ ( 
+        .D(n85070), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N33), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_2__7_ ( 
+        .D(n85069), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N33), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_2__6_ ( 
+        .D(n85071), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N33), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_2__5_ ( 
+        .D(n85072), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N33), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_2__4_ ( 
+        .D(n85073), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N33), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_2__3_ ( 
+        .D(n85074), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N33), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_2__2_ ( 
+        .D(n85075), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N33), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_2__1_ ( 
+        .D(n85076), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N33), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_6__0_ ( 
+        .D(n85070), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N29), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_6__7_ ( 
+        .D(n85069), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N29), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_6__6_ ( 
+        .D(n85071), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N29), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_6__5_ ( 
+        .D(n85072), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N29), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_6__4_ ( 
+        .D(n85073), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N29), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_6__3_ ( 
+        .D(n85074), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N29), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_6__2_ ( 
+        .D(n85075), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N29), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_6__1_ ( 
+        .D(n85076), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N29), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_1__0_ ( 
+        .D(n85070), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_1__7_ ( 
+        .D(n85069), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_1__6_ ( 
+        .D(n85071), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_1__5_ ( 
+        .D(n85072), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_1__4_ ( 
+        .D(n85073), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_1__3_ ( 
+        .D(n85074), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_1__2_ ( 
+        .D(n85075), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_1__1_ ( 
+        .D(n85076), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_4__0_ ( 
+        .D(n85070), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N31), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_4__7_ ( 
+        .D(n85069), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N31), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_4__6_ ( 
+        .D(n85071), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N31), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_4__5_ ( 
+        .D(n85072), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N31), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_4__4_ ( 
+        .D(n85073), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N31), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_4__3_ ( 
+        .D(n85074), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N31), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_4__2_ ( 
+        .D(n85075), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N31), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_4__1_ ( 
+        .D(n85076), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N31), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_0__0_ ( 
+        .D(n85070), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N35), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_0__6_ ( 
+        .D(n85071), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N35), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[62]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_0__5_ ( 
+        .D(n85072), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N35), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[61]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_0__4_ ( 
+        .D(n85073), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N35), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[60]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_0__3_ ( 
+        .D(n85074), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N35), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_0__2_ ( 
+        .D(n85075), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N35), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_reg_0__1_ ( 
+        .D(n85076), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N35), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_wcmd_code_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N286), 
+        .DE(n36177), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_wcmd_code_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N293), 
+        .DE(n36177), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_wcmd_code_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N292), 
+        .DE(n36177), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_wcmd_code_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N291), 
+        .DE(n36177), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_wcmd_code_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N290), 
+        .DE(n36177), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_wcmd_code_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N289), 
+        .DE(n36177), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_wcmd_code_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N288), 
+        .DE(n36177), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_wcmd_code_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N287), 
+        .DE(n36177), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_dla_intercs_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N202), 
+        .DE(n36176), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T_16[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_dla_intercs_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N209), 
+        .DE(n36176), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T_16[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_dla_intercs_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N208), 
+        .DE(n36176), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T_16[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_dla_intercs_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N207), 
+        .DE(n36176), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T_16[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_dla_intercs_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N206), 
+        .DE(n36176), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T_16[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_dla_intercs_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N205), 
+        .DE(n36176), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T_16[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_dla_intercs_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N204), 
+        .DE(n36176), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T_16[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_dla_intercs_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N203), 
+        .DE(n36176), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T_16[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_dla_cssck_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N180), 
+        .DE(n36175), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_dla_cssck_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N187), 
+        .DE(n36175), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_dla_cssck_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N186), 
+        .DE(n36175), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_dla_cssck_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N185), 
+        .DE(n36175), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_dla_cssck_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N184), 
+        .DE(n36175), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_dla_cssck_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N183), 
+        .DE(n36175), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_dla_cssck_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N182), 
+        .DE(n36175), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_dla_cssck_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N181), 
+        .DE(n36175), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_cmd_en_reg ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N282), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_pad_cnt_reg_0_ ( 
+        .D(n86066), .DE(n36174), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_pad_cnt_reg_3_ ( 
+        .D(n86051), .DE(n36174), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_pad_cnt_reg_2_ ( 
+        .D(n86050), .DE(n36174), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_pad_cnt_reg_1_ ( 
+        .D(n86065), .DE(n36174), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_addr_len_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N303), 
+        .DE(n36174), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_addr_len_reg_2_ ( 
+        .D(n86064), .DE(n36174), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_addr_len_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N302), 
+        .DE(n36174), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_fmt_proto_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N124), 
+        .DE(n36171), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_io_link_fmt_proto[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_fmt_proto_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N125), 
+        .DE(n36171), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_io_link_fmt_proto[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_fmt_endian_reg ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N130), 
+        .DE(n36171), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_link_fmt_endian) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_fmt_iodir_reg ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N135), 
+        .DE(n36171), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_io_link_fmt_iodir) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_cs_id_reg ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N170), 
+        .DE(n36181), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_4_0_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_cs_id_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_4_0_), .DE(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_N40), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_cs_id) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_cs_dflt_0_reg ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N171), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_5_0_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_sck_pha_reg ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N166), 
+        .DE(n36179), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_9[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_pha_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_9[0]), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N268), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_pha) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_sck_pol_reg ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N162), 
+        .DE(n36179), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_9[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_pol_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_9[1]), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N268), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_pol) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ie_txwm_reg ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N261), 
+        .DE(n36180), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_10[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ie_rxwm_reg ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N265), 
+        .DE(n36180), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_10[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_wm_rx_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N231), 
+        .DE(n36173), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_21[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_wm_rx_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N234), 
+        .DE(n36173), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_21[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_wm_rx_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N233), 
+        .DE(n36173), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_21[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_wm_rx_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N232), 
+        .DE(n36173), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_21[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_wm_tx_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N224), 
+        .DE(n36172), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_20[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_wm_tx_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N227), 
+        .DE(n36172), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_20[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_wm_tx_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N226), 
+        .DE(n36172), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_20[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_wm_tx_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N225), 
+        .DE(n36172), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_20[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_cs_mode_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N175), 
+        .DE(n36170), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_6[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_cs_mode_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_cs_mode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_cs_mode_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N176), 
+        .DE(n36170), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_6[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_cs_mode_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_N17), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_cs_mode[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N191), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N192), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N193), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N194), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N195), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[4]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N196), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[5]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N197), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[6]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[7]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N199), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[8]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N200), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[9]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N201), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[10]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N202), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[11]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N203), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[12]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N204), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[13]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N205), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[14]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N206), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_fmt_endian_reg ( 
+        .D(n85843), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N102), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_fmt_endian) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_sampledel_sd_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N253), 
+        .DE(n36169), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_15[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_sampledel_sd_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N257), 
+        .DE(n36169), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_15[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_sampledel_sd_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N256), 
+        .DE(n36169), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_15[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_sampledel_sd_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N255), 
+        .DE(n36169), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_15[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_sampledel_sd_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N254), 
+        .DE(n36169), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_15[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_deq_ptr_value_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N45), 
+        .DE(n36154), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_deq_ptr_value_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N46), 
+        .DE(n36154), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_deq_ptr_value_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N47), 
+        .DE(n36154), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_maybe_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N52), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N51), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_enq_ptr_value_reg_0_ ( 
+        .D(n85850), .DE(n36629), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_enq_ptr_value_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N40), 
+        .DE(n36629), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_3__7_ ( 
+        .D(n85077), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_3__6_ ( 
+        .D(n85078), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_3__5_ ( 
+        .D(n85079), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_3__4_ ( 
+        .D(n85080), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_3__3_ ( 
+        .D(n85081), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_3__2_ ( 
+        .D(n85082), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_enq_ptr_value_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N41), 
+        .DE(n36629), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_7__7_ ( 
+        .D(n85077), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_7__6_ ( 
+        .D(n85078), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_7__5_ ( 
+        .D(n85079), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_7__4_ ( 
+        .D(n85080), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_7__3_ ( 
+        .D(n85081), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_7__2_ ( 
+        .D(n85082), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_5__7_ ( 
+        .D(n85077), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_5__6_ ( 
+        .D(n85078), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_5__5_ ( 
+        .D(n85079), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_5__4_ ( 
+        .D(n85080), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_5__3_ ( 
+        .D(n85081), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_5__2_ ( 
+        .D(n85082), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_2__7_ ( 
+        .D(n85077), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_2__6_ ( 
+        .D(n85078), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_2__5_ ( 
+        .D(n85079), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_2__4_ ( 
+        .D(n85080), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_2__3_ ( 
+        .D(n85081), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_2__2_ ( 
+        .D(n85082), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_6__7_ ( 
+        .D(n85077), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_6__6_ ( 
+        .D(n85078), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_6__5_ ( 
+        .D(n85079), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_6__4_ ( 
+        .D(n85080), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_6__3_ ( 
+        .D(n85081), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_6__2_ ( 
+        .D(n85082), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_1__7_ ( 
+        .D(n85077), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_1__6_ ( 
+        .D(n85078), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_1__5_ ( 
+        .D(n85079), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_1__4_ ( 
+        .D(n85080), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_1__3_ ( 
+        .D(n85081), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_1__2_ ( 
+        .D(n85082), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_4__7_ ( 
+        .D(n85077), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_4__6_ ( 
+        .D(n85078), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_4__5_ ( 
+        .D(n85079), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_4__4_ ( 
+        .D(n85080), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_4__3_ ( 
+        .D(n85081), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_4__2_ ( 
+        .D(n85082), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_0__7_ ( 
+        .D(n85077), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[63]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_0__6_ ( 
+        .D(n85078), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[62]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_0__5_ ( 
+        .D(n85079), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[61]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_0__4_ ( 
+        .D(n85080), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[60]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_0__3_ ( 
+        .D(n85081), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_0__2_ ( 
+        .D(n85082), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_dla_intercs_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N196), .DE(
+        n36153), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T_16[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_dla_intercs_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N203), .DE(
+        n36153), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T_16[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_dla_intercs_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N202), .DE(
+        n36153), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T_16[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_dla_intercs_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N201), .DE(
+        n36153), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T_16[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_dla_intercs_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N200), .DE(
+        n36153), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T_16[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_dla_intercs_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N199), .DE(
+        n36153), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T_16[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_dla_intercs_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N198), .DE(
+        n36153), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T_16[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_dla_intercs_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N197), .DE(
+        n36153), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T_16[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_dla_cssck_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N174), .DE(
+        n36152), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_dla_cssck_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N181), .DE(
+        n36152), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_dla_cssck_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N180), .DE(
+        n36152), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_dla_cssck_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N179), .DE(
+        n36152), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_dla_cssck_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N178), .DE(
+        n36152), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_dla_cssck_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N177), .DE(
+        n36152), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_dla_cssck_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N176), .DE(
+        n36152), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_dla_cssck_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N175), .DE(
+        n36152), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_fmt_proto_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N119), .DE(
+        n36148), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_io_link_fmt_proto[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_en_reg ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N311), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_24_0_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_state_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_N124), 
+        .DE(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_N149), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_state[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_cnt_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_N108), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_cnt[1])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_cnt_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_N109), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_cnt[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_cs_id_reg ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N164), .DE(
+        n36157), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_4_0_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_cs_id_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_4_0_), .DE(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_N40), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_cs_id) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ie_txwm_reg ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N255), .DE(
+        n36156), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_10[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ie_rxwm_reg ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N259), .DE(
+        n36156), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_10[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_cs_dflt_0_reg ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N165), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_5_0_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_sck_pha_reg ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N160), .DE(
+        n36155), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_9[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_pha_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_9[0]), .DE(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N268), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_pha) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_sck_pol_reg ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N156), .DE(
+        n36155), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_9[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_pol_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_9[1]), .DE(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N268), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_pol) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_wm_rx_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N225), .DE(
+        n36150), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_21[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_wm_rx_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N228), .DE(
+        n36150), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_21[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_wm_rx_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N227), .DE(
+        n36150), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_21[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_wm_rx_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N226), .DE(
+        n36150), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_21[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_wm_tx_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N218), .DE(
+        n36149), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_20[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_wm_tx_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N221), .DE(
+        n36149), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_20[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_wm_tx_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N220), .DE(
+        n36149), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_20[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_wm_tx_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N219), .DE(
+        n36149), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_20[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_cs_mode_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N169), .DE(
+        n36147), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_6[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_cs_mode_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_cs_mode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_cs_mode_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N170), .DE(
+        n36147), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_6[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_cs_mode_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_N17), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_cs_mode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_sampledel_sd_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N247), .DE(
+        n36146), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_15[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_sampledel_sd_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N251), .DE(
+        n36146), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_15[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_sampledel_sd_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N250), .DE(
+        n36146), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_15[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_sampledel_sd_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N249), .DE(
+        n36146), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_15[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_sampledel_sd_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N248), .DE(
+        n36146), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_15[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_prescaler_lo_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N247), .DE(
+        n36245), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_prescaler_lo_reg_7_ ( 
+        .D(n86024), .DE(n36245), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_prescaler_lo_reg_6_ ( 
+        .D(n86023), .DE(n36245), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_prescaler_lo_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N252), .DE(
+        n36245), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_prescaler_lo_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N251), .DE(
+        n36245), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_prescaler_lo_reg_3_ ( 
+        .D(n86022), .DE(n36245), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_prescaler_lo_reg_2_ ( 
+        .D(n86021), .DE(n36245), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_prescaler_lo_reg_1_ ( 
+        .D(n86020), .DE(n36245), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_prescaler_hi_reg_0_ ( 
+        .D(n86019), .DE(n36244), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_prescaler_hi_reg_7_ ( 
+        .D(n86018), .DE(n36244), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_prescaler_hi_reg_6_ ( 
+        .D(n86017), .DE(n36244), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_prescaler_hi_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N241), .DE(
+        n36244), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_prescaler_hi_reg_4_ ( 
+        .D(n86016), .DE(n36244), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_prescaler_hi_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N239), .DE(
+        n36244), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_prescaler_hi_reg_2_ ( 
+        .D(n86015), .DE(n36244), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_prescaler_hi_reg_1_ ( 
+        .D(n86014), .DE(n36244), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[9]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cmd_irqAck_reg ( 
+        .D(n86073), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__nextCmd_T_0)
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cmd_ack_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N301), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__nextCmd_T[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_control_coreEn_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N258), .DE(
+        n36243), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_out_prepend_1[7]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N329), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_fSDA_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N333), .DE(
+        n36642), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__fSDA_T[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_fSDA_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N334), .DE(
+        n36642), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__fSDA_T[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_fSDA_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N335), .DE(
+        n36642), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__fSDA_T[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_sSDA_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N337), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_sSDA) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_receivedBit_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_sSDA), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N381), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__receivedData_T[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_dSDA_reg ( 
+        .D(n85828), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_dSDA) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_stopCond_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N342), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_stopCond) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_startCond_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N341), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_startCond) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_status_busy_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N306), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_out_f_data[6])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N316), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[0])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N317), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[1])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N318), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[2])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N319), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[3])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N320), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[4])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N321), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[5])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N322), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[6])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N323), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[7])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N324), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[8])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N325), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[9])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N326), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[10])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N327), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[11])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N328), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_control_intEn_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N262), .DE(
+        n36243), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_out_prepend_1[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_transmitData_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N266), .DE(
+        n36242), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_transmitData[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_receivedData_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N275), .DE(
+        n36638), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__receivedData_T[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_transmitData_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N273), .DE(
+        n36242), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_transmitData[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_transmitData_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N272), .DE(
+        n36242), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_transmitData[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_transmitData_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N271), .DE(
+        n36242), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_transmitData[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_transmitData_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N270), .DE(
+        n36242), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_transmitData[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_transmitData_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N269), .DE(
+        n36242), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_transmitData[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_transmitData_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N268), .DE(
+        n36242), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_transmitData[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_transmitData_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N267), .DE(
+        n36242), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_transmitData[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_receivedData_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N276), .DE(
+        n36638), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__receivedData_T[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_receivedData_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N277), .DE(
+        n36638), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__receivedData_T[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_receivedData_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N278), .DE(
+        n36638), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__receivedData_T[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_receivedData_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N279), .DE(
+        n36638), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__receivedData_T[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_receivedData_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N280), .DE(
+        n36638), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__receivedData_T[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_receivedData_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N281), .DE(
+        n36638), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__receivedData_T[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_receivedData_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N282), .DE(
+        n36638), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__receivedData_T[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_dla_intercs_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N189), .DE(
+        n36194), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_10[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_dla_intercs_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N196), .DE(
+        n36194), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_10[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_dla_intercs_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N195), .DE(
+        n36194), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_10[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_dla_intercs_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N194), .DE(
+        n36194), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_10[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_dla_intercs_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N193), .DE(
+        n36194), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_10[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_dla_intercs_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N192), .DE(
+        n36194), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_10[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_dla_intercs_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N191), .DE(
+        n36194), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_10[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_dla_intercs_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N190), .DE(
+        n36194), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_10[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_dla_cssck_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N167), .DE(
+        n36193), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_1[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_dla_cssck_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N174), .DE(
+        n36193), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_1[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_dla_cssck_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N173), .DE(
+        n36193), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_1[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_dla_cssck_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N172), .DE(
+        n36193), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_1[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_dla_cssck_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N171), .DE(
+        n36193), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_1[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_dla_cssck_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N170), .DE(
+        n36193), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_1[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_dla_cssck_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N169), .DE(
+        n36193), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_1[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_dla_cssck_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N168), .DE(
+        n36193), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_1[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_fmt_proto_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N111), .DE(
+        n36190), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_io_link_fmt_proto[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_fmt_proto_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N112), .DE(
+        n36190), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_io_link_fmt_proto[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_fmt_endian_reg ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N117), .DE(
+        n36190), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_io_link_fmt_endian) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_fmt_iodir_reg ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N122), .DE(
+        n36190), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_io_link_fmt_iodir) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_ie_txwm_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N71), .DE(
+        n36104), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_8[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_0__0_ ( 
+        .D(n85083), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address_reg_1__0_ ( 
+        .D(n85083), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_0__0_ ( 
+        .D(n85084), .DE(n86462), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[203]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_1__0_ ( 
+        .D(n85084), .DE(n86461), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[174]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_2__0_ ( 
+        .D(n85084), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N146), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[145]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_3__0_ ( 
+        .D(n85084), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[116]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_4__0_ ( 
+        .D(n85084), .DE(n86458), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[87]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_5__0_ ( 
+        .D(n85084), .DE(n86457), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_6__0_ ( 
+        .D(n85084), .DE(n86456), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address_reg_7__0_ ( 
+        .D(n85084), .DE(n86455), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address_reg_0_ ( 
+        .D(n85085), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_a_address_reg_0_ ( 
+        .D(n85685), .DE(n86497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_mask_reg_3_ ( 
+        .D(n85086), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics__GEN_39[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_mask_reg_2_ ( 
+        .D(n85087), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics__GEN_39[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_mask_reg_1_ ( 
+        .D(n85088), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics__GEN_39[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_mask_reg_0_ ( 
+        .D(n85089), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_mask_0_)
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_data_reg_31_ ( 
+        .D(n85090), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_31[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_data_reg_30_ ( 
+        .D(n85091), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_30[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_data_reg_29_ ( 
+        .D(n85092), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_29[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_data_reg_28_ ( 
+        .D(n85093), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_28[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_data_reg_27_ ( 
+        .D(n85227), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_27[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_data_reg_26_ ( 
+        .D(n85094), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_26[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_data_reg_25_ ( 
+        .D(n85095), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_25[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_data_reg_24_ ( 
+        .D(n85234), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_24[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_data_reg_23_ ( 
+        .D(n85096), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_23[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_data_reg_22_ ( 
+        .D(n85097), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_22[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_data_reg_21_ ( 
+        .D(n85098), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_21[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_data_reg_20_ ( 
+        .D(n85099), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_20[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_data_reg_19_ ( 
+        .D(n85100), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_19[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_data_reg_18_ ( 
+        .D(n85101), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_18[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_data_reg_17_ ( 
+        .D(n85102), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_17[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_data_reg_16_ ( 
+        .D(n85103), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_16[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_data_reg_15_ ( 
+        .D(n85104), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_15[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_data_reg_14_ ( 
+        .D(n85105), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_14[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_data_reg_13_ ( 
+        .D(n85106), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_13[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_data_reg_12_ ( 
+        .D(n85107), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_12[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_data_reg_11_ ( 
+        .D(n85108), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_11[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_data_reg_10_ ( 
+        .D(n85109), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_10[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_data_reg_9_ ( 
+        .D(n85110), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_9[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_data_reg_8_ ( 
+        .D(n85111), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_8[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_data_reg_7_ ( 
+        .D(n85112), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_7[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_data_reg_6_ ( 
+        .D(n85113), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_6[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_data_reg_5_ ( 
+        .D(n85114), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_5[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_data_reg_4_ ( 
+        .D(n85115), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_4[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_data_reg_3_ ( 
+        .D(n85116), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_3[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_data_reg_2_ ( 
+        .D(n85117), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_2[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_data_reg_1_ ( 
+        .D(n85118), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_1[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_data_reg_0_ ( 
+        .D(n85119), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_0[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_lut_reg_3_ ( 
+        .D(n36372), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_lut[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_lut_reg_2_ ( 
+        .D(n36370), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_lut[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_lut_reg_1_ ( 
+        .D(n85568), .DE(n86392), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_lut[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_s_0_state_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_N77), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_s_0_state[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_source_reg_0__1_ ( 
+        .D(n85120), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_source[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_source_reg_1__1_ ( 
+        .D(n85120), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_source[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_opcode_reg_0__0_ ( 
+        .D(n85121), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_opcode_0__0_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_opcode_reg_1__0_ ( 
+        .D(n85121), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_opcode_1__0_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_corrupt_reg_0_ ( 
+        .D(n85122), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_corrupt[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_corrupt_reg_1_ ( 
+        .D(n85122), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_corrupt[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_corrupt_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_auto_in_d_bits_corrupt), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_corrupt) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_denied_reg_0_ ( 
+        .D(n85162), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_denied[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_denied_reg_1_ ( 
+        .D(n85162), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_denied[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_denied_reg ( 
+        .D(n85165), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_denied) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_opcode_reg_0__0_ ( 
+        .D(n85123), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_opcode_0__0_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_opcode_reg_1__0_ ( 
+        .D(n85123), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_opcode_1__0_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_gennum_reg_2_ ( 
+        .D(n85765), .DE(n36218), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_gennum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_acknum_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_N24), .DE(n36218), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_acknum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_dOrig_reg_2_ ( 
+        .D(n85124), .DE(n85921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_dOrig[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_acknum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_N21), .DE(n36218), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_acknum[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_acknum_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_N22), .DE(n36218), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_acknum[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_acknum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_N23), .DE(n36218), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_acknum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_size_reg_0__2_ ( 
+        .D(n85133), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_size[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_size_reg_1__2_ ( 
+        .D(n85133), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_size[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_gennum_reg_3_ ( 
+        .D(n85767), .DE(n36218), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_gennum[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_dOrig_reg_1_ ( 
+        .D(n85134), .DE(n85921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_dOrig[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_dOrig_reg_0_ ( 
+        .D(n85135), .DE(n85921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_dOrig[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_size_reg_0__0_ ( 
+        .D(n85149), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_size[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_size_reg_1__0_ ( 
+        .D(n85149), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_prescaler_lo_reg_0_ ( 
+        .D(n86007), .DE(n36240), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_prescaler_lo_reg_7_ ( 
+        .D(n86006), .DE(n36240), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_prescaler_lo_reg_6_ ( 
+        .D(n86005), .DE(n36240), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_prescaler_lo_reg_5_ ( 
+        .D(n86004), .DE(n36240), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_prescaler_lo_reg_4_ ( 
+        .D(n86003), .DE(n36240), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_prescaler_lo_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N250), .DE(
+        n36240), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_prescaler_lo_reg_2_ ( 
+        .D(n86002), .DE(n36240), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_prescaler_lo_reg_1_ ( 
+        .D(n86001), .DE(n36240), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_prescaler_hi_reg_0_ ( 
+        .D(n86013), .DE(n36239), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_prescaler_hi_reg_7_ ( 
+        .D(n86012), .DE(n36239), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_prescaler_hi_reg_6_ ( 
+        .D(n86011), .DE(n36239), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_prescaler_hi_reg_5_ ( 
+        .D(n86010), .DE(n36239), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_prescaler_hi_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N240), .DE(
+        n36239), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_prescaler_hi_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N239), .DE(
+        n36239), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_prescaler_hi_reg_2_ ( 
+        .D(n86009), .DE(n36239), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_prescaler_hi_reg_1_ ( 
+        .D(n86008), .DE(n36239), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[9])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cmd_irqAck_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N302), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__nextCmd_T_0) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cmd_ack_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N301), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__nextCmd_T[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_control_coreEn_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N258), .DE(
+        n36238), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_out_prepend_1[7]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N329), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_fSCL_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N330), .DE(
+        n36637), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__fSCL_T[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_fSCL_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N331), .DE(
+        n36637), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__fSCL_T[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_fSCL_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N332), .DE(
+        n36637), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__fSCL_T[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_sSCL_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N336), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_sSCL) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_dSCL_reg ( 
+        .D(n85824), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_dSCL) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_receivedBit_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_sSDA), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N381), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__receivedData_T[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_slaveWait_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N345), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_slaveWait) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_stopCond_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N342), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_stopCond) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_startCond_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N341), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_startCond) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_status_busy_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N306), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_out_f_data[6])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N316), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N317), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N318), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N319), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N320), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[4]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N321), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[5]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N322), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[6]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N323), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[7]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N324), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[8]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N325), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[9]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N326), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[10])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N327), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[11])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N328), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_control_intEn_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N262), .DE(
+        n36238), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_out_prepend_1[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_transmitData_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N266), .DE(
+        n36237), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_transmitData[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_receivedData_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N275), .DE(
+        n36633), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__receivedData_T[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_transmitData_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N273), .DE(
+        n36237), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_transmitData[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_transmitData_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N272), .DE(
+        n36237), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_transmitData[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_transmitData_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N271), .DE(
+        n36237), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_transmitData[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_transmitData_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N270), .DE(
+        n36237), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_transmitData[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_transmitData_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N269), .DE(
+        n36237), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_transmitData[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_transmitData_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N268), .DE(
+        n36237), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_transmitData[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_transmitData_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N267), .DE(
+        n36237), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_transmitData[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_receivedData_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N276), .DE(
+        n36633), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__receivedData_T[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_receivedData_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N277), .DE(
+        n36633), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__receivedData_T[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_receivedData_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N278), .DE(
+        n36633), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__receivedData_T[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_receivedData_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N279), .DE(
+        n36633), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__receivedData_T[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_receivedData_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N280), .DE(
+        n36633), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__receivedData_T[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_receivedData_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N281), .DE(
+        n36633), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__receivedData_T[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_receivedData_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N282), .DE(
+        n36633), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__receivedData_T[8]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_status_irqFlag_reg ( 
+        .D(n86273), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_out_f_data_0) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_intsource_reg__reg__reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_intsource_auto_in_0), .CLK(wb_clk_i), .RESET_B(n37039), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_54) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cmd_write_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N298), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__nextCmd_T[4])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cmd_read_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N294), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__nextCmd_T[5])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_status_transferInProgress_reg ( 
+        .D(n86272), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_out_f_data_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cmd_stop_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N290), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__nextCmd_T[6])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cmd_start_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N286), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__nextCmd_T[7])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_status_arbLost_reg ( 
+        .D(n86271), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_out_f_data[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmd_reg_0_ ( 
+        .D(n85826), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N538), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmd[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmd_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N388), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N538), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmd[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_receivedAck_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N431), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N430), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_receivedAck) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_status_receivedAck_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N303), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_out_f_data[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmd_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N389), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N538), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmd[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmd_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N390), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N538), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmd[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N410), .DE(
+        n36634), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N409), .DE(
+        n36634), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_shift_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N421), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_shift) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCnt_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N437), .DE(
+        n36633), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCnt[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCnt_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N438), .DE(
+        n36633), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCnt[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_transmitBit_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N380), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_transmitBit) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_sdaOen_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N369), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_sdaOen) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmdStop_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N394), .DE(
+        n36635), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmdStop) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N353), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N352), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N368), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N352), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N367), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N352), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N366), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N352), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N365), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N352), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N364), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N352), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N363), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N352), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N362), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N352), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N361), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N352), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N360), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N352), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N359), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N352), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N358), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N352), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N357), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N352), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N356), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N352), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N355), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N352), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N354), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N352), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_sdaChk_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N375), .DE(
+        n36634), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_sdaChk) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N238), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N617), .DE(
+        n36773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N616), .DE(
+        n36773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N615), .DE(
+        n36773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N614), .DE(
+        n36773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N613), .DE(
+        n36773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N612), .DE(
+        n36773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N611), .DE(
+        n36773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N610), .DE(
+        n36773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N609), .DE(
+        n36773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N608), .DE(
+        n36773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N607), .DE(
+        n36773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[1])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_1_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_io_pins_gpio_pins_1_i_ival), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_1_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_1_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_1_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_1_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_1_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_1_sync_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_1)
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N227), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[1])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N502), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[1])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N469), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[1])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N436), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[1])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N403), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[1])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_reg__reg__reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_1), .CLK(wb_clk_i), .RESET_B(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_11) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_maybe_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N52), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N51), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_enq_ptr_value_reg_0_ ( 
+        .D(n85845), .DE(n36159), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_enq_ptr_value_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N40), .DE(n36159), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_3__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N32), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_3__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N32), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_3__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N32), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_3__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N32), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_3__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N32), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_3__3_ ( 
+        .D(n85756), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N32), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_3__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N32), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_3__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N32), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_enq_ptr_value_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N41), .DE(n36159), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_7__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N28), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_7__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N28), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_7__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N28), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_7__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N28), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_7__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N28), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_7__3_ ( 
+        .D(n85756), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N28), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_7__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N28), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_7__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N28), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_5__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N30), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_5__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N30), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_5__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N30), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_5__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N30), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_5__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N30), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_5__3_ ( 
+        .D(n85290), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N30), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_5__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N30), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_5__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N30), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_0__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N35), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_0__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N35), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[63]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_0__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N35), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[62]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_0__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N35), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[61]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_0__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N35), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[60]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_0__3_ ( 
+        .D(n85290), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N35), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_0__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N35), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_0__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N35), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_6__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N29), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_6__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N29), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_6__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N29), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_6__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N29), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_6__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N29), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_6__3_ ( 
+        .D(n85290), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N29), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_6__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N29), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_6__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N29), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_4__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N31), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_4__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N31), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_4__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N31), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_4__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N31), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_4__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N31), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_4__3_ ( 
+        .D(n85290), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N31), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_4__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N31), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_4__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N31), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_1__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_1__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_1__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_1__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_1__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_1__3_ ( 
+        .D(n85756), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_1__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_1__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N34), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_2__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N33), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_2__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N33), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_2__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N33), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_2__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N33), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_2__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N33), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_2__3_ ( 
+        .D(n85756), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N33), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_2__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N33), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_reg_2__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N33), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[41]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_intsource_reg__reg__reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_intsource_auto_in_0), .CLK(wb_clk_i), .RESET_B(n36846), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_8) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_pad_code_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N315), 
+        .DE(n36158), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_pad_code_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N314), 
+        .DE(n36158), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_pad_code_reg_5_ ( 
+        .D(n85992), .DE(n36158), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_pad_code_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N312), 
+        .DE(n36158), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_pad_code_reg_2_ ( 
+        .D(n85980), .DE(n36158), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_pad_code_reg_1_ ( 
+        .D(n85979), .DE(n36158), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_mask_reg_0__3_ ( 
+        .D(n85564), .DE(n86414), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_mask[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_mask_reg_1__3_ ( 
+        .D(n85564), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_mask[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_mask_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_auto_out_a_bits_mask[3]), .DE(n86596), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_mask[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveData_reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N665), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N689), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_0__31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[31]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[63]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_1__31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[31]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveData_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N658), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N689), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_0__24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[24]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_1__24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[24]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveData_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N660), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N689), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_0__26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[26]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_1__26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[26]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveData_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N661), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N689), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_0__27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[27]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_1__27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[27]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveData_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N662), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N689), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_0__28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[28]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[60]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_1__28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[28]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveData_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N663), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N689), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_0__29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[29]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[61]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_1__29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[29]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data_r_3_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[31]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_inst_reg_8_ ( 
+        .D(n85411), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_tag_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[2]), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_tag[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_tag_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N755), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_requestor_0_resp_bits_tag[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_uncachedReqs_0_tag_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_requestor_0_resp_bits_tag[2]), .DE(n37023), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_uncachedReqs_0_tag[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_inst_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[2]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b4_1[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_inst_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b4_1[0]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_waddr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_jalr_reg ( 
+        .D(n85522), .DE(n86310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_jalr) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_jalr), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_imm_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_ctrl_decoder_11[2]), .DE(n86310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_imm[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_branch_reg ( 
+        .D(n85532), .DE(n86309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_branch) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_branch_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_branch), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_branch) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_fence_i_reg ( 
+        .D(n85531), .DE(n86309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_fence_i) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_jal_reg ( 
+        .D(n85538), .DE(n86309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_jal) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jal_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_jal), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jal) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_cfiType[0]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_cfiType[1]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_imm_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_ctrl_decoder_11[1]), .DE(n86310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_imm[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_imm_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_ctrl_decoder_11[0]), .DE(n86310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_imm[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_csr_reg_1_ ( 
+        .D(n85414), .DE(n86310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_csr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_csr_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_csr[1]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_csr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_ctrl_csr_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_csr[1]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_ctrl_csr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_csr_reg_0_ ( 
+        .D(n86081), .DE(n86310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_csr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_csr_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_csr[0]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_csr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_ctrl_csr_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_csr[0]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_ctrl_csr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_addr_reg_8_ ( 
+        .D(n85591), .DE(n86390), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_addr_reg_8_ ( 
+        .D(n85591), .DE(n86391), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_addr_reg_8_ ( 
+        .D(n85591), .DE(n85956), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_addr_reg_8_ ( 
+        .D(n85591), .DE(n85951), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_addr_reg_8_ ( 
+        .D(n85591), .DE(n85918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_cfg_r_reg ( 
+        .D(n85591), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N670), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_cfg_r) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_cfg_w_reg ( 
+        .D(n85169), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N670), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_cfg_w) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_addr_reg_24_ ( 
+        .D(n85641), .DE(n85956), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_addr_reg_24_ ( 
+        .D(n85641), .DE(n85918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_cfg_r_reg ( 
+        .D(n85641), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N706), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_r) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_addr_reg_5_ ( 
+        .D(n85764), .DE(n86490), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_addr_reg_5_ ( 
+        .D(n85764), .DE(n86491), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_addr_reg_5_ ( 
+        .D(n85764), .DE(n85956), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_addr_reg_5_ ( 
+        .D(n85764), .DE(n85951), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_addr_reg_5_ ( 
+        .D(n85764), .DE(n85918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_addr_reg_20_ ( 
+        .D(n85749), .DE(n86390), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_addr_reg_20_ ( 
+        .D(n85749), .DE(n85956), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_addr_reg_20_ ( 
+        .D(n85749), .DE(n85951), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_addr_reg_20_ ( 
+        .D(n85749), .DE(n85918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_addr_reg_23_ ( 
+        .D(n85596), .DE(n86490), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_addr_reg_23_ ( 
+        .D(n85596), .DE(n85956), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_addr_reg_23_ ( 
+        .D(n85596), .DE(n85918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_addr_reg_7_ ( 
+        .D(n85631), .DE(n86490), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_addr_reg_7_ ( 
+        .D(n85631), .DE(n86491), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_addr_reg_7_ ( 
+        .D(n85631), .DE(n85956), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_addr_reg_7_ ( 
+        .D(n85631), .DE(n85951), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_addr_reg_7_ ( 
+        .D(n85631), .DE(n85918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_addr_reg_2_ ( 
+        .D(n85822), .DE(n86490), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_addr_reg_2_ ( 
+        .D(n85822), .DE(n86491), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_addr_reg_2_ ( 
+        .D(n85822), .DE(n85956), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_addr_reg_2_ ( 
+        .D(n85822), .DE(n85951), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_addr_reg_2_ ( 
+        .D(n85822), .DE(n85918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_addr_reg_11_ ( 
+        .D(n85794), .DE(n86390), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_addr_reg_11_ ( 
+        .D(n85794), .DE(n86391), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_addr_reg_11_ ( 
+        .D(n85794), .DE(n85956), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_addr_reg_11_ ( 
+        .D(n85794), .DE(n85951), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_addr_reg_11_ ( 
+        .D(n85794), .DE(n85918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_addr_reg_21_ ( 
+        .D(n85750), .DE(n86490), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_addr_reg_21_ ( 
+        .D(n85750), .DE(n85956), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_addr_reg_21_ ( 
+        .D(n85750), .DE(n85951), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_addr_reg_21_ ( 
+        .D(n85750), .DE(n85918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_csr_reg_2_ ( 
+        .D(n85529), .DE(n86310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_csr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_csr_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_csr[2]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_csr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_ctrl_csr_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_csr[2]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_ctrl_csr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_rxs2_reg ( 
+        .D(n85485), .DE(n86309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_rxs2) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_inst_reg_20_ ( 
+        .D(n85403), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_inst_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[0]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_br_target_T_5_11) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_inst_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_br_target_T_5_11), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1_reg_1_ ( 
+        .D(n36511), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_tl_error_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__s2_tl_error_T_1), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_resp_bits_ae) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_xcpt_ae_inst_reg ( 
+        .D(n85639), .DE(n36805), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_xcpt_ae_inst) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_xcpt_ae_inst_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N344), .DE(n86438), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_xcpt_ae_inst) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_xcpt_ae_inst_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N263), .DE(n86432), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_xcpt_ae_inst) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_xcpt_ae_inst_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N182), .DE(n86426), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_xcpt_ae_inst) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_xcpt_ae_inst_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N101), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_xcpt_ae_inst) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__xcpt_ae_inst_reg ( 
+        .D(n85398), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__xcpt_ae_inst) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rvc_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N387), .DE(n86309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rvc) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rvc_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rvc), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rvc) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_xcpt_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N390), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_xcpt) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_alu1_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N357), .DE(n86309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_alu1[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_alu_fn_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N361), .DE(n86309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_alu1_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N358), .DE(n86309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_alu1[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_alu2_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N349), .DE(n86310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_alu2[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_alu_fn_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N360), .DE(n86310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_alu_fn_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N362), .DE(n86310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_alu2_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N350), .DE(n86309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_alu2[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_wdata_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[3]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_wdata_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_int_wdata[3]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_param_reg_0__0_ ( 
+        .D(n85150), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_param_0__0_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_param_reg_1__0_ ( 
+        .D(n85150), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_param_1__0_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_reg_1__1_ ( 
+        .D(n85151), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_reg_0__1_ ( 
+        .D(n85151), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_opcode_reg_0__1_ ( 
+        .D(n85152), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_opcode[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_opcode_reg_1__1_ ( 
+        .D(n85152), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_opcode[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_reg_1__2_ ( 
+        .D(n85153), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_reg_0__2_ ( 
+        .D(n85153), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_opcode_reg_0__2_ ( 
+        .D(n85154), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_opcode[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_opcode_reg_1__2_ ( 
+        .D(n85154), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_opcode[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_size_reg_0__1_ ( 
+        .D(n85160), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_size[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_size_reg_1__1_ ( 
+        .D(n85160), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_size_reg_0__1_ ( 
+        .D(n85161), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_size[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_size_reg_1__1_ ( 
+        .D(n85161), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_size[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N621), .DE(n36490), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N622), .DE(n36490), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N623), .DE(n36490), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N624), .DE(n36490), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N625), .DE(n36490), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N626), .DE(n36490), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N627), .DE(n36490), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N628), .DE(n36490), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_reg_0__0_ ( 
+        .D(n85163), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_reg_1__0_ ( 
+        .D(n85163), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_corrupt_reg_0_ ( 
+        .D(n85164), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_corrupt[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_corrupt_reg_1_ ( 
+        .D(n85164), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_corrupt[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_accruedRefillError_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_array_RW0_wdata_0_18_), .DE(n86595), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_accruedRefillError) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_denied_reg_0_ ( 
+        .D(n85166), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_denied[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_denied_reg_1_ ( 
+        .D(n85166), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_denied[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_valid_reg ( 
+        .D(n85488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_valid) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec_reg_0_ ( 
+        .D(n85630), .DE(n86332), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec_reg_2_ ( 
+        .D(n85822), .DE(n86332), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec_reg_3_ ( 
+        .D(n85823), .DE(n86332), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec_reg_4_ ( 
+        .D(n85751), .DE(n86332), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec_reg_5_ ( 
+        .D(n85764), .DE(n86332), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec_reg_7_ ( 
+        .D(n85631), .DE(n86332), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec_reg_8_ ( 
+        .D(n85591), .DE(n86332), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec_reg_9_ ( 
+        .D(n85877), .DE(n86332), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec_reg_11_ ( 
+        .D(n85794), .DE(n86332), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec_reg_15_ ( 
+        .D(n85747), .DE(n86332), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec_reg_17_ ( 
+        .D(n85742), .DE(n86332), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec_reg_18_ ( 
+        .D(n85748), .DE(n86332), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec_reg_19_ ( 
+        .D(n85552), .DE(n86332), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec_reg_20_ ( 
+        .D(n85749), .DE(n86332), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec_reg_21_ ( 
+        .D(n85750), .DE(n86332), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec_reg_23_ ( 
+        .D(n85596), .DE(n86332), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec_reg_24_ ( 
+        .D(n85641), .DE(n86332), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec_reg_27_ ( 
+        .D(n85632), .DE(n86332), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_scounteren_reg_0_ ( 
+        .D(n85630), .DE(n86587), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_scounteren[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_scounteren_reg_2_ ( 
+        .D(n85822), .DE(n86587), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_scounteren[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mcounteren_reg_0_ ( 
+        .D(n85630), .DE(n86588), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_mcounteren[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mcounteren_reg_2_ ( 
+        .D(n85822), .DE(n86588), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_mcounteren[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch_reg_0_ ( 
+        .D(n85630), .DE(n86117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch_reg_2_ ( 
+        .D(n85822), .DE(n86117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch_reg_3_ ( 
+        .D(n85823), .DE(n86117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch_reg_4_ ( 
+        .D(n85751), .DE(n86117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch_reg_5_ ( 
+        .D(n85764), .DE(n86117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch_reg_7_ ( 
+        .D(n85631), .DE(n86117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch_reg_8_ ( 
+        .D(n85591), .DE(n86117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch_reg_9_ ( 
+        .D(n85877), .DE(n86117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch_reg_11_ ( 
+        .D(n85794), .DE(n86117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch_reg_15_ ( 
+        .D(n85747), .DE(n86117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch_reg_17_ ( 
+        .D(n85742), .DE(n86117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch_reg_18_ ( 
+        .D(n85748), .DE(n86117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch_reg_19_ ( 
+        .D(n85552), .DE(n86117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch_reg_20_ ( 
+        .D(n85749), .DE(n86117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch_reg_21_ ( 
+        .D(n85750), .DE(n86117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch_reg_23_ ( 
+        .D(n85596), .DE(n86117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch_reg_24_ ( 
+        .D(n85641), .DE(n86117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch_reg_27_ ( 
+        .D(n85632), .DE(n86117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mip_seip_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__new_mip_T_8_9_), .DE(n86492), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__read_hvip_T_9_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mip_stip_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__new_mip_T_8_5), .DE(n86492), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__read_hvip_T_5) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N718), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N747), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N722), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N747), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N726), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N747), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch_reg_0_ ( 
+        .D(n85630), .DE(n86116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch_reg_2_ ( 
+        .D(n85822), .DE(n86116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch_reg_3_ ( 
+        .D(n85823), .DE(n86116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch_reg_4_ ( 
+        .D(n85751), .DE(n86116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch_reg_5_ ( 
+        .D(n85764), .DE(n86116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch_reg_7_ ( 
+        .D(n85631), .DE(n86116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch_reg_8_ ( 
+        .D(n85591), .DE(n86116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch_reg_9_ ( 
+        .D(n85877), .DE(n86116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch_reg_11_ ( 
+        .D(n85794), .DE(n86116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch_reg_15_ ( 
+        .D(n85747), .DE(n86116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch_reg_17_ ( 
+        .D(n85742), .DE(n86116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch_reg_18_ ( 
+        .D(n85748), .DE(n86116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch_reg_19_ ( 
+        .D(n85552), .DE(n86116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch_reg_20_ ( 
+        .D(n85749), .DE(n86116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch_reg_21_ ( 
+        .D(n85750), .DE(n86116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch_reg_23_ ( 
+        .D(n85596), .DE(n86116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch_reg_24_ ( 
+        .D(n85641), .DE(n86116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch_reg_27_ ( 
+        .D(n85632), .DE(n86116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_medeleg_reg_0_ ( 
+        .D(n85630), .DE(n86576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_0) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_medeleg_reg_2_ ( 
+        .D(n85822), .DE(n86576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_2) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_medeleg_reg_3_ ( 
+        .D(n85823), .DE(n86576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_3) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_medeleg_reg_4_ ( 
+        .D(n85751), .DE(n86576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_4) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_medeleg_reg_8_ ( 
+        .D(n85591), .DE(n86576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_8) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_medeleg_reg_15_ ( 
+        .D(n85747), .DE(n86576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_15) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_medeleg_reg_20_ ( 
+        .D(n85749), .DE(n86576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_medeleg_reg_21_ ( 
+        .D(n85750), .DE(n86576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_medeleg_reg_23_ ( 
+        .D(n85596), .DE(n86576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_custom_0_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1354), .DE(n36583), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_ptw_customCSRs_csrs_0_value_9_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_tselect_reg ( 
+        .D(n85630), .DE(n36579), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_tselect) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mcause_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mcause[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_misa_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1312), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_isa[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_49_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1559), .DE(n36581), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_47_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1557), .DE(n36581), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_46_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1556), .DE(n36581), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_37_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1547), .DE(n36581), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_33_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1543), .DE(n36581), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1540), .DE(n36581), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1538), .DE(n36581), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1536), .DE(n36581), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1511), .DE(n36580), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1512), .DE(n36580), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1513), .DE(n36580), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1515), .DE(n36580), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1521), .DE(n36580), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1524), .DE(n36580), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1525), .DE(n36580), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1527), .DE(n36580), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1528), .DE(n36580), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1541), .DE(n36581), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_34_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1544), .DE(n36581), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_35_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1545), .DE(n36581), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_43_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1553), .DE(n36581), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_50_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1560), .DE(n36581), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_addr_reg_0_ ( 
+        .D(n85630), .DE(n85955), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_addr_reg_2_ ( 
+        .D(n85822), .DE(n85955), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_addr_reg_3_ ( 
+        .D(n85823), .DE(n85955), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_addr_reg_4_ ( 
+        .D(n85751), .DE(n85955), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_addr_reg_5_ ( 
+        .D(n85764), .DE(n85955), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_addr_reg_7_ ( 
+        .D(n85631), .DE(n85955), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_addr_reg_8_ ( 
+        .D(n85591), .DE(n85955), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_addr_reg_9_ ( 
+        .D(n85877), .DE(n85955), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_addr_reg_11_ ( 
+        .D(n85794), .DE(n85955), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_addr_reg_15_ ( 
+        .D(n85747), .DE(n85955), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_addr_reg_17_ ( 
+        .D(n85742), .DE(n85955), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_addr_reg_18_ ( 
+        .D(n85748), .DE(n85955), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_addr_reg_19_ ( 
+        .D(n85552), .DE(n85955), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_addr_reg_20_ ( 
+        .D(n85749), .DE(n85955), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_addr_reg_21_ ( 
+        .D(n85750), .DE(n85955), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_addr_reg_23_ ( 
+        .D(n85596), .DE(n85955), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_addr_reg_24_ ( 
+        .D(n85641), .DE(n85955), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_addr_reg_27_ ( 
+        .D(n85632), .DE(n85955), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1248), .DE(n86301), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1249), .DE(n86301), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1250), .DE(n86301), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1252), .DE(n86301), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1258), .DE(n86301), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1261), .DE(n86301), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1262), .DE(n86301), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1264), .DE(n86301), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1265), .DE(n86301), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1273), .DE(n36576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1275), .DE(n36576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1277), .DE(n36576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1278), .DE(n36576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_33_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1280), .DE(n36576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_34_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1281), .DE(n36576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_35_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1282), .DE(n36576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_37_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1284), .DE(n36576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_43_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1290), .DE(n36576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_46_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1293), .DE(n36576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_47_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1294), .DE(n36576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_49_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1296), .DE(n36576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_50_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1297), .DE(n36576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch_reg_0_ ( 
+        .D(n85630), .DE(n86575), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch_reg_2_ ( 
+        .D(n85822), .DE(n86575), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch_reg_3_ ( 
+        .D(n85823), .DE(n86575), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch_reg_4_ ( 
+        .D(n85751), .DE(n86575), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch_reg_5_ ( 
+        .D(n85764), .DE(n86575), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch_reg_7_ ( 
+        .D(n85631), .DE(n86575), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch_reg_8_ ( 
+        .D(n85591), .DE(n86575), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch_reg_9_ ( 
+        .D(n85877), .DE(n86575), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch_reg_11_ ( 
+        .D(n85794), .DE(n86575), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch_reg_15_ ( 
+        .D(n85747), .DE(n86575), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch_reg_17_ ( 
+        .D(n85742), .DE(n86575), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch_reg_18_ ( 
+        .D(n85748), .DE(n86575), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch_reg_19_ ( 
+        .D(n85552), .DE(n86575), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch_reg_20_ ( 
+        .D(n85749), .DE(n86575), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch_reg_21_ ( 
+        .D(n85750), .DE(n86575), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch_reg_23_ ( 
+        .D(n85596), .DE(n86575), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch_reg_24_ ( 
+        .D(n85641), .DE(n86575), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch_reg_27_ ( 
+        .D(n85632), .DE(n86575), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[27]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1143), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1146), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1147), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[4]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1148), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[5]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1150), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[7]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1151), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[8]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1152), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[9]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1154), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[11]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1158), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[15]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1160), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[17]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1163), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[20]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1164), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[21]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1166), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[23]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1167), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[24]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1170), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[27]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval_reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1174), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[31]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_scause_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1082), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_scause[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1017), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1018), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1019), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[4]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1020), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[5]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1022), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[7]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1023), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[8]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1024), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[9]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1026), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[11]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[15]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1032), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[17]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1034), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[19]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1035), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[20]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1036), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[21]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1038), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[23]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1039), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[24]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1042), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[27]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc_reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1046), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[31]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N917), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[4]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N922), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[5]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N924), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[7]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N925), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[8]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N926), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[9]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N928), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[11]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N932), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[15]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N934), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[17]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[20]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N789), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N790), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N791), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[4]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[5]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N794), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[7]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[8]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N796), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[9]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N798), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[11]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N802), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[15]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N804), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[17]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N806), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[19]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N807), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[20]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N808), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[21]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N810), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[23]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N811), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[24]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[27]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc_reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[31]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N419), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N420), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N421), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[4]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N422), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[5]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N424), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[7]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N425), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[8]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N426), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[9]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N428), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[11]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N437), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[20]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N438), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[21]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N440), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[23]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N441), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[24]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N444), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[27]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc_reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mideleg_reg_5_ ( 
+        .D(n85764), .DE(n86493), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_mideleg_5) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N720), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N747), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mideleg_reg_9_ ( 
+        .D(n85877), .DE(n86493), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_mideleg_9_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_misa_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1318), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_isa[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_misa_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1328), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_isa[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_misa_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1330), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_isa[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_misa_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1333), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_isa[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_misa_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1340), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_isa[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mcountinhibit_reg_2_ ( 
+        .D(n85176), .DE(n36582), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mcountinhibit_2_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_small__reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1181), .DE(n86301), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mcountinhibit_reg_0_ ( 
+        .D(n85175), .DE(n36582), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_inhibit_cycle) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mstatus_tw_reg ( 
+        .D(n85623), .DE(n36578), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_tw) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dcsr_step_reg ( 
+        .D(n85177), .DE(n36577), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_lo_6_2) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dcsr_ebreakm_reg ( 
+        .D(n85170), .DE(n36577), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__causeIsDebugBreak_T_3_3) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_cfg_x_reg ( 
+        .D(n85822), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N580), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_cfg_x) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_cfg_r_reg ( 
+        .D(n85630), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N580), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_cfg_r) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_cfg_l_reg ( 
+        .D(n85173), .DE(n36571), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_cfg_l) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_cfg_a_reg_1_ ( 
+        .D(n85174), .DE(n36571), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_cfg_a[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_cfg_a_reg_0_ ( 
+        .D(n85167), .DE(n36571), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_cfg_a[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_cfg_x_reg ( 
+        .D(n85748), .DE(n86495), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_cfg_x) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_cfg_l_reg ( 
+        .D(n85172), .DE(n36573), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_cfg_l) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_cfg_a_reg_1_ ( 
+        .D(n85599), .DE(n36573), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_cfg_a[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_cfg_a_reg_0_ ( 
+        .D(n85168), .DE(n36573), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_cfg_a[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_cfg_r_reg ( 
+        .D(n85641), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N634), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_cfg_r) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_cfg_l_reg ( 
+        .D(n85533), .DE(n36574), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_cfg_l) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_cfg_w_reg ( 
+        .D(n85169), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N598), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_cfg_w) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_cfg_r_reg ( 
+        .D(n85591), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N598), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_cfg_r) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_cfg_l_reg ( 
+        .D(n85170), .DE(n36572), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_cfg_l) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_cfg_a_reg_0_ ( 
+        .D(n85171), .DE(n36572), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_cfg_a[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_addr_reg_0_ ( 
+        .D(n85630), .DE(n86336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_addr_reg_2_ ( 
+        .D(n85822), .DE(n86336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_addr_reg_3_ ( 
+        .D(n85823), .DE(n86336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_addr_reg_4_ ( 
+        .D(n85751), .DE(n86336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_addr_reg_5_ ( 
+        .D(n85764), .DE(n86336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_addr_reg_7_ ( 
+        .D(n85631), .DE(n86336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_addr_reg_8_ ( 
+        .D(n85591), .DE(n86336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_addr_reg_9_ ( 
+        .D(n85877), .DE(n86336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_addr_reg_11_ ( 
+        .D(n85794), .DE(n86336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_addr_reg_15_ ( 
+        .D(n85747), .DE(n86336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_addr_reg_17_ ( 
+        .D(n85742), .DE(n86336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_addr_reg_18_ ( 
+        .D(n85748), .DE(n86336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_addr_reg_19_ ( 
+        .D(n85552), .DE(n86336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_addr_reg_20_ ( 
+        .D(n85749), .DE(n86336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_addr_reg_21_ ( 
+        .D(n85750), .DE(n86336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_addr_reg_23_ ( 
+        .D(n85596), .DE(n86336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_addr_reg_24_ ( 
+        .D(n85641), .DE(n86336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_addr_reg_27_ ( 
+        .D(n85632), .DE(n86336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_cfg_x_reg ( 
+        .D(n85748), .DE(n86585), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_x) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_cfg_l_reg ( 
+        .D(n85172), .DE(n36561), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_l) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_cfg_a_reg_1_ ( 
+        .D(n85599), .DE(n36561), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_a[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_cfg_x_reg ( 
+        .D(n85822), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N652), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_x) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_cfg_r_reg ( 
+        .D(n85630), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N652), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_r) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_cfg_l_reg ( 
+        .D(n85173), .DE(n36559), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_l) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_cfg_a_reg_1_ ( 
+        .D(n85174), .DE(n36559), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_a[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec_reg_0_ ( 
+        .D(n85175), .DE(n86401), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec_reg_2_ ( 
+        .D(n85176), .DE(n86401), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N953), .DE(n86401), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec_reg_4_ ( 
+        .D(n85917), .DE(n36558), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N955), .DE(n86401), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N957), .DE(n86401), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N958), .DE(n86401), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec_reg_9_ ( 
+        .D(n86494), .DE(n86401), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec_reg_11_ ( 
+        .D(n86108), .DE(n86401), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec_reg_15_ ( 
+        .D(n86586), .DE(n86401), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec_reg_17_ ( 
+        .D(n86570), .DE(n86401), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec_reg_19_ ( 
+        .D(n86099), .DE(n86401), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec_reg_20_ ( 
+        .D(n86106), .DE(n86401), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec_reg_21_ ( 
+        .D(n86105), .DE(n86401), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec_reg_23_ ( 
+        .D(n86101), .DE(n86401), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec_reg_24_ ( 
+        .D(n86574), .DE(n86401), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec_reg_27_ ( 
+        .D(n86104), .DE(n86401), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[27]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_cease_r_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1377), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_cease) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_fence_i_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__GEN_80), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_fence_i) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_ctrl_fence_i_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_fence_i), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_ctrl_fence_i) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_singleStepped_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N353), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_singleStepped) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_address_reg_0_ ( 
+        .D(n85630), .DE(n86420), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_address_reg_27_ ( 
+        .D(n85632), .DE(n86420), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_address_reg_24_ ( 
+        .D(n85641), .DE(n86420), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_address_reg_23_ ( 
+        .D(n85596), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N512), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_address_reg_21_ ( 
+        .D(n85750), .DE(n86420), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_address_reg_20_ ( 
+        .D(n85749), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N512), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_address_reg_19_ ( 
+        .D(n85552), .DE(n86420), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_address_reg_18_ ( 
+        .D(n85748), .DE(n86420), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_address_reg_17_ ( 
+        .D(n85742), .DE(n86420), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_address_reg_15_ ( 
+        .D(n85747), .DE(n86420), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_address_reg_11_ ( 
+        .D(n85794), .DE(n86420), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_address_reg_9_ ( 
+        .D(n85877), .DE(n86420), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_address_reg_8_ ( 
+        .D(n85591), .DE(n86420), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_address_reg_7_ ( 
+        .D(n85631), .DE(n86420), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_address_reg_5_ ( 
+        .D(n85764), .DE(n86420), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_address_reg_4_ ( 
+        .D(n85751), .DE(n86420), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_address_reg_3_ ( 
+        .D(n85823), .DE(n86420), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_address_reg_2_ ( 
+        .D(n85822), .DE(n86420), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_control_tmatch_reg_0_ ( 
+        .D(n85631), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N475), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_tmatch[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_control_tmatch_reg_1_ ( 
+        .D(n85591), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N475), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_tmatch[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_control_s_reg ( 
+        .D(n85751), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N475), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_s) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_control_u_reg ( 
+        .D(n85823), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N475), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_u) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_control_dmode_reg ( 
+        .D(n85643), .DE(n36346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__newBPC_T_27_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_control_chain_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N471), .DE(n36346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_chain) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_control_dmode_reg ( 
+        .D(n85489), .DE(n36347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__newBPC_T_24_27_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_address_reg_0_ ( 
+        .D(n85630), .DE(n86421), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_address_reg_27_ ( 
+        .D(n85632), .DE(n86421), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_address_reg_24_ ( 
+        .D(n85641), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_address_reg_23_ ( 
+        .D(n85596), .DE(n86421), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_address_reg_21_ ( 
+        .D(n85750), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_address_reg_20_ ( 
+        .D(n85749), .DE(n86421), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_address_reg_19_ ( 
+        .D(n85552), .DE(n86421), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_address_reg_17_ ( 
+        .D(n85742), .DE(n86421), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_address_reg_15_ ( 
+        .D(n85747), .DE(n86421), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_address_reg_11_ ( 
+        .D(n85794), .DE(n86421), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_address_reg_9_ ( 
+        .D(n85877), .DE(n86421), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_address_reg_8_ ( 
+        .D(n85591), .DE(n86421), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_address_reg_7_ ( 
+        .D(n85631), .DE(n86421), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_address_reg_5_ ( 
+        .D(n85764), .DE(n86421), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_address_reg_4_ ( 
+        .D(n85751), .DE(n86421), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_address_reg_3_ ( 
+        .D(n85823), .DE(n86421), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_address_reg_2_ ( 
+        .D(n85822), .DE(n86421), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_control_tmatch_reg_0_ ( 
+        .D(n85631), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N530), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_tmatch[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_control_tmatch_reg_1_ ( 
+        .D(n85591), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N530), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_tmatch[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_control_s_reg ( 
+        .D(n85751), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N530), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_s) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_control_u_reg ( 
+        .D(n85823), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N530), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_u) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_control_x_reg ( 
+        .D(n85177), .DE(n36347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_x) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_control_r_reg ( 
+        .D(n85178), .DE(n36347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_r) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_control_x_reg ( 
+        .D(n85177), .DE(n36346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_x) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_control_r_reg ( 
+        .D(n85178), .DE(n36346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_r) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_flush_pipe_reg ( 
+        .D(n86095), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_flush_pipe) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_xcpt_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N496), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_xcpt) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_scause_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1083), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_scause[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dcsr_cause_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N378), .DE(n36274), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_lo_6[6]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_scause_reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1110), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_scause[31]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_replay_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N497), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_replay) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_isValid_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_isValid), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_isValid) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_history_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1658), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_bht_history_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[7]), .DE(n86306), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_bht_history_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history[7]), .DE(n86289), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_bht_history[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_bht_history_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N279), .DE(n86438), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_bht_history[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_bht_history_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N198), .DE(n86432), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_bht_history[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_bht_history_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N117), .DE(n86426), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_bht_history[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_bht_history_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N36), .DE(n86299), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_bht_history[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_bht_history_reg_7_ ( 
+        .D(n85651), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_bht_history[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_bht_history_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_io_btb_resp_bht_history[7]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_bht_history[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_btb_resp_bht_history_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_bht_history[7]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[7]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_history_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1657), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_bht_history_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[6]), .DE(n86306), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_bht_history_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history[6]), .DE(n86294), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_bht_history[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_bht_history_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N278), .DE(n86438), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_bht_history[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_bht_history_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N197), .DE(n86432), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_bht_history[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_bht_history_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N116), .DE(n86426), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_bht_history[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_bht_history_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N35), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_bht_history[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_bht_history_reg_6_ ( 
+        .D(n85652), .DE(n86397), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_bht_history[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_bht_history_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_io_btb_resp_bht_history[6]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_bht_history[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_btb_resp_bht_history_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_bht_history[6]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[6]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_history_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1656), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_bht_history_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[5]), .DE(n86306), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_bht_history_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history[5]), .DE(n86291), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_bht_history[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_bht_history_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N277), .DE(n86437), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_bht_history[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_bht_history_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N196), .DE(n86431), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_bht_history[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_bht_history_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N115), .DE(n86425), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_bht_history[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_bht_history_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N34), .DE(n86299), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_bht_history[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_bht_history_reg_5_ ( 
+        .D(n85653), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_bht_history[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_bht_history_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_io_btb_resp_bht_history[5]), .DE(n86423), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_bht_history[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_btb_resp_bht_history_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_bht_history[5]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[5]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_history_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1655), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_bht_history_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[4]), .DE(n86306), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_bht_history_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history[4]), .DE(n86290), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_bht_history[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_bht_history_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N276), .DE(n86437), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_bht_history[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_bht_history_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N195), .DE(n86431), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_bht_history[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_bht_history_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N114), .DE(n86425), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_bht_history[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_bht_history_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N33), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_bht_history[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_bht_history_reg_4_ ( 
+        .D(n85654), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_bht_history[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_bht_history_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_io_btb_resp_bht_history[4]), .DE(n86422), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_bht_history[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_btb_resp_bht_history_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_bht_history[4]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[4]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_history_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1654), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_bht_history_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[3]), .DE(n86306), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_bht_history_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history[3]), .DE(n86296), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_bht_history[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_bht_history_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N275), .DE(n86437), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_bht_history[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_bht_history_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N194), .DE(n86431), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_bht_history[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_bht_history_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N113), .DE(n86425), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_bht_history[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_bht_history_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N32), .DE(n86299), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_bht_history[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_bht_history_reg_3_ ( 
+        .D(n85655), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_bht_history[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_bht_history_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_io_btb_resp_bht_history[3]), .DE(n86423), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_bht_history[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_btb_resp_bht_history_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_bht_history[3]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_history_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1653), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_bht_history_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[2]), .DE(n86306), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_bht_history_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history[2]), .DE(n86288), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_bht_history[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_bht_history_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N274), .DE(n86437), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_bht_history[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_bht_history_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N193), .DE(n86431), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_bht_history[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_bht_history_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N112), .DE(n86425), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_bht_history[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_bht_history_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N31), .DE(n86298), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_bht_history[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_bht_history_reg_2_ ( 
+        .D(n85656), .DE(n86397), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_bht_history[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_bht_history_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_io_btb_resp_bht_history[2]), .DE(n86422), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_bht_history[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_btb_resp_bht_history_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_bht_history[2]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_history_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1652), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_bht_history_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[1]), .DE(n86306), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_bht_history_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history[1]), .DE(n86289), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_bht_history[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_bht_history_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N273), .DE(n86437), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_bht_history[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_bht_history_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N192), .DE(n86431), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_bht_history[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_bht_history_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N111), .DE(n86425), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_bht_history[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_bht_history_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N30), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_bht_history[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_bht_history_reg_1_ ( 
+        .D(n85657), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_bht_history[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_bht_history_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_io_btb_resp_bht_history[1]), .DE(n86424), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_bht_history[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_btb_resp_bht_history_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_bht_history[1]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_history_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1651), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_bht_history_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[0]), .DE(n86306), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_bht_history_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history[0]), .DE(n86290), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_bht_history[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_bht_history_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N272), .DE(n86437), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_bht_history[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_bht_history_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N191), .DE(n86431), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_bht_history[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_bht_history_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N110), .DE(n86425), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_bht_history[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_bht_history_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N29), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_bht_history[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_bht_history_reg_0_ ( 
+        .D(n85658), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_bht_history[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_bht_history_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_io_btb_resp_bht_history[0]), .DE(n86423), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_bht_history[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_btb_resp_bht_history_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_bht_history[0]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N23), .DE(n36289), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft_reg_0_ ( 
+        .D(n36298), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft_reg_1_ ( 
+        .D(n36297), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft_reg_2_ ( 
+        .D(n36296), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_state_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N188), .DE(n86482), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_state[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_state_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N187), .DE(n86482), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_state[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_fmt_iodir_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb_io_outer_fmt_iodir), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N102), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_fmt_iodir) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_no_merge_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N212), .DE(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N211), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_no_merge) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cnt_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N171), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cnt[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cnt_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N172), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cnt[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cnt_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N173), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cnt[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_fmt_proto_reg_0_ ( 
+        .D(n85840), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N102), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_fmt_proto[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_fmt_proto_reg_1_ ( 
+        .D(n85841), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N102), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_fmt_proto[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspi_ram_0_dq_3_i_spi_dq_3_sync_output_chain_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_io_pins_qspi_ram_dq_3_i_ival), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_qspi_ram_0_dq_3_i_spi_dq_3_sync_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspi_ram_0_dq_3_i_spi_dq_3_sync_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspi_ram_0_dq_3_i_spi_dq_3_sync_output_chain_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspi_ram_0_dq_3_i_spi_dq_3_sync_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspi_ram_0_dq_3_i_spi_dq_3_sync_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspi_ram_0_dq_3_i_spi_dq_3_sync_output_chain_sync_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspi_ram_0_dq_3_i_spi_dq_3_sync_io_q) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspi_ram_0_dq_2_i_spi_dq_2_sync_output_chain_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_io_pins_qspi_ram_dq_2_i_ival), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_qspi_ram_0_dq_2_i_spi_dq_2_sync_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspi_ram_0_dq_2_i_spi_dq_2_sync_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspi_ram_0_dq_2_i_spi_dq_2_sync_output_chain_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspi_ram_0_dq_2_i_spi_dq_2_sync_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspi_ram_0_dq_2_i_spi_dq_2_sync_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspi_ram_0_dq_2_i_spi_dq_2_sync_output_chain_sync_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspi_ram_0_dq_2_i_spi_dq_2_sync_io_q) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspi_ram_0_dq_1_i_spi_dq_1_sync_output_chain_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_io_pins_qspi_ram_dq_1_i_ival), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_qspi_ram_0_dq_1_i_spi_dq_1_sync_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspi_ram_0_dq_1_i_spi_dq_1_sync_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspi_ram_0_dq_1_i_spi_dq_1_sync_output_chain_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspi_ram_0_dq_1_i_spi_dq_1_sync_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspi_ram_0_dq_1_i_spi_dq_1_sync_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspi_ram_0_dq_1_i_spi_dq_1_sync_output_chain_sync_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspi_ram_0_dq_1_i_spi_dq_1_sync_io_q) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspi_ram_0_dq_0_i_spi_dq_0_sync_output_chain_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_io_pins_qspi_ram_dq_0_i_ival), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_qspi_ram_0_dq_0_i_spi_dq_0_sync_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspi_ram_0_dq_0_i_spi_dq_0_sync_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspi_ram_0_dq_0_i_spi_dq_0_sync_output_chain_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspi_ram_0_dq_0_i_spi_dq_0_sync_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspi_ram_0_dq_0_i_spi_dq_0_sync_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspi_ram_0_dq_0_i_spi_dq_0_sync_output_chain_sync_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspi_ram_0_dq_0_i_spi_dq_0_sync_io_q) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address_reg_1_ ( 
+        .D(n85179), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_0__1_ ( 
+        .D(n85180), .DE(n86449), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[204]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_1__1_ ( 
+        .D(n85180), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[175]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_2__1_ ( 
+        .D(n85180), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[146]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_3__1_ ( 
+        .D(n85180), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[117]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_4__1_ ( 
+        .D(n85180), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[88]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_5__1_ ( 
+        .D(n85180), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_6__1_ ( 
+        .D(n85180), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_7__1_ ( 
+        .D(n85180), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_1_ ( 
+        .D(n85181), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_address_reg_1_ ( 
+        .D(n85722), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address_reg_0_ ( 
+        .D(n85182), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_0__0_ ( 
+        .D(n85183), .DE(n86449), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[203]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_1__0_ ( 
+        .D(n85183), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[174]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_2__0_ ( 
+        .D(n85183), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[145]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_3__0_ ( 
+        .D(n85183), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[116]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_4__0_ ( 
+        .D(n85183), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[87]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_5__0_ ( 
+        .D(n85183), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_6__0_ ( 
+        .D(n85183), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address_reg_7__0_ ( 
+        .D(n85183), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address_reg_0_ ( 
+        .D(n85184), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_address_reg_0_ ( 
+        .D(n85721), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_size_reg_0_ ( 
+        .D(n85185), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size_reg_0__0_ ( 
+        .D(n85464), .DE(n86449), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size_reg_1__0_ ( 
+        .D(n85464), .DE(n36837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size_reg_2__0_ ( 
+        .D(n85464), .DE(n36836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size_reg_3__0_ ( 
+        .D(n85464), .DE(n86448), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size_reg_4__0_ ( 
+        .D(n85464), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size_reg_5__0_ ( 
+        .D(n85464), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size_reg_6__0_ ( 
+        .D(n85464), .DE(n37030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size_reg_7__0_ ( 
+        .D(n85464), .DE(n37031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_size_reg_0_ ( 
+        .D(n85186), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_value_1_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N101), .DE(n36285), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_value_1_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N102), .DE(n36285), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_value_1_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N103), .DE(n36285), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_value_1_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N104), .DE(n36285), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data_reg_8_ ( 
+        .D(n85187), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data_reg_16_ ( 
+        .D(n85188), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data_reg_17_ ( 
+        .D(n85189), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data_reg_18_ ( 
+        .D(n85190), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data_reg_19_ ( 
+        .D(n85191), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data_reg_13_ ( 
+        .D(n85192), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data_reg_21_ ( 
+        .D(n85193), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data_reg_31_ ( 
+        .D(n85194), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data_reg_15_ ( 
+        .D(n85195), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_maybe_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N109), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N108), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_maybe_full) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_uncachedInFlight_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N632), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_valid_cached_miss_T_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_blocked_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N625), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_blocked) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_grantInProgress_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N494), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N493), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_grantInProgress) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N812), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N810), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N813), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N810), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N814), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N810), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N815), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N810), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N816), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N810), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N817), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N810), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N818), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N810), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_size_reg_0__0_ ( 
+        .D(n85896), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_size[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_size_reg_1__0_ ( 
+        .D(n85896), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_size_reg_0__0_ ( 
+        .D(n85201), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_size[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_size_reg_1__0_ ( 
+        .D(n85201), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_size[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N189), .DE(n36305), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N187), .DE(n36305), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N188), .DE(n36305), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N190), .DE(n36305), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N191), .DE(n36305), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N192), .DE(n36305), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N193), .DE(n36305), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N194), .DE(n36305), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter[7])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft_reg_0_ ( 
+        .D(n36342), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft_reg_1_ ( 
+        .D(n36341), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft_reg_2_ ( 
+        .D(n36340), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft_reg_3_ ( 
+        .D(n36339), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft_reg_4_ ( 
+        .D(n36338), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft[4]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft_reg_5_ ( 
+        .D(n36337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft[5]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft_reg_6_ ( 
+        .D(n36336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_d_first_counter_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_N158), .DE(n36366), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_d_first_counter[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_d_first_counter_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_N155), .DE(n36366), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_d_first_counter[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_d_first_counter_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_N156), .DE(n36366), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_d_first_counter[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_insn_data_proto_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N309), .DE(
+        n36143), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_insn_data_proto_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N310), .DE(
+        n36143), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_insn_addr_proto_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N281), .DE(
+        n36143), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_insn_addr_proto_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N280), .DE(
+        n36143), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_insn_cmd_proto_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N264), .DE(
+        n36143), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_insn_cmd_proto_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N263), .DE(
+        n36143), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_fmt_proto_reg_0_ ( 
+        .D(n85846), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N102), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_fmt_proto[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspi_0_dq_3_i_spi_dq_3_sync_output_chain_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_io_pins_qspi_dq_3_i_ival), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_qspi_0_dq_3_i_spi_dq_3_sync_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspi_0_dq_3_i_spi_dq_3_sync_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspi_0_dq_3_i_spi_dq_3_sync_output_chain_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspi_0_dq_3_i_spi_dq_3_sync_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspi_0_dq_3_i_spi_dq_3_sync_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspi_0_dq_3_i_spi_dq_3_sync_output_chain_sync_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspi_0_dq_3_i_spi_dq_3_sync_io_q) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspi_0_dq_2_i_spi_dq_2_sync_output_chain_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_io_pins_qspi_dq_2_i_ival), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_qspi_0_dq_2_i_spi_dq_2_sync_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspi_0_dq_2_i_spi_dq_2_sync_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspi_0_dq_2_i_spi_dq_2_sync_output_chain_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspi_0_dq_2_i_spi_dq_2_sync_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspi_0_dq_2_i_spi_dq_2_sync_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspi_0_dq_2_i_spi_dq_2_sync_output_chain_sync_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspi_0_dq_2_i_spi_dq_2_sync_io_q) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_insn_cmd_code_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N268), .DE(
+        n36141), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_insn_cmd_code_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N275), .DE(
+        n36141), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_insn_cmd_code_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N274), .DE(
+        n36141), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_insn_cmd_code_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N273), .DE(
+        n36141), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_insn_cmd_code_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N272), .DE(
+        n36141), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_insn_cmd_code_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N270), .DE(
+        n36141), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_insn_cmd_code_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N269), .DE(
+        n36141), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_insn_pad_code_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N298), .DE(
+        n36137), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_insn_pad_code_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N297), .DE(
+        n36137), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_insn_pad_code_reg_5_ ( 
+        .D(n85991), .DE(n36137), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_insn_pad_code_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N295), .DE(
+        n36137), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_insn_pad_code_reg_2_ ( 
+        .D(n85978), .DE(n36137), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_insn_pad_code_reg_1_ ( 
+        .D(n85977), .DE(n36137), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_3_reg_1_ ( 
+        .D(n85291), .DE(n61023), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_3_reg_2_ ( 
+        .D(n85527), .DE(n61023), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_3_reg_3_ ( 
+        .D(n85290), .DE(n61023), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_3_reg_4_ ( 
+        .D(n85287), .DE(n61023), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_3_reg_5_ ( 
+        .D(n85576), .DE(n61023), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_3_reg_6_ ( 
+        .D(n85286), .DE(n61023), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_3_reg_7_ ( 
+        .D(n85285), .DE(n61023), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_3_reg_8_ ( 
+        .D(n85573), .DE(n61023), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_3_reg_9_ ( 
+        .D(n85669), .DE(n61023), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_3_reg_11_ ( 
+        .D(n85670), .DE(n61023), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_3_reg_12_ ( 
+        .D(n85571), .DE(n61023), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_3_reg_13_ ( 
+        .D(n85577), .DE(n61023), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_3_reg_14_ ( 
+        .D(n85580), .DE(n61023), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_3_reg_15_ ( 
+        .D(n85671), .DE(n61023), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_3_reg_0_ ( 
+        .D(n85487), .DE(n61023), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_2_reg_1_ ( 
+        .D(n85291), .DE(n61019), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_2_reg_2_ ( 
+        .D(n85527), .DE(n61019), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_2_reg_3_ ( 
+        .D(n85756), .DE(n61019), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_2_reg_4_ ( 
+        .D(n85287), .DE(n61019), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_2_reg_5_ ( 
+        .D(n85576), .DE(n61019), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_2_reg_6_ ( 
+        .D(n85286), .DE(n61019), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_2_reg_7_ ( 
+        .D(n85285), .DE(n61019), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_2_reg_8_ ( 
+        .D(n85573), .DE(n61019), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_2_reg_9_ ( 
+        .D(n85669), .DE(n61019), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_2_reg_11_ ( 
+        .D(n85670), .DE(n61019), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_2_reg_12_ ( 
+        .D(n85571), .DE(n61019), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_2_reg_13_ ( 
+        .D(n85577), .DE(n61019), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_2_reg_14_ ( 
+        .D(n85580), .DE(n61019), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_2_reg_15_ ( 
+        .D(n85671), .DE(n61019), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_2_reg_0_ ( 
+        .D(n85487), .DE(n61019), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_1_reg_1_ ( 
+        .D(n85291), .DE(n61026), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_1_reg_2_ ( 
+        .D(n85527), .DE(n61026), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_1_reg_3_ ( 
+        .D(n85756), .DE(n61026), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_1_reg_4_ ( 
+        .D(n85287), .DE(n61026), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_1_reg_5_ ( 
+        .D(n85576), .DE(n61026), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_1_reg_6_ ( 
+        .D(n85286), .DE(n61026), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_1_reg_7_ ( 
+        .D(n85285), .DE(n61026), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_1_reg_8_ ( 
+        .D(n85573), .DE(n61026), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_1_reg_9_ ( 
+        .D(n85669), .DE(n61026), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_1_reg_11_ ( 
+        .D(n85670), .DE(n61026), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_1_reg_12_ ( 
+        .D(n85571), .DE(n61026), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_1_reg_13_ ( 
+        .D(n85577), .DE(n61026), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_1_reg_14_ ( 
+        .D(n85580), .DE(n61026), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_1_reg_15_ ( 
+        .D(n85671), .DE(n61026), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_1_reg_0_ ( 
+        .D(n85487), .DE(n61026), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_0_reg_1_ ( 
+        .D(n85291), .DE(n61021), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_0_reg_2_ ( 
+        .D(n85527), .DE(n61021), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_0_reg_3_ ( 
+        .D(n85290), .DE(n61021), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_0_reg_4_ ( 
+        .D(n85287), .DE(n61021), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_0_reg_5_ ( 
+        .D(n85576), .DE(n61021), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_0_reg_6_ ( 
+        .D(n85286), .DE(n61021), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_0_reg_7_ ( 
+        .D(n85285), .DE(n61021), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_0_reg_8_ ( 
+        .D(n85573), .DE(n61021), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_0_reg_9_ ( 
+        .D(n85669), .DE(n61021), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_0_reg_11_ ( 
+        .D(n85670), .DE(n61021), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_0_reg_12_ ( 
+        .D(n85571), .DE(n61021), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_0_reg_13_ ( 
+        .D(n85577), .DE(n61021), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_0_reg_14_ ( 
+        .D(n85580), .DE(n61021), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_0_reg_15_ ( 
+        .D(n85671), .DE(n61021), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_0_reg_0_ ( 
+        .D(n85487), .DE(n61021), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[0])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_small__reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N25), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[0])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_small__reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N26), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[1])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_large__reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N39), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[6])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_large__reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N40), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[7])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_large__reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N41), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[8])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_large__reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N42), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[9])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_large__reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N43), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[10]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_large__reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N44), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[11]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_large__reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N45), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[12]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_large__reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N46), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[13]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_large__reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N47), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[14]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_large__reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N48), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[15]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_large__reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N49), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[16]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_large__reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N50), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[17]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_large__reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N51), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[18]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_large__reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N52), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[19]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_large__reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N53), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[20]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_large__reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N54), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[21]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_large__reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N55), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[22]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_large__reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N56), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[23]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_large__reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N58), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[25]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_large__reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N59), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[26]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_large__reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N61), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[28]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_large__reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N62), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[29]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_large__reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N63), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[30]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_small__reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N27), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[2])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_small__reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_scale_reg_1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_scale_io_regs_cfg_write_scale), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__countReset_feed_T_1[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_scale_reg_2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_scale_io_regs_cfg_write_scale), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__countReset_feed_T_1[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_scale_reg_3_ ( 
+        .D(n85290), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_scale_io_regs_cfg_write_scale), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__countReset_feed_T_1[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_scale_reg_0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_scale_io_regs_cfg_write_scale), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__countReset_feed_T_1[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_gang_1_reg ( 
+        .D(n85835), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__gang_T_3), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_23[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_gang_2_reg ( 
+        .D(n85836), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__gang_T_3), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_23[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_zerocmp_reg ( 
+        .D(n85669), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_scale_io_regs_cfg_write_deglitch), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_7[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_ip_doSticky_x1_sticky_r_reg ( 
+        .D(n85573), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_scale_io_regs_cfg_write_deglitch), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_7[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_center_0_reg ( 
+        .D(n85589), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__elapsed_center_T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_center_1_reg ( 
+        .D(n85667), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__elapsed_center_T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_3_reg_1_ ( 
+        .D(n85291), .DE(n61037), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_3_reg_2_ ( 
+        .D(n85527), .DE(n61037), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_3_reg_3_ ( 
+        .D(n85290), .DE(n61037), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_3_reg_6_ ( 
+        .D(n85286), .DE(n61037), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_3_reg_7_ ( 
+        .D(n85285), .DE(n61037), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_3_reg_8_ ( 
+        .D(n85573), .DE(n61037), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_3_reg_9_ ( 
+        .D(n85669), .DE(n61037), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_3_reg_11_ ( 
+        .D(n85670), .DE(n61037), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_3_reg_12_ ( 
+        .D(n85571), .DE(n61037), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_3_reg_13_ ( 
+        .D(n85577), .DE(n61037), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_3_reg_14_ ( 
+        .D(n85580), .DE(n61037), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_3_reg_0_ ( 
+        .D(n85487), .DE(n61037), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_2_reg_1_ ( 
+        .D(n85291), .DE(n61038), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_2_reg_2_ ( 
+        .D(n85527), .DE(n61038), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_2_reg_3_ ( 
+        .D(n85290), .DE(n61038), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_2_reg_6_ ( 
+        .D(n85286), .DE(n61038), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_2_reg_7_ ( 
+        .D(n85285), .DE(n61038), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_2_reg_8_ ( 
+        .D(n85573), .DE(n61038), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_2_reg_9_ ( 
+        .D(n85669), .DE(n61038), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_2_reg_11_ ( 
+        .D(n85670), .DE(n61038), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_2_reg_12_ ( 
+        .D(n85571), .DE(n61038), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_2_reg_13_ ( 
+        .D(n85577), .DE(n61038), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_2_reg_14_ ( 
+        .D(n85580), .DE(n61038), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_2_reg_0_ ( 
+        .D(n85487), .DE(n61038), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_1_reg_1_ ( 
+        .D(n85291), .DE(n61040), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_1_reg_2_ ( 
+        .D(n85527), .DE(n61040), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_1_reg_3_ ( 
+        .D(n85290), .DE(n61040), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_1_reg_6_ ( 
+        .D(n85286), .DE(n61040), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_1_reg_7_ ( 
+        .D(n85285), .DE(n61040), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_1_reg_8_ ( 
+        .D(n85573), .DE(n61040), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_1_reg_9_ ( 
+        .D(n85669), .DE(n61040), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_1_reg_11_ ( 
+        .D(n85670), .DE(n61040), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_1_reg_12_ ( 
+        .D(n85571), .DE(n61040), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_1_reg_13_ ( 
+        .D(n85577), .DE(n61040), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_1_reg_14_ ( 
+        .D(n85580), .DE(n61040), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_1_reg_0_ ( 
+        .D(n85487), .DE(n61040), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_0_reg_1_ ( 
+        .D(n85291), .DE(n61043), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_0_reg_2_ ( 
+        .D(n85527), .DE(n61043), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_0_reg_3_ ( 
+        .D(n85290), .DE(n61043), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_0_reg_6_ ( 
+        .D(n85286), .DE(n61043), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_0_reg_7_ ( 
+        .D(n85285), .DE(n61043), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_0_reg_8_ ( 
+        .D(n85573), .DE(n61043), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_0_reg_9_ ( 
+        .D(n85669), .DE(n61043), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_0_reg_11_ ( 
+        .D(n85670), .DE(n61043), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_0_reg_12_ ( 
+        .D(n85571), .DE(n61043), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_0_reg_13_ ( 
+        .D(n85577), .DE(n61043), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_0_reg_14_ ( 
+        .D(n85580), .DE(n61043), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_0_reg_0_ ( 
+        .D(n85487), .DE(n61043), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[0])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_small__reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N25), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[0])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_small__reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N26), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[1])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_large__reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N39), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[6])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_large__reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N40), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[7])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_large__reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N41), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[8])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_large__reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N44), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[11])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_large__reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N45), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[12])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_large__reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N46), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[13])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_large__reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N47), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[14])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_large__reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N49), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[16])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_large__reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N54), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[21])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_large__reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N55), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[22])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_large__reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N56), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[23])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_large__reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N58), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[25])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_large__reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N61), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[28])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_large__reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N62), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[29])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_large__reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N63), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[30])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_large__reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N38), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[5])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_small__reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N27), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[2])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_small__reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_scale_reg_1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_scale_io_regs_cfg_write_scale), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_scale_reg_2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_scale_io_regs_cfg_write_scale), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_scale_reg_3_ ( 
+        .D(n85290), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_scale_io_regs_cfg_write_scale), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_scale_reg_0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_scale_io_regs_cfg_write_scale), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_gang_1_reg ( 
+        .D(n85835), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__gang_T_3), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_23[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_gang_2_reg ( 
+        .D(n85836), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__gang_T_3), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_23[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_zerocmp_reg ( 
+        .D(n85669), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_scale_io_regs_cfg_write_deglitch), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_7[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_ip_doSticky_x1_sticky_r_reg ( 
+        .D(n85573), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_scale_io_regs_cfg_write_deglitch), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_7[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_center_0_reg ( 
+        .D(n85589), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__elapsed_center_T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_center_1_reg ( 
+        .D(n85667), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__elapsed_center_T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_ie_txwm_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N71), 
+        .DE(n36136), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_8[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxen_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N45), 
+        .DE(n36135), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_prepend_T_7_0_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_debounce_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N21), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N58), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_debounce[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_next[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_next[12]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_next[11]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_next[10]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_next[9]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_next[8]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_next[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_next[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_next[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_next[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_next[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_next[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_next[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_sample_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_iof_0_iof_0_22_i_ival), .DE(n36628), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__sample_T[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_sample_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__sample_T[1]), .DE(n36628), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__sample_T[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_sample_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__sample_T[2]), .DE(n36628), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__sample_T[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_state_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N38), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N65), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_state)
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_data_count_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N25), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_data_count_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N26), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_data_count_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N27), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_data_count_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N28), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_sample_count_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N33), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_sample_count_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N32), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_sample_count_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N31), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_sample_count_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N30), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_shifter_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__shifter_T_1_7_), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N77), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_shifter_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N77), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_shifter_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N77), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_shifter_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N77), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_shifter_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N77), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_shifter_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N77), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_shifter_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N77), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_shifter_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N77), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_debounce_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N20), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N58), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_debounce[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_valid_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N46), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_valid) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_enq_ptr_value_reg_0_ ( 
+        .D(n85852), .DE(n36627), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_enq_ptr_value_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N40), 
+        .DE(n36627), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_3__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[32])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_3__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[39])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_3__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[38])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_3__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[37])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_3__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[36])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_3__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[35])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_3__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[34])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_3__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[33])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_enq_ptr_value_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N41), 
+        .DE(n36627), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_7__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_7__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_7__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_7__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_7__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_7__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_7__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_7__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_5__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_5__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_5__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_5__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_5__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_5__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_5__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_5__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_2__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[40])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_2__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[47])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_2__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[46])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_2__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[45])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_2__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[44])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_2__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[43])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_2__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[42])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_2__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[41])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_6__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_6__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_6__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_6__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_6__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_6__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_6__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_6__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_1__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[48])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_1__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[55])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_1__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[54])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_1__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[53])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_1__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[52])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_1__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[51])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_1__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[50])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_1__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[49])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_4__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_4__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_4__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_4__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_4__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_4__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_4__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_4__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_0__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[56])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_0__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[63])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_0__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[62])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_0__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[61])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_0__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[60])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_0__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[59])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_0__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[58])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_reg_0__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[57])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_maybe_full_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N52), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N51), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_deq_ptr_value_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N45), 
+        .DE(n36133), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_io_deq_bits_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_deq_ptr_value_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N46), 
+        .DE(n36133), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_io_deq_bits_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_deq_ptr_value_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N47), 
+        .DE(n36133), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_io_deq_bits_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txen_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N41), 
+        .DE(n36134), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_5[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_ie_txwm_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N71), 
+        .DE(n36120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_8[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxen_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N45), 
+        .DE(n36119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_prepend_T_7_0_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txen_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N41), 
+        .DE(n36118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_5[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_beatsLeft_reg_0_ ( 
+        .D(n36222), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_beatsLeft[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_beatsLeft_reg_1_ ( 
+        .D(n36221), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_beatsLeft[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_beatsLeft_reg_2_ ( 
+        .D(n36220), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_beatsLeft[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_ie_txwm_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N71), 
+        .DE(n36128), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_8[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxen_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N45), 
+        .DE(n36127), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_prepend_T_7_0_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txen_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N41), 
+        .DE(n36126), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_5[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_ie_txwm_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N71), 
+        .DE(n36112), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_8[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxen_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N45), 
+        .DE(n36111), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_prepend_T_7_0_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_debounce_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N21), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N58), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_debounce[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_next[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_next[12]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_next[11]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_next[10]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_next[9]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_next[8]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_next[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_next[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_next[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_next[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_next[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_next[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_next[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_sample_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_iof_0_iof_0_16_i_ival), .DE(n36613), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__sample_T[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_sample_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__sample_T[1]), .DE(n36613), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__sample_T[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_sample_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__sample_T[2]), .DE(n36613), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__sample_T[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_state_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N38), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N65), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_state)
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_data_count_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N25), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_data_count_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N26), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_data_count_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N27), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_data_count_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N28), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_sample_count_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N33), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_sample_count_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N32), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_sample_count_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N31), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_sample_count_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N30), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_shifter_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__shifter_T_1_7_), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N77), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_shifter_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N77), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_shifter_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N77), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_shifter_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N77), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_shifter_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N77), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_shifter_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N77), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_shifter_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N77), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_shifter_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N77), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_debounce_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N20), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N58), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_debounce[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_valid_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N46), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_valid) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_enq_ptr_value_reg_0_ ( 
+        .D(n85858), .DE(n36612), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_enq_ptr_value_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N40), 
+        .DE(n36612), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_3__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[32])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_3__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[39])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_3__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[38])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_3__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[37])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_3__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[36])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_3__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[35])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_3__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[34])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_3__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[33])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_enq_ptr_value_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N41), 
+        .DE(n36612), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_7__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_7__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_7__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_7__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_7__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_7__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_7__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_7__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_5__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_5__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_5__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_5__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_5__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_5__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_5__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_5__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_2__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[40])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_2__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[47])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_2__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[46])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_2__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[45])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_2__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[44])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_2__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[43])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_2__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[42])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_2__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[41])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_6__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_6__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_6__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_6__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_6__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_6__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_6__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_6__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_1__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[48])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_1__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[55])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_1__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[54])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_1__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[53])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_1__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[52])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_1__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[51])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_1__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[50])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_1__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[49])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_4__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_4__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_4__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_4__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_4__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_4__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_4__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_4__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_0__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[56])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_0__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[63])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_0__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[62])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_0__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[61])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_0__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[60])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_0__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[59])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_0__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[58])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_reg_0__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[57])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_maybe_full_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N52), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N51), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_deq_ptr_value_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N45), 
+        .DE(n36109), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_io_deq_bits_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_deq_ptr_value_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N46), 
+        .DE(n36109), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_io_deq_bits_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_deq_ptr_value_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N47), 
+        .DE(n36109), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_io_deq_bits_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txen_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N41), 
+        .DE(n36110), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_5[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_extra_3_reg ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N85), 
+        .DE(n36215), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_16[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_extra_2_reg ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N81), 
+        .DE(n36215), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_16[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_extra_1_reg ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N77), 
+        .DE(n36215), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_16[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_extra_0_reg ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N73), 
+        .DE(n36215), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_16[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_extra_3_reg ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N85), 
+        .DE(n36212), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_extra_2_reg ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N81), 
+        .DE(n36212), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_extra_1_reg ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N77), 
+        .DE(n36212), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_extra_0_reg ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N73), 
+        .DE(n36212), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_extra_3_reg ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N85), 
+        .DE(n36209), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_extra_2_reg ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N81), 
+        .DE(n36209), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_extra_1_reg ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N77), 
+        .DE(n36209), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_extra_0_reg ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N73), 
+        .DE(n36209), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N156), .DE(
+        n86384), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg_reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N187), .DE(
+        n86383), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N186), .DE(
+        n86385), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg_reg_29_ ( 
+        .D(n85990), .DE(n86384), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg_reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N184), .DE(
+        n86384), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg_reg_26_ ( 
+        .D(n85976), .DE(n86385), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg_reg_25_ ( 
+        .D(n85975), .DE(n86383), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N179), .DE(
+        n86383), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N178), .DE(
+        n86384), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N177), .DE(
+        n86385), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N176), .DE(
+        n61079), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg_reg_19_ ( 
+        .D(n86072), .DE(n86383), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg_reg_18_ ( 
+        .D(n86071), .DE(n86385), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg_reg_17_ ( 
+        .D(n85924), .DE(n86383), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg_reg_16_ ( 
+        .D(n85930), .DE(n86385), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg_reg_15_ ( 
+        .D(n85962), .DE(n86384), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg_reg_14_ ( 
+        .D(n85961), .DE(n86385), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N169), .DE(
+        n61079), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N168), .DE(
+        n86384), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg_reg_11_ ( 
+        .D(n85968), .DE(n86383), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N166), .DE(
+        n86385), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N165), .DE(
+        n86385), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg_reg_8_ ( 
+        .D(n85972), .DE(n86384), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg_reg_7_ ( 
+        .D(n85996), .DE(n86383), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg_reg_6_ ( 
+        .D(n85995), .DE(n86385), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg_reg_5_ ( 
+        .D(n86061), .DE(n86383), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg_reg_4_ ( 
+        .D(n86057), .DE(n86383), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N159), .DE(
+        n86384), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N158), .DE(
+        n86384), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N157), .DE(
+        n86385), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_sck_div_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N133), .DE(
+        n36187), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_sck_div_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N144), .DE(
+        n36187), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_sck_div_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N143), .DE(
+        n36187), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_sck_div_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N142), .DE(
+        n36187), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_sck_div_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N141), .DE(
+        n36187), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_sck_div_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N140), .DE(
+        n36187), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_sck_div_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N139), .DE(
+        n36187), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_sck_div_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N138), .DE(
+        n36187), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_sck_div_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N137), .DE(
+        n36187), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_sck_div_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N136), .DE(
+        n36187), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_sck_div_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N135), .DE(
+        n36187), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_sck_div_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N134), .DE(
+        n36187), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_extradel_coarse_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N225), .DE(
+        n36186), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_extradel_coarse_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N236), .DE(
+        n36186), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_extradel_coarse_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N235), .DE(
+        n36186), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_extradel_coarse_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N234), .DE(
+        n36186), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_extradel_coarse_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N233), .DE(
+        n36186), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_extradel_coarse_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N232), .DE(
+        n36186), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_extradel_coarse_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N231), .DE(
+        n36186), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_extradel_coarse_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N230), .DE(
+        n36186), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_extradel_coarse_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N229), .DE(
+        n36186), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_extradel_coarse_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N228), .DE(
+        n36186), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_extradel_coarse_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N227), .DE(
+        n36186), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_extradel_coarse_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N226), .DE(
+        n36186), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_sck_div_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N147), 
+        .DE(n36168), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[0]), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N268), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_sck_div_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N158), 
+        .DE(n36168), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[11]), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N268), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_sck_div_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N157), 
+        .DE(n36168), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[10]), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N268), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_sck_div_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N156), 
+        .DE(n36168), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[9]), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N268), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_sck_div_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N155), 
+        .DE(n36168), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[8]), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N268), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_sck_div_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N154), 
+        .DE(n36168), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[7]), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N268), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_sck_div_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N153), 
+        .DE(n36168), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[6]), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N268), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_sck_div_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N152), 
+        .DE(n36168), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[5]), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N268), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_sck_div_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N151), 
+        .DE(n36168), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[4]), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N268), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_sck_div_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N150), 
+        .DE(n36168), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[3]), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N268), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_sck_div_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N149), 
+        .DE(n36168), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[2]), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N268), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_sck_div_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N148), 
+        .DE(n36168), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[1]), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N268), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N124), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N114), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_cref_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N171), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_cref) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N193), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N192), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N204), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N192), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N203), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N192), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N202), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N192), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N201), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N192), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N200), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N192), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N199), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N192), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N198), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N192), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N197), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N192), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N196), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N192), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N195), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N192), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N194), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N192), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_last_d_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N172), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_last_d) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N123), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N125), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N126), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N127), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[4]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N128), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[5]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N129), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[6]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N130), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[7]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N131), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[8]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N132), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[9]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N133), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[10]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N134), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N156), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N155), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N167), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N155), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N166), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N155), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N165), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N155), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N164), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N155), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N163), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N155), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N162), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N155), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N161), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N155), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N160), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N155), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N159), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N155), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N158), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N155), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N157), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N155), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_sample_d_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N135), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_sample_d) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt[4]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt[5]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt[6]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_setup_d_reg ( 
+        .D(n85842), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_setup_d) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_txd_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N248), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N247), .CLK(wb_clk_i), .Q(io_out[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_txd_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N249), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N247), .CLK(wb_clk_i), .Q(io_out[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_txd_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N250), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N247), .CLK(wb_clk_i), .Q(io_out[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_txd_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N251), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N247), .CLK(wb_clk_i), .Q(io_out[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_extradel_coarse_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N238), 
+        .DE(n36167), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_extradel_coarse_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N249), 
+        .DE(n36167), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_extradel_coarse_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N248), 
+        .DE(n36167), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_extradel_coarse_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N247), 
+        .DE(n36167), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_extradel_coarse_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N246), 
+        .DE(n36167), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_extradel_coarse_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N245), 
+        .DE(n36167), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_extradel_coarse_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N244), 
+        .DE(n36167), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_extradel_coarse_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N243), 
+        .DE(n36167), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_extradel_coarse_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N242), 
+        .DE(n36167), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_extradel_coarse_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N241), 
+        .DE(n36167), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_extradel_coarse_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N240), 
+        .DE(n36167), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ctrl_extradel_coarse_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N239), 
+        .DE(n36167), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_sck_div_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N141), .DE(
+        n36145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[0]), .DE(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N268), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N123), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_sck_div_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N152), .DE(
+        n36145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[11]), .DE(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N268), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div[11]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N134), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_sck_div_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N150), .DE(
+        n36145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[9]), .DE(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N268), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div[9]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N132), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_sck_div_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N149), .DE(
+        n36145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[8]), .DE(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N268), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div[8]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N131), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_sck_div_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N148), .DE(
+        n36145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[7]), .DE(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N268), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div[7]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N130), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_sck_div_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N147), .DE(
+        n36145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[6]), .DE(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N268), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div[6]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N129), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_sck_div_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N146), .DE(
+        n36145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[5]), .DE(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N268), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div[5]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N128), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_sck_div_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N145), .DE(
+        n36145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[4]), .DE(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N268), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div[4]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N127), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_sck_div_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N144), .DE(
+        n36145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[3]), .DE(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N268), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N126), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_sck_div_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N143), .DE(
+        n36145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[2]), .DE(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N268), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N125), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_sck_div_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N142), .DE(
+        n36145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[1]), .DE(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N268), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N124), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_extradel_coarse_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N232), .DE(
+        n36144), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_extradel_coarse_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N243), .DE(
+        n36144), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_extradel_coarse_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N242), .DE(
+        n36144), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_extradel_coarse_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N241), .DE(
+        n36144), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_extradel_coarse_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N240), .DE(
+        n36144), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_extradel_coarse_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N239), .DE(
+        n36144), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_extradel_coarse_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N238), .DE(
+        n36144), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_extradel_coarse_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N237), .DE(
+        n36144), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_extradel_coarse_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N236), .DE(
+        n36144), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_extradel_coarse_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N235), .DE(
+        n36144), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_extradel_coarse_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N234), .DE(
+        n36144), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_extradel_coarse_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N233), .DE(
+        n36144), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_div_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N22), 
+        .DE(n36132), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_div_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N37), 
+        .DE(n36132), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_div_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N36), 
+        .DE(n36132), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_div_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N35), 
+        .DE(n36132), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_div_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N34), 
+        .DE(n36132), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_div_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N33), 
+        .DE(n36132), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_div_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N31), 
+        .DE(n36132), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_div_reg_8_ ( 
+        .D(n86033), .DE(n36132), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_div_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N29), 
+        .DE(n36132), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_div_reg_6_ ( 
+        .D(n86032), .DE(n36132), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_div_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N27), 
+        .DE(n36132), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_div_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N26), 
+        .DE(n36132), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_div_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N25), 
+        .DE(n36132), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_div_reg_2_ ( 
+        .D(n86031), .DE(n36132), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_div_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N23), 
+        .DE(n36132), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxwm_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N56), 
+        .DE(n36131), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_7[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxwm_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N57), 
+        .DE(n36131), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_7[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txwm_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N49), 
+        .DE(n36130), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_6[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txwm_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N50), 
+        .DE(n36130), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_6[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_div_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N22), 
+        .DE(n36124), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_div_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N37), 
+        .DE(n36124), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_div_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N36), 
+        .DE(n36124), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_div_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N35), 
+        .DE(n36124), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_div_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N34), 
+        .DE(n36124), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_div_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N33), 
+        .DE(n36124), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_div_reg_9_ ( 
+        .D(n86056), .DE(n36124), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_div_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N30), 
+        .DE(n36124), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_div_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N29), 
+        .DE(n36124), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_div_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N28), 
+        .DE(n36124), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_div_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N27), 
+        .DE(n36124), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_div_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N26), 
+        .DE(n36124), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_div_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N25), 
+        .DE(n36124), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_div_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N24), 
+        .DE(n36124), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_div_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N23), 
+        .DE(n36124), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxwm_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N56), 
+        .DE(n36123), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_7[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxwm_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N57), 
+        .DE(n36123), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_7[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txwm_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N49), 
+        .DE(n36122), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_6[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txwm_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N50), 
+        .DE(n36122), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_6[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_div_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N22), 
+        .DE(n36116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_div_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N37), 
+        .DE(n36116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_div_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N36), 
+        .DE(n36116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_div_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N35), 
+        .DE(n36116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_div_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N34), 
+        .DE(n36116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_div_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N33), 
+        .DE(n36116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_div_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N31), 
+        .DE(n36116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_div_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N30), 
+        .DE(n36116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_div_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N29), 
+        .DE(n36116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_div_reg_6_ ( 
+        .D(n86053), .DE(n36116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_div_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N27), 
+        .DE(n36116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_div_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N26), 
+        .DE(n36116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_div_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N25), 
+        .DE(n36116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_div_reg_2_ ( 
+        .D(n86052), .DE(n36116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_div_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N23), 
+        .DE(n36116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxwm_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N56), 
+        .DE(n36115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_7[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxwm_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N57), 
+        .DE(n36115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_7[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txwm_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N49), 
+        .DE(n36114), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_6[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txwm_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N50), 
+        .DE(n36114), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_6[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_div_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N22), 
+        .DE(n36108), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_div_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N37), 
+        .DE(n36108), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_div_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N36), 
+        .DE(n36108), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_div_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N35), 
+        .DE(n36108), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_div_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N34), 
+        .DE(n36108), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_div_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N33), 
+        .DE(n36108), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_div_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N31), 
+        .DE(n36108), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_div_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N30), 
+        .DE(n36108), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_div_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N29), 
+        .DE(n36108), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_div_reg_6_ ( 
+        .D(n86060), .DE(n36108), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_div_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N27), 
+        .DE(n36108), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_div_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N26), 
+        .DE(n36108), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_div_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N25), 
+        .DE(n36108), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_div_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N24), 
+        .DE(n36108), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_div_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N23), 
+        .DE(n36108), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxwm_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N56), 
+        .DE(n36107), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_7[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxwm_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N57), 
+        .DE(n36107), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_7[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txwm_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N49), 
+        .DE(n36106), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_6[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txwm_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N50), 
+        .DE(n36106), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_6[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_div_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N22), .DE(
+        n36100), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_div_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N37), .DE(
+        n36100), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_div_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N36), .DE(
+        n36100), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_div_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N35), .DE(
+        n36100), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_div_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N34), .DE(
+        n36100), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_div_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N33), .DE(
+        n36100), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_div_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N31), .DE(
+        n36100), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_div_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N30), .DE(
+        n36100), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_div_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N29), .DE(
+        n36100), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_div_reg_6_ ( 
+        .D(n86055), .DE(n36100), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_div_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N27), .DE(
+        n36100), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_div_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N26), .DE(
+        n36100), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_div_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N25), .DE(
+        n36100), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_div_reg_2_ ( 
+        .D(n86054), .DE(n36100), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_div_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N23), .DE(
+        n36100), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxwm_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N56), .DE(
+        n36099), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_7[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxwm_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N57), .DE(
+        n36099), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_7[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N264), .DE(n86371), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N265), .DE(n86371), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N266), .DE(n86371), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N267), .DE(n86371), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N268), .DE(n86371), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N269), .DE(n86371), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N270), .DE(n86371), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N271), .DE(n86371), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N272), .DE(n86371), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N273), .DE(n86371), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N274), .DE(n86371), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N275), .DE(n86371), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N276), .DE(n86371), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N277), .DE(n86371), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N278), .DE(n86371), 
+        .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_mem_0_data_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[0]), .DE(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_io_deq_bits_deq_bits_reg_cdc_reg_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_auto_isolation_in_d_mem_0_data[0]), 
+        .DE(n86451), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address_reg_5_ ( 
+        .D(n85783), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_N9), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address_reg_0__5_ ( 
+        .D(n85783), .DE(n86414), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address_reg_1__5_ ( 
+        .D(n85783), .DE(n86413), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address_reg_5_ ( 
+        .D(n85202), .DE(n85947), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr_reg_5_ ( 
+        .D(n85521), .DE(n86596), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_probe_way_reg_0_ ( 
+        .D(n85535), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_probe), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_probe_way[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_hit_way_reg_0_ ( 
+        .D(n85535), .DE(n86584), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_hit_way[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_refill_way_reg_0_ ( 
+        .D(n85818), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N626), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_3_bits_way_en[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_way_reg_0_ ( 
+        .D(n85535), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_way[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_way_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_way[0]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_way[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_state_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N43), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_state[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_req_tag_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[1]), .DE(n86393), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_io_resp_bits_tag[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_req_tag_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[5]), .DE(n86393), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_io_resp_bits_tag[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_req_tag_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[4]), .DE(n86393), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_io_resp_bits_tag[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_req_tag_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[3]), .DE(n86393), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_io_resp_bits_tag[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_req_tag_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[2]), .DE(n86393), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_io_resp_bits_tag[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_io_kill_REG_reg ( 
+        .D(n86393), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_io_kill_REG) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N48), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N47), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N53), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N47), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N52), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N47), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N51), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N47), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N50), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N47), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N49), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N47), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_state_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N41), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_state[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N69), .DE(n86419), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N70), .DE(n86419), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N71), .DE(n86419), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N72), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N68), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N73), .DE(n86419), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N74), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N68), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N75), .DE(n86419), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N76), .DE(n86419), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N100), .DE(n86419), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_32_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N101), .DE(n86419), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_65_ ( 
+        .D(n86075), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[64]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N116), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N117), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N137), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_32_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N138), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div__prod_T_2_8_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_33_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N139), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N106), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_34_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N140), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_35_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N141), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_36_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N142), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_37_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N143), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_38_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N144), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_39_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N145), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_40_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N146), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_41_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N147), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_42_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N148), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_43_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N149), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_44_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N150), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_45_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N151), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_46_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N152), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_47_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N153), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_48_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N154), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_49_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N155), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_50_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N156), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_51_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N157), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_52_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N158), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_53_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N159), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_54_ ( 
+        .D(n65781), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_55_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N161), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_56_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N162), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_57_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N163), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_58_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N164), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_59_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N165), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_60_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N166), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_61_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N167), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[60]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_62_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N168), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[61]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_63_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N169), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[62]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_64_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N170), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[63]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_23__0_ ( 
+        .D(n85627), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[224]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_23__31_ ( 
+        .D(n85625), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[255]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_23__30_ ( 
+        .D(n85618), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[254]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_23__29_ ( 
+        .D(n85605), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[253]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_23__28_ ( 
+        .D(n85608), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[252]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_23__27_ ( 
+        .D(n85609), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[251]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_23__25_ ( 
+        .D(n85602), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[249]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_23__24_ ( 
+        .D(n85553), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[248]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_23__23_ ( 
+        .D(n85595), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[247]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_23__22_ ( 
+        .D(n85594), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[246]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_23__21_ ( 
+        .D(n85551), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[245]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_23__20_ ( 
+        .D(n85600), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[244]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_23__19_ ( 
+        .D(n85556), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[243]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_23__18_ ( 
+        .D(n85539), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[242]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_23__17_ ( 
+        .D(n85558), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[241]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_23__16_ ( 
+        .D(n85590), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[240]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_23__14_ ( 
+        .D(n85557), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[238]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_23__13_ ( 
+        .D(n85601), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[237]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_23__12_ ( 
+        .D(n85561), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[236]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_23__11_ ( 
+        .D(n85550), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[235]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_23__10_ ( 
+        .D(n85555), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[234]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_23__9_ ( 
+        .D(n85592), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[233]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_23__8_ ( 
+        .D(n85542), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[232]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_23__7_ ( 
+        .D(n85610), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[231]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_23__6_ ( 
+        .D(n85560), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[230]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_23__5_ ( 
+        .D(n85559), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[229]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_23__4_ ( 
+        .D(n85622), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[228]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_23__3_ ( 
+        .D(n85621), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[227]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_23__2_ ( 
+        .D(n85620), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[226]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_15__0_ ( 
+        .D(n85627), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[480]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_15__31_ ( 
+        .D(n85625), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[511]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_15__30_ ( 
+        .D(n85618), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[510]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_15__29_ ( 
+        .D(n85605), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[509]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_15__28_ ( 
+        .D(n85608), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[508]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_15__27_ ( 
+        .D(n85609), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[507]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_15__25_ ( 
+        .D(n85602), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[505]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_15__24_ ( 
+        .D(n85553), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[504]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_15__23_ ( 
+        .D(n85595), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[503]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_15__22_ ( 
+        .D(n85594), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[502]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_15__21_ ( 
+        .D(n85551), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[501]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_15__20_ ( 
+        .D(n85600), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[500]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_15__19_ ( 
+        .D(n85556), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[499]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_15__18_ ( 
+        .D(n85539), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[498]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_15__17_ ( 
+        .D(n85558), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[497]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_15__16_ ( 
+        .D(n85590), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[496]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_15__14_ ( 
+        .D(n85557), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[494]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_15__13_ ( 
+        .D(n85601), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[493]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_15__12_ ( 
+        .D(n85561), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[492]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_15__11_ ( 
+        .D(n85550), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[491]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_15__10_ ( 
+        .D(n85555), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[490]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_15__9_ ( 
+        .D(n85592), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[489]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_15__8_ ( 
+        .D(n85542), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[488]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_15__7_ ( 
+        .D(n85610), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[487]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_15__6_ ( 
+        .D(n85560), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[486]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_15__5_ ( 
+        .D(n85559), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[485]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_15__4_ ( 
+        .D(n85622), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[484]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_15__3_ ( 
+        .D(n85621), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[483]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_15__2_ ( 
+        .D(n85620), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[482]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_7__0_ ( 
+        .D(n85627), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[736]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_7__31_ ( 
+        .D(n85625), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[767]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_7__30_ ( 
+        .D(n85618), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[766]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_7__29_ ( 
+        .D(n85605), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[765]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_7__28_ ( 
+        .D(n85608), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[764]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_7__27_ ( 
+        .D(n85609), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[763]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_7__25_ ( 
+        .D(n85602), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[761]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_7__24_ ( 
+        .D(n85553), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[760]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_7__23_ ( 
+        .D(n85595), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[759]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_7__22_ ( 
+        .D(n85594), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[758]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_7__21_ ( 
+        .D(n85551), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[757]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_7__20_ ( 
+        .D(n85600), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[756]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_7__19_ ( 
+        .D(n85556), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[755]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_7__18_ ( 
+        .D(n85539), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[754]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_7__17_ ( 
+        .D(n85558), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[753]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_7__16_ ( 
+        .D(n85590), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[752]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_7__14_ ( 
+        .D(n85557), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[750]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_7__13_ ( 
+        .D(n85601), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[749]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_7__12_ ( 
+        .D(n85561), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[748]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_7__11_ ( 
+        .D(n85550), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[747]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_7__10_ ( 
+        .D(n85555), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[746]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_7__9_ ( 
+        .D(n85592), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[745]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_7__8_ ( 
+        .D(n85542), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[744]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_7__7_ ( 
+        .D(n85610), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[743]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_7__6_ ( 
+        .D(n85560), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[742]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_7__5_ ( 
+        .D(n85559), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[741]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_7__4_ ( 
+        .D(n85622), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[740]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_7__3_ ( 
+        .D(n85621), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[739]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_7__2_ ( 
+        .D(n85620), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[738]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_30__0_ ( 
+        .D(n85627), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_30__31_ ( 
+        .D(n85625), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_30__30_ ( 
+        .D(n85618), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_30__29_ ( 
+        .D(n85605), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_30__28_ ( 
+        .D(n85608), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_30__27_ ( 
+        .D(n85609), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_30__25_ ( 
+        .D(n85602), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_30__24_ ( 
+        .D(n85553), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_30__23_ ( 
+        .D(n85595), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_30__22_ ( 
+        .D(n85594), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_30__21_ ( 
+        .D(n85551), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_30__20_ ( 
+        .D(n85600), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_30__19_ ( 
+        .D(n85556), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_30__18_ ( 
+        .D(n85539), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_30__17_ ( 
+        .D(n85558), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_30__16_ ( 
+        .D(n85590), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_30__14_ ( 
+        .D(n85557), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_30__13_ ( 
+        .D(n85601), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_30__12_ ( 
+        .D(n85561), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_30__11_ ( 
+        .D(n85550), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_30__10_ ( 
+        .D(n85555), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_30__9_ ( 
+        .D(n85592), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_30__8_ ( 
+        .D(n85542), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_30__7_ ( 
+        .D(n85610), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_30__6_ ( 
+        .D(n85560), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_30__5_ ( 
+        .D(n85559), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_30__4_ ( 
+        .D(n85622), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_30__3_ ( 
+        .D(n85621), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_30__2_ ( 
+        .D(n85620), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_22__0_ ( 
+        .D(n85627), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[256]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_22__31_ ( 
+        .D(n85625), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[287]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_22__30_ ( 
+        .D(n85618), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[286]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_22__29_ ( 
+        .D(n85605), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[285]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_22__28_ ( 
+        .D(n85608), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[284]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_22__27_ ( 
+        .D(n85609), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[283]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_22__25_ ( 
+        .D(n85602), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[281]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_22__24_ ( 
+        .D(n85553), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[280]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_22__23_ ( 
+        .D(n85595), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[279]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_22__22_ ( 
+        .D(n85594), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[278]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_22__21_ ( 
+        .D(n85551), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[277]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_22__20_ ( 
+        .D(n85600), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[276]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_22__19_ ( 
+        .D(n85556), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[275]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_22__18_ ( 
+        .D(n85539), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[274]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_22__17_ ( 
+        .D(n85558), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[273]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_22__16_ ( 
+        .D(n85590), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[272]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_22__14_ ( 
+        .D(n85557), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[270]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_22__13_ ( 
+        .D(n85601), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[269]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_22__12_ ( 
+        .D(n85561), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[268]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_22__11_ ( 
+        .D(n85550), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[267]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_22__10_ ( 
+        .D(n85555), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[266]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_22__9_ ( 
+        .D(n85592), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[265]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_22__8_ ( 
+        .D(n85542), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[264]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_22__7_ ( 
+        .D(n85610), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[263]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_22__6_ ( 
+        .D(n85560), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[262]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_22__5_ ( 
+        .D(n85559), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[261]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_22__4_ ( 
+        .D(n85622), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[260]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_22__3_ ( 
+        .D(n85621), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[259]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_22__2_ ( 
+        .D(n85620), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[258]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_14__0_ ( 
+        .D(n85627), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[512]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_14__31_ ( 
+        .D(n85625), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[543]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_14__30_ ( 
+        .D(n85618), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[542]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_14__29_ ( 
+        .D(n85605), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[541]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_14__28_ ( 
+        .D(n85608), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[540]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_14__27_ ( 
+        .D(n85609), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[539]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_14__25_ ( 
+        .D(n85602), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[537]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_14__24_ ( 
+        .D(n85553), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[536]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_14__23_ ( 
+        .D(n85595), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[535]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_14__22_ ( 
+        .D(n85594), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[534]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_14__21_ ( 
+        .D(n85551), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[533]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_14__20_ ( 
+        .D(n85600), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[532]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_14__19_ ( 
+        .D(n85556), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[531]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_14__18_ ( 
+        .D(n85539), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[530]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_14__17_ ( 
+        .D(n85558), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[529]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_14__16_ ( 
+        .D(n85590), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[528]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_14__14_ ( 
+        .D(n85557), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[526]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_14__13_ ( 
+        .D(n85601), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[525]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_14__12_ ( 
+        .D(n85561), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[524]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_14__11_ ( 
+        .D(n85550), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[523]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_14__10_ ( 
+        .D(n85555), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[522]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_14__9_ ( 
+        .D(n85592), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[521]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_14__8_ ( 
+        .D(n85542), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[520]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_14__7_ ( 
+        .D(n85610), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[519]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_14__6_ ( 
+        .D(n85560), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[518]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_14__5_ ( 
+        .D(n85559), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[517]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_14__4_ ( 
+        .D(n85622), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[516]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_14__3_ ( 
+        .D(n85621), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[515]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_14__2_ ( 
+        .D(n85620), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[514]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_6__0_ ( 
+        .D(n85627), .DE(n59860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[768]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_6__31_ ( 
+        .D(n85625), .DE(n59860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[799]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_6__30_ ( 
+        .D(n85618), .DE(n59860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[798]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_6__29_ ( 
+        .D(n85605), .DE(n59860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[797]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_6__28_ ( 
+        .D(n85608), .DE(n59860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[796]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_6__27_ ( 
+        .D(n85609), .DE(n59860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[795]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_6__25_ ( 
+        .D(n85602), .DE(n59860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[793]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_6__24_ ( 
+        .D(n85553), .DE(n59860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[792]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_6__23_ ( 
+        .D(n85595), .DE(n59860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[791]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_6__22_ ( 
+        .D(n85594), .DE(n59860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[790]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_6__21_ ( 
+        .D(n85551), .DE(n59860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[789]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_6__20_ ( 
+        .D(n85600), .DE(n59860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[788]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_6__19_ ( 
+        .D(n85556), .DE(n59860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[787]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_6__18_ ( 
+        .D(n85539), .DE(n59860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[786]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_6__17_ ( 
+        .D(n85558), .DE(n59860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[785]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_6__16_ ( 
+        .D(n85590), .DE(n59860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[784]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_6__14_ ( 
+        .D(n85557), .DE(n59860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[782]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_6__13_ ( 
+        .D(n85601), .DE(n59860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[781]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_6__12_ ( 
+        .D(n85561), .DE(n59860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[780]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_6__11_ ( 
+        .D(n85550), .DE(n59860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[779]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_6__10_ ( 
+        .D(n85555), .DE(n59860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[778]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_6__9_ ( 
+        .D(n85592), .DE(n59860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[777]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_6__8_ ( 
+        .D(n85542), .DE(n59860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[776]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_6__7_ ( 
+        .D(n85610), .DE(n59860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[775]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_6__6_ ( 
+        .D(n85560), .DE(n59860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[774]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_6__5_ ( 
+        .D(n85559), .DE(n59860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[773]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_6__4_ ( 
+        .D(n85622), .DE(n59860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[772]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_6__3_ ( 
+        .D(n85621), .DE(n59860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[771]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_6__2_ ( 
+        .D(n85620), .DE(n59860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[770]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_28__0_ ( 
+        .D(n85627), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[64]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_28__31_ ( 
+        .D(n85625), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[95]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_28__30_ ( 
+        .D(n85618), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[94]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_28__29_ ( 
+        .D(n85605), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[93]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_28__28_ ( 
+        .D(n85608), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[92]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_28__27_ ( 
+        .D(n85609), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[91]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_28__25_ ( 
+        .D(n85602), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[89]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_28__24_ ( 
+        .D(n85553), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[88]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_28__23_ ( 
+        .D(n85595), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[87]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_28__22_ ( 
+        .D(n85594), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[86]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_28__21_ ( 
+        .D(n85551), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[85]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_28__20_ ( 
+        .D(n85600), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[84]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_28__19_ ( 
+        .D(n85556), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[83]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_28__18_ ( 
+        .D(n85539), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[82]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_28__17_ ( 
+        .D(n85558), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[81]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_28__16_ ( 
+        .D(n85590), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[80]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_28__14_ ( 
+        .D(n85557), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[78]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_28__13_ ( 
+        .D(n85601), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[77]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_28__12_ ( 
+        .D(n85561), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[76]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_28__11_ ( 
+        .D(n85550), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[75]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_28__10_ ( 
+        .D(n85555), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[74]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_28__9_ ( 
+        .D(n85592), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[73]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_28__8_ ( 
+        .D(n85542), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[72]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_28__7_ ( 
+        .D(n85610), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[71]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_28__6_ ( 
+        .D(n85560), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[70]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_28__5_ ( 
+        .D(n85559), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[69]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_28__4_ ( 
+        .D(n85622), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[68]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_28__3_ ( 
+        .D(n85621), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[67]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_28__2_ ( 
+        .D(n85620), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[66]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_20__0_ ( 
+        .D(n85627), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[320]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_20__31_ ( 
+        .D(n85625), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[351]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_20__30_ ( 
+        .D(n85618), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[350]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_20__29_ ( 
+        .D(n85605), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[349]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_20__28_ ( 
+        .D(n85608), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[348]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_20__27_ ( 
+        .D(n85609), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[347]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_20__25_ ( 
+        .D(n85602), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[345]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_20__24_ ( 
+        .D(n85553), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[344]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_20__23_ ( 
+        .D(n85595), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[343]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_20__22_ ( 
+        .D(n85594), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[342]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_20__21_ ( 
+        .D(n85551), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[341]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_20__20_ ( 
+        .D(n85600), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[340]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_20__19_ ( 
+        .D(n85556), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[339]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_20__18_ ( 
+        .D(n85539), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[338]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_20__17_ ( 
+        .D(n85558), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[337]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_20__16_ ( 
+        .D(n85590), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[336]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_20__14_ ( 
+        .D(n85557), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[334]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_20__13_ ( 
+        .D(n85601), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[333]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_20__12_ ( 
+        .D(n85561), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[332]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_20__11_ ( 
+        .D(n85550), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[331]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_20__10_ ( 
+        .D(n85555), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[330]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_20__9_ ( 
+        .D(n85592), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[329]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_20__8_ ( 
+        .D(n85542), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[328]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_20__7_ ( 
+        .D(n85610), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[327]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_20__6_ ( 
+        .D(n85560), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[326]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_20__5_ ( 
+        .D(n85559), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[325]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_20__4_ ( 
+        .D(n85622), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[324]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_20__3_ ( 
+        .D(n85621), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[323]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_20__2_ ( 
+        .D(n85620), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[322]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_12__0_ ( 
+        .D(n85627), .DE(n59864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[576]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_12__31_ ( 
+        .D(n85625), .DE(n59864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[607]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_12__30_ ( 
+        .D(n85618), .DE(n59864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[606]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_12__29_ ( 
+        .D(n85605), .DE(n59864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[605]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_12__28_ ( 
+        .D(n85608), .DE(n59864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[604]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_12__27_ ( 
+        .D(n85609), .DE(n59864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[603]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_12__25_ ( 
+        .D(n85602), .DE(n59864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[601]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_12__24_ ( 
+        .D(n85553), .DE(n59864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[600]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_12__23_ ( 
+        .D(n85595), .DE(n59864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[599]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_12__22_ ( 
+        .D(n85594), .DE(n59864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[598]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_12__21_ ( 
+        .D(n85551), .DE(n59864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[597]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_12__20_ ( 
+        .D(n85600), .DE(n59864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[596]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_12__19_ ( 
+        .D(n85556), .DE(n59864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[595]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_12__18_ ( 
+        .D(n85539), .DE(n59864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[594]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_12__17_ ( 
+        .D(n85558), .DE(n59864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[593]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_12__16_ ( 
+        .D(n85590), .DE(n59864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[592]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_12__14_ ( 
+        .D(n85557), .DE(n59864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[590]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_12__13_ ( 
+        .D(n85601), .DE(n59864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[589]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_12__12_ ( 
+        .D(n85561), .DE(n59864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[588]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_12__11_ ( 
+        .D(n85550), .DE(n59864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[587]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_12__10_ ( 
+        .D(n85555), .DE(n59864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[586]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_12__9_ ( 
+        .D(n85592), .DE(n59864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[585]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_12__8_ ( 
+        .D(n85542), .DE(n59864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[584]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_12__7_ ( 
+        .D(n85610), .DE(n59864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[583]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_12__6_ ( 
+        .D(n85560), .DE(n59864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[582]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_12__5_ ( 
+        .D(n85559), .DE(n59864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[581]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_12__4_ ( 
+        .D(n85622), .DE(n59864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[580]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_12__3_ ( 
+        .D(n85621), .DE(n59864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[579]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_12__2_ ( 
+        .D(n85620), .DE(n59864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[578]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_4__0_ ( 
+        .D(n85627), .DE(n59862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[832]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_4__31_ ( 
+        .D(n85625), .DE(n59862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[863]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_4__30_ ( 
+        .D(n85618), .DE(n59862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[862]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_4__29_ ( 
+        .D(n85605), .DE(n59862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[861]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_4__28_ ( 
+        .D(n85608), .DE(n59862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[860]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_4__27_ ( 
+        .D(n85609), .DE(n59862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[859]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_4__25_ ( 
+        .D(n85602), .DE(n59862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[857]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_4__24_ ( 
+        .D(n85553), .DE(n59862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[856]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_4__23_ ( 
+        .D(n85595), .DE(n59862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[855]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_4__22_ ( 
+        .D(n85594), .DE(n59862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[854]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_4__21_ ( 
+        .D(n85551), .DE(n59862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[853]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_4__20_ ( 
+        .D(n85600), .DE(n59862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[852]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_4__19_ ( 
+        .D(n85556), .DE(n59862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[851]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_4__18_ ( 
+        .D(n85539), .DE(n59862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[850]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_4__17_ ( 
+        .D(n85558), .DE(n59862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[849]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_4__16_ ( 
+        .D(n85590), .DE(n59862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[848]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_4__14_ ( 
+        .D(n85557), .DE(n59862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[846]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_4__13_ ( 
+        .D(n85601), .DE(n59862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[845]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_4__12_ ( 
+        .D(n85561), .DE(n59862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[844]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_4__11_ ( 
+        .D(n85550), .DE(n59862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[843]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_4__10_ ( 
+        .D(n85555), .DE(n59862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[842]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_4__9_ ( 
+        .D(n85592), .DE(n59862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[841]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_4__8_ ( 
+        .D(n85542), .DE(n59862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[840]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_4__7_ ( 
+        .D(n85610), .DE(n59862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[839]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_4__6_ ( 
+        .D(n85560), .DE(n59862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[838]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_4__5_ ( 
+        .D(n85559), .DE(n59862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[837]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_4__4_ ( 
+        .D(n85622), .DE(n59862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[836]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_4__3_ ( 
+        .D(n85621), .DE(n59862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[835]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_4__2_ ( 
+        .D(n85620), .DE(n59862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[834]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_26__0_ ( 
+        .D(n85627), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[128]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_26__31_ ( 
+        .D(n85625), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[159]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_26__30_ ( 
+        .D(n85618), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[158]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_26__29_ ( 
+        .D(n85605), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[157]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_26__28_ ( 
+        .D(n85608), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[156]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_26__27_ ( 
+        .D(n85609), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[155]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_26__25_ ( 
+        .D(n85602), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[153]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_26__24_ ( 
+        .D(n85553), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[152]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_26__23_ ( 
+        .D(n85595), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[151]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_26__22_ ( 
+        .D(n85594), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[150]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_26__21_ ( 
+        .D(n85551), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[149]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_26__20_ ( 
+        .D(n85600), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[148]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_26__19_ ( 
+        .D(n85556), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[147]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_26__18_ ( 
+        .D(n85539), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[146]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_26__17_ ( 
+        .D(n85558), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[145]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_26__16_ ( 
+        .D(n85590), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[144]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_26__14_ ( 
+        .D(n85557), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[142]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_26__13_ ( 
+        .D(n85601), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[141]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_26__12_ ( 
+        .D(n85561), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[140]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_26__11_ ( 
+        .D(n85550), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[139]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_26__10_ ( 
+        .D(n85555), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[138]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_26__9_ ( 
+        .D(n85592), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[137]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_26__8_ ( 
+        .D(n85542), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[136]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_26__7_ ( 
+        .D(n85610), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[135]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_26__6_ ( 
+        .D(n85560), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[134]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_26__5_ ( 
+        .D(n85559), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[133]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_26__4_ ( 
+        .D(n85622), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[132]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_26__3_ ( 
+        .D(n85621), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[131]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_26__2_ ( 
+        .D(n85620), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[130]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_18__0_ ( 
+        .D(n85627), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[384]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_18__31_ ( 
+        .D(n85625), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[415]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_18__30_ ( 
+        .D(n85618), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[414]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_18__29_ ( 
+        .D(n85605), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[413]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_18__28_ ( 
+        .D(n85608), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[412]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_18__27_ ( 
+        .D(n85609), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[411]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_18__25_ ( 
+        .D(n85602), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[409]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_18__24_ ( 
+        .D(n85553), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[408]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_18__23_ ( 
+        .D(n85595), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[407]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_18__22_ ( 
+        .D(n85594), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[406]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_18__21_ ( 
+        .D(n85551), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[405]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_18__20_ ( 
+        .D(n85600), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[404]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_18__19_ ( 
+        .D(n85556), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[403]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_18__18_ ( 
+        .D(n85539), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[402]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_18__17_ ( 
+        .D(n85558), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[401]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_18__16_ ( 
+        .D(n85590), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[400]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_18__14_ ( 
+        .D(n85557), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[398]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_18__13_ ( 
+        .D(n85601), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[397]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_18__12_ ( 
+        .D(n85561), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[396]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_18__11_ ( 
+        .D(n85550), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[395]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_18__10_ ( 
+        .D(n85555), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[394]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_18__9_ ( 
+        .D(n85592), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[393]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_18__8_ ( 
+        .D(n85542), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[392]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_18__7_ ( 
+        .D(n85610), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[391]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_18__6_ ( 
+        .D(n85560), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[390]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_18__5_ ( 
+        .D(n85559), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[389]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_18__4_ ( 
+        .D(n85622), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[388]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_18__3_ ( 
+        .D(n85621), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[387]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_18__2_ ( 
+        .D(n85620), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[386]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_10__0_ ( 
+        .D(n85627), .DE(n59866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[640]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_10__31_ ( 
+        .D(n85625), .DE(n59866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[671]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_10__30_ ( 
+        .D(n85618), .DE(n59866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[670]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_10__29_ ( 
+        .D(n85605), .DE(n59866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[669]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_10__28_ ( 
+        .D(n85608), .DE(n59866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[668]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_10__27_ ( 
+        .D(n85609), .DE(n59866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[667]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_10__25_ ( 
+        .D(n85602), .DE(n59866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[665]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_10__24_ ( 
+        .D(n85553), .DE(n59866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[664]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_10__23_ ( 
+        .D(n85595), .DE(n59866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[663]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_10__22_ ( 
+        .D(n85594), .DE(n59866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[662]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_10__21_ ( 
+        .D(n85551), .DE(n59866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[661]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_10__20_ ( 
+        .D(n85600), .DE(n59866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[660]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_10__19_ ( 
+        .D(n85556), .DE(n59866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[659]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_10__18_ ( 
+        .D(n85539), .DE(n59866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[658]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_10__17_ ( 
+        .D(n85558), .DE(n59866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[657]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_10__16_ ( 
+        .D(n85590), .DE(n59866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[656]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_10__14_ ( 
+        .D(n85557), .DE(n59866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[654]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_10__13_ ( 
+        .D(n85601), .DE(n59866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[653]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_10__12_ ( 
+        .D(n85561), .DE(n59866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[652]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_10__11_ ( 
+        .D(n85550), .DE(n59866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[651]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_10__10_ ( 
+        .D(n85555), .DE(n59866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[650]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_10__9_ ( 
+        .D(n85592), .DE(n59866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[649]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_10__8_ ( 
+        .D(n85542), .DE(n59866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[648]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_10__7_ ( 
+        .D(n85610), .DE(n59866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[647]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_10__6_ ( 
+        .D(n85560), .DE(n59866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[646]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_10__5_ ( 
+        .D(n85559), .DE(n59866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[645]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_10__4_ ( 
+        .D(n85622), .DE(n59866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[644]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_10__3_ ( 
+        .D(n85621), .DE(n59866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[643]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_10__2_ ( 
+        .D(n85620), .DE(n59866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[642]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_2__0_ ( 
+        .D(n85627), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[896]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_2__31_ ( 
+        .D(n85625), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[927]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_2__30_ ( 
+        .D(n85618), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[926]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_2__29_ ( 
+        .D(n85605), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[925]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_2__28_ ( 
+        .D(n85608), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[924]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_2__27_ ( 
+        .D(n85609), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[923]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_2__25_ ( 
+        .D(n85602), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[921]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_2__24_ ( 
+        .D(n85553), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[920]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_2__23_ ( 
+        .D(n85595), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[919]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_2__22_ ( 
+        .D(n85594), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[918]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_2__21_ ( 
+        .D(n85551), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[917]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_2__20_ ( 
+        .D(n85600), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[916]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_2__19_ ( 
+        .D(n85556), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[915]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_2__18_ ( 
+        .D(n85539), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[914]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_2__17_ ( 
+        .D(n85558), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[913]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_2__16_ ( 
+        .D(n85590), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[912]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_2__14_ ( 
+        .D(n85557), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[910]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_2__13_ ( 
+        .D(n85601), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[909]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_2__12_ ( 
+        .D(n85561), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[908]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_2__11_ ( 
+        .D(n85550), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[907]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_2__10_ ( 
+        .D(n85555), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[906]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_2__9_ ( 
+        .D(n85592), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[905]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_2__8_ ( 
+        .D(n85542), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[904]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_2__7_ ( 
+        .D(n85610), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[903]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_2__6_ ( 
+        .D(n85560), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[902]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_2__5_ ( 
+        .D(n85559), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[901]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_2__4_ ( 
+        .D(n85622), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[900]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_2__3_ ( 
+        .D(n85621), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[899]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_2__2_ ( 
+        .D(n85620), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[898]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_29__0_ ( 
+        .D(n85627), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_29__31_ ( 
+        .D(n85625), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[63]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_29__30_ ( 
+        .D(n85618), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[62]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_29__29_ ( 
+        .D(n85605), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[61]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_29__28_ ( 
+        .D(n85608), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[60]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_29__27_ ( 
+        .D(n85609), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_29__25_ ( 
+        .D(n85602), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_29__24_ ( 
+        .D(n85553), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_29__23_ ( 
+        .D(n85595), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_29__22_ ( 
+        .D(n85594), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_29__21_ ( 
+        .D(n85551), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_29__20_ ( 
+        .D(n85600), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_29__19_ ( 
+        .D(n85556), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_29__18_ ( 
+        .D(n85539), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_29__17_ ( 
+        .D(n85558), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_29__16_ ( 
+        .D(n85590), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_29__14_ ( 
+        .D(n85557), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_29__13_ ( 
+        .D(n85601), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_29__12_ ( 
+        .D(n85561), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_29__11_ ( 
+        .D(n85550), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_29__10_ ( 
+        .D(n85555), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_29__9_ ( 
+        .D(n85592), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_29__8_ ( 
+        .D(n85542), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_29__7_ ( 
+        .D(n85610), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_29__6_ ( 
+        .D(n85560), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_29__5_ ( 
+        .D(n85559), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_29__4_ ( 
+        .D(n85622), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_29__3_ ( 
+        .D(n85621), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_29__2_ ( 
+        .D(n85620), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_21__0_ ( 
+        .D(n85627), .DE(n59858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[288]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_21__31_ ( 
+        .D(n85625), .DE(n59858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[319]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_21__30_ ( 
+        .D(n85618), .DE(n59858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[318]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_21__29_ ( 
+        .D(n85605), .DE(n59858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[317]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_21__28_ ( 
+        .D(n85608), .DE(n59858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[316]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_21__27_ ( 
+        .D(n85609), .DE(n59858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[315]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_21__25_ ( 
+        .D(n85602), .DE(n59858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[313]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_21__24_ ( 
+        .D(n85553), .DE(n59858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[312]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_21__23_ ( 
+        .D(n85595), .DE(n59858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[311]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_21__22_ ( 
+        .D(n85594), .DE(n59858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[310]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_21__21_ ( 
+        .D(n85551), .DE(n59858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[309]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_21__20_ ( 
+        .D(n85600), .DE(n59858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[308]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_21__19_ ( 
+        .D(n85556), .DE(n59858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[307]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_21__18_ ( 
+        .D(n85539), .DE(n59858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[306]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_21__17_ ( 
+        .D(n85558), .DE(n59858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[305]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_21__16_ ( 
+        .D(n85590), .DE(n59858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[304]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_21__14_ ( 
+        .D(n85557), .DE(n59858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[302]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_21__13_ ( 
+        .D(n85601), .DE(n59858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[301]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_21__12_ ( 
+        .D(n85561), .DE(n59858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[300]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_21__11_ ( 
+        .D(n85550), .DE(n59858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[299]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_21__10_ ( 
+        .D(n85555), .DE(n59858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[298]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_21__9_ ( 
+        .D(n85592), .DE(n59858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[297]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_21__8_ ( 
+        .D(n85542), .DE(n59858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[296]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_21__7_ ( 
+        .D(n85610), .DE(n59858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[295]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_21__6_ ( 
+        .D(n85560), .DE(n59858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[294]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_21__5_ ( 
+        .D(n85559), .DE(n59858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[293]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_21__4_ ( 
+        .D(n85622), .DE(n59858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[292]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_21__3_ ( 
+        .D(n85621), .DE(n59858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[291]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_21__2_ ( 
+        .D(n85620), .DE(n59858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[290]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_13__0_ ( 
+        .D(n85627), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[544]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_13__31_ ( 
+        .D(n85625), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[575]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_13__30_ ( 
+        .D(n85618), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[574]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_13__29_ ( 
+        .D(n85605), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[573]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_13__28_ ( 
+        .D(n85608), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[572]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_13__27_ ( 
+        .D(n85609), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[571]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_13__25_ ( 
+        .D(n85602), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[569]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_13__24_ ( 
+        .D(n85553), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[568]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_13__23_ ( 
+        .D(n85595), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[567]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_13__22_ ( 
+        .D(n85594), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[566]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_13__21_ ( 
+        .D(n85551), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[565]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_13__20_ ( 
+        .D(n85600), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[564]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_13__19_ ( 
+        .D(n85556), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[563]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_13__18_ ( 
+        .D(n85539), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[562]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_13__17_ ( 
+        .D(n85558), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[561]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_13__16_ ( 
+        .D(n85590), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[560]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_13__14_ ( 
+        .D(n85557), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[558]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_13__13_ ( 
+        .D(n85601), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[557]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_13__12_ ( 
+        .D(n85561), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[556]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_13__11_ ( 
+        .D(n85550), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[555]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_13__10_ ( 
+        .D(n85555), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[554]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_13__9_ ( 
+        .D(n85592), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[553]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_13__8_ ( 
+        .D(n85542), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[552]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_13__7_ ( 
+        .D(n85610), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[551]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_13__6_ ( 
+        .D(n85560), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[550]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_13__5_ ( 
+        .D(n85559), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[549]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_13__4_ ( 
+        .D(n85622), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[548]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_13__3_ ( 
+        .D(n85621), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[547]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_13__2_ ( 
+        .D(n85620), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[546]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_5__0_ ( 
+        .D(n85627), .DE(n59861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[800]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_5__31_ ( 
+        .D(n85625), .DE(n59861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[831]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_5__30_ ( 
+        .D(n85618), .DE(n59861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[830]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_5__29_ ( 
+        .D(n85605), .DE(n59861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[829]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_5__28_ ( 
+        .D(n85608), .DE(n59861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[828]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_5__27_ ( 
+        .D(n85609), .DE(n59861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[827]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_5__25_ ( 
+        .D(n85602), .DE(n59861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[825]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_5__24_ ( 
+        .D(n85553), .DE(n59861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[824]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_5__23_ ( 
+        .D(n85595), .DE(n59861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[823]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_5__22_ ( 
+        .D(n85594), .DE(n59861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[822]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_5__21_ ( 
+        .D(n85551), .DE(n59861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[821]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_5__20_ ( 
+        .D(n85600), .DE(n59861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[820]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_5__19_ ( 
+        .D(n85556), .DE(n59861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[819]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_5__18_ ( 
+        .D(n85539), .DE(n59861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[818]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_5__17_ ( 
+        .D(n85558), .DE(n59861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[817]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_5__16_ ( 
+        .D(n85590), .DE(n59861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[816]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_5__14_ ( 
+        .D(n85557), .DE(n59861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[814]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_5__13_ ( 
+        .D(n85601), .DE(n59861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[813]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_5__12_ ( 
+        .D(n85561), .DE(n59861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[812]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_5__11_ ( 
+        .D(n85550), .DE(n59861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[811]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_5__10_ ( 
+        .D(n85555), .DE(n59861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[810]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_5__9_ ( 
+        .D(n85592), .DE(n59861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[809]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_5__8_ ( 
+        .D(n85542), .DE(n59861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[808]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_5__7_ ( 
+        .D(n85610), .DE(n59861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[807]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_5__6_ ( 
+        .D(n85560), .DE(n59861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[806]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_5__5_ ( 
+        .D(n85559), .DE(n59861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[805]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_5__4_ ( 
+        .D(n85622), .DE(n59861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[804]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_5__3_ ( 
+        .D(n85621), .DE(n59861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[803]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_5__2_ ( 
+        .D(n85620), .DE(n59861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[802]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_27__0_ ( 
+        .D(n85627), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[96]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_27__31_ ( 
+        .D(n85625), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[127]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_27__30_ ( 
+        .D(n85618), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[126]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_27__29_ ( 
+        .D(n85605), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[125]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_27__28_ ( 
+        .D(n85608), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[124]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_27__27_ ( 
+        .D(n85609), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[123]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_27__25_ ( 
+        .D(n85602), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[121]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_27__24_ ( 
+        .D(n85553), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[120]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_27__23_ ( 
+        .D(n85595), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[119]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_27__22_ ( 
+        .D(n85594), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[118]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_27__21_ ( 
+        .D(n85551), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[117]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_27__20_ ( 
+        .D(n85600), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[116]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_27__19_ ( 
+        .D(n85556), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[115]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_27__18_ ( 
+        .D(n85539), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[114]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_27__17_ ( 
+        .D(n85558), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[113]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_27__16_ ( 
+        .D(n85590), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[112]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_27__14_ ( 
+        .D(n85557), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[110]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_27__13_ ( 
+        .D(n85601), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[109]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_27__12_ ( 
+        .D(n85561), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[108]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_27__11_ ( 
+        .D(n85550), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[107]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_27__10_ ( 
+        .D(n85555), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[106]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_27__9_ ( 
+        .D(n85592), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[105]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_27__8_ ( 
+        .D(n85542), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[104]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_27__7_ ( 
+        .D(n85610), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[103]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_27__6_ ( 
+        .D(n85560), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[102]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_27__5_ ( 
+        .D(n85559), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[101]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_27__4_ ( 
+        .D(n85622), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[100]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_27__3_ ( 
+        .D(n85621), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[99]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_27__2_ ( 
+        .D(n85620), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[98]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_19__0_ ( 
+        .D(n85627), .DE(n59857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[352]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_19__31_ ( 
+        .D(n85625), .DE(n59857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[383]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_19__30_ ( 
+        .D(n85618), .DE(n59857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[382]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_19__29_ ( 
+        .D(n85605), .DE(n59857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[381]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_19__28_ ( 
+        .D(n85608), .DE(n59857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[380]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_19__27_ ( 
+        .D(n85609), .DE(n59857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[379]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_19__25_ ( 
+        .D(n85602), .DE(n59857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[377]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_19__24_ ( 
+        .D(n85553), .DE(n59857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[376]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_19__23_ ( 
+        .D(n85595), .DE(n59857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[375]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_19__22_ ( 
+        .D(n85594), .DE(n59857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[374]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_19__21_ ( 
+        .D(n85551), .DE(n59857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[373]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_19__20_ ( 
+        .D(n85600), .DE(n59857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[372]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_19__19_ ( 
+        .D(n85556), .DE(n59857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[371]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_19__18_ ( 
+        .D(n85539), .DE(n59857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[370]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_19__17_ ( 
+        .D(n85558), .DE(n59857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[369]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_19__16_ ( 
+        .D(n85590), .DE(n59857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[368]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_19__14_ ( 
+        .D(n85557), .DE(n59857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[366]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_19__13_ ( 
+        .D(n85601), .DE(n59857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[365]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_19__12_ ( 
+        .D(n85561), .DE(n59857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[364]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_19__11_ ( 
+        .D(n85550), .DE(n59857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[363]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_19__10_ ( 
+        .D(n85555), .DE(n59857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[362]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_19__9_ ( 
+        .D(n85592), .DE(n59857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[361]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_19__8_ ( 
+        .D(n85542), .DE(n59857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[360]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_19__7_ ( 
+        .D(n85610), .DE(n59857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[359]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_19__6_ ( 
+        .D(n85560), .DE(n59857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[358]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_19__5_ ( 
+        .D(n85559), .DE(n59857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[357]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_19__4_ ( 
+        .D(n85622), .DE(n59857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[356]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_19__3_ ( 
+        .D(n85621), .DE(n59857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[355]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_19__2_ ( 
+        .D(n85620), .DE(n59857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[354]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_11__0_ ( 
+        .D(n85627), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[608]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_11__31_ ( 
+        .D(n85625), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[639]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_11__30_ ( 
+        .D(n85618), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[638]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_11__29_ ( 
+        .D(n85605), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[637]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_11__28_ ( 
+        .D(n85608), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[636]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_11__27_ ( 
+        .D(n85609), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[635]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_11__25_ ( 
+        .D(n85602), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[633]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_11__24_ ( 
+        .D(n85553), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[632]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_11__23_ ( 
+        .D(n85595), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[631]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_11__22_ ( 
+        .D(n85594), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[630]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_11__21_ ( 
+        .D(n85551), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[629]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_11__20_ ( 
+        .D(n85600), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[628]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_11__19_ ( 
+        .D(n85556), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[627]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_11__18_ ( 
+        .D(n85539), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[626]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_11__17_ ( 
+        .D(n85558), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[625]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_11__16_ ( 
+        .D(n85590), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[624]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_11__14_ ( 
+        .D(n85557), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[622]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_11__13_ ( 
+        .D(n85601), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[621]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_11__12_ ( 
+        .D(n85561), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[620]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_11__11_ ( 
+        .D(n85550), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[619]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_11__10_ ( 
+        .D(n85555), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[618]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_11__9_ ( 
+        .D(n85592), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[617]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_11__8_ ( 
+        .D(n85542), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[616]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_11__7_ ( 
+        .D(n85610), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[615]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_11__6_ ( 
+        .D(n85560), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[614]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_11__5_ ( 
+        .D(n85559), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[613]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_11__4_ ( 
+        .D(n85622), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[612]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_11__3_ ( 
+        .D(n85621), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[611]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_11__2_ ( 
+        .D(n85620), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[610]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_3__0_ ( 
+        .D(n85627), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[864]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_3__31_ ( 
+        .D(n85625), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[895]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_3__30_ ( 
+        .D(n85618), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[894]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_3__29_ ( 
+        .D(n85605), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[893]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_3__28_ ( 
+        .D(n85608), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[892]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_3__27_ ( 
+        .D(n85609), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[891]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_3__25_ ( 
+        .D(n85602), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[889]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_3__24_ ( 
+        .D(n85553), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[888]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_3__23_ ( 
+        .D(n85595), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[887]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_3__22_ ( 
+        .D(n85594), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[886]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_3__21_ ( 
+        .D(n85551), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[885]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_3__20_ ( 
+        .D(n85600), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[884]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_3__19_ ( 
+        .D(n85556), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[883]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_3__18_ ( 
+        .D(n85539), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[882]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_3__17_ ( 
+        .D(n85558), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[881]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_3__16_ ( 
+        .D(n85590), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[880]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_3__14_ ( 
+        .D(n85557), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[878]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_3__13_ ( 
+        .D(n85601), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[877]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_3__12_ ( 
+        .D(n85561), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[876]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_3__11_ ( 
+        .D(n85550), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[875]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_3__10_ ( 
+        .D(n85555), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[874]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_3__9_ ( 
+        .D(n85592), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[873]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_3__8_ ( 
+        .D(n85542), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[872]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_3__7_ ( 
+        .D(n85610), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[871]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_3__6_ ( 
+        .D(n85560), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[870]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_3__5_ ( 
+        .D(n85559), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[869]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_3__4_ ( 
+        .D(n85622), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[868]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_3__3_ ( 
+        .D(n85621), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[867]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_3__2_ ( 
+        .D(n85620), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[866]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_25__0_ ( 
+        .D(n85627), .DE(n59855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[160]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_25__31_ ( 
+        .D(n85625), .DE(n59855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[191]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_25__30_ ( 
+        .D(n85618), .DE(n59855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[190]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_25__29_ ( 
+        .D(n85605), .DE(n59855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[189]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_25__28_ ( 
+        .D(n85608), .DE(n59855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[188]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_25__27_ ( 
+        .D(n85609), .DE(n59855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[187]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_25__25_ ( 
+        .D(n85602), .DE(n59855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[185]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_25__24_ ( 
+        .D(n85553), .DE(n59855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[184]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_25__23_ ( 
+        .D(n85595), .DE(n59855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[183]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_25__22_ ( 
+        .D(n85594), .DE(n59855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[182]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_25__21_ ( 
+        .D(n85551), .DE(n59855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[181]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_25__20_ ( 
+        .D(n85600), .DE(n59855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[180]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_25__19_ ( 
+        .D(n85556), .DE(n59855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[179]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_25__18_ ( 
+        .D(n85539), .DE(n59855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[178]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_25__17_ ( 
+        .D(n85558), .DE(n59855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[177]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_25__16_ ( 
+        .D(n85590), .DE(n59855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[176]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_25__14_ ( 
+        .D(n85557), .DE(n59855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[174]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_25__13_ ( 
+        .D(n85601), .DE(n59855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[173]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_25__12_ ( 
+        .D(n85561), .DE(n59855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[172]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_25__11_ ( 
+        .D(n85550), .DE(n59855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[171]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_25__10_ ( 
+        .D(n85555), .DE(n59855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[170]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_25__9_ ( 
+        .D(n85592), .DE(n59855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[169]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_25__8_ ( 
+        .D(n85542), .DE(n59855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[168]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_25__7_ ( 
+        .D(n85610), .DE(n59855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[167]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_25__6_ ( 
+        .D(n85560), .DE(n59855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[166]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_25__5_ ( 
+        .D(n85559), .DE(n59855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[165]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_25__4_ ( 
+        .D(n85622), .DE(n59855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[164]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_25__3_ ( 
+        .D(n85621), .DE(n59855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[163]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_25__2_ ( 
+        .D(n85620), .DE(n59855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[162]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_17__0_ ( 
+        .D(n85627), .DE(n59859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[416]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_17__31_ ( 
+        .D(n85625), .DE(n59859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[447]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_17__30_ ( 
+        .D(n85618), .DE(n59859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[446]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_17__29_ ( 
+        .D(n85605), .DE(n59859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[445]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_17__28_ ( 
+        .D(n85608), .DE(n59859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[444]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_17__27_ ( 
+        .D(n85609), .DE(n59859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[443]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_17__25_ ( 
+        .D(n85602), .DE(n59859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[441]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_17__24_ ( 
+        .D(n85553), .DE(n59859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[440]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_17__23_ ( 
+        .D(n85595), .DE(n59859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[439]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_17__22_ ( 
+        .D(n85594), .DE(n59859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[438]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_17__21_ ( 
+        .D(n85551), .DE(n59859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[437]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_17__20_ ( 
+        .D(n85600), .DE(n59859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[436]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_17__19_ ( 
+        .D(n85556), .DE(n59859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[435]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_17__18_ ( 
+        .D(n85539), .DE(n59859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[434]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_17__17_ ( 
+        .D(n85558), .DE(n59859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[433]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_17__16_ ( 
+        .D(n85590), .DE(n59859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[432]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_17__14_ ( 
+        .D(n85557), .DE(n59859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[430]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_17__13_ ( 
+        .D(n85601), .DE(n59859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[429]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_17__12_ ( 
+        .D(n85561), .DE(n59859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[428]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_17__11_ ( 
+        .D(n85550), .DE(n59859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[427]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_17__10_ ( 
+        .D(n85555), .DE(n59859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[426]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_17__9_ ( 
+        .D(n85592), .DE(n59859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[425]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_17__8_ ( 
+        .D(n85542), .DE(n59859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[424]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_17__7_ ( 
+        .D(n85610), .DE(n59859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[423]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_17__6_ ( 
+        .D(n85560), .DE(n59859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[422]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_17__5_ ( 
+        .D(n85559), .DE(n59859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[421]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_17__4_ ( 
+        .D(n85622), .DE(n59859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[420]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_17__3_ ( 
+        .D(n85621), .DE(n59859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[419]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_17__2_ ( 
+        .D(n85620), .DE(n59859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[418]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_9__0_ ( 
+        .D(n85627), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[672]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_9__31_ ( 
+        .D(n85625), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[703]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_9__30_ ( 
+        .D(n85618), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[702]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_9__29_ ( 
+        .D(n85605), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[701]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_9__28_ ( 
+        .D(n85608), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[700]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_9__27_ ( 
+        .D(n85609), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[699]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_9__25_ ( 
+        .D(n85602), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[697]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_9__24_ ( 
+        .D(n85553), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[696]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_9__23_ ( 
+        .D(n85595), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[695]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_9__22_ ( 
+        .D(n85594), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[694]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_9__21_ ( 
+        .D(n85551), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[693]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_9__20_ ( 
+        .D(n85600), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[692]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_9__19_ ( 
+        .D(n85556), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[691]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_9__18_ ( 
+        .D(n85539), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[690]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_9__17_ ( 
+        .D(n85558), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[689]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_9__16_ ( 
+        .D(n85590), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[688]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_9__14_ ( 
+        .D(n85557), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[686]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_9__13_ ( 
+        .D(n85601), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[685]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_9__12_ ( 
+        .D(n85561), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[684]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_9__11_ ( 
+        .D(n85550), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[683]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_9__10_ ( 
+        .D(n85555), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[682]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_9__9_ ( 
+        .D(n85592), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[681]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_9__8_ ( 
+        .D(n85542), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[680]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_9__7_ ( 
+        .D(n85610), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[679]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_9__6_ ( 
+        .D(n85560), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[678]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_9__5_ ( 
+        .D(n85559), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[677]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_9__4_ ( 
+        .D(n85622), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[676]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_9__3_ ( 
+        .D(n85621), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[675]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_9__2_ ( 
+        .D(n85620), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[674]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_1__0_ ( 
+        .D(n85627), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[928]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_1__31_ ( 
+        .D(n85625), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[959]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_1__30_ ( 
+        .D(n85618), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[958]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_1__29_ ( 
+        .D(n85605), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[957]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_1__28_ ( 
+        .D(n85608), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[956]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_1__27_ ( 
+        .D(n85609), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[955]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_1__25_ ( 
+        .D(n85602), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[953]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_1__24_ ( 
+        .D(n85553), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[952]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_1__23_ ( 
+        .D(n85595), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[951]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_1__22_ ( 
+        .D(n85594), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[950]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_1__21_ ( 
+        .D(n85551), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[949]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_1__20_ ( 
+        .D(n85600), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[948]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_1__19_ ( 
+        .D(n85556), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[947]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_1__18_ ( 
+        .D(n85539), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[946]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_1__17_ ( 
+        .D(n85558), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[945]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_1__16_ ( 
+        .D(n85590), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[944]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_1__14_ ( 
+        .D(n85557), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[942]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_1__13_ ( 
+        .D(n85601), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[941]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_1__12_ ( 
+        .D(n85561), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[940]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_1__11_ ( 
+        .D(n85550), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[939]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_1__10_ ( 
+        .D(n85555), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[938]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_1__9_ ( 
+        .D(n85592), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[937]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_1__8_ ( 
+        .D(n85542), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[936]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_1__7_ ( 
+        .D(n85610), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[935]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_1__6_ ( 
+        .D(n85560), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[934]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_1__5_ ( 
+        .D(n85559), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[933]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_1__4_ ( 
+        .D(n85622), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[932]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_1__3_ ( 
+        .D(n85621), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[931]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_1__2_ ( 
+        .D(n85620), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[930]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_24__0_ ( 
+        .D(n85627), .DE(n59854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[192]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_24__31_ ( 
+        .D(n85625), .DE(n59854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[223]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_24__30_ ( 
+        .D(n85618), .DE(n59854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[222]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_24__29_ ( 
+        .D(n85605), .DE(n59854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[221]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_24__28_ ( 
+        .D(n85608), .DE(n59854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[220]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_24__27_ ( 
+        .D(n85609), .DE(n59854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[219]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_24__25_ ( 
+        .D(n85602), .DE(n59854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[217]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_24__24_ ( 
+        .D(n85553), .DE(n59854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[216]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_24__23_ ( 
+        .D(n85595), .DE(n59854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[215]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_24__22_ ( 
+        .D(n85594), .DE(n59854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[214]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_24__21_ ( 
+        .D(n85551), .DE(n59854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[213]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_24__20_ ( 
+        .D(n85600), .DE(n59854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[212]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_24__19_ ( 
+        .D(n85556), .DE(n59854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[211]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_24__18_ ( 
+        .D(n85539), .DE(n59854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[210]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_24__17_ ( 
+        .D(n85558), .DE(n59854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[209]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_24__16_ ( 
+        .D(n85590), .DE(n59854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[208]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_24__14_ ( 
+        .D(n85557), .DE(n59854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[206]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_24__13_ ( 
+        .D(n85601), .DE(n59854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[205]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_24__12_ ( 
+        .D(n85561), .DE(n59854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[204]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_24__11_ ( 
+        .D(n85550), .DE(n59854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[203]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_24__10_ ( 
+        .D(n85555), .DE(n59854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[202]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_24__9_ ( 
+        .D(n85592), .DE(n59854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[201]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_24__8_ ( 
+        .D(n85542), .DE(n59854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[200]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_24__7_ ( 
+        .D(n85610), .DE(n59854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[199]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_24__6_ ( 
+        .D(n85560), .DE(n59854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[198]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_24__5_ ( 
+        .D(n85559), .DE(n59854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[197]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_24__4_ ( 
+        .D(n85622), .DE(n59854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[196]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_24__3_ ( 
+        .D(n85621), .DE(n59854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[195]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_24__2_ ( 
+        .D(n85620), .DE(n59854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[194]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_16__0_ ( 
+        .D(n85627), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[448]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_16__31_ ( 
+        .D(n85625), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[479]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_16__30_ ( 
+        .D(n85618), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[478]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_16__29_ ( 
+        .D(n85605), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[477]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_16__28_ ( 
+        .D(n85608), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[476]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_16__27_ ( 
+        .D(n85609), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[475]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_16__25_ ( 
+        .D(n85602), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[473]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_16__24_ ( 
+        .D(n85553), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[472]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_16__23_ ( 
+        .D(n85595), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[471]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_16__22_ ( 
+        .D(n85594), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[470]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_16__21_ ( 
+        .D(n85551), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[469]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_16__20_ ( 
+        .D(n85600), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[468]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_16__19_ ( 
+        .D(n85556), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[467]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_16__18_ ( 
+        .D(n85539), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[466]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_16__17_ ( 
+        .D(n85558), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[465]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_16__16_ ( 
+        .D(n85590), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[464]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_16__14_ ( 
+        .D(n85557), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[462]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_16__13_ ( 
+        .D(n85601), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[461]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_16__12_ ( 
+        .D(n85561), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[460]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_16__11_ ( 
+        .D(n85550), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[459]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_16__10_ ( 
+        .D(n85555), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[458]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_16__9_ ( 
+        .D(n85592), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[457]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_16__8_ ( 
+        .D(n85542), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[456]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_16__7_ ( 
+        .D(n85610), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[455]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_16__6_ ( 
+        .D(n85560), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[454]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_16__5_ ( 
+        .D(n85559), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[453]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_16__4_ ( 
+        .D(n85622), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[452]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_16__3_ ( 
+        .D(n85621), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[451]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_16__2_ ( 
+        .D(n85620), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[450]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_8__0_ ( 
+        .D(n85627), .DE(n59865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[704]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_8__31_ ( 
+        .D(n85625), .DE(n59865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[735]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_8__30_ ( 
+        .D(n85618), .DE(n59865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[734]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_8__29_ ( 
+        .D(n85605), .DE(n59865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[733]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_8__28_ ( 
+        .D(n85608), .DE(n59865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[732]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_8__27_ ( 
+        .D(n85609), .DE(n59865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[731]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_8__25_ ( 
+        .D(n85602), .DE(n59865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[729]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_8__24_ ( 
+        .D(n85553), .DE(n59865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[728]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_8__23_ ( 
+        .D(n85595), .DE(n59865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[727]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_8__22_ ( 
+        .D(n85594), .DE(n59865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[726]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_8__21_ ( 
+        .D(n85551), .DE(n59865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[725]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_8__20_ ( 
+        .D(n85600), .DE(n59865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[724]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_8__19_ ( 
+        .D(n85556), .DE(n59865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[723]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_8__18_ ( 
+        .D(n85539), .DE(n59865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[722]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_8__17_ ( 
+        .D(n85558), .DE(n59865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[721]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_8__16_ ( 
+        .D(n85590), .DE(n59865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[720]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_8__14_ ( 
+        .D(n85557), .DE(n59865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[718]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_8__13_ ( 
+        .D(n85601), .DE(n59865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[717]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_8__12_ ( 
+        .D(n85561), .DE(n59865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[716]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_8__11_ ( 
+        .D(n85550), .DE(n59865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[715]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_8__10_ ( 
+        .D(n85555), .DE(n59865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[714]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_8__9_ ( 
+        .D(n85592), .DE(n59865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[713]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_8__8_ ( 
+        .D(n85542), .DE(n59865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[712]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_8__7_ ( 
+        .D(n85610), .DE(n59865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[711]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_8__6_ ( 
+        .D(n85560), .DE(n59865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[710]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_8__5_ ( 
+        .D(n85559), .DE(n59865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[709]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_8__4_ ( 
+        .D(n85622), .DE(n59865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[708]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_8__3_ ( 
+        .D(n85621), .DE(n59865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[707]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_8__2_ ( 
+        .D(n85620), .DE(n59865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[706]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_release_data_valid_reg ( 
+        .D(n85778), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_369_0_) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_release_data_valid_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N579), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__releaseDataBeat_T_1_0_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_did_read_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_did_read_T_54), .DE(n36820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_did_read) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0_sel_reg_0_ ( 
+        .D(n85451), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0_sel_0_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_data_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[22]), .DE(n86335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_0__22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[22]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_1__22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[22]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data_reg_22_ ( 
+        .D(n85204), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data_r_2_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[22]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_data_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[26]), .DE(n86335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_0__26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[26]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_1__26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[26]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data_reg_26_ ( 
+        .D(n85205), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data_r_3_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[26]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_data_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[28]), .DE(n86335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_0__28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[28]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[60]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_1__28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[28]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data_reg_28_ ( 
+        .D(n85206), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data_r_3_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[28]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[28]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_blockUncachedGrant_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N787), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_blockUncachedGrant) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_1_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[2]), .DE(n86341), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_1_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[3]), .DE(n36804), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_1_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[4]), .DE(n86341), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_1_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[5]), .DE(n86341), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_1_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[7]), .DE(n36804), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_1_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[8]), .DE(n36804), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N77), .DE(n86419), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_1_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[9]), .DE(n86341), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N78), .DE(n86419), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_1_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[10]), .DE(n36804), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_wdata_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[10]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_wdata_reg_10_ ( 
+        .D(n86123), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N79), .DE(n86419), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rs2_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N469), .DE(n86347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_data_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[10]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data_r_1_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[10]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_0__10_ ( 
+        .D(n85207), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_1__10_ ( 
+        .D(n85207), .DE(n86417), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_0__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[490]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_1__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[458]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_2__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[426]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_3__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[394]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_4__10_ ( 
+        .D(n85209), .DE(n59818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[362]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_5__10_ ( 
+        .D(n85209), .DE(n59819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[330]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_6__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[298]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_7__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[266]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_8__10_ ( 
+        .D(n85209), .DE(n59814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[234]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_9__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[202]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_10__10_ ( 
+        .D(n85209), .DE(n59816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[170]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_11__10_ ( 
+        .D(n85209), .DE(n59813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[138]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_12__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[106]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_13__10_ ( 
+        .D(n85209), .DE(n59820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[74]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_14__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_15__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_0__10_ ( 
+        .D(n85209), .DE(n59881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[490]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_1__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[458]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_2__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[426]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_3__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[394]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_4__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[362]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_5__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[330]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_6__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[298]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_7__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[266]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_8__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[234]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_9__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[202]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_10__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[170]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_11__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[138]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_12__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[106]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_13__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[74]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_14__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_15__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_0__10_ ( 
+        .D(n85209), .DE(n59919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[490]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_1__10_ ( 
+        .D(n85209), .DE(n59921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[458]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_2__10_ ( 
+        .D(n85209), .DE(n59920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[426]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_3__10_ ( 
+        .D(n85209), .DE(n59918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[394]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_4__10_ ( 
+        .D(n85209), .DE(n59909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[362]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_5__10_ ( 
+        .D(n85209), .DE(n59912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[330]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_6__10_ ( 
+        .D(n85209), .DE(n59910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[298]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_7__10_ ( 
+        .D(n85209), .DE(n86444), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[266]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_8__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[234]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_9__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[202]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_10__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[170]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_11__10_ ( 
+        .D(n85209), .DE(n59895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[138]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_12__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[106]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_13__10_ ( 
+        .D(n85209), .DE(n59882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[74]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_14__10_ ( 
+        .D(n85209), .DE(n59883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_15__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_0__10_ ( 
+        .D(n85209), .DE(n59938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[490]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_1__10_ ( 
+        .D(n85209), .DE(n59937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[458]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_2__10_ ( 
+        .D(n85209), .DE(n59936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[426]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_3__10_ ( 
+        .D(n85209), .DE(n59939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[394]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_4__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[362]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_5__10_ ( 
+        .D(n85209), .DE(n59901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[330]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_6__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[298]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_7__10_ ( 
+        .D(n85209), .DE(n59894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[266]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_8__10_ ( 
+        .D(n85209), .DE(n59942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[234]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_9__10_ ( 
+        .D(n85209), .DE(n59941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[202]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_10__10_ ( 
+        .D(n85209), .DE(n59943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[170]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_11__10_ ( 
+        .D(n85209), .DE(n59945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[138]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_12__10_ ( 
+        .D(n85209), .DE(n59904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[106]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_13__10_ ( 
+        .D(n85209), .DE(n59903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[74]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_14__10_ ( 
+        .D(n85209), .DE(n59906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_15__10_ ( 
+        .D(n85209), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data_reg_10_ ( 
+        .D(n85208), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_data_reg_10_ ( 
+        .D(n85209), .DE(n86577), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_10[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_1_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[11]), .DE(n36804), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N80), .DE(n86419), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rs2_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N470), .DE(n86347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_data_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[11]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data_r_1_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[11]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_0__11_ ( 
+        .D(n85210), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_1__11_ ( 
+        .D(n85210), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_0__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[491]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_1__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[459]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_2__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[427]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_3__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[395]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_4__11_ ( 
+        .D(n85212), .DE(n59818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[363]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_5__11_ ( 
+        .D(n85212), .DE(n59819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[331]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_6__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[299]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_7__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[267]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_8__11_ ( 
+        .D(n85212), .DE(n59814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[235]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_9__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[203]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_10__11_ ( 
+        .D(n85212), .DE(n59816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[171]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_11__11_ ( 
+        .D(n85212), .DE(n59813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[139]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_12__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[107]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_13__11_ ( 
+        .D(n85212), .DE(n59820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[75]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_14__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_15__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_0__11_ ( 
+        .D(n85212), .DE(n59881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[491]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_1__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[459]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_2__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[427]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_3__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[395]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_4__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[363]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_5__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[331]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_6__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[299]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_7__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[267]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_8__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[235]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_9__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[203]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_10__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[171]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_11__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[139]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_12__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[107]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_13__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[75]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_14__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_15__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_0__11_ ( 
+        .D(n85212), .DE(n59919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[491]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_1__11_ ( 
+        .D(n85212), .DE(n59921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[459]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_2__11_ ( 
+        .D(n85212), .DE(n59920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[427]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_3__11_ ( 
+        .D(n85212), .DE(n59918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[395]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_4__11_ ( 
+        .D(n85212), .DE(n59909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[363]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_5__11_ ( 
+        .D(n85212), .DE(n59912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[331]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_6__11_ ( 
+        .D(n85212), .DE(n59910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[299]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_7__11_ ( 
+        .D(n85212), .DE(n86444), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[267]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_8__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[235]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_9__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[203]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_10__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[171]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_11__11_ ( 
+        .D(n85212), .DE(n59895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[139]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_12__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[107]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_13__11_ ( 
+        .D(n85212), .DE(n59882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[75]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_14__11_ ( 
+        .D(n85212), .DE(n59883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_15__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_0__11_ ( 
+        .D(n85212), .DE(n59938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[491]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_1__11_ ( 
+        .D(n85212), .DE(n59937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[459]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_2__11_ ( 
+        .D(n85212), .DE(n59936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[427]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_3__11_ ( 
+        .D(n85212), .DE(n59939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[395]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_4__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[363]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_5__11_ ( 
+        .D(n85212), .DE(n59901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[331]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_6__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[299]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_7__11_ ( 
+        .D(n85212), .DE(n59894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[267]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_8__11_ ( 
+        .D(n85212), .DE(n59942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[235]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_9__11_ ( 
+        .D(n85212), .DE(n59941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[203]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_10__11_ ( 
+        .D(n85212), .DE(n59943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[171]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_11__11_ ( 
+        .D(n85212), .DE(n59945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[139]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_12__11_ ( 
+        .D(n85212), .DE(n59904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[107]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_13__11_ ( 
+        .D(n85212), .DE(n59903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[75]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_14__11_ ( 
+        .D(n85212), .DE(n59906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_15__11_ ( 
+        .D(n85212), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data_reg_11_ ( 
+        .D(n85211), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_data_reg_11_ ( 
+        .D(n85212), .DE(n86577), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_11[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_1_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[12]), .DE(n36804), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_wdata_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[12]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_wdata_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_int_wdata[12]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_control_action_reg ( 
+        .D(n86149), .DE(n36347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_action) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_control_action_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N463), .DE(n36346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_action) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N81), .DE(n86419), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rs2_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N471), .DE(n86347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_data_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_s1_data_data[12]), .DE(n86098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data_r_1_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[12]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_0__12_ ( 
+        .D(n85213), .DE(n86418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data_reg_1__12_ ( 
+        .D(n85213), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_0__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[492]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_1__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[460]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_2__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[428]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_3__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[396]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_4__12_ ( 
+        .D(n85215), .DE(n59818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[364]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_5__12_ ( 
+        .D(n85215), .DE(n59819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[332]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_6__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[300]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_7__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[268]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_8__12_ ( 
+        .D(n85215), .DE(n59814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[236]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_9__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[204]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_10__12_ ( 
+        .D(n85215), .DE(n59816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[172]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_11__12_ ( 
+        .D(n85215), .DE(n59813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[140]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_12__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[108]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_13__12_ ( 
+        .D(n85215), .DE(n59820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[76]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_14__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data_reg_15__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_0__12_ ( 
+        .D(n85215), .DE(n59881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[492]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_1__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[460]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_2__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[428]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_3__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[396]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_4__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[364]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_5__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[332]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_6__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[300]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_7__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[268]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_8__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[236]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_9__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[204]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_10__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[172]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_11__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[140]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_12__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[108]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_13__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[76]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_14__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data_reg_15__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_0__12_ ( 
+        .D(n85215), .DE(n59919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[492]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_1__12_ ( 
+        .D(n85215), .DE(n59921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[460]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_2__12_ ( 
+        .D(n85215), .DE(n59920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[428]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_3__12_ ( 
+        .D(n85215), .DE(n59918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[396]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_4__12_ ( 
+        .D(n85215), .DE(n59909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[364]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_5__12_ ( 
+        .D(n85215), .DE(n59912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[332]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_6__12_ ( 
+        .D(n85215), .DE(n59910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[300]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_7__12_ ( 
+        .D(n85215), .DE(n86444), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[268]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_8__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[236]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_9__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[204]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_10__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[172]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_11__12_ ( 
+        .D(n85215), .DE(n59895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[140]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_12__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[108]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_13__12_ ( 
+        .D(n85215), .DE(n59882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[76]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_14__12_ ( 
+        .D(n85215), .DE(n59883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data_reg_15__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_0__12_ ( 
+        .D(n85215), .DE(n59938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[492]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_1__12_ ( 
+        .D(n85215), .DE(n59937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[460]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_2__12_ ( 
+        .D(n85215), .DE(n59936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[428]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_3__12_ ( 
+        .D(n85215), .DE(n59939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[396]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_4__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[364]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_5__12_ ( 
+        .D(n85215), .DE(n59901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[332]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_6__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[300]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_7__12_ ( 
+        .D(n85215), .DE(n59894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[268]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_8__12_ ( 
+        .D(n85215), .DE(n59942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[236]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_9__12_ ( 
+        .D(n85215), .DE(n59941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[204]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_10__12_ ( 
+        .D(n85215), .DE(n59943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[172]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_11__12_ ( 
+        .D(n85215), .DE(n59945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[140]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_12__12_ ( 
+        .D(n85215), .DE(n59904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[108]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_13__12_ ( 
+        .D(n85215), .DE(n59903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[76]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_14__12_ ( 
+        .D(n85215), .DE(n59906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data_reg_15__12_ ( 
+        .D(n85215), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data_reg_12_ ( 
+        .D(n85214), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_data_reg_12_ ( 
+        .D(n85215), .DE(n86577), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_12[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_1_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[13]), .DE(n36804), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N82), .DE(n86419), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_1_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[16]), .DE(n36804), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N85), .DE(n86419), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_1_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[17]), .DE(n86341), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N86), .DE(n86419), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_1_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[18]), .DE(n36804), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N87), .DE(n86419), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_1_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[19]), .DE(n86341), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N88), .DE(n86419), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_wdata_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[19]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_wdata_reg_19_ ( 
+        .D(n86144), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[19]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1162), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[19]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_1_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[21]), .DE(n36804), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N90), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N68), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_1_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[22]), .DE(n86341), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N91), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N68), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_1_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[23]), .DE(n86341), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_1_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[24]), .DE(n86341), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_1_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[25]), .DE(n36804), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_1_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[27]), .DE(n86341), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_1_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[28]), .DE(n36804), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N97), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N68), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_1_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[29]), .DE(n36804), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_55_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2130), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2129), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_55_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2131), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2129), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_55_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2132), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2129), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_55_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2133), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2129), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_55_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2134), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2129), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_55_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2135), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2129), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_55_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2136), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2129), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_55_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2137), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2129), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_54_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2117), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2116), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_54_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2118), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2116), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_54_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2119), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2116), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_54_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2120), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2116), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_54_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2121), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2116), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_54_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2122), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2116), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_54_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2123), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2116), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_54_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2124), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2116), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_53_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2104), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2103), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_53_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2105), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2103), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_53_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2106), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2103), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_53_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2107), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2103), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_53_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2108), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2103), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_53_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2109), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2103), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_53_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2110), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2103), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_53_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2111), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2103), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_52_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2091), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2090), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_52_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2092), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2090), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_52_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2093), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2090), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_52_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2094), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2090), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_52_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2095), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2090), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_52_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2096), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2090), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_52_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2097), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2090), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_52_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2098), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2090), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_51_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2078), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2077), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_51_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2079), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2077), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_51_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2080), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2077), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_51_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2081), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2077), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_51_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2082), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2077), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_51_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2083), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2077), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_51_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2084), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2077), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_51_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2085), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2077), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_50_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2065), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2064), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_50_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2066), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2064), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_50_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2067), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2064), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_50_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2068), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2064), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_50_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2069), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2064), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_50_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2070), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2064), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_50_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2071), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2064), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_50_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2072), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2064), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_49_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2052), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2051), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_49_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2053), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2051), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_49_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2054), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2051), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_49_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2055), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2051), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_49_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2056), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2051), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_49_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2057), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2051), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_49_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2058), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2051), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_49_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2059), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2051), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_48_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2039), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2038), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_48_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2040), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2038), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_48_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2041), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2038), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_48_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2042), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2038), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_48_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2043), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2038), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_48_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2044), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2038), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_48_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2045), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2038), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_48_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2046), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2038), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_63_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2234), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2233), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_63_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2235), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2233), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_63_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2236), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2233), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_63_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2237), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2233), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_63_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2238), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2233), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_63_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2239), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2233), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_63_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2240), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2233), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_63_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2241), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2233), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_62_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2221), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2220), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_62_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2222), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2220), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_62_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2223), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2220), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_62_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2224), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2220), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_62_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2225), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2220), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_62_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2226), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2220), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_62_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2227), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2220), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_62_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2228), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2220), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_61_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2208), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2207), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_61_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2209), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2207), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_61_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2210), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2207), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_61_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2211), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2207), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_61_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2212), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2207), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_61_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2213), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2207), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_61_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2214), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2207), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_61_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2215), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2207), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_60_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2195), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2194), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_60_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2196), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2194), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_60_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2197), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2194), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_60_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2198), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2194), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_60_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2199), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2194), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_60_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2200), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2194), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_60_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2201), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2194), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_60_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2202), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2194), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_43_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1974), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1973), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_43_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1975), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1973), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_43_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1976), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1973), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_43_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1977), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1973), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_43_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1978), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1973), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_43_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1979), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1973), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_43_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1980), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1973), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_43_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1981), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1973), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_42_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1961), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1960), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_42_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1962), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1960), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_42_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1963), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1960), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_42_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1964), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1960), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_42_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1965), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1960), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_42_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1966), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1960), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_42_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1967), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1960), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_42_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1968), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1960), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_41_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1948), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1947), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_41_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1949), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1947), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_41_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1950), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1947), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_41_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1951), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1947), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_41_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1952), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1947), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_41_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1953), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1947), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_41_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1954), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1947), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_41_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1955), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1947), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_40_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1935), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1934), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_40_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1936), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1934), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_40_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1937), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1934), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_40_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1938), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1934), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_40_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1939), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1934), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_40_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1940), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1934), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_40_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1941), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1934), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_40_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1942), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1934), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_39_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1922), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1921), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_39_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1923), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1921), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_39_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1924), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1921), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_39_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1925), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1921), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_39_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1926), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1921), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_39_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1927), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1921), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_39_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1928), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1921), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_39_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1929), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1921), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_38_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1909), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1908), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_38_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1910), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1908), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_38_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1911), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1908), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_38_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1912), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1908), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_38_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1913), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1908), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_38_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1914), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1908), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_38_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1915), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1908), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_38_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1916), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1908), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_37_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1896), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1895), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_37_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1897), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1895), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_37_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1898), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1895), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_37_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1899), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1895), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_37_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1900), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1895), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_37_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1901), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1895), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_37_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1902), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1895), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_37_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1903), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1895), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_36_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1883), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1882), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_36_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1884), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1882), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_36_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1885), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1882), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_36_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1886), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1882), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_36_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1887), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1882), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_36_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1888), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1882), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_36_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1889), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1882), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_36_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1890), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1882), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_35_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1870), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1869), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_35_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1871), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1869), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_35_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1872), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1869), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_35_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1873), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1869), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_35_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1874), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1869), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_35_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1875), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1869), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_35_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1876), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1869), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_35_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1877), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1869), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_34_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1857), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1856), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_34_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1858), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1856), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_34_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1859), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1856), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_34_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1860), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1856), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_34_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1861), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1856), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_34_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1862), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1856), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_34_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1863), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1856), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_34_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1864), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1856), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_33_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1844), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1843), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_33_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1845), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1843), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_33_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1846), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1843), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_33_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1847), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1843), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_33_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1848), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1843), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_33_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1849), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1843), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_33_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1850), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1843), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_33_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1851), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1843), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_32_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1831), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1830), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_32_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1832), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1830), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_32_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1833), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1830), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_32_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1834), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1830), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_32_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1835), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1830), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_32_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1836), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1830), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_32_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1837), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1830), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_32_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1838), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1830), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_59_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2182), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2181), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_59_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2183), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2181), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_59_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2184), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2181), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_59_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2185), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2181), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_59_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2186), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2181), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_59_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2187), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2181), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_59_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2188), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2181), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_59_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2189), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2181), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_58_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2169), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2168), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_58_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2170), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2168), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_58_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2171), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2168), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_58_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2172), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2168), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_58_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2173), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2168), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_58_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2174), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2168), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_58_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2175), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2168), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_58_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2176), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2168), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_57_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2156), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2155), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_57_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2157), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2155), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_57_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2158), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2155), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_57_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2159), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2155), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_57_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2160), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2155), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_57_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2161), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2155), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_57_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2162), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2155), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_57_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2163), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2155), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_56_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2143), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2142), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_56_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2144), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2142), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_56_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2145), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2142), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_56_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2146), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2142), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_56_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2147), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2142), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_56_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2148), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2142), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_56_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2149), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2142), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_56_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2150), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2142), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_31_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1818), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1817), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_31_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1819), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1817), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_31_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1820), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1817), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_31_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1821), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1817), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_31_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1822), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1817), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_31_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1823), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1817), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_31_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1824), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1817), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_31_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1825), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1817), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_30_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1805), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1804), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_30_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1806), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1804), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_30_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1807), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1804), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_30_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1808), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1804), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_30_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1809), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1804), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_30_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1810), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1804), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_30_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1811), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1804), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_30_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1812), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1804), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_29_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1792), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1791), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_29_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1793), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1791), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_29_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1794), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1791), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_29_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1795), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1791), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_29_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1796), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1791), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_29_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1797), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1791), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_29_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1798), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1791), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_29_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1799), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1791), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_28_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1779), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1778), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_28_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1780), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1778), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_28_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1781), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1778), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_28_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1782), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1778), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_28_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1783), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1778), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_28_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1784), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1778), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_28_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1785), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1778), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_28_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1786), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1778), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_27_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1766), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1765), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_27_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1767), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1765), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_27_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1768), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1765), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_27_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1769), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1765), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_27_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1770), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1765), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_27_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1771), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1765), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_27_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1772), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1765), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_27_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1773), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1765), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_26_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1753), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1752), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_26_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1754), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1752), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_26_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1755), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1752), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_26_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1756), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1752), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_26_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1757), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1752), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_26_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1758), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1752), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_26_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1759), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1752), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_26_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1760), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1752), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_25_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1740), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1739), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_25_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1741), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1739), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_25_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1742), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1739), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_25_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1743), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1739), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_25_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1744), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1739), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_25_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1745), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1739), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_25_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1746), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1739), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_25_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1747), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1739), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_24_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1727), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1726), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_24_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1728), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1726), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_24_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1729), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1726), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_24_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1730), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1726), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_24_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1731), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1726), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_24_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1732), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1726), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_24_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1733), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1726), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_24_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1734), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1726), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_47_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2026), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2025), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_47_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2027), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2025), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_47_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2028), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2025), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_47_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2029), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2025), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_47_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2030), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2025), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_47_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2031), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2025), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_47_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2032), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2025), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_47_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2033), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2025), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_46_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2013), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2012), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_46_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2014), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2012), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_46_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2015), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2012), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_46_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2016), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2012), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_46_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2017), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2012), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_46_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2018), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2012), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_46_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2019), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2012), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_46_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2020), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2012), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_45_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2000), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1999), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_45_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2001), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1999), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_45_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2002), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1999), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_45_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2003), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1999), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_45_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2004), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1999), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_45_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2005), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1999), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_45_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2006), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1999), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_45_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2007), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1999), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_44_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1987), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1986), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_44_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1988), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1986), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_44_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1989), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1986), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_44_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1990), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1986), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_44_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1991), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1986), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_44_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1992), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1986), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_44_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1993), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1986), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_44_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1994), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1986), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_15_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1610), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1609), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_15_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1611), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1609), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_15_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1612), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1609), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_15_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1613), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1609), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_15_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1614), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1609), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_15_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1615), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1609), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_15_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1616), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1609), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_15_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1617), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1609), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_14_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1597), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1596), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_14_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1598), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1596), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_14_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1599), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1596), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_14_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1600), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1596), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_14_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1601), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1596), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_14_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1602), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1596), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_14_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1603), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1596), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_14_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1604), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1596), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_13_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1584), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1583), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_13_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1585), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1583), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_13_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1586), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1583), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_13_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1587), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1583), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_13_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1588), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1583), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_13_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1589), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1583), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_13_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1590), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1583), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_13_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1591), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1583), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_12_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1571), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1570), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_12_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1572), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1570), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_12_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1573), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1570), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_12_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1574), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1570), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_12_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1575), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1570), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_12_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1576), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1570), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_12_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1577), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1570), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_12_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1578), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1570), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_11_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1558), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1557), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_11_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1559), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1557), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_11_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1560), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1557), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_11_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1561), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1557), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_11_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1562), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1557), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_11_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1563), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1557), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_11_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1564), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1557), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_11_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1565), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1557), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_10_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1545), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1544), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_10_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1546), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1544), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_10_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1547), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1544), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_10_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1548), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1544), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_10_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1549), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1544), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_10_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1550), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1544), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_10_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1551), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1544), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_10_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1552), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1544), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_9_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1532), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1531), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_9_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1533), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1531), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_9_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1534), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1531), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_9_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1535), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1531), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_9_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1536), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1531), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_9_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1537), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1531), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_9_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1538), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1531), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_9_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1539), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1531), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_8_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1519), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1518), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_8_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1520), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1518), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_8_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1521), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1518), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_8_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1522), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1518), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_8_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1523), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1518), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_8_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1524), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1518), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_8_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1525), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1518), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_8_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1526), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1518), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_23_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1714), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1713), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_23_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1715), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1713), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_23_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1716), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1713), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_23_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1717), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1713), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_23_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1718), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1713), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_23_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1719), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1713), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_23_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1720), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1713), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_23_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1721), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1713), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_22_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1701), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1700), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_22_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1702), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1700), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_22_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1703), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1700), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_22_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1704), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1700), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_22_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1705), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1700), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_22_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1706), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1700), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_22_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1707), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1700), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_22_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1708), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1700), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_21_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1688), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1687), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_21_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1689), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1687), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_21_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1690), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1687), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_21_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1691), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1687), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_21_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1692), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1687), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_21_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1693), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1687), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_21_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1694), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1687), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_21_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1695), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1687), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_20_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1675), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1674), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_20_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1676), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1674), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_20_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1677), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1674), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_20_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1678), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1674), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_20_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1679), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1674), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_20_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1680), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1674), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_20_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1681), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1674), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_20_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1682), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1674), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_19_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1662), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1661), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_19_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1663), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1661), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_19_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1664), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1661), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_19_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1665), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1661), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_19_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1666), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1661), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_19_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1667), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1661), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_19_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1668), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1661), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_19_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1669), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1661), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_18_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1649), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1648), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_18_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1650), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1648), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_18_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1651), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1648), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_18_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1652), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1648), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_18_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1653), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1648), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_18_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1654), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1648), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_18_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1655), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1648), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_18_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1656), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1648), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_17_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1636), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1635), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_17_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1637), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1635), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_17_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1638), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1635), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_17_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1639), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1635), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_17_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1640), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1635), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_17_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1641), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1635), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_17_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1642), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1635), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_17_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1643), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1635), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_16_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1623), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1622), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_16_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1624), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1622), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_16_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1625), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1622), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_16_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1626), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1622), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_16_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1627), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1622), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_16_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1628), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1622), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_16_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1629), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1622), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_16_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1630), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1622), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_7_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1506), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1505), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_7_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1507), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1505), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_7_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1508), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1505), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_7_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1509), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1505), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_7_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1510), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1505), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_7_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1511), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1505), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_7_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1512), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1505), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_7_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1513), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1505), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_6_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1493), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1492), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_6_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1494), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1492), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_6_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1495), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1492), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_6_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1496), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1492), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_6_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1497), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1492), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_6_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1498), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1492), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_6_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1499), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1492), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_6_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1500), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1492), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_5_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1480), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1479), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_5_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1481), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1479), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_5_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1482), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1479), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_5_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1483), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1479), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_5_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1484), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1479), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_5_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1485), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1479), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_5_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1486), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1479), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_5_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1487), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1479), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_4_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1467), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1466), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_4_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1468), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1466), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_4_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1469), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1466), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_4_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1470), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1466), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_4_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1471), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1466), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_4_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1472), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1466), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_4_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1473), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1466), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_4_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1474), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1466), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_3_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1454), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1453), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_3_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1455), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1453), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_3_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1456), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1453), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_3_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1457), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1453), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_3_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1458), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1453), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_3_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1459), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1453), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_3_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1460), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1453), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_3_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1461), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1453), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_2_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1441), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1440), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_2_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1442), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1440), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_2_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1443), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1440), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_2_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1444), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1440), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_2_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1445), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1440), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_2_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1446), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1440), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_2_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1447), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1440), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_2_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1448), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1440), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_1_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1428), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1427), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_1_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1429), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1427), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_1_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1430), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1427), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_1_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1431), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1427), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_1_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1432), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1427), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_1_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1433), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1427), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_1_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1434), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1427), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_1_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1435), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1427), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_0_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1415), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1414), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_0_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1416), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1414), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_0_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1417), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1414), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_0_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1418), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1414), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_0_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1419), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1414), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_0_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1420), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1414), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_0_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1421), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1414), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_programBufferMem_0_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1422), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1414), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_abstractDataMem_3_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1402), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1401), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_abstractDataMem_3_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1403), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1401), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_abstractDataMem_3_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1404), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1401), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_abstractDataMem_3_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1405), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1401), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_abstractDataMem_3_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1406), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1401), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_abstractDataMem_3_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1407), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1401), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_abstractDataMem_3_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1408), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1401), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_abstractDataMem_3_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1409), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1401), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_abstractDataMem_2_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1389), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1388), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_abstractDataMem_2_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1390), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1388), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_abstractDataMem_2_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1391), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1388), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_abstractDataMem_2_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1392), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1388), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_abstractDataMem_2_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1393), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1388), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_abstractDataMem_2_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1394), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1388), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_abstractDataMem_2_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1395), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1388), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_abstractDataMem_2_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1396), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1388), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_abstractDataMem_1_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1376), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1375), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_abstractDataMem_1_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1377), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1375), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_abstractDataMem_1_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1378), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1375), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_abstractDataMem_1_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1379), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1375), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_abstractDataMem_1_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1380), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1375), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_abstractDataMem_1_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1381), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1375), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_abstractDataMem_1_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1382), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1375), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_abstractDataMem_1_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1383), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1375), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_abstractDataMem_0_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1363), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1362), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_abstractDataMem_0_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1364), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1362), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_abstractDataMem_0_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1365), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1362), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_abstractDataMem_0_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1366), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1362), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_abstractDataMem_0_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1367), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1362), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_abstractDataMem_0_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1368), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1362), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_abstractDataMem_0_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1369), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1362), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_abstractDataMem_0_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1370), .DE(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1362), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[7])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_resumeReqRegs_reg ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner__GEN_66_0_), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner__GEN_3659_0_) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_intsink_chain_output_chain_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_sys_debug_1_dmOuter_dmOuter_debugIntRegs_0), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_intsink_chain_output_chain_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_intsink_chain_output_chain_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_intsink_chain_output_chain_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_intsink_chain_output_chain_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_intsink_chain_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_intsink_chain_output_chain_sync_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_intXbar_auto_int_out_0) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_wfi_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1441), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_wfi) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_small_1_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1442), .DE(n36580), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_small_1_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1443), .DE(n36580), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_addr_reg_1_ ( 
+        .D(n85744), .DE(n86336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_addr_reg_1_ ( 
+        .D(n85744), .DE(n86490), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_addr_reg_1_ ( 
+        .D(n85744), .DE(n86391), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_addr_reg_1_ ( 
+        .D(n85744), .DE(n85956), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_addr_reg_1_ ( 
+        .D(n85744), .DE(n85951), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_addr_reg_1_ ( 
+        .D(n85744), .DE(n85918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_addr_reg_1_ ( 
+        .D(n85744), .DE(n85955), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mideleg_reg_1_ ( 
+        .D(n85744), .DE(n86493), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_mideleg_1) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch_reg_1_ ( 
+        .D(n85744), .DE(n86116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch_reg_1_ ( 
+        .D(n85744), .DE(n86117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mcounteren_reg_1_ ( 
+        .D(n85744), .DE(n86588), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_mcounteren[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_scounteren_reg_1_ ( 
+        .D(n85744), .DE(n86587), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_scounteren[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch_reg_1_ ( 
+        .D(n85744), .DE(n86575), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_address_reg_1_ ( 
+        .D(n85744), .DE(n86420), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_address_reg_1_ ( 
+        .D(n85744), .DE(n86421), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mip_ssip_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N753), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N754), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__read_hvip_T_1) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_cfg_w_reg ( 
+        .D(n85216), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N580), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_cfg_w) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_cfg_w_reg ( 
+        .D(n85216), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N652), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_w) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dcsr_prv_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N351), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_lo_6_1) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mstatus_prv_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N291), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N290), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_1_status_prv[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mstatus_mpp_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_mpp[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dcsr_prv_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N350), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_lo_6_0) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mstatus_spp_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N327), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_spp) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mstatus_prv_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N292), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N290), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_1_status_prv[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mstatus_mpp_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_mpp[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_addr_reg_12_ ( 
+        .D(n85743), .DE(n86336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_addr_reg_12_ ( 
+        .D(n85743), .DE(n86390), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_addr_reg_12_ ( 
+        .D(n85743), .DE(n86391), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_addr_reg_12_ ( 
+        .D(n85743), .DE(n85956), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_addr_reg_12_ ( 
+        .D(n85743), .DE(n85951), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_addr_reg_12_ ( 
+        .D(n85743), .DE(n85918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_addr_reg_12_ ( 
+        .D(n85743), .DE(n85955), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_medeleg_reg_12_ ( 
+        .D(n85743), .DE(n86576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_12) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch_reg_12_ ( 
+        .D(n85743), .DE(n86116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch_reg_12_ ( 
+        .D(n85743), .DE(n86117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch_reg_12_ ( 
+        .D(n85743), .DE(n86575), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec_reg_12_ ( 
+        .D(n85743), .DE(n86332), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_address_reg_12_ ( 
+        .D(n85743), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N512), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_address_reg_12_ ( 
+        .D(n85743), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[12]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1155), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[12]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1027), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[12]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N929), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[12]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N799), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[12]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N429), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec_reg_12_ ( 
+        .D(n86103), .DE(n36558), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dcsr_ebreaku_reg ( 
+        .D(n85218), .DE(n36577), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__causeIsDebugBreak_T_3[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_cfg_a_reg_1_ ( 
+        .D(n85218), .DE(n36572), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_cfg_a[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_addr_reg_0_ ( 
+        .D(n85630), .DE(n86489), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_addr_reg_1_ ( 
+        .D(n85744), .DE(n86489), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_addr_reg_2_ ( 
+        .D(n85822), .DE(n86489), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_addr_reg_3_ ( 
+        .D(n85823), .DE(n86489), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_addr_reg_4_ ( 
+        .D(n85751), .DE(n86389), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_addr_reg_5_ ( 
+        .D(n85764), .DE(n86489), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_addr_reg_6_ ( 
+        .D(n85745), .DE(n86489), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_addr_reg_6_ ( 
+        .D(n85745), .DE(n86336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_addr_reg_6_ ( 
+        .D(n85745), .DE(n86490), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_addr_reg_6_ ( 
+        .D(n85745), .DE(n86491), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_addr_reg_6_ ( 
+        .D(n85745), .DE(n85956), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_addr_reg_6_ ( 
+        .D(n85745), .DE(n85951), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_addr_reg_6_ ( 
+        .D(n85745), .DE(n85918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_addr_reg_6_ ( 
+        .D(n85745), .DE(n85955), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_medeleg_reg_6_ ( 
+        .D(n85745), .DE(n86576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_6) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch_reg_6_ ( 
+        .D(n85745), .DE(n86116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch_reg_6_ ( 
+        .D(n85745), .DE(n86117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch_reg_6_ ( 
+        .D(n85745), .DE(n86575), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec_reg_6_ ( 
+        .D(n85745), .DE(n86332), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_control_m_reg ( 
+        .D(n85745), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N475), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_m) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_address_reg_6_ ( 
+        .D(n85745), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N512), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_control_m_reg ( 
+        .D(n85745), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N530), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_m) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_address_reg_6_ ( 
+        .D(n85745), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1247), .DE(n86301), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_32_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1279), .DE(n36576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1510), .DE(n36580), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_32_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1542), .DE(n36581), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[38]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1149), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[6]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1021), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[6]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N923), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[6]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N793), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[6]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N423), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec_reg_6_ ( 
+        .D(n86100), .DE(n36558), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_addr_reg_7_ ( 
+        .D(n85631), .DE(n86489), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_addr_reg_8_ ( 
+        .D(n85591), .DE(n86389), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_addr_reg_9_ ( 
+        .D(n85877), .DE(n86389), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_addr_reg_10_ ( 
+        .D(n85554), .DE(n86389), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_addr_reg_10_ ( 
+        .D(n85554), .DE(n86336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_addr_reg_10_ ( 
+        .D(n85554), .DE(n86390), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_addr_reg_10_ ( 
+        .D(n85554), .DE(n86391), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_addr_reg_10_ ( 
+        .D(n85554), .DE(n85956), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_addr_reg_10_ ( 
+        .D(n85554), .DE(n85951), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_addr_reg_10_ ( 
+        .D(n85554), .DE(n85918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_addr_reg_10_ ( 
+        .D(n85554), .DE(n85955), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_medeleg_reg_10_ ( 
+        .D(n85554), .DE(n86576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_10) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch_reg_10_ ( 
+        .D(n85554), .DE(n86116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch_reg_10_ ( 
+        .D(n85554), .DE(n86117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch_reg_10_ ( 
+        .D(n85554), .DE(n86575), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec_reg_10_ ( 
+        .D(n85554), .DE(n86332), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_cfg_x_reg ( 
+        .D(n85554), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N598), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_cfg_x) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_cfg_x_reg ( 
+        .D(n85554), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N670), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_cfg_x) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_address_reg_10_ ( 
+        .D(n85554), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N512), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_address_reg_10_ ( 
+        .D(n85554), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1251), .DE(n86301), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_36_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1283), .DE(n36576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1514), .DE(n36580), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_36_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1546), .DE(n36581), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[42]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1153), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[10]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1025), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[10]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N927), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[10]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N797), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[10]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N427), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec_reg_10_ ( 
+        .D(n86569), .DE(n36558), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_addr_reg_11_ ( 
+        .D(n85794), .DE(n86489), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_addr_reg_12_ ( 
+        .D(n85743), .DE(n86389), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_addr_reg_14_ ( 
+        .D(n85642), .DE(n86489), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_addr_reg_14_ ( 
+        .D(n85642), .DE(n86336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_addr_reg_14_ ( 
+        .D(n85642), .DE(n86490), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_addr_reg_14_ ( 
+        .D(n85642), .DE(n86391), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_addr_reg_14_ ( 
+        .D(n85642), .DE(n85956), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_addr_reg_14_ ( 
+        .D(n85642), .DE(n85951), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_addr_reg_14_ ( 
+        .D(n85642), .DE(n85918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_addr_reg_14_ ( 
+        .D(n85642), .DE(n85955), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch_reg_14_ ( 
+        .D(n85642), .DE(n86116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch_reg_14_ ( 
+        .D(n85642), .DE(n86117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch_reg_14_ ( 
+        .D(n85642), .DE(n86575), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec_reg_14_ ( 
+        .D(n85642), .DE(n86332), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_address_reg_14_ ( 
+        .D(n85642), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N512), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_address_reg_14_ ( 
+        .D(n85642), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1255), .DE(n86301), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_40_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1287), .DE(n36576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1518), .DE(n36580), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_40_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1550), .DE(n36581), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[46]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1157), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[14]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1029), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[14]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N931), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[14]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N801), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[14]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N431), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec_reg_14_ ( 
+        .D(n86487), .DE(n36558), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mstatus_fs_reg_1_ ( 
+        .D(n85217), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_fs[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mstatus_fs_reg_0_ ( 
+        .D(n85217), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_fs[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_addr_reg_13_ ( 
+        .D(n85746), .DE(n86389), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_addr_reg_13_ ( 
+        .D(n85746), .DE(n86336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_addr_reg_13_ ( 
+        .D(n85746), .DE(n86390), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_addr_reg_13_ ( 
+        .D(n85746), .DE(n86391), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_addr_reg_13_ ( 
+        .D(n85746), .DE(n85956), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_addr_reg_13_ ( 
+        .D(n85746), .DE(n85951), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_addr_reg_13_ ( 
+        .D(n85746), .DE(n85918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_addr_reg_13_ ( 
+        .D(n85746), .DE(n85955), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_medeleg_reg_13_ ( 
+        .D(n85746), .DE(n86576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_13) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch_reg_13_ ( 
+        .D(n85746), .DE(n86116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch_reg_13_ ( 
+        .D(n85746), .DE(n86117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch_reg_13_ ( 
+        .D(n85746), .DE(n86575), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec_reg_13_ ( 
+        .D(n85746), .DE(n86332), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_address_reg_13_ ( 
+        .D(n85746), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N512), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_address_reg_13_ ( 
+        .D(n85746), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[13]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1156), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[13]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1028), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[13]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N930), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[13]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N800), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[13]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N430), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec_reg_13_ ( 
+        .D(n86109), .DE(n36558), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dcsr_ebreaks_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N361), .DE(n36577), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__causeIsDebugBreak_T_3[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1254), .DE(n86301), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_39_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1286), .DE(n36576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1517), .DE(n86333), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_39_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1549), .DE(n36581), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_addr_reg_15_ ( 
+        .D(n85747), .DE(n86489), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_addr_reg_17_ ( 
+        .D(n85742), .DE(n86389), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_addr_reg_18_ ( 
+        .D(n85748), .DE(n86389), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_addr_reg_19_ ( 
+        .D(n85552), .DE(n86389), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_addr_reg_20_ ( 
+        .D(n85749), .DE(n86389), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_addr_reg_21_ ( 
+        .D(n85750), .DE(n86489), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_addr_reg_23_ ( 
+        .D(n85596), .DE(n86389), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_addr_reg_24_ ( 
+        .D(n85641), .DE(n86389), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_addr_reg_27_ ( 
+        .D(n85632), .DE(n86489), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_cfg_a_reg_1_ ( 
+        .D(n85218), .DE(n36560), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_cfg_a[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1253), .DE(n86301), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_38_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1285), .DE(n36576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1516), .DE(n86333), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_38_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1548), .DE(n36581), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_misa_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1322), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_isa[12]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1144), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_scause_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1080), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_scause[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1016), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N788), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N716), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N747), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_control_w_reg ( 
+        .D(n85448), .DE(n36346), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_w) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_control_w_reg ( 
+        .D(n85448), .DE(n36347), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_w) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mstatus_sie_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N343), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_sie) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mstatus_spie_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_spie) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mcause_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mcause[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1274), .DE(n36576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1537), .DE(n36581), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_small__reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1180), .DE(n86301), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_small_1_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1444), .DE(n86333), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N331), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N333), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N335), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N336), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N337), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N338), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N339), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N340), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N341), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N342), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N343), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N344), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N345), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N346), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N347), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N348), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N349), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N350), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N351), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N352), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N353), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N354), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N355), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N356), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N357), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N358), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N359), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N360), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N361), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N362), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N363), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N364), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_32_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N365), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_33_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N366), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_34_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N367), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_35_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N368), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_36_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N369), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_37_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N370), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_38_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N371), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_39_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N372), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_40_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N373), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_41_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N374), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_42_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N375), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_43_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N376), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_44_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N377), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_45_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N378), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_46_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N379), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_47_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N380), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_48_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N381), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_49_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N382), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_50_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N383), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_51_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N384), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_52_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N385), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_53_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N386), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_54_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N387), .DE(n60988), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_55_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N388), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_56_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N389), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_57_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N390), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_58_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N391), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_59_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N392), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_60_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N393), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[60]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_61_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N394), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[61]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_62_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N395), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[62]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_63_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N396), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[63]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_64_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N397), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[64]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_65_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N398), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[65]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_66_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N399), .DE(n60988), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[66]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_67_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N400), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[67]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_68_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N401), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[68]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_69_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N402), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[69]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_70_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N403), .DE(n60988), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[70]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_71_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N404), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[71]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_72_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N405), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[72]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_73_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N406), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[73]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_74_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N407), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[74]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_75_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N408), .DE(n60988), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[75]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_76_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N409), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[76]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_77_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N410), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[77]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_78_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N411), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[78]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_79_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N412), .DE(n60988), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[79]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_80_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N413), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[80]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_81_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N414), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[81]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_82_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N415), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[82]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_83_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N416), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[83]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_84_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N417), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[84]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_85_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N418), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[85]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_86_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N419), .DE(n60988), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[86]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_87_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N420), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[87]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_88_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N421), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[88]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_89_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N422), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[89]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_90_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N423), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[90]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_91_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N424), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[91]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_92_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N425), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[92]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_93_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N426), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[93]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_94_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N427), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[94]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_95_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N428), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[95]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_96_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N429), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[96]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_97_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N430), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[97]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_98_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N431), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[98]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_99_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N432), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[99]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_100_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N433), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[100]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_101_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N434), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[101]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_102_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N435), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[102]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_103_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N436), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[103]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_104_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N437), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[104]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_105_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N438), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[105]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_106_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N439), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[106]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_107_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N440), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[107]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_108_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N441), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[108]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_109_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N442), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[109]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_110_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N443), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[110]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_111_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N444), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[111]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_112_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N445), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[112]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_113_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N446), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[113]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_114_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N447), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[114]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_115_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N448), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[115]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_116_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N449), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[116]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_117_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N450), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[117]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_118_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N451), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[118]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_119_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N452), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[119]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_120_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N453), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[120]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_121_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N454), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[121]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_122_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N455), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[122]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_123_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N456), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[123]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_124_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N457), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[124]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_125_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N458), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[125]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_126_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N459), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[126]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_127_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N460), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[127]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_128_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N461), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[128]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_129_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N462), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[129]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_130_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N463), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[130]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_131_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N464), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[131]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_132_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N465), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[132]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_133_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N466), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[133]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_134_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N467), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[134]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_135_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N468), .DE(n60988), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[135]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_136_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N469), .DE(n60988), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[136]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_137_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N470), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[137]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_138_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N471), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[138]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_139_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N472), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[139]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_140_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N473), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[140]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_141_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N474), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[141]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_142_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N475), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[142]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_143_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N476), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[143]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_144_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N477), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[144]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_145_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N478), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[145]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_146_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N479), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[146]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_147_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N480), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[147]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_148_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N481), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[148]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_149_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N482), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[149]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_150_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N483), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[150]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_151_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N484), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[151]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_152_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N485), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[152]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_153_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N486), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[153]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_154_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N487), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[154]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_155_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N488), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[155]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_156_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N489), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[156]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_157_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N490), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[157]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_158_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N491), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[158]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_159_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N492), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[159]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_160_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N493), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[160]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_161_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N494), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[161]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_162_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N495), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[162]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_163_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N496), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[163]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_164_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N497), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[164]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_165_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N498), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[165]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_166_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N499), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[166]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_167_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N500), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[167]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_168_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N501), .DE(n60988), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[168]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_169_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N502), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[169]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_170_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N503), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[170]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_171_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N504), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[171]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_172_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N505), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[172]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_173_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N506), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[173]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_174_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N507), .DE(n60988), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[174]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_175_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N508), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[175]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_176_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N509), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[176]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_177_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N510), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[177]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_178_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N511), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[178]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_179_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N512), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[179]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_180_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N513), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[180]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_181_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N514), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[181]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_182_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N515), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[182]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_183_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N516), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[183]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_184_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N517), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[184]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_185_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N518), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[185]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_186_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N519), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[186]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_187_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N520), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[187]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_188_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N521), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[188]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_189_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N522), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[189]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_190_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N523), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[190]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_191_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N524), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[191]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_192_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N525), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[192]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_193_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N526), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[193]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_194_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N527), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[194]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_195_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N528), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[195]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_196_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N529), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[196]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_197_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N530), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[197]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_198_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N531), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[198]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_199_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N532), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[199]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_200_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N533), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[200]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_201_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N534), .DE(n60988), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[201]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_202_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N535), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[202]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_203_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N536), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[203]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_204_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N537), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[204]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_205_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N538), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[205]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_206_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N539), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[206]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_207_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N540), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[207]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_208_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N541), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[208]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_209_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N542), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[209]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_210_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N543), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[210]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_211_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N544), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[211]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_212_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N545), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[212]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_213_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N546), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[213]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_214_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N547), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[214]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_215_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N548), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[215]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_216_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N549), .DE(n60988), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[216]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_217_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N550), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[217]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_218_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N551), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[218]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_219_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N552), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[219]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_220_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N553), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[220]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_221_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N554), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[221]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_222_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N555), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[222]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_223_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N556), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[223]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_224_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N557), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[224]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_225_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N558), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[225]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_226_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N559), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[226]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_227_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N560), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[227]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_228_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N561), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[228]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_229_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N562), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[229]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_230_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N563), .DE(n60988), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[230]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_231_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N564), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[231]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_232_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N565), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[232]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_233_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N566), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[233]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_234_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N567), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[234]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_235_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N568), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[235]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_236_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N569), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[236]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_237_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N570), .DE(n36834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[237]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_238_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N571), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[238]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_239_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N572), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[239]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_240_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N573), .DE(n86443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[240]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_241_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N574), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[241]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_242_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N575), .DE(n60988), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[242]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_243_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N576), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[243]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_244_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N577), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[244]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_245_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N578), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[245]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_246_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N579), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[246]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_247_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N580), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[247]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_248_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N581), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[248]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_249_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N582), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[249]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_250_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N583), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[250]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_251_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N584), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[251]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_252_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N585), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[252]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_253_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N586), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[253]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_254_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N587), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[254]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array_reg_255_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N588), .DE(n36795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[255]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_beatsLeft_reg_0_ ( 
+        .D(n36294), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_beatsLeft[0])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_beatsLeft_reg_1_ ( 
+        .D(n36293), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_beatsLeft[1])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_beatsLeft_reg_2_ ( 
+        .D(n36292), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_beatsLeft[2])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_beatsLeft_reg_4_ ( 
+        .D(n36304), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_beatsLeft[4])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_beatsLeft_reg_5_ ( 
+        .D(n36303), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_beatsLeft[5])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_beatsLeft_reg_6_ ( 
+        .D(n36302), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_beatsLeft[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_dOrig_reg_1_ ( 
+        .D(n85219), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N30), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_dOrig[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_address_reg_2_ ( 
+        .D(n85719), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N40), .DE(n36418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N42), .DE(n36418), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[4]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft_1_reg_0_ ( 
+        .D(n36282), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft_1[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft_1_reg_1_ ( 
+        .D(n36281), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft_1[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft_1_reg_2_ ( 
+        .D(n36280), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft_1[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_got_e_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N23), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_got_e) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_a_address_reg_5_ ( 
+        .D(n85718), .DE(n86388), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[5]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_beatsLeft_reg_1_ ( 
+        .D(n36256), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_beatsLeft[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_beatsLeft_reg_0_ ( 
+        .D(n36257), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_beatsLeft[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_beatsLeft_reg_2_ ( 
+        .D(n36255), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_beatsLeft[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_beatsLeft_reg_3_ ( 
+        .D(n36254), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_beatsLeft[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_beatsLeft_reg_4_ ( 
+        .D(n36253), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_beatsLeft[4]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_beatsLeft_reg_5_ ( 
+        .D(n36252), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_beatsLeft[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_0_ ( .D(
+        MarmotCaravelChip_dut_sys_clint_N58), .DE(n60930), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_56_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N114), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_47_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N105), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_46_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N104), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_45_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N103), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_44_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N102), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_43_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N101), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_42_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N100), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_41_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N99), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_40_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N98), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_39_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N97), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_38_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N96), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_37_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N95), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_36_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N94), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_35_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N93), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_34_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N92), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_33_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N91), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_32_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N90), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_31_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N89), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N88), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_29_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N87), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_28_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N86), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N85), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N84), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N83), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N82), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N81), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N80), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N78), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N73), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N72), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N71), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N70), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N69), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N68), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_9_ ( .D(
+        MarmotCaravelChip_dut_sys_clint_N67), .DE(n60930), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_8_ ( .D(
+        MarmotCaravelChip_dut_sys_clint_N66), .DE(n60930), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_7_ ( .D(
+        MarmotCaravelChip_dut_sys_clint_N65), .DE(n60930), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_6_ ( .D(
+        MarmotCaravelChip_dut_sys_clint_N64), .DE(n60930), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_5_ ( .D(
+        MarmotCaravelChip_dut_sys_clint_N63), .DE(n60930), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_4_ ( .D(
+        MarmotCaravelChip_dut_sys_clint_N62), .DE(n60930), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_3_ ( .D(
+        MarmotCaravelChip_dut_sys_clint_N61), .DE(n60930), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_2_ ( .D(
+        MarmotCaravelChip_dut_sys_clint_N60), .DE(n60930), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_1_ ( .D(
+        MarmotCaravelChip_dut_sys_clint_N59), .DE(n60930), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_7_ ( 
+        .D(n85562), .DE(MarmotCaravelChip_dut_sys_clint_N158), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__0[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_2_ ( 
+        .D(n85582), .DE(MarmotCaravelChip_dut_sys_clint_N158), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__0[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_0_ ( 
+        .D(n85581), .DE(MarmotCaravelChip_dut_sys_clint_N158), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__0[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_23_ ( 
+        .D(n85876), .DE(MarmotCaravelChip_dut_sys_clint_N152), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__2[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_20_ ( 
+        .D(n85873), .DE(MarmotCaravelChip_dut_sys_clint_N152), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__2[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_22_ ( 
+        .D(n85875), .DE(MarmotCaravelChip_dut_sys_clint_N152), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__2[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_31_ ( 
+        .D(n85868), .DE(MarmotCaravelChip_dut_sys_clint_N149), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__3[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_24_ ( 
+        .D(n85861), .DE(MarmotCaravelChip_dut_sys_clint_N149), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__3[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_26_ ( 
+        .D(n85863), .DE(MarmotCaravelChip_dut_sys_clint_N149), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__3[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_27_ ( 
+        .D(n85864), .DE(MarmotCaravelChip_dut_sys_clint_N149), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__3[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_28_ ( 
+        .D(n85865), .DE(MarmotCaravelChip_dut_sys_clint_N149), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__3[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_29_ ( 
+        .D(n85866), .DE(MarmotCaravelChip_dut_sys_clint_N149), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__3[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_39_ ( 
+        .D(n85562), .DE(MarmotCaravelChip_dut_sys_clint_N146), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__4[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_32_ ( 
+        .D(n85581), .DE(MarmotCaravelChip_dut_sys_clint_N146), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__4[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_34_ ( 
+        .D(n85582), .DE(MarmotCaravelChip_dut_sys_clint_N146), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__4[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_47_ ( 
+        .D(n85666), .DE(MarmotCaravelChip_dut_sys_clint_N143), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__5[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_41_ ( 
+        .D(n85587), .DE(MarmotCaravelChip_dut_sys_clint_N143), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__5[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_55_ ( 
+        .D(n85876), .DE(MarmotCaravelChip_dut_sys_clint_N140), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__6[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_52_ ( 
+        .D(n85873), .DE(MarmotCaravelChip_dut_sys_clint_N140), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__6[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_54_ ( 
+        .D(n85875), .DE(MarmotCaravelChip_dut_sys_clint_N140), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__6[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_63_ ( 
+        .D(n85868), .DE(MarmotCaravelChip_dut_sys_clint_N137), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__7[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_56_ ( 
+        .D(n85861), .DE(MarmotCaravelChip_dut_sys_clint_N137), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__7[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_58_ ( 
+        .D(n85863), .DE(MarmotCaravelChip_dut_sys_clint_N137), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__7[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_59_ ( 
+        .D(n85864), .DE(MarmotCaravelChip_dut_sys_clint_N137), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__7[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_60_ ( 
+        .D(n85865), .DE(MarmotCaravelChip_dut_sys_clint_N137), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__7[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_61_ ( 
+        .D(n85866), .DE(MarmotCaravelChip_dut_sys_clint_N137), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__7[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeat_count_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N38), .DE(n36287), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeat_count[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeat_count_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N39), .DE(n36287), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeat_count[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_1_reg_4_ ( 
+        .D(n85275), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N55), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_1[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_1_reg_5_ ( 
+        .D(n85222), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N55), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_1[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_1_reg_6_ ( 
+        .D(n85328), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N55), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_1[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_2_reg_4_ ( 
+        .D(n85275), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N58), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_2[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_2_reg_5_ ( 
+        .D(n85222), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N58), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_2[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_2_reg_6_ ( 
+        .D(n85328), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N58), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_2[6]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_cs_set_reg ( 
+        .D(n85223), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_N51), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac__GEN_31_0_) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_clear_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_N59), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_clear) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_insn_cmd_code_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N271), .DE(
+        n36141), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_dla_interxfr_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N210), .DE(
+        n36142), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_16[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_dla_sckcs_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N188), .DE(
+        n36140), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_fmt_len_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N137), .DE(
+        n36139), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_15[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxwm_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N59), 
+        .DE(n36131), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_7[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txwm_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N52), 
+        .DE(n36130), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_6[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxwm_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N59), 
+        .DE(n36123), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_7[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txwm_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N52), 
+        .DE(n36122), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_6[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxwm_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N59), 
+        .DE(n36115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_7[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txwm_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N52), 
+        .DE(n36114), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_6[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxwm_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N59), 
+        .DE(n36107), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_7[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txwm_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N52), 
+        .DE(n36106), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_6[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxwm_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N59), .DE(
+        n36099), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_7[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txwm_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N52), .DE(
+        n36098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_6[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_center_3_reg ( 
+        .D(n85668), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__elapsed_center_T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[19]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofEnReg_reg__reg_19_ ( 
+        .D(n331), .CLK(wb_clk_i), .RESET_B(n86753), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[19])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_ieReg_reg__reg_19_ ( 
+        .D(n330), .CLK(wb_clk_i), .RESET_B(n86402), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[19]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_19_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_io_pins_gpio_pins_19_i_ival), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_19_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_19_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_19_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_19_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_19_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_19_sync_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_19)
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg_reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N245), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[19])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_pueReg_reg__reg_19_ ( 
+        .D(n329), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[19]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_oeReg_reg__reg_19_ ( 
+        .D(n328), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_center_3_reg ( 
+        .D(n85668), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__elapsed_center_T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_center_3_reg ( 
+        .D(n85668), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__elapsed_center_T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_16[19]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_large__reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N52), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[19])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_large__reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N52), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[19]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg_reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N520), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[19])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg_reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N487), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[19])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg_reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N454), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[19])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg_reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N421), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[19])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_reg__reg__reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_19), .CLK(wb_clk_i), .RESET_B(n83351), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_29) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_0__19_ ( 
+        .D(n85225), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_1__19_ ( 
+        .D(n85225), .DE(n86463), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_1__27_ ( 
+        .D(n85226), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_0__27_ ( 
+        .D(n85226), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_d_0_data_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_auto_in_d_bits_data[27]), .DE(n86567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_27[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_0__27_ ( 
+        .D(n85228), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_1__27_ ( 
+        .D(n85228), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[27]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_large__reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N60), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg_reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N668), .DE(
+        n86363), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg_reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N633), .DE(
+        n36773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg_reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N598), .DE(
+        n61074), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg_reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N563), .DE(
+        n86364), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg_reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N396), .DE(
+        n86351), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg_reg_27_ ( 
+        .D(n85989), .DE(n86380), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg_reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N325), .DE(
+        n86352), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg_reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N289), .DE(
+        n86348), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0_reg_27_ ( 
+        .D(n85988), .DE(n86378), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg_reg_27_ ( 
+        .D(n85987), .DE(n86383), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_pad_code_reg_3_ ( 
+        .D(n85986), .DE(n36158), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_insn_pad_code_reg_3_ ( 
+        .D(n85985), .DE(n36137), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_gang_3_reg ( 
+        .D(n85837), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__gang_T_3), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_23[27]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofEnReg_reg__reg_27_ ( 
+        .D(n327), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[27])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_ieReg_reg__reg_27_ ( 
+        .D(n326), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[27]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_pueReg_reg__reg_27_ ( 
+        .D(n325), .CLK(wb_clk_i), .RESET_B(n37037), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[27]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_oeReg_reg__reg_27_ ( 
+        .D(n324), .CLK(wb_clk_i), .RESET_B(n49230), .Q(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_27_o_oe) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_gang_3_reg ( 
+        .D(n85837), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__gang_T_3), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_23[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_gang_3_reg ( 
+        .D(n85837), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__gang_T_3), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23[27]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_large__reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N60), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[27])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_large__reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N60), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[27]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg_reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N528), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[27])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg_reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N495), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[27])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg_reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N462), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[27])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg_reg_27_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N429), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[27])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_reg__reg__reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_27), .CLK(wb_clk_i), .RESET_B(n36801), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_37) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_0__27_ ( 
+        .D(n85229), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_1__27_ ( 
+        .D(n85229), .DE(n86463), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_7__1_ ( 
+        .D(n85230), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_6__1_ ( 
+        .D(n85230), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_5__1_ ( 
+        .D(n85230), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_4__1_ ( 
+        .D(n85230), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_3__1_ ( 
+        .D(n85230), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_2__1_ ( 
+        .D(n85230), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_1__1_ ( 
+        .D(n85230), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_0__1_ ( 
+        .D(n85230), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_0_reg_1_ ( 
+        .D(n85231), .DE(n85948), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_0[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_1_reg_1_ ( 
+        .D(n85231), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_N37), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_1[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_2_reg_1_ ( 
+        .D(n85231), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_N40), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_2[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_7__0_ ( 
+        .D(n85232), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_6__0_ ( 
+        .D(n85232), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_5__0_ ( 
+        .D(n85232), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_4__0_ ( 
+        .D(n85232), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_3__0_ ( 
+        .D(n85232), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_2__0_ ( 
+        .D(n85232), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_1__0_ ( 
+        .D(n85232), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_reg_0__0_ ( 
+        .D(n85232), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_0_reg_0_ ( 
+        .D(n85302), .DE(n85948), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_0[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_1_reg_0_ ( 
+        .D(n85302), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_N37), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_1[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_2_reg_0_ ( 
+        .D(n85302), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_N40), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_2[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_1__24_ ( 
+        .D(n85233), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_0__24_ ( 
+        .D(n85233), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_d_0_data_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_auto_in_d_bits_data[24]), .DE(n86567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_24[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_0__24_ ( 
+        .D(n85235), .DE(n85949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data_reg_1__24_ ( 
+        .D(n85235), .DE(n85950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[24]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_large__reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N57), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg_reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N665), .DE(
+        n86361), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg_reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N630), .DE(
+        n36773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg_reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N595), .DE(
+        n61074), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg_reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N560), .DE(
+        n86364), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg_reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N393), .DE(
+        n86351), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg_reg_24_ ( 
+        .D(n85940), .DE(n86381), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg_reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N322), .DE(
+        n86353), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg_reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N286), .DE(
+        n86349), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0_reg_24_ ( 
+        .D(n85939), .DE(n86378), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg_reg_24_ ( 
+        .D(n85938), .DE(n86384), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_insn_pad_code_reg_0_ ( 
+        .D(n85937), .DE(n36158), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_insn_pad_code_reg_0_ ( 
+        .D(n85936), .DE(n36137), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_gang_0_reg ( 
+        .D(n85834), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__gang_T_3), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[24]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofEnReg_reg__reg_24_ ( 
+        .D(n323), .CLK(wb_clk_i), .RESET_B(n37040), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[24])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_ieReg_reg__reg_24_ ( 
+        .D(n322), .CLK(wb_clk_i), .RESET_B(n37040), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[24]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_24_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_io_pins_gpio_pins_24_i_ival), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_24_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_24_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_24_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_24_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_24_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_24_sync_1), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg[24])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg_reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N250), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[24])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_pueReg_reg__reg_24_ ( 
+        .D(n321), .CLK(wb_clk_i), .RESET_B(n37037), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[24]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_oeReg_reg__reg_24_ ( 
+        .D(n320), .CLK(wb_clk_i), .RESET_B(n83351), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_gang_0_reg ( 
+        .D(n85834), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__gang_T_3), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_gang_0_reg ( 
+        .D(n85834), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__gang_T_3), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_16[24]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_large__reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N57), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[24])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_large__reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N57), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[24]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg_reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N525), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[24])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg_reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N492), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[24])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg_reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N459), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[24])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg_reg_24_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N426), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[24])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_reg__reg__reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_24), .CLK(wb_clk_i), .RESET_B(n37039), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_34) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_0__24_ ( 
+        .D(n85236), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_1__24_ ( 
+        .D(n85236), .DE(n86463), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[24]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N119), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt[5]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N120), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_ctrl_dla_sckcs_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N189), .DE(
+        n36140), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend[20]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N118), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt[4]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofEnReg_reg__reg_20_ ( 
+        .D(n319), .CLK(wb_clk_i), .RESET_B(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[20])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_ieReg_reg__reg_20_ ( 
+        .D(n318), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[20]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_20_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_io_pins_gpio_pins_20_i_ival), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_20_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_20_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_20_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_20_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_20_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_20_sync_1), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_iof_0_iof_0_20_i_ival) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N246), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_next[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_next[12]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_next[11]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_next[10]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_next[9]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_next[8]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_next[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_next[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_next[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_next[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_next[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_next[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_next[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_sample_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_iof_0_iof_0_20_i_ival), .DE(n36623), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__sample_T[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_sample_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__sample_T[1]), .DE(n36623), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__sample_T[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_sample_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__sample_T[2]), .DE(n36623), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__sample_T[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_state_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N38), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N65), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_state)
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_data_count_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N25), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_data_count_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N26), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_data_count_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N27), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_data_count_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N28), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_sample_count_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N33), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_sample_count_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N32), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_sample_count_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N31), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_sample_count_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N30), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_shifter_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__shifter_T_1_7_), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N77), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_shifter_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N77), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_shifter_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N77), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_shifter_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N77), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_shifter_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N77), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_shifter_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N77), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_shifter_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N77), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_shifter_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N77), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_debounce_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N21), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N58), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_debounce[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_debounce_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N20), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N58), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_debounce[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_valid_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N46), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_valid) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_enq_ptr_value_reg_0_ ( 
+        .D(n85854), .DE(n36622), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_enq_ptr_value_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N40), 
+        .DE(n36622), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_3__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[32])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_3__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[39])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_3__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[38])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_3__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[37])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_3__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[36])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_3__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[35])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_3__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[34])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_3__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[33])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_enq_ptr_value_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N41), 
+        .DE(n36622), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_7__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_7__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_7__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_7__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_7__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_7__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_7__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_7__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_5__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_5__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_5__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_5__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_5__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_5__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_5__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_5__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_2__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[40])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_2__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[47])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_2__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[46])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_2__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[45])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_2__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[44])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_2__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[43])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_2__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[42])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_2__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[41])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_6__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_6__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_6__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_6__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_6__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_6__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_6__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_6__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_1__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[48])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_1__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[55])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_1__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[54])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_1__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[53])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_1__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[52])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_1__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[51])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_1__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[50])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_1__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[49])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_4__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_4__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_4__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_4__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_4__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_4__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_4__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_4__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_0__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[56])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_0__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[63])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_0__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[62])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_0__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[61])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_0__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[60])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_0__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[59])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_0__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[58])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_reg_0__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[57])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_maybe_full_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N52), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N51), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_deq_ptr_value_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N45), 
+        .DE(n36125), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_io_deq_bits_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_deq_ptr_value_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N46), 
+        .DE(n36125), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_io_deq_bits_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_deq_ptr_value_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N47), 
+        .DE(n36125), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_io_deq_bits_MPORT_addr[2]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_pueReg_reg__reg_20_ ( 
+        .D(n317), .CLK(wb_clk_i), .RESET_B(n36846), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[20]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_oeReg_reg__reg_20_ ( 
+        .D(n316), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[20]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_large__reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N53), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[20])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_large__reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N53), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[20]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N521), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[20])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N488), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[20])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N455), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[20])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg_reg_20_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N422), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[20])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_reg__reg__reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_20), .CLK(wb_clk_i), .RESET_B(n36801), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_30) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_0__20_ ( 
+        .D(n85238), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_1__20_ ( 
+        .D(n85238), .DE(n86463), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[20]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N115), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N116), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N117), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxwm_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N58), 
+        .DE(n36131), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_7[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txwm_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N51), 
+        .DE(n36130), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_6[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxwm_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N58), 
+        .DE(n36123), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_7[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txwm_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N51), 
+        .DE(n36122), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_6[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxwm_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N58), 
+        .DE(n36115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_7[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txwm_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N51), 
+        .DE(n36114), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_6[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxwm_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N58), 
+        .DE(n36107), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_7[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txwm_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N51), 
+        .DE(n36106), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_6[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxwm_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N58), .DE(
+        n36099), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_7[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txwm_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N51), .DE(
+        n36098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_6[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_center_2_reg ( 
+        .D(n85833), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__elapsed_center_T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[18]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofEnReg_reg__reg_18_ ( 
+        .D(n315), .CLK(wb_clk_i), .RESET_B(n36846), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[18])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_ieReg_reg__reg_18_ ( 
+        .D(n314), .CLK(wb_clk_i), .RESET_B(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[18]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_18_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_io_pins_gpio_pins_18_i_ival), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_18_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_18_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_18_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_18_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_18_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_18_sync_1), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_iof_0_iof_0_18_i_ival) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg_reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N244), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_next[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_next[12]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_next[11]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_next[10]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_next[9]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_next[8]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_next[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_next[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_next[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_next[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_next[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_next[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_next[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N23), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_sample_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_iof_0_iof_0_18_i_ival), .DE(n36618), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__sample_T[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_sample_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__sample_T[1]), .DE(n36618), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__sample_T[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_sample_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__sample_T[2]), .DE(n36618), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__sample_T[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_state_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N38), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N65), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_state)
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_data_count_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N25), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_data_count_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N26), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_data_count_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N27), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_data_count_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N28), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_sample_count_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N33), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_sample_count_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N32), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_sample_count_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N31), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_sample_count_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N30), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N24), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_shifter_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__shifter_T_1_7_), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N77), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_shifter_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N77), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_shifter_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N77), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_shifter_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N77), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_shifter_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N77), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_shifter_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N77), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_shifter_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N77), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_shifter_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N77), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_debounce_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N21), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N58), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_debounce[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_debounce_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N20), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N58), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_debounce[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_valid_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N46), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_valid) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_enq_ptr_value_reg_0_ ( 
+        .D(n85855), .DE(n36617), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_enq_ptr_value_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N40), 
+        .DE(n36617), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_3__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[32])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_3__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[39])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_3__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[38])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_3__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[37])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_3__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[36])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_3__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[35])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_3__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[34])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_3__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[33])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_enq_ptr_value_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N41), 
+        .DE(n36617), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_7__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_7__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_7__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_7__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_7__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_7__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_7__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_7__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_5__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_5__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_5__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_5__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_5__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_5__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_5__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_5__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_2__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[40])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_2__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[47])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_2__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[46])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_2__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[45])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_2__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[44])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_2__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[43])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_2__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[42])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_2__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[41])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_6__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_6__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_6__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_6__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_6__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_6__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_6__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_6__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_1__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[48])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_1__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[55])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_1__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[54])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_1__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[53])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_1__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[52])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_1__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[51])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_1__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[50])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_1__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[49])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_4__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_4__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_4__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_4__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_4__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_4__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_4__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_4__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_0__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[56])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_0__7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[7]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[63])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_0__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[6]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[62])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_0__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[5]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[61])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_0__4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[4]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[60])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_0__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[3]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[59])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_0__2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[2]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[58])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_reg_0__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[57])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_maybe_full_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N52), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N51), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_deq_ptr_value_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N45), 
+        .DE(n36117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_io_deq_bits_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_deq_ptr_value_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N46), 
+        .DE(n36117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_io_deq_bits_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_deq_ptr_value_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N47), 
+        .DE(n36117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_io_deq_bits_MPORT_addr[2]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_pueReg_reg__reg_18_ ( 
+        .D(n313), .CLK(wb_clk_i), .RESET_B(n49230), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[18]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_oeReg_reg__reg_18_ ( 
+        .D(n312), .CLK(wb_clk_i), .RESET_B(n49230), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_center_2_reg ( 
+        .D(n85833), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__elapsed_center_T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_center_2_reg ( 
+        .D(n85833), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__elapsed_center_T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_16[18]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_large__reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N51), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[18])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_large__reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N51), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[18]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg_reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N519), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[18])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg_reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N486), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[18])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg_reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N453), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[18])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg_reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N420), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[18])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_reg__reg__reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_18), .CLK(wb_clk_i), .RESET_B(n86402), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_28) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_0__18_ ( 
+        .D(n85240), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_1__18_ ( 
+        .D(n85240), .DE(n86463), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_fmt_len_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N127), .DE(
+        n36183), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_9[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_wm_rx_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N218), .DE(
+        n36192), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_21[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_wm_rx_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N221), .DE(
+        n36192), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_21[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_wm_rx_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N220), .DE(
+        n36192), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_21[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_wm_rx_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N219), .DE(
+        n36192), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_21[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_cs_mode_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N162), .DE(
+        n36189), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_6[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_cs_mode_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_cs_mode[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_cs_mode_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N163), .DE(
+        n36189), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_6[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_cs_mode_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_N17), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_cs_mode[1])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_cs_dflt_1_reg ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N158), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend[1])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_cs_dflt_0_reg ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N157), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_sck_pha_reg ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N152), .DE(
+        n36196), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_3[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_sck_pol_reg ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N148), .DE(
+        n36196), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_3[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_cs_id_reg ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N156), .DE(
+        n36198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_4_0_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ie_txwm_reg ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N248), .DE(
+        n36197), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_4[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ie_rxwm_reg ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N252), .DE(
+        n36197), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_4[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_wm_tx_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N211), .DE(
+        n36191), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_20[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_wm_tx_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N214), .DE(
+        n36191), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_20[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_wm_tx_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N213), .DE(
+        n36191), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_20[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_wm_tx_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N212), .DE(
+        n36191), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_20[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_sampledel_sd_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N240), .DE(
+        n36188), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_15[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_sampledel_sd_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N244), .DE(
+        n36188), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_15[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_sampledel_sd_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N243), .DE(
+        n36188), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_15[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_sampledel_sd_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N242), .DE(
+        n36188), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_15[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_ctrl_sampledel_sd_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N241), .DE(
+        n36188), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_15[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_enq_ptr_value_reg_0_ ( 
+        .D(n85839), .DE(n36182), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_enq_ptr_value_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N40), 
+        .DE(n36182), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_3__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_3__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_3__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_3__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_3__3_ ( 
+        .D(n85290), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_3__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_3__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_enq_ptr_value_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N41), 
+        .DE(n36182), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_7__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_7__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_7__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_7__3_ ( 
+        .D(n85290), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_7__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_7__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_5__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_5__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_5__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_5__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_5__3_ ( 
+        .D(n85290), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_5__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_5__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_0__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_0__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[63]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_0__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[62]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_0__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[60]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_0__3_ ( 
+        .D(n85290), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_0__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_0__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_6__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_6__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_6__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_6__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_6__3_ ( 
+        .D(n85290), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_6__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_6__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_4__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_4__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_4__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_4__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_4__3_ ( 
+        .D(n85290), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_4__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_4__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_1__0_ ( 
+        .D(n85487), .DE(n37078), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_1__7_ ( 
+        .D(n85285), .DE(n37078), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_1__6_ ( 
+        .D(n85286), .DE(n37078), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_1__4_ ( 
+        .D(n85287), .DE(n37078), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_1__3_ ( 
+        .D(n85290), .DE(n37078), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_1__2_ ( 
+        .D(n85527), .DE(n37078), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_1__1_ ( 
+        .D(n85291), .DE(n37078), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_2__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_2__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_2__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_2__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_2__3_ ( 
+        .D(n85290), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_2__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_2__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_cs_id_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_4_0_), .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_N43), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_cs_id) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_cs_dflt_1_reg ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_N59), 
+        .DE(n36277), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_spi_0_cs_1)
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N117), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_cs_assert_reg ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_N77), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_N76), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_cs_assert)
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_state_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_N87), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_N85), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_state[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_xfr_reg ( 
+        .D(n85790), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N170), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_xfr) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_state_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_N86), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_N85), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_state[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_fmt_proto_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_io_link_fmt_proto[0]), .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N102), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_fmt_proto[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_fmt_proto_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_io_link_fmt_proto[1]), .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N102), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_fmt_proto[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_fmt_endian_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_io_link_fmt_endian), .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N102), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_fmt_endian) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_fmt_iodir_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_io_link_fmt_iodir), .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N102), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_fmt_iodir) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_5_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_io_pins_gpio_pins_5_i_ival), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_5_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_5_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_5_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_5_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_5_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_5_sync_1), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_iof_0_iof_0_5_i_ival) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N231), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[5])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_4_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_io_pins_gpio_pins_4_i_ival), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_4_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_4_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_4_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_4_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_4_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_4_sync_1), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_iof_0_iof_0_4_i_ival) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N230), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[4])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_3_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_io_pins_gpio_pins_3_i_ival), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_3_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_3_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_3_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_3_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_3_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_3_sync_1), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_iof_0_iof_0_3_i_ival) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N229), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[3])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N504), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[3])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N471), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[3])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N438), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[3])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N405), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[3])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_reg__reg__reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_3), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_13) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_2_sync_2_reg ( 
+        .D(MarmotCaravelChip_dut_io_pins_gpio_pins_2_i_ival), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_2_sync_2) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_2_sync_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_2_sync_2), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_2_sync_1) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_2_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_inSyncReg_output_chain_2_sync_1), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_iof_0_iof_0_2_i_ival) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N228), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[2])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N503), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[2])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N470), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[2])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N437), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[2])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N404), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[2])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_reg__reg__reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_2), .CLK(wb_clk_i), .RESET_B(n36801), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_12) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[11]), .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N268), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[10]), .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N268), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[9]), .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N268), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[8]), .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N268), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[7]), .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N268), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[6]), .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N268), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[5]), .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N268), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[4]), .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N268), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[3]), .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N268), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[2]), .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N268), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[1]), .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N268), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[0]), .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N268), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N123), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[0])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N114), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt[0])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_cref_reg ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N171), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_cref) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N156), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N155), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N167), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N155), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N166), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N155), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N165), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N155), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N164), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N155), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N163), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N155), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N162), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N155), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N161), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N155), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N160), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N155), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N159), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N155), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N158), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N155), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N157), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N155), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_sample_d_reg ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N135), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_sample_d) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N193), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N192), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N204), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N192), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N203), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N192), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N202), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N192), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N201), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N192), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N200), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N192), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N199), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N192), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N198), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N192), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N197), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N192), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N196), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N192), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N195), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N192), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N194), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N192), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_last_d_reg ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N172), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_last_d)
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_done_reg ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N252), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_io_link_rx_valid) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N124), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[1])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N125), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[2])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N126), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[3])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N127), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[4])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N128), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[5])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N129), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[6])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N130), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[7])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N131), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[8])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N132), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[9])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N133), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[10]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N134), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[11]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N115), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt[1])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N116), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt[2])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N118), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt[4])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N119), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt[5])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N120), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt[6])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N121), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt[7])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_setup_d_reg ( 
+        .D(n85793), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_setup_d)
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_pol_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_3[1]), .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N268), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_pol) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_pha_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_3[0]), .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N268), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_pha) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_sck_reg ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N209), 
+        .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_spi_0_sck) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_cs_set_reg ( 
+        .D(n85241), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_N62), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac__GEN_35_0_)
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_cs_dflt_0_reg ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_N51), 
+        .DE(n36277), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_spi_0_cs_0)
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_clear_reg ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_N70), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_clear) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_maybe_full_reg ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N52), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N51), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_deq_ptr_value_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N45), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N44), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_deq_ptr_value_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N46), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N44), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_deq_ptr_value_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N47), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N44), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_buffer_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N237), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[7]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_buffer_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N238), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxen_reg ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_N13), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_N12), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxen) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_enq_ptr_value_reg_0_ ( 
+        .D(n85838), .DE(n36632), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_enq_ptr_value_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N40), 
+        .DE(n36632), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_3__3_ ( 
+        .D(n85242), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_enq_ptr_value_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N41), 
+        .DE(n36632), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_5__3_ ( 
+        .D(n85242), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_2__3_ ( 
+        .D(n85242), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_6__3_ ( 
+        .D(n85242), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_1__3_ ( 
+        .D(n85242), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_4__3_ ( 
+        .D(n85242), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_0__3_ ( 
+        .D(n85242), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_maybe_full_reg ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N52), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N51), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_deq_ptr_value_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N45), 
+        .DE(n36195), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_deq_ptr_value_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N46), 
+        .DE(n36195), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_deq_ptr_value_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N47), 
+        .DE(n36195), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[2]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_intsource_reg__reg__reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_intsource_auto_in_0), .CLK(wb_clk_i), .RESET_B(n36801), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_9) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_status_irqFlag_reg ( 
+        .D(n86270), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_out_f_data_0)
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_intsource_reg__reg__reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_intsource_auto_in_0), .CLK(wb_clk_i), .RESET_B(n83116), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_55) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cmd_write_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N298), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__nextCmd_T[4])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cmd_read_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N294), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__nextCmd_T[5])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_status_transferInProgress_reg ( 
+        .D(n86269), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_out_f_data_1)
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cmd_stop_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N290), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__nextCmd_T[6])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cmd_start_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N286), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__nextCmd_T[7])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_status_arbLost_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N307), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_out_f_data[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmd_reg_0_ ( 
+        .D(n85829), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N538), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmd[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmd_reg_1_ ( 
+        .D(n85830), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N538), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmd[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_receivedAck_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N431), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N430), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_receivedAck)
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_status_receivedAck_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N303), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_out_f_data[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmd_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N389), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N538), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmd[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmd_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N390), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N538), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmd[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_shift_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N421), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_shift) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCnt_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N437), .DE(
+        n36638), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCnt[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCnt_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N438), .DE(
+        n36638), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCnt[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_transmitBit_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N380), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_transmitBit)
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_sdaOen_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N369), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_sdaOen) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_sclOen_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N343), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_sclOen) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_dSCLOen_reg ( 
+        .D(n85792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_dSCLOen) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_slaveWait_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N345), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_slaveWait) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_sdaChk_reg ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N375), .DE(
+        n36639), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_sdaChk) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N353), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N352), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N368), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N352), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N367), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N352), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N366), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N352), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N365), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N352), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N364), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N352), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N363), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N352), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N362), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N352), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N361), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N352), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N360), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N352), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N359), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N352), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N358), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N352), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N357), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N352), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N356), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N352), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N355), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N352), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N354), .DE(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N352), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N241), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[15])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_pueReg_reg__reg_15_ ( 
+        .D(n311), .CLK(wb_clk_i), .RESET_B(n86402), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[15]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_oeReg_reg__reg_15_ ( 
+        .D(n310), .CLK(wb_clk_i), .RESET_B(n37037), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_3_reg_15_ ( 
+        .D(n85671), .DE(n61037), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_2_reg_15_ ( 
+        .D(n85671), .DE(n61038), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_1_reg_15_ ( 
+        .D(n85671), .DE(n61040), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_0_reg_15_ ( 
+        .D(n85671), .DE(n61043), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_3_reg_15_ ( 
+        .D(n85671), .DE(n86557), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_2_reg_15_ ( 
+        .D(n85671), .DE(n86551), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_1_reg_15_ ( 
+        .D(n85671), .DE(n86550), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_0_reg_15_ ( 
+        .D(n85671), .DE(n86552), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[15]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_large__reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N48), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[15])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_large__reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N48), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[15]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N516), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[15])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N483), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[15])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N450), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[15])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N417), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[15])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_reg__reg__reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_15), .CLK(wb_clk_i), .RESET_B(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_25) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_0__15_ ( 
+        .D(n85244), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_1__15_ ( 
+        .D(n85244), .DE(n86463), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[15]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_large__reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N59), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[26]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg_reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N527), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[26])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg_reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N494), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[26])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg_reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N461), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[26])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg_reg_26_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N428), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[26])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_reg__reg__reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_26), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_36) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_0__26_ ( 
+        .D(n85245), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_1__26_ ( 
+        .D(n85245), .DE(n86463), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_0__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[61]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_1__5_ ( 
+        .D(n85576), .DE(n37078), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_2__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_3__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_4__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_5__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_6__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_reg_7__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[5])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_buffer_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N239), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[5]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_buffer_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N240), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[4]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_buffer_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N242), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_buffer_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N243), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_txd_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N250), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N247), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_spi_0_dq_2_o) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_0__1_ ( 
+        .D(n85246), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_1__1_ ( 
+        .D(n85246), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_2__1_ ( 
+        .D(n85246), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_3__1_ ( 
+        .D(n85246), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_4__1_ ( 
+        .D(n85246), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_5__1_ ( 
+        .D(n85246), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_6__1_ ( 
+        .D(n85246), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_7__1_ ( 
+        .D(n85246), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_0__6_ ( 
+        .D(n85247), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[62]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_1__6_ ( 
+        .D(n85247), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_2__6_ ( 
+        .D(n85247), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_3__6_ ( 
+        .D(n85247), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_4__6_ ( 
+        .D(n85247), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_5__6_ ( 
+        .D(n85247), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_6__6_ ( 
+        .D(n85247), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_7__6_ ( 
+        .D(n85247), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[6])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_buffer_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N244), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_txd_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N248), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N247), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_spi_0_dq_0_o) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_txd_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N249), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N247), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_spi_0_dq_1_o) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_txd_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N251), 
+        .DE(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N247), .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_spi_0_dq_3_o) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_0__0_ ( 
+        .D(n85248), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_1__0_ ( 
+        .D(n85248), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_2__0_ ( 
+        .D(n85248), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_3__0_ ( 
+        .D(n85248), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_4__0_ ( 
+        .D(n85248), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_5__0_ ( 
+        .D(n85248), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_6__0_ ( 
+        .D(n85248), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_7__0_ ( 
+        .D(n85248), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_0__7_ ( 
+        .D(n85249), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[63]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_1__7_ ( 
+        .D(n85249), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_2__7_ ( 
+        .D(n85249), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_3__7_ ( 
+        .D(n85249), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_4__7_ ( 
+        .D(n85249), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_5__7_ ( 
+        .D(n85249), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_6__7_ ( 
+        .D(n85249), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_7__7_ ( 
+        .D(n85249), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_0__2_ ( 
+        .D(n85250), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_1__2_ ( 
+        .D(n85250), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_2__2_ ( 
+        .D(n85250), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_3__2_ ( 
+        .D(n85250), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_4__2_ ( 
+        .D(n85250), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_5__2_ ( 
+        .D(n85250), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_6__2_ ( 
+        .D(n85250), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_7__2_ ( 
+        .D(n85250), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_0__5_ ( 
+        .D(n85251), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[61]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_1__5_ ( 
+        .D(n85251), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_2__5_ ( 
+        .D(n85251), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_3__5_ ( 
+        .D(n85251), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_4__5_ ( 
+        .D(n85251), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_5__5_ ( 
+        .D(n85251), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_6__5_ ( 
+        .D(n85251), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_7__5_ ( 
+        .D(n85251), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_3_reg_5_ ( 
+        .D(n85576), .DE(n61037), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_2_reg_5_ ( 
+        .D(n85576), .DE(n61038), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_1_reg_5_ ( 
+        .D(n85576), .DE(n61040), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_0_reg_5_ ( 
+        .D(n85576), .DE(n61043), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_3_reg_5_ ( 
+        .D(n85576), .DE(n86557), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_2_reg_5_ ( 
+        .D(n85576), .DE(n86551), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_1_reg_5_ ( 
+        .D(n85576), .DE(n86550), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_0_reg_5_ ( 
+        .D(n85576), .DE(n86552), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[5])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N506), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[5])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N473), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[5])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N440), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[5])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N407), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[5])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_reg__reg__reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_5), .CLK(wb_clk_i), .RESET_B(n37039), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_15) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_0__5_ ( 
+        .D(n85570), .DE(n86414), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_1__5_ ( 
+        .D(n85570), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveData_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N639), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N698), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_0__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[5]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_1__5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[5]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_data_reg_0__5_ ( 
+        .D(n85570), .DE(n86412), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_0_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[5]), 
+        .DE(n86565), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_4_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[5]), 
+        .DE(n86522), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_0_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[5]), 
+        .DE(n86566), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_4_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[5]), 
+        .DE(n86564), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[36]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_55_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_55_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_55_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_55_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N617), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N616), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[55])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_54_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_54_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_54_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_54_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N611), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N610), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[54])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_53_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_53_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_53_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_53_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N605), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N604), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[53])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_52_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_52_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_52_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_52_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N599), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N598), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[52])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_51_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_51_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_51_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_51_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N593), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N592), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[51])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_50_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_50_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_50_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_50_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N587), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N586), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[50])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_41_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_41_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_41_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_41_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N533), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N532), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[13]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_37_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_37_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_37_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_37_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N509), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N508), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[9])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_36_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_36_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_36_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_36_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N503), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N502), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[8])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_34_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_34_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_34_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_34_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N491), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N490), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[6]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_30_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_30_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_30_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_30_reg ( 
+        .D(n86049), .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N466), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_29_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_29_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_29_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_29_reg ( 
+        .D(n86048), .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N460), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_28_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_28_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_28_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_28_reg ( 
+        .D(n86047), .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N454), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_25_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_25_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_25_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_25_reg ( 
+        .D(n86046), .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N436), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[25])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_15_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_15_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_15_inFlight) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_13_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_13_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_13_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_13_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N365), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N364), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[13]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_12_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_12_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_12_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_12_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N359), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N358), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[12]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_11_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_11_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_11_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_11_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N353), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N352), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[11]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_10_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_10_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_10_inFlight) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_9_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_9_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_9_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_9_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N341), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N340), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_37_ ( 
+        .D(n85570), .DE(MarmotCaravelChip_dut_sys_clint_N146), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__4[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_5_ ( 
+        .D(n85570), .DE(MarmotCaravelChip_dut_sys_clint_N158), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__0[5]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_large__reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N50), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[17]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg_reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N518), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[17])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg_reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N485), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[17])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg_reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N452), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[17])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg_reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N419), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[17])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_reg__reg__reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_17), .CLK(wb_clk_i), .RESET_B(n86402), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_27) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_27_reg ( 
+        .D(n85944), .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N448), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[27])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_27_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_27_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_27_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_0__17_ ( 
+        .D(n85253), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_1__17_ ( 
+        .D(n85253), .DE(n86463), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_cs_set_reg ( 
+        .D(n85254), .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_N51), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac__GEN_31_0_) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_clear_reg ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_N59), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_clear) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_div_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N32), 
+        .DE(n36132), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_div_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N32), 
+        .DE(n36124), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_div_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N32), 
+        .DE(n36116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_div_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N32), 
+        .DE(n36108), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_div_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N32), .DE(
+        n36100), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_ip_doSticky_x1_deglitch_r_reg ( 
+        .D(n85832), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_scale_io_regs_cfg_write_deglitch), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_7[10]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_ip_doSticky_reg ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N64), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_ip_doSticky) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_0_reg_10_ ( 
+        .D(n85832), .DE(n61021), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_1_reg_10_ ( 
+        .D(n85832), .DE(n61026), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_2_reg_10_ ( 
+        .D(n85832), .DE(n61019), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_cmp_3_reg_10_ ( 
+        .D(n85832), .DE(n61023), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[10]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofEnReg_reg__reg_10_ ( 
+        .D(n309), .CLK(wb_clk_i), .RESET_B(n36801), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[10])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_ieReg_reg__reg_10_ ( 
+        .D(n308), .CLK(wb_clk_i), .RESET_B(n83351), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[10]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_pueReg_reg__reg_10_ ( 
+        .D(n307), .CLK(wb_clk_i), .RESET_B(n86402), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[10]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_oeReg_reg__reg_10_ ( 
+        .D(n306), .CLK(wb_clk_i), .RESET_B(n37040), .Q(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_10_o_oe) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_3_reg_10_ ( 
+        .D(n85832), .DE(n61037), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_2_reg_10_ ( 
+        .D(n85832), .DE(n61038), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_1_reg_10_ ( 
+        .D(n85832), .DE(n61040), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_0_reg_10_ ( 
+        .D(n85832), .DE(n61043), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_ip_doSticky_x1_deglitch_r_reg ( 
+        .D(n85832), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_scale_io_regs_cfg_write_deglitch), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_7[10]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_ip_doSticky_reg ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N64), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_ip_doSticky)
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_ip_0_reg ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N66), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_23[28]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_intsource_reg__reg__reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_23[28]), .CLK(wb_clk_i), .RESET_B(n83116), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_42) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_42_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N539), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N538), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_42_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_42_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_42_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_3_reg_10_ ( 
+        .D(n85832), .DE(n86557), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_2_reg_10_ ( 
+        .D(n85832), .DE(n86551), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_1_reg_10_ ( 
+        .D(n85832), .DE(n86550), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_0_reg_10_ ( 
+        .D(n85832), .DE(n86552), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_ip_doSticky_x1_deglitch_r_reg ( 
+        .D(n85832), .DE(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_scale_io_regs_cfg_write_deglitch), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_7[10]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_ip_doSticky_reg ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N64), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_ip_doSticky) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_large__reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N43), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[10])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_large__reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N43), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[10]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N511), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[10])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N478), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[10])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N445), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[10])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N412), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[10])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_reg__reg__reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_10), .CLK(wb_clk_i), .RESET_B(n37037), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_20) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_20_reg ( 
+        .D(n86045), .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N406), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[6]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_20_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_20_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_20_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_0__10_ ( 
+        .D(n85578), .DE(n86414), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_1__10_ ( 
+        .D(n85578), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveData_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N644), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N695), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_0__10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[10]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_1__10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[10]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_data_reg_0__10_ ( 
+        .D(n85578), .DE(n86412), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_1_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[10]), 
+        .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_75), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_5_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[10]), 
+        .DE(n86548), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_1_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[10]), 
+        .DE(n86549), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_5_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[10]), 
+        .DE(n86568), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_42_ ( 
+        .D(n85578), .DE(MarmotCaravelChip_dut_sys_clint_N143), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__5[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_10_ ( 
+        .D(n85578), .DE(MarmotCaravelChip_dut_sys_clint_N155), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__1[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg_reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N526), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[25])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg_reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N493), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[25])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg_reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N460), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[25])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg_reg_25_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N427), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_1__25_ ( 
+        .D(n85255), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_0__25_ ( 
+        .D(n85255), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_d_0_data_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_auto_in_d_bits_data[25]), .DE(n86567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_25[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_0__25_ ( 
+        .D(n85256), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_1__25_ ( 
+        .D(n85256), .DE(n86463), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[25]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_reg__reg__reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_25), .CLK(wb_clk_i), .RESET_B(n49230), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_35) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_35_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N497), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N496), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[7])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_35_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_35_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_35_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_0__25_ ( 
+        .D(n85862), .DE(n86414), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_1__25_ ( 
+        .D(n85862), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveData_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N659), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N689), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_0__25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[25]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_1__25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[25]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_data_reg_0__25_ ( 
+        .D(n85862), .DE(n86412), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_3_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[25]), 
+        .DE(n86555), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_3_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[25]), 
+        .DE(n86556), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_57_ ( 
+        .D(n85862), .DE(MarmotCaravelChip_dut_sys_clint_N137), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__7[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_25_ ( 
+        .D(n85862), .DE(MarmotCaravelChip_dut_sys_clint_N149), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__3[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_57_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N115), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_0__25_ ( 
+        .D(n85258), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_1__25_ ( 
+        .D(n85258), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_data_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[25]), .DE(n86335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_0__25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[25]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_1__25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[25]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data_reg_25_ ( 
+        .D(n85259), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N94), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N68), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data_r_3_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[25]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[25]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_24_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_24_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_24_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_0__14_ ( 
+        .D(n85261), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_1__14_ ( 
+        .D(n85261), .DE(n86463), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[14]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N522), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[21])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N489), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[21])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N456), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[21])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg_reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N423), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[21])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_reg__reg__reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_21), .CLK(wb_clk_i), .RESET_B(n86753), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_31) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_31_reg ( 
+        .D(n85943), .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N472), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_31_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_31_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_31_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_0__21_ ( 
+        .D(n85874), .DE(n86414), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_1__21_ ( 
+        .D(n85874), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveData_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N655), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N692), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_0__21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[21]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_1__21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[21]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_data_reg_0__21_ ( 
+        .D(n85874), .DE(n86412), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_2_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[21]), 
+        .DE(n86554), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_6_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[21]), 
+        .DE(n86553), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_2_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[21]), 
+        .DE(n86559), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_6_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[21]), 
+        .DE(n86558), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_53_ ( 
+        .D(n85874), .DE(MarmotCaravelChip_dut_sys_clint_N140), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__6[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_21_ ( 
+        .D(n85874), .DE(MarmotCaravelChip_dut_sys_clint_N152), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__2[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_21_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N79), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_53_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N111), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data_r_2_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[21]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_0__2_ ( 
+        .D(n85263), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_1__2_ ( 
+        .D(n85263), .DE(n86463), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N512), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[11])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N479), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[11])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N446), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[11])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N413), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[11])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_reg__reg__reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_11), .CLK(wb_clk_i), .RESET_B(n86753), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_21) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_21_reg ( 
+        .D(n86038), .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N412), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[21])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_21_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_21_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_21_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_0__11_ ( 
+        .D(n85572), .DE(n86414), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_1__11_ ( 
+        .D(n85572), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveData_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N645), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N695), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_0__11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[11]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_1__11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[11]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_data_reg_0__11_ ( 
+        .D(n85572), .DE(n86412), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_1_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[11]), 
+        .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_75), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_5_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[11]), 
+        .DE(n86548), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_1_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[11]), 
+        .DE(n86549), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_5_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[11]), 
+        .DE(n86568), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_43_ ( 
+        .D(n85572), .DE(MarmotCaravelChip_dut_sys_clint_N143), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__5[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_11_ ( 
+        .D(n85572), .DE(MarmotCaravelChip_dut_sys_clint_N155), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__1[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N523), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[22])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N490), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[22])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N457), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[22])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg_reg_22_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N424), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[22])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_reg__reg__reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_22), .CLK(wb_clk_i), .RESET_B(n49230), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_32) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_32_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N479), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N478), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[4]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_32_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_32_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_32_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_0__22_ ( 
+        .D(n85264), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_1__22_ ( 
+        .D(n85264), .DE(n86463), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[22]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_intsource_reg__reg__reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_intsource_auto_in_0), .CLK(wb_clk_i), .RESET_B(n36846), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_7) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_7_reg ( 
+        .D(n86044), .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N328), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[7])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_7_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_7_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_7_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_0__3_ ( 
+        .D(n85266), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_1__3_ ( 
+        .D(n85266), .DE(n86463), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_0__4_ ( 
+        .D(n85267), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[60]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_1__4_ ( 
+        .D(n85267), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_2__4_ ( 
+        .D(n85267), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_3__4_ ( 
+        .D(n85267), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_4__4_ ( 
+        .D(n85267), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_5__4_ ( 
+        .D(n85267), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_6__4_ ( 
+        .D(n85267), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_reg_7__4_ ( 
+        .D(n85267), .DE(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_3_reg_4_ ( 
+        .D(n85287), .DE(n61037), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_45_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N557), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N556), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_45_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_45_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_45_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_2_reg_4_ ( 
+        .D(n85287), .DE(n61038), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[4])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_ip_2_reg ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N68), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_23[30]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_intsource_reg__reg__reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_23[30]), .CLK(wb_clk_i), .RESET_B(n83351), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_44) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_44_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N551), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N550), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_44_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_44_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_44_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_1_reg_4_ ( 
+        .D(n85287), .DE(n61040), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_cmp_0_reg_4_ ( 
+        .D(n85287), .DE(n61043), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_3_reg_4_ ( 
+        .D(n85287), .DE(n86557), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_2_reg_4_ ( 
+        .D(n85287), .DE(n86551), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_1_reg_4_ ( 
+        .D(n85287), .DE(n86550), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_cmp_0_reg_4_ ( 
+        .D(n85287), .DE(n86552), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[4])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N505), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[4])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N472), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[4])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N439), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[4])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N406), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[4])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_reg__reg__reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_4), .CLK(wb_clk_i), .RESET_B(n49230), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_14) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_14_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N371), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N370), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_14_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_14_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_14_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_0__4_ ( 
+        .D(n85269), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_1__4_ ( 
+        .D(n85269), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_49_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N581), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N580), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[49])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_49_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_49_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_49_inFlight) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_ip_2_reg ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N68), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_23[30]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_intsource_reg__reg__reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_23[30]), .CLK(wb_clk_i), .RESET_B(n86753), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_48) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_48_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N575), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N574), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[6]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_48_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_48_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_48_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_47_reg ( 
+        .D(n85942), .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N568), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[5]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_47_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_47_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_47_inFlight) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_ip_0_reg ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N66), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_23[28]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_intsource_reg__reg__reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_23[28]), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_46) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_46_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N563), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N562), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[4]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_46_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_46_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_46_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_oneShot_reg ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N37), 
+        .DE(n36213), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_7_13) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_countAlways_reg ( 
+        .D(n86089), .DE(n36211), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_7_12) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N513), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[12])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N480), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[12])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N447), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[12])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N414), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_1__12_ ( 
+        .D(n85271), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_0__12_ ( 
+        .D(n85271), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_d_0_data_reg_12_ ( 
+        .D(n85272), .DE(n86567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_12[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_0__12_ ( 
+        .D(n85273), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_1__12_ ( 
+        .D(n85273), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[12]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_reg__reg__reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_12), .CLK(wb_clk_i), .RESET_B(n36801), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_22) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_22_reg ( 
+        .D(n86037), .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N418), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[22])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_22_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_22_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_22_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_0__12_ ( 
+        .D(n85586), .DE(n86414), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_1__12_ ( 
+        .D(n85586), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveData_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N646), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N695), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_0__12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[12]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_1__12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[12]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_data_reg_0__12_ ( 
+        .D(n85586), .DE(n86412), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_1_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[12]), 
+        .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_75), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_5_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[12]), 
+        .DE(n86548), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_1_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[12]), 
+        .DE(n86549), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_5_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[12]), 
+        .DE(n86568), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_44_ ( 
+        .D(n85586), .DE(MarmotCaravelChip_dut_sys_clint_N143), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__5[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_12_ ( 
+        .D(n85586), .DE(MarmotCaravelChip_dut_sys_clint_N155), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__1[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_0__12_ ( 
+        .D(n85276), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_1__12_ ( 
+        .D(n85276), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[12]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_43_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_43_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_43_inFlight) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_large__reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N42), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[9])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N510), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[9])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N477), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[9])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N444), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[9])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N411), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[9])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_reg__reg__reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_9), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_19) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_19_reg ( 
+        .D(n86036), .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N400), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[5]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_19_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_19_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_19_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_0__9_ ( 
+        .D(n85278), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_1__9_ ( 
+        .D(n85278), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[9]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg_reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N517), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[16])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg_reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N484), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[16])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg_reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N451), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[16])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg_reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N418), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_1__16_ ( 
+        .D(n85279), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_0__16_ ( 
+        .D(n85279), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_d_0_data_reg_16_ ( 
+        .D(n85280), .DE(n86567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_16[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_0__16_ ( 
+        .D(n85281), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_1__16_ ( 
+        .D(n85281), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[16]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_reg__reg__reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_16), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_26) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_26_reg ( 
+        .D(n85941), .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N442), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[26])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_26_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_26_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_26_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_0__16_ ( 
+        .D(n85869), .DE(n86414), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_1__16_ ( 
+        .D(n85869), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveData_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N650), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N692), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_0__16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[16]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_1__16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[16]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_data_reg_0__16_ ( 
+        .D(n85869), .DE(n86412), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_2_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[16]), 
+        .DE(n86554), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_6_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[16]), 
+        .DE(n86553), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_2_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[16]), 
+        .DE(n86559), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_6_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[16]), 
+        .DE(n86558), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_48_ ( 
+        .D(n85869), .DE(MarmotCaravelChip_dut_sys_clint_N140), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__6[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_16_ ( 
+        .D(n85869), .DE(MarmotCaravelChip_dut_sys_clint_N152), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__2[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_16_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N74), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_48_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N106), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_0__16_ ( 
+        .D(n85282), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_1__16_ ( 
+        .D(n85282), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_data_reg_16_ ( 
+        .D(n85283), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_16[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data_r_2_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[16]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_1_reg_0_ ( 
+        .D(n85324), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N55), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_1[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_0_reg_0_ ( 
+        .D(n85324), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N52), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_0[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N93), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N68), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data_r_3_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[24]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[24]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_23_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_23_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_23_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_0__13_ ( 
+        .D(n85585), .DE(n86414), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_1__13_ ( 
+        .D(n85585), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveData_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N647), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N695), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_0__13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[13]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_1__13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[13]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_data_reg_0__13_ ( 
+        .D(n85585), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_1_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[13]), 
+        .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_75), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_5_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[13]), 
+        .DE(n86548), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_1_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[13]), 
+        .DE(n86549), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_5_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[13]), 
+        .DE(n86568), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_45_ ( 
+        .D(n85585), .DE(MarmotCaravelChip_dut_sys_clint_N143), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__5[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_13_ ( 
+        .D(n85585), .DE(MarmotCaravelChip_dut_sys_clint_N155), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__1[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data_r_1_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[13]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[13]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N524), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[23])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N491), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[23])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N458), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[23])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg_reg_23_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N425), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[23])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_reg__reg__reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_23), .CLK(wb_clk_i), .RESET_B(n86402), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_33) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_33_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N485), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N484), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[5]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_33_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_33_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_33_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_0__23_ ( 
+        .D(n85284), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_1__23_ ( 
+        .D(n85284), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_txd_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N248), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N247), 
+        .CLK(wb_clk_i), .Q(io_out[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_txd_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N249), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N247), 
+        .CLK(wb_clk_i), .Q(io_out[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_txd_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N250), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N247), 
+        .CLK(wb_clk_i), .Q(io_out[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_txd_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N251), 
+        .DE(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N247), 
+        .CLK(wb_clk_i), .Q(io_out[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_ie_rxwm_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N67), 
+        .DE(n36136), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_8[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_nstop_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N63), 
+        .DE(n36134), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_5[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_counter_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N49), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N48), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_counter[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N29), 
+        .DE(n36626), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N28), 
+        .DE(n36626), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N30), 
+        .DE(n36626), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N31), 
+        .DE(n36626), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N32), 
+        .DE(n36626), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N33), 
+        .DE(n36626), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N34), 
+        .DE(n36626), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N35), 
+        .DE(n36626), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N36), 
+        .DE(n36626), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N37), 
+        .DE(n36626), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N38), 
+        .DE(n36626), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N39), 
+        .DE(n36626), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N40), 
+        .DE(n36626), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N41), 
+        .DE(n36626), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N42), 
+        .DE(n36626), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N43), 
+        .DE(n36626), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_counter_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N52), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N48), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_counter[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_counter_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N50), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N48), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_counter[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_counter_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N51), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N48), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_counter[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_deq_ptr_value_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N45), 
+        .DE(n36624), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_io_deq_bits_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_deq_ptr_value_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N46), 
+        .DE(n36624), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_io_deq_bits_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_deq_ptr_value_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N47), 
+        .DE(n36624), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_io_deq_bits_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_maybe_full_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N52), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N51), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_enq_ptr_value_reg_0_ ( 
+        .D(n85853), .DE(n36129), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_enq_ptr_value_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N40), 
+        .DE(n36129), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_3__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[32])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_3__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[39])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_3__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[38])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_3__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[37])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_3__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[36])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_3__3_ ( 
+        .D(n85756), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[35])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_3__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[34])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_3__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N32), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[33])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_enq_ptr_value_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N41), 
+        .DE(n36129), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_7__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_7__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_7__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_7__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_7__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_7__3_ ( 
+        .D(n85756), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_7__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_7__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_5__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_5__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_5__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_5__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_5__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_5__3_ ( 
+        .D(n85756), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_5__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_5__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_0__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[56])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_0__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[63])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_0__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[62])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_0__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[61])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_0__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[60])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_0__3_ ( 
+        .D(n85756), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[59])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_0__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[58])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_0__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[57])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_6__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_6__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_6__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_6__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_6__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_6__3_ ( 
+        .D(n85756), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_6__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_6__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_4__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_4__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_4__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_4__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_4__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_4__3_ ( 
+        .D(n85756), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_4__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_4__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_1__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[48])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_1__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[55])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_1__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[54])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_1__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[53])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_1__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[52])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_1__3_ ( 
+        .D(n85756), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[51])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_1__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[50])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_1__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[49])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_2__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[40])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_2__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[47])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_shifter_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__GEN_4[8]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__shifter_T_3[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_2__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[46])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_shifter_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__GEN_4[7]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__shifter_T_3[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_2__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[45])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_shifter_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__GEN_4[6]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__shifter_T_3[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_2__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[44])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_shifter_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__GEN_4[5]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__shifter_T_3[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_2__3_ ( 
+        .D(n85756), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[43])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_shifter_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__GEN_4[4]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__shifter_T_3[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_2__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[42])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_shifter_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__GEN_4[3]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__shifter_T_3[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_reg_2__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[41])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_shifter_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__GEN_4[2]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__shifter_T_3[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_shifter_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__GEN_4[1]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__shifter_T_3[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_shifter_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__GEN_4[0]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_shifter_0_) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_out_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N53), 
+        .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_uart_4_txd) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_intsource_reg__reg__reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_intsource_auto_in_0), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_6) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_6_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N323), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N322), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[6]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_6_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_6_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_6_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_ie_rxwm_reg ( 
+        .D(n86026), .DE(n36128), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_8[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_nstop_reg ( 
+        .D(n86025), .DE(n36126), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_5[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_counter_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N49), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N48), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_counter[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N29), 
+        .DE(n36621), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N28), 
+        .DE(n36621), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N30), 
+        .DE(n36621), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N31), 
+        .DE(n36621), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N32), 
+        .DE(n36621), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N33), 
+        .DE(n36621), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N34), 
+        .DE(n36621), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N35), 
+        .DE(n36621), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N36), 
+        .DE(n36621), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N37), 
+        .DE(n36621), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N38), 
+        .DE(n36621), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N39), 
+        .DE(n36621), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N40), 
+        .DE(n36621), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N41), 
+        .DE(n36621), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N42), 
+        .DE(n36621), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N43), 
+        .DE(n36621), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_counter_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N52), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N48), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_counter[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_counter_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N50), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N48), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_counter[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_counter_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N51), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N48), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_counter[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_deq_ptr_value_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_N45), 
+        .DE(n36619), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_io_deq_bits_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_deq_ptr_value_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_N46), 
+        .DE(n36619), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_io_deq_bits_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_deq_ptr_value_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_N47), 
+        .DE(n36619), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_io_deq_bits_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_maybe_full_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_N52), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_N51), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_enq_ptr_value_reg_0_ ( 
+        .D(n85526), .DE(n36121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_enq_ptr_value_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_N40), 
+        .DE(n36121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_3__0_ ( 
+        .D(n85487), .DE(n86579), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[32])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_3__7_ ( 
+        .D(n85285), .DE(n86579), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[39])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_3__6_ ( 
+        .D(n85286), .DE(n86579), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[38])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_3__5_ ( 
+        .D(n85576), .DE(n86579), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[37])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_3__4_ ( 
+        .D(n85287), .DE(n86579), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[36])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_3__3_ ( 
+        .D(n85756), .DE(n86579), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[35])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_3__2_ ( 
+        .D(n85527), .DE(n86579), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[34])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_3__1_ ( 
+        .D(n85291), .DE(n86579), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[33])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_enq_ptr_value_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_N41), 
+        .DE(n36121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_7__0_ ( 
+        .D(n85487), .DE(n86471), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_7__7_ ( 
+        .D(n85285), .DE(n86471), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_7__6_ ( 
+        .D(n85286), .DE(n86471), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_7__5_ ( 
+        .D(n85576), .DE(n86471), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_7__4_ ( 
+        .D(n85287), .DE(n86471), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_7__3_ ( 
+        .D(n85756), .DE(n86471), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_7__2_ ( 
+        .D(n85527), .DE(n86471), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_7__1_ ( 
+        .D(n85291), .DE(n86471), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_5__0_ ( 
+        .D(n85487), .DE(n86470), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_5__7_ ( 
+        .D(n85285), .DE(n86470), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_5__6_ ( 
+        .D(n85286), .DE(n86470), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_5__5_ ( 
+        .D(n85576), .DE(n86470), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_5__4_ ( 
+        .D(n85287), .DE(n86470), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_5__3_ ( 
+        .D(n85756), .DE(n86470), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_5__2_ ( 
+        .D(n85527), .DE(n86470), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_5__1_ ( 
+        .D(n85291), .DE(n86470), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_0__0_ ( 
+        .D(n85487), .DE(n86469), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[56])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_0__7_ ( 
+        .D(n85285), .DE(n86469), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[63])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_0__6_ ( 
+        .D(n85286), .DE(n86469), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[62])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_0__5_ ( 
+        .D(n85576), .DE(n86469), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[61])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_0__4_ ( 
+        .D(n85287), .DE(n86469), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[60])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_0__3_ ( 
+        .D(n85756), .DE(n86469), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[59])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_0__2_ ( 
+        .D(n85527), .DE(n86469), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[58])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_0__1_ ( 
+        .D(n85291), .DE(n86469), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[57])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_6__0_ ( 
+        .D(n85487), .DE(n86473), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_6__7_ ( 
+        .D(n85285), .DE(n86473), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_6__6_ ( 
+        .D(n85286), .DE(n86473), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_6__5_ ( 
+        .D(n85576), .DE(n86473), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_6__4_ ( 
+        .D(n85287), .DE(n86473), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_6__3_ ( 
+        .D(n85756), .DE(n86473), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_6__2_ ( 
+        .D(n85527), .DE(n86473), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_6__1_ ( 
+        .D(n85291), .DE(n86473), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_4__0_ ( 
+        .D(n85487), .DE(n86472), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_4__7_ ( 
+        .D(n85285), .DE(n86472), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_4__6_ ( 
+        .D(n85286), .DE(n86472), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_4__5_ ( 
+        .D(n85576), .DE(n86472), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_4__4_ ( 
+        .D(n85287), .DE(n86472), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_4__3_ ( 
+        .D(n85756), .DE(n86472), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_4__2_ ( 
+        .D(n85527), .DE(n86472), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_4__1_ ( 
+        .D(n85291), .DE(n86472), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_1__0_ ( 
+        .D(n85487), .DE(n86468), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[48])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_1__7_ ( 
+        .D(n85285), .DE(n86468), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[55])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_1__6_ ( 
+        .D(n85286), .DE(n86468), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[54])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_1__5_ ( 
+        .D(n85576), .DE(n86468), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[53])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_1__4_ ( 
+        .D(n85287), .DE(n86468), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[52])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_1__3_ ( 
+        .D(n85756), .DE(n86468), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[51])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_1__2_ ( 
+        .D(n85527), .DE(n86468), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[50])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_1__1_ ( 
+        .D(n85291), .DE(n86468), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[49])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_2__0_ ( 
+        .D(n85487), .DE(n86467), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[40])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_2__7_ ( 
+        .D(n85285), .DE(n86467), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[47])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_shifter_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__GEN_4[8]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__shifter_T_3[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_2__6_ ( 
+        .D(n85286), .DE(n86467), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[46])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_shifter_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__GEN_4[7]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__shifter_T_3[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_2__5_ ( 
+        .D(n85576), .DE(n86467), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[45])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_shifter_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__GEN_4[6]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__shifter_T_3[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_2__4_ ( 
+        .D(n85287), .DE(n86467), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[44])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_shifter_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__GEN_4[5]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__shifter_T_3[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_2__3_ ( 
+        .D(n85756), .DE(n86467), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[43])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_shifter_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__GEN_4[4]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__shifter_T_3[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_2__2_ ( 
+        .D(n85527), .DE(n86467), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[42])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_shifter_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__GEN_4[3]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__shifter_T_3[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_reg_2__1_ ( 
+        .D(n85291), .DE(n86467), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[41])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_shifter_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__GEN_4[2]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__shifter_T_3[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_shifter_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__GEN_4[1]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__shifter_T_3[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_shifter_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__GEN_4[0]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_shifter_0_) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_out_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N53), 
+        .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_uart_3_txd) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_intsource_reg__reg__reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_intsource_auto_in_0), .CLK(wb_clk_i), .RESET_B(n36801), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_5) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_ie_rxwm_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N67), 
+        .DE(n36120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_8[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_nstop_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N63), 
+        .DE(n36118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_5[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_counter_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N49), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N48), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_counter[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N29), 
+        .DE(n36616), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N28), 
+        .DE(n36616), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N30), 
+        .DE(n36616), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N31), 
+        .DE(n36616), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N32), 
+        .DE(n36616), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N33), 
+        .DE(n36616), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N34), 
+        .DE(n36616), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N35), 
+        .DE(n36616), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N36), 
+        .DE(n36616), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N37), 
+        .DE(n36616), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N38), 
+        .DE(n36616), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N39), 
+        .DE(n36616), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N40), 
+        .DE(n36616), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N41), 
+        .DE(n36616), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N42), 
+        .DE(n36616), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N43), 
+        .DE(n36616), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_counter_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N52), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N48), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_counter[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_counter_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N50), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N48), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_counter[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_counter_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N51), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N48), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_counter[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_deq_ptr_value_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N45), 
+        .DE(n36614), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_io_deq_bits_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_deq_ptr_value_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N46), 
+        .DE(n36614), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_io_deq_bits_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_deq_ptr_value_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N47), 
+        .DE(n36614), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_io_deq_bits_MPORT_addr[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_shifter_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__GEN_4[8]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__shifter_T_3[7]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_shifter_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__GEN_4[7]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__shifter_T_3[6]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_shifter_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__GEN_4[6]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__shifter_T_3[5]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_shifter_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__GEN_4[5]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__shifter_T_3[4]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_shifter_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__GEN_4[4]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__shifter_T_3[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_shifter_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__GEN_4[3]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__shifter_T_3[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_shifter_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__GEN_4[2]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__shifter_T_3[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_shifter_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__GEN_4[1]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__shifter_T_3[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_shifter_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__GEN_4[0]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_shifter_0_) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_out_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N53), 
+        .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_uart_2_txd) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_maybe_full_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N52), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N51), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_ie_rxwm_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N67), 
+        .DE(n36112), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_8[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_nstop_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N63), 
+        .DE(n36110), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_5[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_counter_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N49), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N48), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_counter[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N29), 
+        .DE(n36611), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N28), 
+        .DE(n36611), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N30), 
+        .DE(n36611), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N31), 
+        .DE(n36611), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N32), 
+        .DE(n36611), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N33), 
+        .DE(n36611), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N34), 
+        .DE(n36611), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N35), 
+        .DE(n36611), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N36), 
+        .DE(n36611), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N37), 
+        .DE(n36611), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N38), 
+        .DE(n36611), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N39), 
+        .DE(n36611), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N40), 
+        .DE(n36611), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N41), 
+        .DE(n36611), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N42), 
+        .DE(n36611), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N43), 
+        .DE(n36611), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_counter_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N52), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N48), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_counter[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_counter_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N50), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N48), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_counter[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_counter_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N51), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N48), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_counter[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_deq_ptr_value_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N45), 
+        .DE(n36609), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_io_deq_bits_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_deq_ptr_value_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N46), 
+        .DE(n36609), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_io_deq_bits_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_deq_ptr_value_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N47), 
+        .DE(n36609), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_io_deq_bits_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_maybe_full_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N52), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N51), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_enq_ptr_value_reg_0_ ( 
+        .D(n85859), .DE(n36105), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_enq_ptr_value_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N40), 
+        .DE(n36105), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_3__0_ ( 
+        .D(n85487), .DE(n86597), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[32])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_3__7_ ( 
+        .D(n85285), .DE(n86597), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[39])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_3__6_ ( 
+        .D(n85286), .DE(n86597), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[38])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_3__5_ ( 
+        .D(n85576), .DE(n86597), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[37])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_3__4_ ( 
+        .D(n85287), .DE(n86597), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[36])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_3__3_ ( 
+        .D(n85756), .DE(n86597), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[35])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_3__2_ ( 
+        .D(n85527), .DE(n86597), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[34])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_3__1_ ( 
+        .D(n85291), .DE(n86597), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[33])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_enq_ptr_value_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N41), 
+        .DE(n36105), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_7__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[0])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_7__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_7__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_7__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[5])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_7__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[4])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_7__3_ ( 
+        .D(n85756), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[3])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_7__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_7__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N28), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[1])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_5__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_5__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_5__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_5__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_5__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_5__3_ ( 
+        .D(n85290), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_5__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_5__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N30), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_0__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[56])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_0__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[63])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_0__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[62])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_0__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[61])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_0__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[60])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_0__3_ ( 
+        .D(n85290), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[59])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_0__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[58])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_0__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N35), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[57])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_6__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_6__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_6__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_6__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_6__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_6__3_ ( 
+        .D(n85290), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_6__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_6__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N29), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_4__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_4__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_4__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_4__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_4__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_4__3_ ( 
+        .D(n85290), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_4__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_4__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N31), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_1__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[48])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_1__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[55])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_1__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[54])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_1__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[53])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_1__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[52])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_1__3_ ( 
+        .D(n85290), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[51])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_1__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[50])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_1__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N34), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[49])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_2__0_ ( 
+        .D(n85487), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[40])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_2__7_ ( 
+        .D(n85285), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[47])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_shifter_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__GEN_4[8]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__shifter_T_3[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_2__6_ ( 
+        .D(n85286), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[46])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_shifter_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__GEN_4[7]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__shifter_T_3[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_2__5_ ( 
+        .D(n85576), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[45])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_shifter_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__GEN_4[6]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__shifter_T_3[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_2__4_ ( 
+        .D(n85287), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[44])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_shifter_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__GEN_4[5]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__shifter_T_3[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_2__3_ ( 
+        .D(n85290), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[43])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_shifter_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__GEN_4[4]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__shifter_T_3[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_2__2_ ( 
+        .D(n85527), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[42])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_shifter_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__GEN_4[3]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__shifter_T_3[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_reg_2__1_ ( 
+        .D(n85291), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N33), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[41])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_shifter_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__GEN_4[2]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__shifter_T_3[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_shifter_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__GEN_4[1]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__shifter_T_3[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_shifter_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__GEN_4[0]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_shifter_0_) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_out_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N53), 
+        .CLK(wb_clk_i), .Q(MarmotCaravelChip_dut_sys_uart_1_txd) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_intsource_reg__reg__reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_intsource_auto_in_0), .CLK(wb_clk_i), .RESET_B(n49230), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_3) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_ie_rxwm_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N67), .DE(
+        n36104), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_8[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_nstop_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N63), .DE(
+        n36102), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_5[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_0__1_ ( 
+        .D(n85289), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_1__1_ ( 
+        .D(n85289), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_shifter_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[1]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N77), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_0__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N35), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[56])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_1__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N34), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[48])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_2__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N33), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[40])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_3__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N32), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[32])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_4__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N31), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_5__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N30), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_6__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N29), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_reg_7__0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_bits[0]), .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N28), .CLK(
+        wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_debounce_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N20), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N58), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_debounce[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txen_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N41), .DE(
+        n36102), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_5[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_counter_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N50), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N48), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_counter[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N29), 
+        .DE(n36606), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_counter_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N52), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N48), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_counter[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_counter_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N49), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N48), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_counter[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_counter_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N51), 
+        .DE(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N48), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_counter[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N28), 
+        .DE(n36606), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N30), 
+        .DE(n36606), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler_reg_3_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N31), 
+        .DE(n36606), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler_reg_4_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N32), 
+        .DE(n36606), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N33), 
+        .DE(n36606), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N34), 
+        .DE(n36606), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N35), 
+        .DE(n36606), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N36), 
+        .DE(n36606), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler_reg_9_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N37), 
+        .DE(n36606), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler_reg_10_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N38), 
+        .DE(n36606), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler_reg_11_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N39), 
+        .DE(n36606), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler_reg_12_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N40), 
+        .DE(n36606), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler_reg_13_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N41), 
+        .DE(n36606), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler_reg_14_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N42), 
+        .DE(n36606), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler_reg_15_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N43), 
+        .DE(n36606), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_deq_ptr_value_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_N45), 
+        .DE(n36604), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_io_deq_bits_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_deq_ptr_value_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_N46), 
+        .DE(n36604), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_io_deq_bits_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_deq_ptr_value_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_N47), 
+        .DE(n36604), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_io_deq_bits_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_maybe_full_reg ( 
+        .D(n86074), .DE(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_N51), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_maybe_full) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_enq_ptr_value_reg_0_ ( 
+        .D(n85672), .DE(n36097), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_MPORT_addr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_enq_ptr_value_reg_1_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_N40), 
+        .DE(n36097), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_MPORT_addr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_3__0_ ( 
+        .D(n85487), .DE(n86498), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[32])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_3__7_ ( 
+        .D(n85285), .DE(n86498), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[39])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_3__6_ ( 
+        .D(n85286), .DE(n86498), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[38])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_3__5_ ( 
+        .D(n85576), .DE(n86498), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[37])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_3__4_ ( 
+        .D(n85287), .DE(n86498), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[36])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_3__3_ ( 
+        .D(n85290), .DE(n86498), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[35])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_3__2_ ( 
+        .D(n85527), .DE(n86498), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[34])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_3__1_ ( 
+        .D(n85291), .DE(n86498), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[33])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_enq_ptr_value_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_N41), 
+        .DE(n36097), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_MPORT_addr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_7__0_ ( 
+        .D(n85487), .DE(n86476), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_7__7_ ( 
+        .D(n85285), .DE(n86476), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_7__6_ ( 
+        .D(n85286), .DE(n86476), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_7__5_ ( 
+        .D(n85576), .DE(n86476), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_7__4_ ( 
+        .D(n85287), .DE(n86476), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_7__3_ ( 
+        .D(n85290), .DE(n86476), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_7__2_ ( 
+        .D(n85527), .DE(n86476), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_7__1_ ( 
+        .D(n85291), .DE(n86476), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_5__0_ ( 
+        .D(n85487), .DE(n86475), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_5__7_ ( 
+        .D(n85285), .DE(n86475), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_5__6_ ( 
+        .D(n85286), .DE(n86475), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_5__5_ ( 
+        .D(n85576), .DE(n86475), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_5__4_ ( 
+        .D(n85287), .DE(n86475), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_5__3_ ( 
+        .D(n85290), .DE(n86475), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_5__2_ ( 
+        .D(n85527), .DE(n86475), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_5__1_ ( 
+        .D(n85291), .DE(n86475), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_0__0_ ( 
+        .D(n85487), .DE(n86480), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[56])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_0__7_ ( 
+        .D(n85285), .DE(n86480), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[63])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_0__6_ ( 
+        .D(n85286), .DE(n86480), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[62])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_0__5_ ( 
+        .D(n85576), .DE(n86480), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[61])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_0__4_ ( 
+        .D(n85287), .DE(n86480), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[60])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_0__3_ ( 
+        .D(n85290), .DE(n86480), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[59])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_0__2_ ( 
+        .D(n85527), .DE(n86480), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[58])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_0__1_ ( 
+        .D(n85291), .DE(n86480), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[57])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_6__0_ ( 
+        .D(n85487), .DE(n86477), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_6__7_ ( 
+        .D(n85285), .DE(n86477), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_6__6_ ( 
+        .D(n85286), .DE(n86477), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_6__5_ ( 
+        .D(n85576), .DE(n86477), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_6__4_ ( 
+        .D(n85287), .DE(n86477), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_6__3_ ( 
+        .D(n85290), .DE(n86477), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_6__2_ ( 
+        .D(n85527), .DE(n86477), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_6__1_ ( 
+        .D(n85291), .DE(n86477), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_4__0_ ( 
+        .D(n85487), .DE(n86474), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_4__7_ ( 
+        .D(n85285), .DE(n86474), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_4__6_ ( 
+        .D(n85286), .DE(n86474), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_4__5_ ( 
+        .D(n85576), .DE(n86474), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_4__4_ ( 
+        .D(n85287), .DE(n86474), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_4__3_ ( 
+        .D(n85290), .DE(n86474), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_4__2_ ( 
+        .D(n85527), .DE(n86474), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_4__1_ ( 
+        .D(n85291), .DE(n86474), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_1__0_ ( 
+        .D(n85487), .DE(n86478), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[48])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_1__7_ ( 
+        .D(n85285), .DE(n86478), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[55])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_1__6_ ( 
+        .D(n85286), .DE(n86478), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[54])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_1__5_ ( 
+        .D(n85576), .DE(n86478), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[53])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_1__4_ ( 
+        .D(n85287), .DE(n86478), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[52])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_1__3_ ( 
+        .D(n85290), .DE(n86478), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[51])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_1__2_ ( 
+        .D(n85527), .DE(n86478), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[50])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_1__1_ ( 
+        .D(n85291), .DE(n86478), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[49])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_2__0_ ( 
+        .D(n85487), .DE(n86479), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[40])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_2__7_ ( 
+        .D(n85285), .DE(n86479), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[47])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_shifter_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__GEN_4[8]), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__shifter_T_3[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_2__6_ ( 
+        .D(n85286), .DE(n86479), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[46])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_shifter_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__GEN_4[7]), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__shifter_T_3[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_2__5_ ( 
+        .D(n85576), .DE(n86479), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[45])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_shifter_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__GEN_4[6]), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__shifter_T_3[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_2__4_ ( 
+        .D(n85287), .DE(n86479), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[44])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_shifter_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__GEN_4[5]), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__shifter_T_3[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_2__3_ ( 
+        .D(n85756), .DE(n86479), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[43])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_shifter_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__GEN_4[4]), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__shifter_T_3[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_2__2_ ( 
+        .D(n85527), .DE(n86479), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[42])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_shifter_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__GEN_4[3]), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__shifter_T_3[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_reg_2__1_ ( 
+        .D(n85291), .DE(n86479), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[41])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_shifter_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__GEN_4[2]), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__shifter_T_3[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_shifter_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__GEN_4[1]), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__shifter_T_3[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_shifter_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__GEN_4[0]), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_shifter_0_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_0__0_ ( 
+        .D(n85293), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_1__0_ ( 
+        .D(n85293), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[0]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_intsource_reg__reg__reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_intsource_auto_in_0), .CLK(wb_clk_i), .RESET_B(n49230), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_4) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_0__31_ ( 
+        .D(n85294), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[63]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_1__31_ ( 
+        .D(n85294), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[31]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N508), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[7])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N475), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[7])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N442), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[7])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg_reg_7_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N409), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[7])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_reg__reg__reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_7), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_17) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_17_reg ( 
+        .D(n86035), .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N388), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_17_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_17_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_17_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_0__7_ ( 
+        .D(n85296), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_1__7_ ( 
+        .D(n85296), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_2_reg_7_ ( 
+        .D(n85297), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N58), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_2[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_1_reg_7_ ( 
+        .D(n85297), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N55), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_1[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_0_reg_7_ ( 
+        .D(n85297), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N52), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_0[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N92), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N68), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data_r_2_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[23]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[23]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_38_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_38_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_38_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_0__28_ ( 
+        .D(n85298), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[60]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_1__28_ ( 
+        .D(n85298), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[28]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N531), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[30])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N498), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[30])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N465), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[30])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg_reg_30_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N432), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[30])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_reg__reg__reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_30), .CLK(wb_clk_i), .RESET_B(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_40) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_40_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N527), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N526), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[12]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_40_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_40_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_40_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_0__30_ ( 
+        .D(n85867), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N16), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[62]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_1__30_ ( 
+        .D(n85867), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveData_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N664), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N689), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_0__30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[30]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[62]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_1__30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[30]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_data_reg_0__30_ ( 
+        .D(n85867), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_3_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[30]), 
+        .DE(n86555), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_3_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[30]), 
+        .DE(n86556), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_62_ ( 
+        .D(n85867), .DE(MarmotCaravelChip_dut_sys_clint_N137), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__7[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_30_ ( 
+        .D(n85867), .DE(MarmotCaravelChip_dut_sys_clint_N149), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__3[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_62_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N120), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_0__30_ ( 
+        .D(n85300), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[62]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_1__30_ ( 
+        .D(n85300), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_data_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[30]), .DE(n86335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_0__30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[30]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[62]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_1__30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[30]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data_reg_30_ ( 
+        .D(n85301), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N99), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N68), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data_r_3_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[30]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[30]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N509), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[8])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N476), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[8])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N443), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[8])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg_reg_8_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N410), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_1__8_ ( 
+        .D(n85303), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_0__8_ ( 
+        .D(n85303), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_d_0_data_reg_8_ ( 
+        .D(n85304), .DE(n86567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_8[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_0__8_ ( 
+        .D(n85305), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_1__8_ ( 
+        .D(n85305), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[8]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_reg__reg__reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_8), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_18) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_18_reg ( 
+        .D(n86034), .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N394), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[4]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_18_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_18_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_18_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_0__8_ ( 
+        .D(n85574), .DE(n86414), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_1__8_ ( 
+        .D(n85574), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveData_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N642), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N695), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_0__8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[8]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_1__8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[8]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_data_reg_0__8_ ( 
+        .D(n85574), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_1_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[8]), 
+        .DE(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_75), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_5_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[8]), 
+        .DE(n86548), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_1_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[8]), 
+        .DE(n86549), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_5_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[8]), 
+        .DE(n86568), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_40_ ( 
+        .D(n85574), .DE(MarmotCaravelChip_dut_sys_clint_N143), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__5[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_8_ ( 
+        .D(n85574), .DE(MarmotCaravelChip_dut_sys_clint_N155), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__1[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_39_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_39_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_39_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_0__29_ ( 
+        .D(n85306), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[61]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_1__29_ ( 
+        .D(n85306), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[29]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N507), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[6])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N474), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[6])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N441), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[6])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N408), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[6])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_0__6_ ( 
+        .D(n85308), .DE(n85971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data_reg_1__6_ ( 
+        .D(n85308), .DE(n85920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_d_0_data_reg_6_ ( 
+        .D(n85309), .DE(n86567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_6[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_0__6_ ( 
+        .D(n85310), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data_reg_1__6_ ( 
+        .D(n85310), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[6]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_reg__reg__reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_6), .CLK(wb_clk_i), .RESET_B(n36801), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_16) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pending_16_reg ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N383), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N382), .CLK(wb_clk_i), 
+        .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_16_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_16_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_16_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_0__6_ ( 
+        .D(n85575), .DE(n86414), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data_reg_1__6_ ( 
+        .D(n85575), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N15), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveData_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N640), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N698), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_0__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[6]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data_reg_1__6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[6]), .DE(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_data_reg_0__6_ ( 
+        .D(n85575), .DE(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_0_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[6]), 
+        .DE(n86565), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_0_4_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[6]), 
+        .DE(n86522), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_0_reg_5_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[6]), 
+        .DE(n86566), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_4_reg_6_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[6]), 
+        .DE(n86564), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_38_ ( 
+        .D(n85575), .DE(MarmotCaravelChip_dut_sys_clint_N146), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__4[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_6_ ( 
+        .D(n85575), .DE(MarmotCaravelChip_dut_sys_clint_N158), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__0[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_35_ ( 
+        .D(n85569), .DE(MarmotCaravelChip_dut_sys_clint_N146), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__4[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_3_ ( 
+        .D(n85569), .DE(MarmotCaravelChip_dut_sys_clint_N158), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__0[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_bundleOut_0_0_REG_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_max[2]), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_bundleOut_0_0_REG[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_2_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[18]), 
+        .DE(n86559), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enables_1_6_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[18]), 
+        .DE(n86558), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_50_ ( 
+        .D(n85871), .DE(MarmotCaravelChip_dut_sys_clint_N140), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__6[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_18_ ( 
+        .D(n85871), .DE(MarmotCaravelChip_dut_sys_clint_N152), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__2[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_18_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N76), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_50_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N108), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_0__18_ ( 
+        .D(n85311), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_1__18_ ( 
+        .D(n85311), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_data_reg_18_ ( 
+        .D(n85312), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_18[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data_r_2_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[18]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_1_reg_2_ ( 
+        .D(n85315), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N55), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_1[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_0__10_ ( 
+        .D(n85314), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_1__10_ ( 
+        .D(n85314), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_0_reg_2_ ( 
+        .D(n85315), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N52), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_0[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N98), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N68), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data_r_3_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[29]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data_r_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[2]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_51_ ( 
+        .D(n85872), .DE(MarmotCaravelChip_dut_sys_clint_N140), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__6[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_19_ ( 
+        .D(n85872), .DE(MarmotCaravelChip_dut_sys_clint_N152), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__2[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_19_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N77), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_51_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N109), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_0__19_ ( 
+        .D(n85316), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_1__19_ ( 
+        .D(n85316), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_data_reg_19_ ( 
+        .D(n85317), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_19[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data_r_2_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[19]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_1_reg_3_ ( 
+        .D(n85320), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N55), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_1[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_0__11_ ( 
+        .D(n85319), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_1__11_ ( 
+        .D(n85319), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_0_reg_3_ ( 
+        .D(n85320), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N52), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_0[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_0__3_ ( 
+        .D(n85322), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_1__3_ ( 
+        .D(n85322), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_data_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[3]), .DE(n86335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_0__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[3]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_1__3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[3]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data_r_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[3]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N89), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N68), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data_r_2_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[20]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_0_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N575), .DE(n86338), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N126), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N118), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_addr_reg_21_ ( 
+        .D(n85750), .DE(n86491), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_addr_reg_23_ ( 
+        .D(n85596), .DE(n86491), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_addr_reg_24_ ( 
+        .D(n85641), .DE(n86391), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_addr_reg_27_ ( 
+        .D(n85632), .DE(n86391), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[27]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mstatus_mie_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N339), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_mie) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mstatus_mpie_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_mpie) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mcause_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N856), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mcause[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1276), .DE(n36576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1539), .DE(n36581), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_small_1_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1445), .DE(n86333), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_small__reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1182), .DE(n86301), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_custom_0_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1348), .DE(n36583), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_1_customCSRs_csrs_0_value_3_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_0__0_ ( 
+        .D(n85325), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_1__0_ ( 
+        .D(n85325), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_33_ ( 
+        .D(n85583), .DE(MarmotCaravelChip_dut_sys_clint_N146), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__4[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_1_ ( 
+        .D(n85583), .DE(MarmotCaravelChip_dut_sys_clint_N158), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__0[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_3_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_3_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_3_inFlight) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_2_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_2_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_2_inFlight) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_1_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_1_N3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_1_inFlight) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_inFlight_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_N3), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_inFlight) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_36_ ( 
+        .D(n85579), .DE(MarmotCaravelChip_dut_sys_clint_N146), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__4[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_4_ ( 
+        .D(n85579), .DE(MarmotCaravelChip_dut_sys_clint_N158), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__0[4]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_bundleOut_0_0_REG_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_max[0]), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_bundleOut_0_0_REG[0])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_bundleOut_1_0_REG_reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_1_io_max[0]), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_bundleOut_1_0_REG[0])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_bundleOut_1_0_REG_reg_2_ ( 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_1_io_max[2]), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_bundleOut_1_0_REG[2])
+         );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_46_ ( 
+        .D(n85584), .DE(MarmotCaravelChip_dut_sys_clint_N143), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__5[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_14_ ( 
+        .D(n85584), .DE(MarmotCaravelChip_dut_sys_clint_N155), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__1[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_0__14_ ( 
+        .D(n85326), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_1__14_ ( 
+        .D(n85326), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_data_reg_14_ ( 
+        .D(n85327), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_14[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_0__14_ ( 
+        .D(n85329), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_1__14_ ( 
+        .D(n85329), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_data_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[14]), .DE(n86335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_0__14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[14]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_1__14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[14]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data_reg_14_ ( 
+        .D(n85330), .DE(n36792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N83), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N68), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data_r_1_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[14]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_0_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N569), .DE(n86339), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N120), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_entry_reg_4_ ( 
+        .D(n85663), .DE(n86293), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_entry[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_entry_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N271), .DE(n86437), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_entry[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_entry_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N190), .DE(n86431), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_entry[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_entry_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N109), .DE(n86425), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_entry[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_entry_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N28), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_entry[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_entry_reg_4_ ( 
+        .D(n85664), .DE(n86397), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_entry[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_entry_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_io_btb_resp_entry[4]), .DE(n86422), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_entry[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_btb_resp_entry_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_entry[4]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_btb_update_bits_prediction_entry[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_prediction_entry_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_prediction_entry[4]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_prediction_entry[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_respPipe_bits_taken_reg ( 
+        .D(n85331), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_respPipe_bits_taken) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_state_reg_reg_26_ ( 
+        .D(n85477), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1601), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__waddr_T_39_4_) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_state_reg_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1608), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1601), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[6]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_isValid_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1512), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_entry_reg_3_ ( 
+        .D(n85332), .DE(n86306), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_entry[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_entry_reg_3_ ( 
+        .D(n85659), .DE(n86291), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_entry[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_entry_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N270), .DE(n86437), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_entry[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_entry_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N189), .DE(n86431), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_entry[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_entry_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N108), .DE(n86425), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_entry[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_entry_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N27), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_entry[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_entry_reg_3_ ( 
+        .D(n85660), .DE(n86397), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_entry[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_entry_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_io_btb_resp_entry[3]), .DE(n86424), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_entry[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_btb_resp_entry_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_entry[3]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_btb_update_bits_prediction_entry[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_prediction_entry_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_prediction_entry[3]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_prediction_entry[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_respPipe_bits_entry_reg_3_ ( 
+        .D(n85332), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_respPipe_bits_entry[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_state_reg_reg_25_ ( 
+        .D(n85880), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1722), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_state_reg_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1616), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1601), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[14]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_isValid_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1521), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_entry_reg_2_ ( 
+        .D(n85336), .DE(n86306), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_entry[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_entry_reg_2_ ( 
+        .D(n85646), .DE(n86294), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_entry[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_entry_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N269), .DE(n86437), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_entry[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_entry_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N188), .DE(n86431), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_entry[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_entry_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N107), .DE(n86425), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_entry[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_entry_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N26), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_entry[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_entry_reg_2_ ( 
+        .D(n85647), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_entry[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_entry_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_io_btb_resp_entry[2]), .DE(n86423), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_entry[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_btb_resp_entry_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_entry[2]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_btb_update_bits_prediction_entry[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_prediction_entry_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_prediction_entry[2]), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_prediction_entry[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[2]), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[3]), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[4]), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[5]), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[6]), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[7]), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[8]), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[9]), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[10]), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[11]), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[12]), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[13]), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_11_reg_0_ ( 
+        .D(n36798), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_11[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11_reg_12_ ( 
+        .D(n85637), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11_reg_10_ ( 
+        .D(n85633), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11_reg_8_ ( 
+        .D(n85634), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11_reg_5_ ( 
+        .D(n72064), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[1]), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_11_reg_2_ ( 
+        .D(n85333), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_11[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_11_reg_1_ ( 
+        .D(n85334), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_11[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_11_reg_0_ ( 
+        .D(n85335), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_11[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_11_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[1]), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_11[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_11_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[0]), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_11[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_11_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_br_pc_1_), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_11) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[2]), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[3]), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[4]), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[5]), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[6]), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[7]), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[8]), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[9]), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[10]), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[11]), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[12]), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[13]), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10[12]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_isValid_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1511), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_10_reg_0_ ( 
+        .D(n36798), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_10[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10_reg_12_ ( 
+        .D(n85637), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10_reg_10_ ( 
+        .D(n85633), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10_reg_8_ ( 
+        .D(n85634), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10_reg_5_ ( 
+        .D(n72064), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[1]), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_10_reg_2_ ( 
+        .D(n85333), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_10[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_10_reg_1_ ( 
+        .D(n85334), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_10[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_10_reg_0_ ( 
+        .D(n85335), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_10[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_10_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[1]), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_10[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_10_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[0]), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_10[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_10_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_br_pc_1_), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_10) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[2]), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[3]), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[4]), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[5]), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[6]), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[7]), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[8]), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[9]), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[10]), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[11]), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[12]), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[13]), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_7_reg_0_ ( 
+        .D(n36798), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_7[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7_reg_12_ ( 
+        .D(n85637), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7_reg_10_ ( 
+        .D(n85633), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7_reg_8_ ( 
+        .D(n85634), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7_reg_5_ ( 
+        .D(n72064), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[1]), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_7_reg_2_ ( 
+        .D(n85333), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_7[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_7_reg_1_ ( 
+        .D(n85334), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_7[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_7_reg_0_ ( 
+        .D(n85335), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_7[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_7_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[1]), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_7[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_7_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[0]), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_7[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_7_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_br_pc_1_), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_7) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[2]), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[3]), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[4]), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[5]), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[6]), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[7]), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[8]), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[9]), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[10]), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[11]), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[12]), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[13]), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_6_reg_0_ ( 
+        .D(n36798), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_6[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6_reg_12_ ( 
+        .D(n85637), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6_reg_10_ ( 
+        .D(n85633), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6_reg_8_ ( 
+        .D(n85634), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6_reg_5_ ( 
+        .D(n72064), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[1]), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_6_reg_2_ ( 
+        .D(n85333), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_6[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_6_reg_1_ ( 
+        .D(n85334), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_6[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_6_reg_0_ ( 
+        .D(n85335), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_6[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_6_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[1]), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_6[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_6_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[0]), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_6[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_6_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_br_pc_1_), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_6) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[2]), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[3]), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[4]), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[5]), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[6]), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[7]), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[8]), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[9]), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[10]), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[11]), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[12]), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[13]), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_3_reg_0_ ( 
+        .D(n36798), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_3[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3_reg_12_ ( 
+        .D(n85637), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3_reg_10_ ( 
+        .D(n85633), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3_reg_8_ ( 
+        .D(n85634), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3_reg_5_ ( 
+        .D(n72064), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[1]), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_3_reg_2_ ( 
+        .D(n85333), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_3[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_3_reg_1_ ( 
+        .D(n85334), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_3[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_3_reg_0_ ( 
+        .D(n85335), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_3[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_3_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[1]), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_3[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_3_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[0]), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_3[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_3_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_br_pc_1_), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_3) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[2]), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[3]), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[4]), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[5]), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[6]), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[7]), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[8]), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[9]), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[10]), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[11]), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[12]), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[13]), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_2_reg_0_ ( 
+        .D(n36798), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_2[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2_reg_12_ ( 
+        .D(n85637), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2_reg_10_ ( 
+        .D(n85633), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2_reg_8_ ( 
+        .D(n85634), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2_reg_5_ ( 
+        .D(n72064), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[1]), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_2_reg_2_ ( 
+        .D(n85333), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_2[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_2_reg_1_ ( 
+        .D(n85334), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_2[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_2_reg_0_ ( 
+        .D(n85335), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_2[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_2_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[1]), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_2[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_2_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[0]), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_2[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_2_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_br_pc_1_), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_2) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[2]), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[3]), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[4]), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[5]), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[6]), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[7]), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[8]), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[9]), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[10]), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[11]), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[12]), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[13]), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9[12]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_isValid_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1510), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_9_reg_0_ ( 
+        .D(n36798), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_9[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9_reg_12_ ( 
+        .D(n85637), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9_reg_10_ ( 
+        .D(n85633), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9_reg_8_ ( 
+        .D(n85634), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9_reg_5_ ( 
+        .D(n72064), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[1]), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_9_reg_2_ ( 
+        .D(n85333), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_9[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_9_reg_1_ ( 
+        .D(n85334), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_9[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_9_reg_0_ ( 
+        .D(n85335), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_9[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_9_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[1]), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_9[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_9_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[0]), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_9[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_9_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_br_pc_1_), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_9) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[2]), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[3]), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[4]), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[5]), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[6]), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[7]), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[8]), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[9]), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[10]), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[11]), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[12]), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[13]), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8[12]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_isValid_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1509), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_8_reg_0_ ( 
+        .D(n36798), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_8[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8_reg_12_ ( 
+        .D(n85637), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8_reg_10_ ( 
+        .D(n85633), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8_reg_8_ ( 
+        .D(n85634), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8_reg_5_ ( 
+        .D(n72064), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[1]), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_8_reg_2_ ( 
+        .D(n85333), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_8[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_8_reg_1_ ( 
+        .D(n85334), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_8[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_8_reg_0_ ( 
+        .D(n85335), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_8[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_8_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[1]), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_8[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_8_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[0]), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_8[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_8_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_br_pc_1_), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_8) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[2]), .DE(n86322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[3]), .DE(n86321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[4]), .DE(n86322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[5]), .DE(n86321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[6]), .DE(n86322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[7]), .DE(n86321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[8]), .DE(n86322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[9]), .DE(n86321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[10]), .DE(n86321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[11]), .DE(n86321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[12]), .DE(n86321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[13]), .DE(n86322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_5_reg_0_ ( 
+        .D(n36798), .DE(n86321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_5[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5_reg_12_ ( 
+        .D(n85637), .DE(n86322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .DE(n86321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5_reg_10_ ( 
+        .D(n85633), .DE(n86321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .DE(n86321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5_reg_8_ ( 
+        .D(n85634), .DE(n86321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .DE(n86321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .DE(n86322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5_reg_5_ ( 
+        .D(n72064), .DE(n86322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .DE(n86321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .DE(n86321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .DE(n86321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .DE(n86321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[1]), .DE(n86322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_5_reg_2_ ( 
+        .D(n85333), .DE(n86321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_5[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_5_reg_1_ ( 
+        .D(n85334), .DE(n86321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_5[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_5_reg_0_ ( 
+        .D(n85335), .DE(n86321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_5[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_5_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[1]), .DE(n86322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_5[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_5_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[0]), .DE(n86322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_5[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_5_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_br_pc_1_), .DE(n86321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_5) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[2]), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[3]), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[4]), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[5]), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[6]), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[7]), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[8]), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[9]), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[10]), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[11]), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[12]), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[13]), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_4_reg_0_ ( 
+        .D(n36798), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_4[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4_reg_12_ ( 
+        .D(n85637), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4_reg_10_ ( 
+        .D(n85633), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4_reg_8_ ( 
+        .D(n85634), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4_reg_5_ ( 
+        .D(n72064), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[1]), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_4_reg_2_ ( 
+        .D(n85333), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_4[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_4_reg_1_ ( 
+        .D(n85334), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_4[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_4_reg_0_ ( 
+        .D(n85335), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_4[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_4_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[1]), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_4[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_4_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[0]), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_4[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_4_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_br_pc_1_), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_4) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[2]), .DE(n86314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[3]), .DE(n86313), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[4]), .DE(n86313), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[5]), .DE(n86313), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[6]), .DE(n86314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[7]), .DE(n86313), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[8]), .DE(n86313), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[9]), .DE(n86313), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[10]), .DE(n86314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[11]), .DE(n86313), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[12]), .DE(n86313), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[13]), .DE(n86314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_1_reg_0_ ( 
+        .D(n36798), .DE(n86314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_1[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1_reg_12_ ( 
+        .D(n85637), .DE(n86313), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .DE(n86313), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1_reg_10_ ( 
+        .D(n85633), .DE(n86314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .DE(n86313), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1_reg_8_ ( 
+        .D(n85634), .DE(n86313), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .DE(n86313), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .DE(n86313), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1_reg_5_ ( 
+        .D(n72064), .DE(n86314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .DE(n86313), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .DE(n86314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .DE(n86313), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .DE(n86313), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[1]), .DE(n86314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_1_reg_2_ ( 
+        .D(n85333), .DE(n86314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_1[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_1_reg_1_ ( 
+        .D(n85334), .DE(n86313), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_1[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_1_reg_0_ ( 
+        .D(n85335), .DE(n86313), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_1[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_1_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[1]), .DE(n86314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_1[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_1_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[0]), .DE(n86313), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_1[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_1_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_br_pc_1_), .DE(n86313), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_1) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[2]), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[3]), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[4]), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[5]), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[6]), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[7]), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[8]), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[9]), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[10]), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[11]), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[12]), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[13]), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_0_reg_0_ ( 
+        .D(n36798), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_0[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0_reg_12_ ( 
+        .D(n85637), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0_reg_10_ ( 
+        .D(n85633), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0_reg_8_ ( 
+        .D(n85634), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0_reg_5_ ( 
+        .D(n72064), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[1]), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_0_reg_2_ ( 
+        .D(n85333), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_0[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_0_reg_1_ ( 
+        .D(n85334), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_0[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_0_reg_0_ ( 
+        .D(n85335), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_0[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_0_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[1]), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_0[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_0_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[0]), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_0[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_br_pc_1_), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_0) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[2]), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[3]), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[4]), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[5]), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[6]), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[7]), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[8]), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[9]), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[10]), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[11]), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[12]), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[13]), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_27_reg_0_ ( 
+        .D(n36798), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_27[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27_reg_12_ ( 
+        .D(n85637), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27_reg_10_ ( 
+        .D(n85633), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27_reg_8_ ( 
+        .D(n85634), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27_reg_5_ ( 
+        .D(n72064), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[1]), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_27_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[1]), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_27[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_27_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[0]), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_27[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_27_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_br_pc_1_), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_27) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[2]), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[3]), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[4]), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[5]), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[6]), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[7]), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[8]), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[9]), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[10]), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[11]), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[12]), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[13]), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_26_reg_0_ ( 
+        .D(n36798), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_26[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26_reg_12_ ( 
+        .D(n85637), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26_reg_10_ ( 
+        .D(n85633), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26_reg_8_ ( 
+        .D(n85634), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26_reg_5_ ( 
+        .D(n72064), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[1]), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_26_reg_2_ ( 
+        .D(n85333), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_26[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_26_reg_1_ ( 
+        .D(n85334), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_26[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_26_reg_0_ ( 
+        .D(n85335), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_26[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_26_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[1]), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_26[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_26_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[0]), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_26[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_26_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_br_pc_1_), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_26) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[2]), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[3]), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[4]), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[5]), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[6]), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[7]), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[8]), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[9]), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[10]), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[11]), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[12]), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[13]), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_23_reg_0_ ( 
+        .D(n36798), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_23[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23_reg_12_ ( 
+        .D(n85637), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23_reg_10_ ( 
+        .D(n85633), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23_reg_8_ ( 
+        .D(n85634), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23_reg_5_ ( 
+        .D(n72064), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[1]), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_23_reg_2_ ( 
+        .D(n85333), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_23[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_23_reg_1_ ( 
+        .D(n85334), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_23[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_23_reg_0_ ( 
+        .D(n85335), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_23[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_23_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[1]), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_23[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_23_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[0]), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_23[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_23_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_br_pc_1_), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_23) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[2]), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[3]), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[4]), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[5]), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[6]), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[7]), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[8]), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[9]), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[10]), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[11]), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[12]), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[13]), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_22_reg_0_ ( 
+        .D(n36798), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_22[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22_reg_12_ ( 
+        .D(n85637), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22_reg_10_ ( 
+        .D(n85633), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22_reg_8_ ( 
+        .D(n85634), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22_reg_5_ ( 
+        .D(n72064), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[1]), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_22_reg_2_ ( 
+        .D(n85333), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_22[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_22_reg_1_ ( 
+        .D(n85334), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_22[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_22_reg_0_ ( 
+        .D(n85335), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_22[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_22_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[1]), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_22[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_22_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[0]), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_22[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_22_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_br_pc_1_), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_22) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[2]), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[3]), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[4]), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[5]), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[6]), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[7]), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[8]), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[9]), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[10]), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[11]), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[12]), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[13]), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_19_reg_0_ ( 
+        .D(n36798), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_19[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19_reg_12_ ( 
+        .D(n85637), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19_reg_10_ ( 
+        .D(n85633), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19_reg_8_ ( 
+        .D(n85634), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19_reg_5_ ( 
+        .D(n72064), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[1]), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_19_reg_2_ ( 
+        .D(n85333), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_19[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_19_reg_1_ ( 
+        .D(n85334), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_19[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_19_reg_0_ ( 
+        .D(n85335), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_19[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_19_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[1]), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_19[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_19_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[0]), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_19[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_19_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_br_pc_1_), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_19) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[2]), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[3]), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[4]), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[5]), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[6]), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[7]), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[8]), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[9]), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[10]), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[11]), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[12]), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[13]), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_18_reg_0_ ( 
+        .D(n36798), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_18[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18_reg_12_ ( 
+        .D(n85637), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18_reg_10_ ( 
+        .D(n85633), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18_reg_8_ ( 
+        .D(n85634), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18_reg_5_ ( 
+        .D(n72064), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[1]), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_18_reg_2_ ( 
+        .D(n85333), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_18[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_18_reg_1_ ( 
+        .D(n85334), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_18[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_18_reg_0_ ( 
+        .D(n85335), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_18[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_18_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[1]), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_18[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_18_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[0]), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_18[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_18_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_br_pc_1_), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_18) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[2]), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[3]), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[4]), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[5]), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[6]), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[7]), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[8]), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[9]), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[10]), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[11]), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[12]), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[13]), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_25_reg_0_ ( 
+        .D(n36798), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_25[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25_reg_12_ ( 
+        .D(n85637), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25_reg_10_ ( 
+        .D(n85633), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25_reg_8_ ( 
+        .D(n85634), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25_reg_5_ ( 
+        .D(n72064), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[1]), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_25_reg_2_ ( 
+        .D(n85333), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_25[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_25_reg_1_ ( 
+        .D(n85334), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_25[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_25_reg_0_ ( 
+        .D(n85335), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_25[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_25_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[1]), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_25[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_25_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[0]), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_25[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_25_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_br_pc_1_), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_25) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[2]), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[3]), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[4]), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[5]), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[6]), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[7]), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[8]), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[9]), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[10]), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[11]), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[12]), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[13]), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_24_reg_0_ ( 
+        .D(n36798), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_24[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24_reg_12_ ( 
+        .D(n85637), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24_reg_10_ ( 
+        .D(n85633), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24_reg_8_ ( 
+        .D(n85366), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24_reg_5_ ( 
+        .D(n72064), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[1]), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_24_reg_2_ ( 
+        .D(n85333), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_24[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_24_reg_1_ ( 
+        .D(n85334), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_24[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_24_reg_0_ ( 
+        .D(n85335), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_24[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_24_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[1]), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_24[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_24_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[0]), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_24[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_24_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_br_pc_1_), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_24) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[2]), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[3]), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[4]), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[5]), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[6]), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[7]), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[8]), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[9]), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[10]), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[11]), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[12]), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[13]), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_21_reg_0_ ( 
+        .D(n36798), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_21[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21_reg_12_ ( 
+        .D(n85637), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21_reg_10_ ( 
+        .D(n85633), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21_reg_8_ ( 
+        .D(n85634), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21_reg_5_ ( 
+        .D(n72064), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[1]), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_21_reg_2_ ( 
+        .D(n85333), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_21[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_21_reg_1_ ( 
+        .D(n85334), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_21[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_21_reg_0_ ( 
+        .D(n85335), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_21[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_21_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[1]), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_21[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_21_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[0]), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_21[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_21_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_br_pc_1_), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_21) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[2]), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[3]), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[4]), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[5]), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[6]), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[7]), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[8]), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[9]), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[10]), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[11]), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[12]), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[13]), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_20_reg_0_ ( 
+        .D(n36798), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_20[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20_reg_12_ ( 
+        .D(n85637), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20_reg_10_ ( 
+        .D(n85633), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20_reg_8_ ( 
+        .D(n85634), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20_reg_5_ ( 
+        .D(n72064), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[1]), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_20_reg_2_ ( 
+        .D(n85333), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_20[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_20_reg_1_ ( 
+        .D(n85334), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_20[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_20_reg_0_ ( 
+        .D(n85335), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_20[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_20_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[1]), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_20[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_20_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[0]), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_20[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_20_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_br_pc_1_), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_20) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[2]), .DE(n86327), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[3]), .DE(n86327), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[4]), .DE(n86326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[5]), .DE(n86327), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[6]), .DE(n86326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[7]), .DE(n86326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[8]), .DE(n86326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[9]), .DE(n86326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[10]), .DE(n86327), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[11]), .DE(n86326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[12]), .DE(n86326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[13]), .DE(n86326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_17_reg_0_ ( 
+        .D(n36798), .DE(n86327), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_17[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17_reg_12_ ( 
+        .D(n85637), .DE(n86326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .DE(n86326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17_reg_10_ ( 
+        .D(n85633), .DE(n86326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .DE(n86326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17_reg_8_ ( 
+        .D(n85634), .DE(n86326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .DE(n86327), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .DE(n86327), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17_reg_5_ ( 
+        .D(n72064), .DE(n86327), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .DE(n86326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .DE(n86326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .DE(n86326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .DE(n86326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[1]), .DE(n86327), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_17_reg_2_ ( 
+        .D(n85333), .DE(n86326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_17[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_17_reg_1_ ( 
+        .D(n85334), .DE(n86326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_17[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_17_reg_0_ ( 
+        .D(n85335), .DE(n86326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_17[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_17_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[1]), .DE(n86326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_17[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_17_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[0]), .DE(n86327), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_17[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_17_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_br_pc_1_), .DE(n86326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_17) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[2]), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[3]), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[4]), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[5]), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[6]), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[7]), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[8]), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[9]), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[10]), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[11]), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[12]), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[13]), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_16_reg_0_ ( 
+        .D(n36798), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_16[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16_reg_12_ ( 
+        .D(n85637), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16_reg_10_ ( 
+        .D(n85633), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16_reg_8_ ( 
+        .D(n85634), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16_reg_5_ ( 
+        .D(n72064), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[1]), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_16_reg_2_ ( 
+        .D(n85333), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_16[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_16_reg_1_ ( 
+        .D(n85334), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_16[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_16_reg_0_ ( 
+        .D(n85335), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_16[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_16_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[1]), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_16[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_16_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[0]), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_16[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_16_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_br_pc_1_), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_16) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[2]), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[3]), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[4]), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[5]), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[6]), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[7]), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[8]), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[9]), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[10]), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[11]), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[12]), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[13]), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_15_reg_0_ ( 
+        .D(n36798), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_15[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15_reg_12_ ( 
+        .D(n85637), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15_reg_10_ ( 
+        .D(n85633), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15_reg_8_ ( 
+        .D(n85634), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15_reg_5_ ( 
+        .D(n72064), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[1]), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_15_reg_2_ ( 
+        .D(n85333), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_15[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_15_reg_1_ ( 
+        .D(n85334), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_15[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_15_reg_0_ ( 
+        .D(n85335), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_15[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_15_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[1]), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_15[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_15_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[0]), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_15[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_15_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_br_pc_1_), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_15) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[2]), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[3]), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[4]), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[5]), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[6]), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[7]), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[8]), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[9]), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[10]), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[11]), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[12]), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[13]), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_13_reg_0_ ( 
+        .D(n36798), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_13[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13_reg_12_ ( 
+        .D(n85637), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13_reg_10_ ( 
+        .D(n85633), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13_reg_8_ ( 
+        .D(n85634), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13_reg_5_ ( 
+        .D(n72064), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[1]), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_13_reg_2_ ( 
+        .D(n85333), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_13[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_13_reg_1_ ( 
+        .D(n85334), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_13[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_13_reg_0_ ( 
+        .D(n85335), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_13[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_13_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[1]), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_13[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_13_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[0]), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_13[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_13_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_br_pc_1_), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_13) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[2]), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[3]), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[4]), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[5]), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[6]), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[7]), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[8]), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[9]), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[10]), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[11]), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[12]), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[13]), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_14_reg_0_ ( 
+        .D(n36798), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_14[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14_reg_12_ ( 
+        .D(n85637), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14_reg_10_ ( 
+        .D(n85633), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14_reg_8_ ( 
+        .D(n85634), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14_reg_5_ ( 
+        .D(n72064), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[1]), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_14_reg_2_ ( 
+        .D(n85333), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_14[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_14_reg_1_ ( 
+        .D(n85334), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_14[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_14_reg_0_ ( 
+        .D(n85335), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_14[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_14_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[1]), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_14[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_14_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[0]), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_14[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_14_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_br_pc_1_), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_14) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[2]), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[3]), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[4]), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[5]), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[6]), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[7]), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[8]), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[9]), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[10]), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[11]), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[12]), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_pc[13]), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_12_reg_0_ ( 
+        .D(n36798), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_12[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12_reg_12_ ( 
+        .D(n85637), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12_reg_10_ ( 
+        .D(n85633), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12_reg_8_ ( 
+        .D(n85634), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12_reg_5_ ( 
+        .D(n72064), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[1]), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_12_reg_2_ ( 
+        .D(n85333), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_12[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_12_reg_1_ ( 
+        .D(n85334), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_12[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_12_reg_0_ ( 
+        .D(n85335), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_12[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_12_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[1]), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_12[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_12_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_cfiType[0]), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_12[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_12_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_br_pc_1_), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_12) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_isValid_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1502), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_isValid_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1504), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_isValid_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1505), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[4]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_isValid_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1506), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[5]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_isValid_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1507), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[6]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_isValid_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1508), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[7]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_isValid_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1513), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[12]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_isValid_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1514), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[13]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_isValid_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1515), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[14]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_isValid_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1516), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[15]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_isValid_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1517), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[16]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_isValid_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1518), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[17]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_isValid_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1519), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_respPipe_bits_entry_reg_2_ ( 
+        .D(n85336), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_respPipe_bits_entry[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_isValid_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1522), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_entry_reg_0_ ( 
+        .D(n85337), .DE(n86306), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_entry[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_entry_reg_0_ ( 
+        .D(n85648), .DE(n86291), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_entry[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_entry_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N267), .DE(n86437), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_entry[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_entry_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N186), .DE(n86431), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_entry[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_entry_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N105), .DE(n86425), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_entry[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_entry_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N24), .DE(n86299), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_entry[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_entry_reg_0_ ( 
+        .D(n85649), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_entry[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_entry_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_io_btb_resp_entry[0]), .DE(n86422), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_entry[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_btb_resp_entry_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_entry[0]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_btb_update_bits_prediction_entry[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_prediction_entry_reg_0_ ( 
+        .D(n86030), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_prediction_entry[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_respPipe_bits_entry_reg_0_ ( 
+        .D(n85337), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_respPipe_bits_entry[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_isValid_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1523), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_state_reg_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1619), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1722), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_state_reg_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1622), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1722), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_state_reg_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1623), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1722), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_state_reg_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1607), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1601), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_state_reg_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1604), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1601), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_state_reg_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1611), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1726), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_state_reg_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1614), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1726), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[12]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_speculative_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N78), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_speculative) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_speculative_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N117), .DE(n86307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_speculative) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_entry_reg_1_ ( 
+        .D(n85338), .DE(n86306), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_entry[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_entry_reg_1_ ( 
+        .D(n85754), .DE(n36805), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_entry[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_entry_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N268), .DE(n86437), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_entry[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_entry_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N187), .DE(n86431), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_entry[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_entry_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N106), .DE(n86425), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_entry[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_entry_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N25), .DE(n86298), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_entry[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_entry_reg_1_ ( 
+        .D(n85755), .DE(n86397), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_entry[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_entry_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_io_btb_resp_entry[1]), .DE(n86424), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_entry[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_btb_resp_entry_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_btb_resp_entry[1]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_btb_update_bits_prediction_entry[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_prediction_entry_reg_1_ ( 
+        .D(n86029), .DE(n36815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_prediction_entry[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_respPipe_bits_entry_reg_1_ ( 
+        .D(n85338), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_respPipe_bits_entry[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_isValid_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1503), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_state_reg_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1602), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1601), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_state_reg_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1605), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1601), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_state_reg_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1606), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1601), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_state_reg_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1609), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1726), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_state_reg_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1612), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1726), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_state_reg_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1613), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1726), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_state_reg_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1617), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1722), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_state_reg_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1620), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1722), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_state_reg_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1621), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1722), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_state_reg_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1624), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1722), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_state_reg_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1603), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1601), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_state_reg_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1610), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1726), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_state_reg_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1618), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1722), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_state_reg_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1625), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1722), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[8]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_isValid_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1525), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[24]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_isValid_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1526), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[25]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_isValid_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1527), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[26]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_addr_reg_22_ ( 
+        .D(n85541), .DE(n86389), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_addr_reg_22_ ( 
+        .D(n85541), .DE(n86336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_addr_reg_22_ ( 
+        .D(n85541), .DE(n86390), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_addr_reg_22_ ( 
+        .D(n85541), .DE(n86391), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_addr_reg_22_ ( 
+        .D(n85541), .DE(n85956), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_addr_reg_22_ ( 
+        .D(n85541), .DE(n85951), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_addr_reg_22_ ( 
+        .D(n85541), .DE(n85918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_addr_reg_22_ ( 
+        .D(n85541), .DE(n85955), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_medeleg_reg_22_ ( 
+        .D(n85541), .DE(n86576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch_reg_22_ ( 
+        .D(n85541), .DE(n86116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch_reg_22_ ( 
+        .D(n85541), .DE(n86117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch_reg_22_ ( 
+        .D(n85541), .DE(n86575), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec_reg_22_ ( 
+        .D(n85541), .DE(n86332), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_address_reg_22_ ( 
+        .D(n85541), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N512), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_address_reg_22_ ( 
+        .D(n85541), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[22]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1165), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[22]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1037), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[22]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N809), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[22]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N439), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec_reg_22_ ( 
+        .D(n86102), .DE(n36558), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mstatus_tsr_reg ( 
+        .D(n85540), .DE(n36578), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_tsr) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1263), .DE(n86301), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_48_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1295), .DE(n36576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1526), .DE(n86333), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_48_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1558), .DE(n36581), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[54]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[23]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[24]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N944), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[27]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[28]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1171), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[28]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1043), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[28]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[28]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N445), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec_reg_28_ ( 
+        .D(n85598), .DE(n36558), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_cfg_a_reg_1_ ( 
+        .D(n85339), .DE(n36574), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_cfg_a[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_cfg_a_reg_1_ ( 
+        .D(n85339), .DE(n36562), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_a[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1269), .DE(n86301), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_54_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1301), .DE(n36576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[60]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1532), .DE(n86333), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_54_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1564), .DE(n36581), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[60]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_addr_reg_28_ ( 
+        .D(n85597), .DE(n86389), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_addr_reg_28_ ( 
+        .D(n85597), .DE(n86336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_addr_reg_28_ ( 
+        .D(n85597), .DE(n86390), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_addr_reg_28_ ( 
+        .D(n85597), .DE(n86391), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_addr_reg_28_ ( 
+        .D(n85597), .DE(n85956), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_addr_reg_28_ ( 
+        .D(n85597), .DE(n85951), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_addr_reg_28_ ( 
+        .D(n85597), .DE(n85918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_addr_reg_28_ ( 
+        .D(n85597), .DE(n85955), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch_reg_28_ ( 
+        .D(n85597), .DE(n86116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch_reg_28_ ( 
+        .D(n85597), .DE(n86117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch_reg_28_ ( 
+        .D(n85597), .DE(n86575), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec_reg_28_ ( 
+        .D(n85597), .DE(n86332), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_address_reg_28_ ( 
+        .D(n85597), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N512), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_address_reg_28_ ( 
+        .D(n85597), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[28]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1270), .DE(n86301), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_55_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1302), .DE(n36576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[61]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1533), .DE(n86333), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_55_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1565), .DE(n36581), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[61]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1172), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[29]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1044), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[29]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[29]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N446), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec_reg_29_ ( 
+        .D(n86589), .DE(n36558), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch_reg_29_ ( 
+        .D(n85604), .DE(n86116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch_reg_29_ ( 
+        .D(n85604), .DE(n86117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch_reg_29_ ( 
+        .D(n85604), .DE(n86575), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec_reg_29_ ( 
+        .D(n85604), .DE(n86332), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_address_reg_29_ ( 
+        .D(n85604), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N512), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_address_reg_29_ ( 
+        .D(n85604), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[29]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N947), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1271), .DE(n86301), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_56_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1303), .DE(n36576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[62]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1534), .DE(n86333), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_56_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1566), .DE(n36581), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[62]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1173), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[30]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1045), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[30]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N817), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[30]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N447), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec_reg_30_ ( 
+        .D(n86129), .DE(n36558), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch_reg_30_ ( 
+        .D(n85628), .DE(n86116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch_reg_30_ ( 
+        .D(n85628), .DE(n86117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch_reg_30_ ( 
+        .D(n85628), .DE(n86575), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec_reg_30_ ( 
+        .D(n85628), .DE(n86332), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_address_reg_30_ ( 
+        .D(n85628), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N512), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_address_reg_30_ ( 
+        .D(n85628), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[30]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval_reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N948), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_cause_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N396), .DE(n86423), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_cause[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_cause_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_cause[2]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_cause[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_49_ ( 
+        .D(n85870), .DE(MarmotCaravelChip_dut_sys_clint_N140), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__6[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_timecmp_0_reg_17_ ( 
+        .D(n85870), .DE(MarmotCaravelChip_dut_sys_clint_N152), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes__2[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_17_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N75), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_clint_time__reg_49_ ( 
+        .D(MarmotCaravelChip_dut_sys_clint_N107), .DE(n60930), .CLK(wb_clk_i), 
+        .Q(MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_0__17_ ( 
+        .D(n85340), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data_reg_1__17_ ( 
+        .D(n85340), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_data_reg_17_ ( 
+        .D(n85341), .DE(n86305), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_17[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data_r_2_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[17]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_1_reg_1_ ( 
+        .D(n85343), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N55), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_1[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_0_reg_1_ ( 
+        .D(n85343), .DE(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N52), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_0[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_0__1_ ( 
+        .D(n85344), .DE(n60940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data_reg_1__1_ ( 
+        .D(n85344), .DE(n60939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_data_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[1]), .DE(n86335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_0__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[1]), .DE(n86115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data_reg_1__1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[1]), .DE(n85946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data_r_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[1]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N107), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N108), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N109), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_remainder_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N110), .DE(n36586), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data_r_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[6]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data_r_1_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[9]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_30__1_ ( 
+        .D(n85626), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_29__1_ ( 
+        .D(n85626), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_28__1_ ( 
+        .D(n85626), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[65]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_27__1_ ( 
+        .D(n85626), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[97]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_26__1_ ( 
+        .D(n85626), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[129]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_25__1_ ( 
+        .D(n85626), .DE(n59855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[161]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_24__1_ ( 
+        .D(n85626), .DE(n59854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[193]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_23__1_ ( 
+        .D(n85626), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[225]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_22__1_ ( 
+        .D(n85626), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[257]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_21__1_ ( 
+        .D(n85626), .DE(n59858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[289]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_20__1_ ( 
+        .D(n85626), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[321]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_19__1_ ( 
+        .D(n85626), .DE(n59857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[353]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_18__1_ ( 
+        .D(n85626), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[385]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_17__1_ ( 
+        .D(n85626), .DE(n59859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[417]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_16__1_ ( 
+        .D(n85626), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[449]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_15__1_ ( 
+        .D(n85626), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[481]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_14__1_ ( 
+        .D(n85626), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[513]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_13__1_ ( 
+        .D(n85626), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[545]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_12__1_ ( 
+        .D(n85626), .DE(n59864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[577]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_11__1_ ( 
+        .D(n85626), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[609]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_10__1_ ( 
+        .D(n85626), .DE(n59866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[641]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_9__1_ ( 
+        .D(n85626), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[673]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_8__1_ ( 
+        .D(n85626), .DE(n59865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[705]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_7__1_ ( 
+        .D(n85626), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[737]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_6__1_ ( 
+        .D(n85626), .DE(n59860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[769]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_5__1_ ( 
+        .D(n85626), .DE(n59861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[801]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_4__1_ ( 
+        .D(n85626), .DE(n59862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[833]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_3__1_ ( 
+        .D(n85626), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[865]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_2__1_ ( 
+        .D(n85626), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[897]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_1__1_ ( 
+        .D(n85626), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[929]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_addr_reg_24_ ( 
+        .D(n85641), .DE(n85951), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_addr_reg_27_ ( 
+        .D(n85632), .DE(n85951), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1260), .DE(n86301), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_45_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1292), .DE(n36576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1523), .DE(n86333), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_45_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1555), .DE(n36581), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5_reg_6_ ( 
+        .D(n85345), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1493), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5_reg_7_ ( 
+        .D(n85346), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1493), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5_reg_8_ ( 
+        .D(n85347), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1493), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5_reg_9_ ( 
+        .D(n85348), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1493), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5_reg_10_ ( 
+        .D(n85349), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1493), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5_reg_11_ ( 
+        .D(n85350), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1493), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5_reg_12_ ( 
+        .D(n85351), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1493), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5_reg_13_ ( 
+        .D(n85352), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1493), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5_reg_14_ ( 
+        .D(n85353), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1493), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5_reg_15_ ( 
+        .D(n85354), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1493), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5_reg_16_ ( 
+        .D(n85355), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1493), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5_reg_17_ ( 
+        .D(n85356), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1493), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N296), .DE(n86437), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N215), .DE(n86431), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N134), .DE(n86425), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N53), .DE(n38064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc_reg_16_ ( 
+        .D(n85357), .DE(n86396), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc_reg_16_ ( 
+        .D(n85387), .DE(n86422), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[16]), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_pc_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[16]), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[16]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N433), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_addr_reg_16_ ( 
+        .D(n85741), .DE(n86389), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_addr_reg_16_ ( 
+        .D(n85741), .DE(n86336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_addr_reg_16_ ( 
+        .D(n85741), .DE(n86390), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_addr_reg_16_ ( 
+        .D(n85741), .DE(n86391), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_addr_reg_16_ ( 
+        .D(n85741), .DE(n85956), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_addr_reg_16_ ( 
+        .D(n85741), .DE(n85951), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_addr_reg_16_ ( 
+        .D(n85741), .DE(n85918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_addr_reg_16_ ( 
+        .D(n85741), .DE(n85955), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch_reg_16_ ( 
+        .D(n85741), .DE(n86116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch_reg_16_ ( 
+        .D(n85741), .DE(n86117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch_reg_16_ ( 
+        .D(n85741), .DE(n86575), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec_reg_16_ ( 
+        .D(n85741), .DE(n86332), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_cfg_r_reg ( 
+        .D(n85741), .DE(n86495), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_cfg_r) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_cfg_r_reg ( 
+        .D(n85741), .DE(n86585), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_r) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_address_reg_16_ ( 
+        .D(n85741), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N512), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_address_reg_16_ ( 
+        .D(n85741), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_cfg_w_reg ( 
+        .D(n85358), .DE(n86495), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_cfg_w) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_cfg_w_reg ( 
+        .D(n85358), .DE(n86585), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_w) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1257), .DE(n86301), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_42_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1289), .DE(n36576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1520), .DE(n86333), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_42_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1552), .DE(n36581), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[48]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1159), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[16]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[16]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N933), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[16]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N803), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec_reg_16_ ( 
+        .D(n86107), .DE(n36558), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_addr_reg_25_ ( 
+        .D(n85603), .DE(n86390), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_addr_reg_25_ ( 
+        .D(n85603), .DE(n86389), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_addr_reg_25_ ( 
+        .D(n85603), .DE(n86336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_addr_reg_25_ ( 
+        .D(n85603), .DE(n86391), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_addr_reg_25_ ( 
+        .D(n85603), .DE(n85956), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_addr_reg_25_ ( 
+        .D(n85603), .DE(n85951), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_addr_reg_25_ ( 
+        .D(n85603), .DE(n85918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_addr_reg_25_ ( 
+        .D(n85603), .DE(n85955), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch_reg_25_ ( 
+        .D(n85603), .DE(n86116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch_reg_25_ ( 
+        .D(n85603), .DE(n86117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch_reg_25_ ( 
+        .D(n85603), .DE(n86575), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec_reg_25_ ( 
+        .D(n85603), .DE(n86332), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_address_reg_25_ ( 
+        .D(n85603), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N512), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_address_reg_25_ ( 
+        .D(n85603), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_cfg_w_reg ( 
+        .D(n85359), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N634), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_cfg_w) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_cfg_w_reg ( 
+        .D(n85359), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N706), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_w) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1266), .DE(n86301), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_51_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1298), .DE(n36576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1529), .DE(n86333), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_51_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1561), .DE(n36581), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[57]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1168), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[25]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1040), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[25]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[25]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N812), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[25]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N442), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec_reg_25_ ( 
+        .D(n86572), .DE(n36558), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_addr_reg_26_ ( 
+        .D(n85606), .DE(n86390), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_0_addr_reg_26_ ( 
+        .D(n85606), .DE(n86389), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_1_addr_reg_26_ ( 
+        .D(n85606), .DE(n86336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_addr_reg_26_ ( 
+        .D(n85606), .DE(n86391), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_4_addr_reg_26_ ( 
+        .D(n85606), .DE(n85956), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_5_addr_reg_26_ ( 
+        .D(n85606), .DE(n85951), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_6_addr_reg_26_ ( 
+        .D(n85606), .DE(n85918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_addr_reg_26_ ( 
+        .D(n85606), .DE(n85955), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch_reg_26_ ( 
+        .D(n85606), .DE(n86116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch_reg_26_ ( 
+        .D(n85606), .DE(n86117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch_reg_26_ ( 
+        .D(n85606), .DE(n86575), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec_reg_26_ ( 
+        .D(n85606), .DE(n86332), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_3_cfg_x_reg ( 
+        .D(n85606), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N634), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_cfg_x) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_7_cfg_x_reg ( 
+        .D(n85606), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N706), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_x) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_address_reg_26_ ( 
+        .D(n85606), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N512), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_address_reg_26_ ( 
+        .D(n85606), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1267), .DE(n86301), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_52_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1299), .DE(n36576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1530), .DE(n86333), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_52_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1562), .DE(n36581), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[58]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1169), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[26]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1041), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[26]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[26]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[26]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N443), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec_reg_26_ ( 
+        .D(n86573), .DE(n36558), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_pmp_2_addr_reg_27_ ( 
+        .D(n85632), .DE(n86490), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1268), .DE(n86301), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_53_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1300), .DE(n36576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1531), .DE(n86333), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_53_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1563), .DE(n36581), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[59]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mcause_reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N884), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mcause[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1272), .DE(n86301), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_57_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1304), .DE(n36576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[63]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1535), .DE(n86333), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch_reg_31_ ( 
+        .D(n85661), .DE(n86116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch_reg_31_ ( 
+        .D(n85661), .DE(n86117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch_reg_31_ ( 
+        .D(n85661), .DE(n86575), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec_reg_31_ ( 
+        .D(n85661), .DE(n86332), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_0_address_reg_31_ ( 
+        .D(n85661), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N512), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_bp_1_address_reg_31_ ( 
+        .D(n85661), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N567), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_small_1_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1447), .DE(n86333), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_small__reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1183), .DE(n86301), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N96), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N68), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data_r_3_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[27]), .DE(n86488), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_bridx_reg ( 
+        .D(n85645), .DE(n36781), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_bridx) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_bridx_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N266), .DE(n86437), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_bridx) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_bridx_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N185), .DE(n86431), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_bridx) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_bridx_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N104), .DE(n86425), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_bridx) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_bridx_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N23), .DE(n86299), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_bridx) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_isValid_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1501), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mcause_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N853), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mcause[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_scause_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1079), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_scause[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1256), .DE(n86301), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_41_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1288), .DE(n36576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1519), .DE(n86333), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_41_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1551), .DE(n36581), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_30__15_ ( 
+        .D(n85593), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_29__15_ ( 
+        .D(n85593), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_28__15_ ( 
+        .D(n85593), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[79]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_27__15_ ( 
+        .D(n85593), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[111]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_26__15_ ( 
+        .D(n85593), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[143]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_25__15_ ( 
+        .D(n85593), .DE(n59855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[175]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_24__15_ ( 
+        .D(n85593), .DE(n59854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[207]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_23__15_ ( 
+        .D(n85593), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[239]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_22__15_ ( 
+        .D(n85593), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[271]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_21__15_ ( 
+        .D(n85593), .DE(n59858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[303]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_20__15_ ( 
+        .D(n85593), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[335]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_19__15_ ( 
+        .D(n85593), .DE(n59857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[367]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_18__15_ ( 
+        .D(n85593), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[399]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_17__15_ ( 
+        .D(n85593), .DE(n59859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[431]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_16__15_ ( 
+        .D(n85593), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[463]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_15__15_ ( 
+        .D(n85593), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[495]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_14__15_ ( 
+        .D(n85593), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[527]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_13__15_ ( 
+        .D(n85593), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[559]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_12__15_ ( 
+        .D(n85593), .DE(n59864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[591]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_11__15_ ( 
+        .D(n85593), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[623]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_10__15_ ( 
+        .D(n85593), .DE(n59866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[655]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_9__15_ ( 
+        .D(n85593), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[687]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_8__15_ ( 
+        .D(n85593), .DE(n59865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[719]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_7__15_ ( 
+        .D(n85593), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[751]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_6__15_ ( 
+        .D(n85593), .DE(n59860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[783]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_5__15_ ( 
+        .D(n85593), .DE(n59861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[815]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_4__15_ ( 
+        .D(n85593), .DE(n59862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[847]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_3__15_ ( 
+        .D(n85593), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[879]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_2__15_ ( 
+        .D(n85593), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[911]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_1__15_ ( 
+        .D(n85593), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[943]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_0__15_ ( 
+        .D(n85593), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[975]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_1_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[15]), .DE(n36804), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N84), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N68), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_0_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N570), .DE(n86338), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[6]), .DE(n86374), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[18]), .DE(n86374), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[17]), .DE(n86374), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[16]), .DE(n86374), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[15]), .DE(n86374), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[14]), .DE(n86374), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[13]), .DE(n86374), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[12]), .DE(n86374), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[11]), .DE(n86374), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[10]), .DE(n86374), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[9]), .DE(n86374), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[8]), .DE(n86374), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[7]), .DE(n86374), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[6]), .DE(n86374), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[5]), .DE(n86374), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[4]), .DE(n86374), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[3]), .DE(n86374), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[2]), .DE(n86374), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[1]), .DE(n86374), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[0]), .DE(n86374), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[11]), .DE(n86374), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[10]), .DE(n86374), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[9]), .DE(n86374), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[8]), .DE(n86374), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[7]), .DE(n86374), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1259), .DE(n86301), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large__reg_44_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1291), .DE(n36576), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1522), .DE(n86333), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_large_1_reg_44_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1554), .DE(n36581), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[50]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1161), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[18]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1033), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[18]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N935), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[18]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N805), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec_reg_18_ ( 
+        .D(n86571), .DE(n36558), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_30__26_ ( 
+        .D(n85607), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_29__26_ ( 
+        .D(n85607), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_28__26_ ( 
+        .D(n85607), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[90]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_27__26_ ( 
+        .D(n85607), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[122]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_26__26_ ( 
+        .D(n85607), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[154]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_25__26_ ( 
+        .D(n85607), .DE(n59855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[186]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_24__26_ ( 
+        .D(n85607), .DE(n59854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[218]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_23__26_ ( 
+        .D(n85607), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[250]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_22__26_ ( 
+        .D(n85607), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[282]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_21__26_ ( 
+        .D(n85607), .DE(n59858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[314]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_20__26_ ( 
+        .D(n85607), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[346]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_19__26_ ( 
+        .D(n85607), .DE(n59857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[378]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_18__26_ ( 
+        .D(n85607), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[410]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_17__26_ ( 
+        .D(n85607), .DE(n59859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[442]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_16__26_ ( 
+        .D(n85607), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[474]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_15__26_ ( 
+        .D(n85607), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[506]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_14__26_ ( 
+        .D(n85607), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[538]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_13__26_ ( 
+        .D(n85607), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[570]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_12__26_ ( 
+        .D(n85607), .DE(n59864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[602]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_11__26_ ( 
+        .D(n85607), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[634]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_10__26_ ( 
+        .D(n85607), .DE(n59866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[666]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_9__26_ ( 
+        .D(n85607), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[698]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_8__26_ ( 
+        .D(n85607), .DE(n59865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[730]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_7__26_ ( 
+        .D(n85607), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[762]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_6__26_ ( 
+        .D(n85607), .DE(n59860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[794]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_5__26_ ( 
+        .D(n85607), .DE(n59861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[826]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_4__26_ ( 
+        .D(n85607), .DE(n59862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[858]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_3__26_ ( 
+        .D(n85607), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[890]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_2__26_ ( 
+        .D(n85607), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[922]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_1__26_ ( 
+        .D(n85607), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[954]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf_reg_0__26_ ( 
+        .D(n85607), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[986]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_1_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[26]), .DE(n86341), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N95), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N68), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0_reg_14_ ( 
+        .D(n36549), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1_reg_13_ ( 
+        .D(n36518), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_slow_bypass_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_slow_bypass), .DE(n37198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_slow_bypass) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0_reg_12_ ( 
+        .D(n36551), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0_reg_11_ ( 
+        .D(n36552), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0_reg_10_ ( 
+        .D(n36553), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_0_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N561), .DE(n36780), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_misa_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1310), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1309), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_isa[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount_reg_0_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N461), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N462), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N464), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N465), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount[4]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N466), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1_reg_0_ ( 
+        .D(n36522), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_msb_0_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N585), .DE(n86337), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_tlb_resp_cacheable_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_cacheable), .DE(n86306), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_tlb_resp_cacheable) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__r_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N594), .DE(n86367), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__r_reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N624), .DE(n86367), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__r_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N623), .DE(n86367), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__r_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N622), .DE(n86367), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__r_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N621), .DE(n86367), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__r_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N620), .DE(n86367), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__r_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N619), .DE(n86367), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__r_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N618), .DE(n86367), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__r_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N617), .DE(n86367), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__r_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N616), .DE(n86367), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__r_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N615), .DE(n86367), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__r_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N614), .DE(n86367), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__r_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N613), .DE(n86367), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__r_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N612), .DE(n86367), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__r_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N611), .DE(n86367), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__r_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N610), .DE(n86367), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__r_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N609), .DE(n86367), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__r_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N608), .DE(n86367), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__r_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N607), .DE(n86367), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__r_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N606), .DE(n86367), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__r_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N605), .DE(n86367), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__r_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N604), .DE(n86367), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__r_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N603), .DE(n86367), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__r_reg_9_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N602), .DE(n86367), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__r_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N601), .DE(n86367), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__r_reg_7_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N600), .DE(n86367), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__r_reg_6_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N599), .DE(n86367), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__r_reg_5_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N598), .DE(n86367), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__r_reg_4_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N597), .DE(n86367), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__r_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N596), .DE(n86367), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__r_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N595), .DE(n86367), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_beatsLeft_reg_0_ ( 
+        .D(n36270), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_beatsLeft[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_0_reg_1_ ( 
+        .D(n85360), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_0[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_1_reg_1_ ( 
+        .D(n85360), .DE(n86313), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_1[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_2_reg_1_ ( 
+        .D(n85360), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_2[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_3_reg_1_ ( 
+        .D(n85360), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_3[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_4_reg_1_ ( 
+        .D(n85360), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_4[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_5_reg_1_ ( 
+        .D(n85360), .DE(n86321), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_5[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_6_reg_1_ ( 
+        .D(n85360), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_6[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_7_reg_1_ ( 
+        .D(n85360), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_7[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_8_reg_1_ ( 
+        .D(n85360), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_8[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_9_reg_1_ ( 
+        .D(n85360), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_9[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_10_reg_1_ ( 
+        .D(n85360), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_10[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_11_reg_1_ ( 
+        .D(n85360), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_11[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_12_reg_1_ ( 
+        .D(n85360), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_12[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_13_reg_1_ ( 
+        .D(n85360), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_13[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_14_reg_1_ ( 
+        .D(n85360), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_14[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_15_reg_1_ ( 
+        .D(n85360), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_15[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_16_reg_1_ ( 
+        .D(n85360), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_16[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_17_reg_1_ ( 
+        .D(n85360), .DE(n86327), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_17[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_18_reg_1_ ( 
+        .D(n85360), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_18[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_19_reg_1_ ( 
+        .D(n85360), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_19[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_20_reg_1_ ( 
+        .D(n85360), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_20[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_21_reg_1_ ( 
+        .D(n85360), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_21[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_22_reg_1_ ( 
+        .D(n85360), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_22[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_23_reg_1_ ( 
+        .D(n85360), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_23[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_24_reg_1_ ( 
+        .D(n85360), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_24[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_25_reg_1_ ( 
+        .D(n85360), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_25[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_26_reg_1_ ( 
+        .D(n85360), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_26[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_27_reg_1_ ( 
+        .D(n85360), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_27[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_0_reg_2_ ( 
+        .D(n85361), .DE(n86311), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_0[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_1_reg_2_ ( 
+        .D(n85361), .DE(n86314), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_1[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_2_reg_2_ ( 
+        .D(n85361), .DE(n86308), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_2[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_3_reg_2_ ( 
+        .D(n85361), .DE(n86312), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_3[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_4_reg_2_ ( 
+        .D(n85361), .DE(n86323), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_4[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_5_reg_2_ ( 
+        .D(n85361), .DE(n86322), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_5[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_6_reg_2_ ( 
+        .D(n85361), .DE(n86320), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_6[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_7_reg_2_ ( 
+        .D(n85361), .DE(n85919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_7[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_8_reg_2_ ( 
+        .D(n85361), .DE(n86318), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_8[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_9_reg_2_ ( 
+        .D(n85361), .DE(n86319), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_9[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_10_reg_2_ ( 
+        .D(n85361), .DE(n86331), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_10[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_11_reg_2_ ( 
+        .D(n85361), .DE(n85954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_11[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_12_reg_2_ ( 
+        .D(n85361), .DE(n86118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_12[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_13_reg_2_ ( 
+        .D(n85361), .DE(n86119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_13[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_14_reg_2_ ( 
+        .D(n85361), .DE(n86120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_14[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_15_reg_2_ ( 
+        .D(n85361), .DE(n86121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_15[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_16_reg_2_ ( 
+        .D(n85361), .DE(n86315), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_16[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_17_reg_2_ ( 
+        .D(n85361), .DE(n86327), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_17[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_18_reg_2_ ( 
+        .D(n85361), .DE(n86325), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_18[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_19_reg_2_ ( 
+        .D(n85361), .DE(n86324), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_19[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_20_reg_2_ ( 
+        .D(n85361), .DE(n86316), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_20[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_21_reg_2_ ( 
+        .D(n85361), .DE(n86328), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_21[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_22_reg_2_ ( 
+        .D(n85361), .DE(n86329), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_22[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_23_reg_2_ ( 
+        .D(n85361), .DE(n86330), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_23[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_24_reg_2_ ( 
+        .D(n85361), .DE(n85952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_24[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_25_reg_2_ ( 
+        .D(n85361), .DE(n85953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_25[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_26_reg_2_ ( 
+        .D(n85361), .DE(n86366), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_26[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_27_reg_2_ ( 
+        .D(n85361), .DE(n86317), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_27[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_511_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N756), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[511]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_510_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N757), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[510]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_509_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N758), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[509]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_508_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N759), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[508]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_507_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N760), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[507]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_506_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N761), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[506]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_505_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N762), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[505]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_504_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N763), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[504]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_503_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N764), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[503]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_502_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N765), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[502]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_501_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N766), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[501]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_500_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N767), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[500]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_499_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N768), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[499]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_498_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N769), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[498]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_497_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N770), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[497]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_496_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N771), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[496]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_495_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N772), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[495]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_494_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N773), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[494]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_493_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N774), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[493]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_492_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N775), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[492]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_491_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N776), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[491]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_490_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N777), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[490]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_489_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N778), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[489]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_488_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N779), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[488]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_487_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N780), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[487]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_486_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N781), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[486]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_485_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N782), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[485]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_484_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N783), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[484]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_483_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N784), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[483]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_482_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N785), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[482]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_481_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N786), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[481]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_480_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N787), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[480]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_479_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N788), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[479]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_478_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N789), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[478]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_477_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N790), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[477]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_476_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N791), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[476]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_475_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N792), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[475]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_474_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N793), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[474]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_473_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N794), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[473]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_472_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N795), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[472]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_471_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N796), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[471]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_470_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N797), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[470]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_469_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N798), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[469]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_468_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N799), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[468]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_467_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N800), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[467]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_466_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N801), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[466]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_465_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N802), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[465]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_464_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N803), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[464]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_463_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N804), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[463]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_462_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N805), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[462]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_461_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N806), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[461]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_460_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N807), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[460]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_459_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N808), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[459]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_458_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N809), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[458]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_457_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N810), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[457]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_456_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N811), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[456]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_455_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N812), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[455]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_454_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N813), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[454]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_453_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N814), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[453]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_452_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N815), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[452]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_451_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N816), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[451]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_450_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N817), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[450]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_449_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[449]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_448_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N819), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[448]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_447_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N820), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[447]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_446_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N821), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[446]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_445_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N822), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[445]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_444_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N823), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[444]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_443_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N824), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[443]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_442_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N825), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[442]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_441_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N826), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[441]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_440_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N827), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[440]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_439_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N828), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[439]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_438_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N829), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[438]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_437_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N830), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[437]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_436_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N831), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[436]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_435_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N832), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[435]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_434_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N833), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[434]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_433_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N834), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[433]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_432_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N835), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[432]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_431_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N836), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[431]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_430_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N837), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[430]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_429_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N838), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[429]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_428_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N839), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[428]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_427_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N840), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[427]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_426_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N841), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[426]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_425_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N842), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[425]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_424_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N843), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[424]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_423_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N844), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[423]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_422_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N845), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[422]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_421_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N846), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[421]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_420_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N847), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[420]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_419_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N848), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[419]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_418_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N849), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[418]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_417_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N850), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[417]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_416_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N851), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[416]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_415_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N852), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[415]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_414_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N853), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[414]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_413_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N854), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[413]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_412_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N855), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[412]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_411_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N856), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[411]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_410_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N857), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[410]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_409_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N858), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[409]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_408_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N859), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[408]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_407_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N860), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[407]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_406_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N861), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[406]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_405_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N862), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[405]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_404_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N863), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[404]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_403_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N864), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[403]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_402_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N865), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[402]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_401_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N866), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[401]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_400_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N867), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[400]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_399_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N868), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[399]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_398_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N869), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[398]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_397_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N870), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[397]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_396_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N871), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[396]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_395_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N872), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[395]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_394_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N873), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[394]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_393_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N874), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[393]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_392_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N875), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[392]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_391_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N876), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[391]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_390_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N877), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[390]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_389_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N878), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[389]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_388_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N879), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[388]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_387_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N880), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[387]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_386_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N881), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[386]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_385_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N882), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[385]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_384_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N883), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[384]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_383_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N884), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[383]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_382_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N885), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[382]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_381_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N886), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[381]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_380_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N887), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[380]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_379_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N888), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[379]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_378_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N889), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[378]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_377_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N890), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[377]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_376_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N891), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[376]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_375_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N892), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[375]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_374_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N893), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[374]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_373_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N894), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[373]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_372_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N895), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[372]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_371_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N896), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[371]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_370_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N897), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[370]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_369_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N898), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[369]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_368_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N899), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[368]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_367_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N900), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[367]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_366_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N901), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[366]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_365_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N902), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[365]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_364_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N903), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[364]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_363_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N904), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[363]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_362_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N905), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[362]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_361_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N906), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[361]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_360_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N907), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[360]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_359_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N908), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[359]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_358_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N909), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[358]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_357_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N910), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[357]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_356_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N911), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[356]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_355_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N912), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[355]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_354_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N913), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[354]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_353_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N914), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[353]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_352_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N915), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[352]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_351_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N916), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[351]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_350_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N917), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[350]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_349_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N918), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[349]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_348_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N919), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[348]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_347_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N920), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[347]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_346_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N921), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[346]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_345_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N922), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[345]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_344_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N923), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[344]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_343_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N924), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[343]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_342_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N925), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[342]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_341_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N926), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[341]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_340_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N927), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[340]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_339_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N928), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[339]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_338_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N929), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[338]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_337_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N930), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[337]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_336_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N931), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[336]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_335_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N932), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[335]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_334_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N933), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[334]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_333_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N934), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[333]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_332_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N935), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[332]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_331_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N936), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[331]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_330_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N937), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[330]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_329_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N938), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[329]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_328_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N939), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[328]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_327_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N940), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[327]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_326_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N941), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[326]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_325_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N942), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[325]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_324_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N943), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[324]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_323_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N944), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[323]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_322_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N945), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[322]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_321_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N946), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[321]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_320_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N947), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[320]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_319_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N948), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[319]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_318_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N949), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[318]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_317_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N950), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[317]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_316_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N951), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[316]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_315_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N952), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[315]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_314_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N953), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[314]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_313_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N954), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[313]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_312_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N955), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[312]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_311_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N956), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[311]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_310_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N957), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[310]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_309_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N958), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[309]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_308_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N959), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[308]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_307_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N960), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[307]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_306_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N961), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[306]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_305_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N962), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[305]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_304_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N963), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[304]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_303_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N964), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[303]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_302_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N965), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[302]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_301_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N966), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[301]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_300_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N967), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[300]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_299_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N968), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[299]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_298_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N969), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[298]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_297_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N970), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[297]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_296_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N971), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[296]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_295_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N972), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[295]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_294_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N973), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[294]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_293_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N974), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[293]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_292_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N975), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[292]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_291_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N976), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[291]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_290_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N977), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[290]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_289_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N978), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[289]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_288_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N979), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[288]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_287_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N980), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[287]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_286_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N981), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[286]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_285_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N982), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[285]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_284_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N983), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[284]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_283_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N984), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[283]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_282_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N985), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[282]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_281_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N986), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[281]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_280_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N987), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[280]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_279_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N988), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[279]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_278_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N989), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[278]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_277_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N990), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[277]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_276_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N991), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[276]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_275_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N992), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[275]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_274_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N993), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[274]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_273_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N994), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[273]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_272_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N995), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[272]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_271_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N996), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[271]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_270_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N997), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[270]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_269_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N998), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[269]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_268_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N999), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[268]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_267_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1000), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[267]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_266_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1001), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[266]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_265_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1002), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[265]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_264_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1003), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[264]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_263_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1004), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[263]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_262_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1005), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[262]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_261_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1006), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[261]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_260_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1007), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[260]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_259_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1008), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[259]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_258_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1009), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[258]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_257_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1010), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[257]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_256_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1011), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[256]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_255_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1012), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[255]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_254_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1013), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[254]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_253_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1014), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[253]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_252_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1015), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[252]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_251_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1016), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[251]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_250_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1017), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[250]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_249_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1018), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[249]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_248_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1019), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[248]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_247_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1020), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[247]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_246_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1021), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[246]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_245_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1022), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[245]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_244_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1023), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[244]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_243_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1024), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[243]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_242_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1025), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[242]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_241_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1026), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[241]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_240_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1027), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[240]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_239_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1028), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[239]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_238_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1029), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[238]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_237_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1030), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[237]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_236_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1031), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[236]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_235_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1032), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[235]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_234_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1033), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[234]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_233_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1034), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[233]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_232_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1035), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[232]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_231_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1036), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[231]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_230_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1037), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[230]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_229_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1038), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[229]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_228_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1039), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[228]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_227_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1040), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[227]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_226_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1041), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[226]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_225_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1042), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[225]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_224_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1043), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[224]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_223_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1044), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[223]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_222_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1045), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[222]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_221_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1046), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[221]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_220_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1047), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[220]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_219_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1048), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[219]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_218_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1049), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[218]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_217_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1050), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[217]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_216_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1051), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[216]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_215_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1052), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[215]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_214_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1053), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[214]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_213_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1054), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[213]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_212_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1055), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[212]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_211_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1056), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[211]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_210_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1057), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[210]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_209_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1058), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[209]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_208_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1059), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[208]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_207_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1060), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[207]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_206_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1061), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[206]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_205_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1062), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[205]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_204_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1063), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[204]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_203_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1064), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[203]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_202_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1065), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[202]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_201_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1066), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[201]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_200_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1067), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[200]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_199_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1068), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[199]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_198_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1069), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[198]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_197_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1070), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[197]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_196_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1071), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[196]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_195_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1072), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[195]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_194_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1073), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[194]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_193_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1074), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[193]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_192_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1075), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[192]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_191_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1076), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[191]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_190_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1077), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[190]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_189_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1078), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[189]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_188_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1079), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[188]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_187_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1080), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[187]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_186_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1081), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[186]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_185_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1082), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[185]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_184_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1083), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[184]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_183_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1084), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[183]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_182_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1085), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[182]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_181_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1086), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[181]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_180_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1087), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[180]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_179_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1088), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[179]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_178_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1089), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[178]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_177_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1090), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[177]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_176_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1091), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[176]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_175_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1092), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[175]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_174_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1093), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[174]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_173_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1094), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[173]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_172_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1095), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[172]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_171_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1096), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[171]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_170_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1097), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[170]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_169_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1098), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[169]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_168_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1099), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[168]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_167_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1100), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[167]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_166_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1101), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[166]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_165_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1102), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[165]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_164_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1103), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[164]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_163_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1104), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[163]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_162_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1105), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[162]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_161_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1106), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[161]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_160_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1107), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[160]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_159_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1108), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[159]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_158_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1109), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[158]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_157_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1110), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[157]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_156_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1111), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[156]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_155_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1112), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[155]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_154_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1113), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[154]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_153_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1114), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[153]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_152_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1115), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[152]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_151_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1116), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[151]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_150_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1117), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[150]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_149_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1118), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[149]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_148_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1119), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[148]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_147_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1120), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[147]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_146_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1121), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[146]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_145_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1122), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[145]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_144_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1123), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[144]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_143_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1124), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[143]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_142_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1125), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[142]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_141_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1126), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[141]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_140_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1127), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[140]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_139_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1128), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[139]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_138_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1129), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[138]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_137_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1130), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[137]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_136_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1131), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[136]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_135_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1132), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[135]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_134_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1133), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[134]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_133_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1134), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[133]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_132_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1135), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[132]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_131_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1136), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[131]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_130_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1137), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[130]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_129_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1138), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[129]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_128_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1139), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[128]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_127_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1140), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[127]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_126_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1141), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[126]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_125_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1142), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[125]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_124_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1143), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[124]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_123_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1144), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[123]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_122_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1145), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[122]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_121_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1146), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[121]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_120_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1147), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[120]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_119_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1148), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[119]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_118_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1149), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[118]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_117_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1150), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[117]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_116_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1151), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[116]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_115_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1152), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[115]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_114_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1153), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[114]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_113_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1154), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[113]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_112_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1155), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[112]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_111_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1156), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[111]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_110_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1157), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[110]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_109_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1158), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[109]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_108_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1159), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[108]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_107_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1160), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[107]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_106_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1161), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[106]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_105_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1162), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[105]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_104_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1163), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[104]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_103_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1164), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[103]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_102_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1165), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[102]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_101_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1166), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[101]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_100_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1167), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[100]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_99_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1168), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[99]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_98_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1169), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[98]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_97_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1170), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[97]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_96_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1171), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[96]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_95_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1172), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[95]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_94_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1173), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[94]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_93_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1174), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[93]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_92_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1175), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[92]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_91_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1176), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[91]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_90_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1177), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[90]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_89_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1178), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[89]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_88_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1179), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[88]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_87_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1180), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[87]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_86_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1181), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[86]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_85_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1182), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[85]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_84_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1183), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[84]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_83_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1184), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[83]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_82_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1185), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[82]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_81_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1186), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[81]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_80_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1187), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[80]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_79_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1188), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[79]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_78_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1189), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[78]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_77_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1190), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[77]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_76_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1191), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[76]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_75_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1192), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[75]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_74_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1193), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[74]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_73_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1194), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[73]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_72_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1195), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[72]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_71_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1196), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[71]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_70_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1197), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[70]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_69_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1198), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[69]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_68_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1199), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[68]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_67_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1200), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[67]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_66_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1201), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[66]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_65_ ( 
+        .D(n85362), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1202), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[65]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_64_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1203), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[64]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_63_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1204), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[63]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_62_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1205), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[62]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_61_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1206), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[61]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_60_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1207), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[60]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_59_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1208), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[59]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_58_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1209), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[58]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_57_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1210), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[57]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_56_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1211), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[56]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_55_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1212), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[55]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_54_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1213), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[54]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_53_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1214), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[53]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_52_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1215), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[52]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_51_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1216), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[51]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_50_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1217), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[50]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_49_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1218), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[49]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_48_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1219), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[48]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_47_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1220), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[47]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_46_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1221), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[46]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_45_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1222), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[45]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_44_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1223), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[44]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_43_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1224), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[43]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_42_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1225), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[42]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_41_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1226), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[41]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_40_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1227), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[40]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_39_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1228), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[39]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_38_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1229), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[38]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_37_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1230), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[37]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_36_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1231), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[36]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_35_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1232), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[35]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_34_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1233), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[34]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_33_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1234), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[33]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_32_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1235), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[32]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_31_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1236), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[31]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_30_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1237), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[30]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_29_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1238), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[29]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_28_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1239), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[28]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_27_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1240), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[27]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_26_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1241), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[26]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_25_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1242), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[25]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_24_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1243), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[24]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_23_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1244), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[23]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_22_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1245), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[22]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_21_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1246), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[21]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_20_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1247), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[20]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_19_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1248), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[19]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_18_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1249), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[18]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_17_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1250), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[17]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_16_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1251), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[16]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_15_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1252), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[15]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_14_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1253), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[14]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_13_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1254), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[13]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_12_ ( 
+        .D(n36794), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1255), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[12]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_11_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1256), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[11]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_10_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1257), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[10]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_9_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1258), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[9]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_8_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1259), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[8]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_7_ ( 
+        .D(n36828), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1260), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[7]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_6_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1261), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[6]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_5_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1262), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[5]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_4_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1263), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[4]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_3_ ( 
+        .D(n85363), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1264), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[3]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_2_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1265), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[2]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_1_ ( 
+        .D(n85364), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1266), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[1]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table__reg_0_ ( 
+        .D(n85365), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1267), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[0]) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_reg_fence_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N537), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N536), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_reg_fence) );
+  sky130_fd_sc_hd__edfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_reg_pause_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N341), .DE(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N340), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_reg_pause) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_valid_reg ( 
+        .D(n86082), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_valid) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_pc_reg_24_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[24]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_pc_reg_31_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[31]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_req_bits_vaddr_31_) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_pc_reg_30_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[30]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_pc_reg_29_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[29]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[29]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_pc_reg_28_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[28]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_pc_reg_27_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[27]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_pc_reg_20_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[20]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_pc_reg_26_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[26]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_pc_reg_22_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[22]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[22]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_pc_reg_23_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[23]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_pc_reg_25_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[25]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[25]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_pc_reg_21_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[21]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[21]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_pc_reg_18_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[18]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[18]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_pc_reg_19_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[19]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_pc_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[1]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[1]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_N6), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_N6), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_io_full) );
+  sky130_fd_sc_hd__dfxtp_2 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_N6), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_io_full) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_coreReset_reg ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_coreReset_x4), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_reset) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_N6), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_full) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_awake_reg ( 
+        .D(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N78), .CLK(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_awake) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_N6), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid_reg ( 
+        .D(n85588), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_N6), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_io_full) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_N6), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_io_full) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_N6), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_io_full) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_N6), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_io_full) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_N6), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_io_full) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_N6), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_io_full) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_N6), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_io_full) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_N6), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_io_full) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N6), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_io_full) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_en_reg ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N350), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_24_0_) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb_sel_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb_N11), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb_io_outer_cs_hold) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_arb_sel_0_reg ( 
+        .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_arb_N11), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_arb_io_outer_cs_hold) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_debug_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N371), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_status_debug) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_resHi_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N65), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_resHi) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_intsource_reg__reg__reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_23[31]), .CLK(wb_clk_i), .RESET_B(n37037), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_45) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_intsource_reg__reg__reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_23[31]), .CLK(wb_clk_i), .RESET_B(n83351), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_49) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_intsource_reg__reg__reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_23[29]), .CLK(wb_clk_i), .RESET_B(n83116), .Q(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_47) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_maxDevs_1_reg_5_ ( 
+        .D(n58818), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1327[5]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_sck_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N209), 
+        .CLK(wb_clk_i), .Q(io_out[9]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_sck_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N209), .CLK(wb_clk_i), .Q(io_out[21]) );
+  sky130_fd_sc_hd__dfxtp_1 ready_reg ( .D(n61200), .CLK(wb_clk_i), .Q(
+        wbs_ack_o) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_out_reg ( 
+        .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N53), 
+        .CLK(wb_clk_i), .Q(io_out[6]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_ip_1_reg ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N67), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_23[29]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_ip_3_reg ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N69), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_23[31]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_ip_3_reg ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N69), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_23[31]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofEnReg_reg__reg_13_ ( 
+        .D(n396), .CLK(wb_clk_i), .RESET_B(n36801), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[13])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofEnReg_reg__reg_14_ ( 
+        .D(n395), .CLK(wb_clk_i), .RESET_B(n86752), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[14])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofEnReg_reg__reg_12_ ( 
+        .D(n397), .CLK(wb_clk_i), .RESET_B(n83116), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[12])
+         );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofEnReg_reg__reg_15_ ( 
+        .D(n394), .CLK(wb_clk_i), .RESET_B(n36801), .Q(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[15])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_pc_reg_9_ ( 
+        .D(n85772), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[9]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_tdoReg_reg ( 
+        .D(MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_N3), .CLK(
+        n36352), .RESET_B(wbs_dat_o[0]), .Q(io_out[1]) );
+  sky130_fd_sc_hd__dfxtp_4 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_pc_reg_2_ ( 
+        .D(n85420), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_cmd_reg_2_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N594), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_cmd[2]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_large__reg_0_ ( 
+        .D(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N38), 
+        .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[5])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_pc_reg_17_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[17]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]) );
+  sky130_fd_sc_hd__dfxtp_4 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_pc_reg_3_ ( 
+        .D(n85424), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]) );
+  sky130_fd_sc_hd__dfxtp_2 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_pc_reg_14_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[14]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]) );
+  sky130_fd_sc_hd__dfxtp_2 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_pc_reg_7_ ( 
+        .D(n85484), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_N6), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_io_full) );
+  sky130_fd_sc_hd__dfxtp_4 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_pc_reg_5_ ( 
+        .D(n85520), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]) );
+  sky130_fd_sc_hd__dfxtp_4 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_pc_reg_10_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[10]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]) );
+  sky130_fd_sc_hd__dfstp_1 MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_stateMachine_currState_reg_1_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_stateMachine_N32), .CLK(io_in[4]), .SET_B(wbs_dat_o[0]), .Q(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_currState[1])
+         );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_req_cmd_reg_3_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N595), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_cmd[3]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_valid_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N17), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[0]) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_N6), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_io_full) );
+  sky130_fd_sc_hd__dfxtp_1 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_valid_2_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N19), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]) );
+  sky130_fd_sc_hd__dfxtp_2 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_pc_reg_16_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[16]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[16]) );
+  sky130_fd_sc_hd__dfrtp_4 MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_0_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_reset_catcher_io_sync_reset_chain_output_chain_sync_1), .CLK(wb_clk_i), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_latch_reg_io_q_3_), .Q(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE) );
+  sky130_fd_sc_hd__dfxbp_1 MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_beatsLeft_reg_6_ ( 
+        .D(n36251), .CLK(wb_clk_i), .Q(n36978), .Q_N(n36979) );
+  sky130_fd_sc_hd__edfxbp_1 MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_value_1_reg ( 
+        .D(MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_N55), 
+        .DE(n36366), .CLK(wb_clk_i), .Q(n36973), .Q_N(n36974) );
+  sky130_fd_sc_hd__clkinv_1 MarmotCaravelChip_I_31 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_io_rtc), .Y(MarmotCaravelChip_N4) );
+  sky130_fd_sc_hd__dfxtp_2 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_pc_reg_15_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[15]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]) );
+  sky130_fd_sc_hd__dfxtp_2 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_pc_reg_13_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[13]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]) );
+  sky130_fd_sc_hd__dfxtp_2 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_pc_reg_11_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[11]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]) );
+  sky130_fd_sc_hd__dfxtp_2 MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_full_reg ( 
+        .D(n85935), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_io_full) );
+  sky130_fd_sc_hd__dfxtp_2 MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_full_reg ( 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_N6), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_io_full) );
+  sky130_fd_sc_hd__dfxtp_4 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_pc_reg_8_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[8]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]) );
+  sky130_fd_sc_hd__dfrtp_1 MarmotCaravelChip_dut_sys_aon_1_aon_pmu_latch_reg_reg__reg_3_ ( 
+        .D(n445), .CLK(MarmotCaravelChip_dut_sys_aon_1_io_rtc), .RESET_B(
+        MarmotCaravelChip_dut_sys_aon_1_aonrst_catch__io_sync_reset_WIRE), .Q(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_latch_reg_io_q_3_) );
+  sky130_fd_sc_hd__buf_2 U45869 ( .A(n63149), .X(n86309) );
+  sky130_fd_sc_hd__inv_6 U45870 ( .A(n38063), .Y(n38064) );
+  sky130_fd_sc_hd__buf_4 U45871 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N388), .X(n86424) );
+  sky130_fd_sc_hd__inv_8 U45872 ( .A(n83323), .Y(n86448) );
+  sky130_fd_sc_hd__nor2_1 U45873 ( .A(n76255), .B(n76254), .Y(n86471) );
+  sky130_fd_sc_hd__nor2_1 U45874 ( .A(n76255), .B(n76256), .Y(n86470) );
+  sky130_fd_sc_hd__nor2_1 U45875 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_MPORT_addr[2]), .B(n76256), .Y(n86468) );
+  sky130_fd_sc_hd__a2bb2o_1 U45877 ( .A1_N(n41574), .A2_N(n38491), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[16]), .B2(n54319), .X(n84606) );
+  sky130_fd_sc_hd__inv_2 U45883 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_latch_reg_io_q_3_), .Y(n85912)
+         );
+  sky130_fd_sc_hd__nand2_1 U45884 ( .A(n73014), .B(n73013), .Y(n38495) );
+  sky130_fd_sc_hd__clkinv_1 U45885 ( .A(n76386), .Y(n62086) );
+  sky130_fd_sc_hd__and3_1 U45886 ( .A(n72575), .B(n72712), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_valid), .X(n85483) );
+  sky130_fd_sc_hd__clkbuf_1 U45887 ( .A(n76524), .X(n76525) );
+  sky130_fd_sc_hd__o211ai_1 U45888 ( .A1(n83028), .A2(n74163), .B1(n54259), 
+        .C1(n54258), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[7]) );
+  sky130_fd_sc_hd__o211ai_1 U45889 ( .A1(n83025), .A2(n74163), .B1(n54253), 
+        .C1(n54252), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[6]) );
+  sky130_fd_sc_hd__nand2_2 U45890 ( .A(n59323), .B(n59322), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_addr[4]) );
+  sky130_fd_sc_hd__inv_2 U45891 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_addr[2]), .Y(n36744) );
+  sky130_fd_sc_hd__inv_2 U45892 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_addr[4]), .Y(n36747) );
+  sky130_fd_sc_hd__inv_2 U45893 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_addr[3]), .Y(n36750) );
+  sky130_fd_sc_hd__inv_2 U45894 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_addr[6]), .Y(n36741) );
+  sky130_fd_sc_hd__inv_2 U45895 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_addr[10]), .Y(n36727) );
+  sky130_fd_sc_hd__inv_2 U45896 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_addr[9]), .Y(n36730) );
+  sky130_fd_sc_hd__inv_2 U45897 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_addr[8]), .Y(n36733) );
+  sky130_fd_sc_hd__inv_2 U45898 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_addr[7]), .Y(n36736) );
+  sky130_fd_sc_hd__inv_2 U45900 ( .A(n37251), .Y(n36667) );
+  sky130_fd_sc_hd__buf_2 U45901 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N119), .X(n37030) );
+  sky130_fd_sc_hd__nor2_1 U45903 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_stickyBusyReg), .B(n61173), .Y(
+        MarmotCaravelChip_dut_sys_dtm_N38) );
+  sky130_fd_sc_hd__and3_1 U45904 ( .A(n55453), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_param[2]), .C(n47483), .X(n56149) );
+  sky130_fd_sc_hd__inv_2 U45905 ( .A(n67379), .Y(n73469) );
+  sky130_fd_sc_hd__inv_2 U45906 ( .A(n73486), .Y(n67196) );
+  sky130_fd_sc_hd__nor2_2 U45907 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_MPORT_addr[2]), .B(n76249), .Y(n86498) );
+  sky130_fd_sc_hd__inv_4 U45908 ( .A(n65281), .Y(n69743) );
+  sky130_fd_sc_hd__a21o_1 U45909 ( .A1(n36843), .A2(n85420), .B1(n59495), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_addr[0]) );
+  sky130_fd_sc_hd__nor2_1 U45910 ( .A(n64912), .B(n70071), .Y(n70082) );
+  sky130_fd_sc_hd__and2_2 U45911 ( .A(n84248), .B(n61173), .X(n84251) );
+  sky130_fd_sc_hd__nand2_1 U45912 ( .A(wbs_stb_i), .B(wbs_cyc_i), .Y(n58315)
+         );
+  sky130_fd_sc_hd__a21oi_1 U45913 ( .A1(n59987), .A2(n73130), .B1(n73179), .Y(
+        n61056) );
+  sky130_fd_sc_hd__inv_1 U45914 ( .A(n37265), .Y(n36842) );
+  sky130_fd_sc_hd__clkbuf_1 U45915 ( .A(n59692), .X(n36764) );
+  sky130_fd_sc_hd__nor2_1 U45934 ( .A(n58840), .B(n38219), .Y(n68045) );
+  sky130_fd_sc_hd__nand2_1 U45935 ( .A(n79920), .B(n61060), .Y(n80111) );
+  sky130_fd_sc_hd__nor2b_1 U45936 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_entry[1]), .A(n72128), .Y(n85754) );
+  sky130_fd_sc_hd__nand2_2 U45937 ( .A(n70198), .B(n70197), .Y(n38084) );
+  sky130_fd_sc_hd__nand3_1 U45940 ( .A(n43132), .B(n43131), .C(n43130), .Y(
+        n85773) );
+  sky130_fd_sc_hd__nor2_1 U45941 ( .A(n64349), .B(n40128), .Y(n64330) );
+  sky130_fd_sc_hd__nor2_2 U45943 ( .A(n61175), .B(n49461), .Y(n49086) );
+  sky130_fd_sc_hd__buf_2 U45944 ( .A(n48973), .X(n56816) );
+  sky130_fd_sc_hd__inv_2 U45945 ( .A(n86370), .Y(n36680) );
+  sky130_fd_sc_hd__nor2_2 U45946 ( .A(n48788), .B(n49602), .Y(n48789) );
+  sky130_fd_sc_hd__nor2_2 U45948 ( .A(n60814), .B(n53246), .Y(n43127) );
+  sky130_fd_sc_hd__inv_2 U45949 ( .A(n53005), .Y(n63514) );
+  sky130_fd_sc_hd__o22a_1 U45952 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(n37265), .B1(n60141), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[13]), .X(n59692) );
+  sky130_fd_sc_hd__nand2_1 U45953 ( .A(n63472), .B(n49224), .Y(n61044) );
+  sky130_fd_sc_hd__nor2_2 U45954 ( .A(n57120), .B(n50463), .Y(n57408) );
+  sky130_fd_sc_hd__inv_2 U45955 ( .A(n86481), .Y(n37265) );
+  sky130_fd_sc_hd__nor2_1 U45956 ( .A(n61066), .B(n48035), .Y(n47910) );
+  sky130_fd_sc_hd__ha_1 U45957 ( .A(n68822), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .COUT(n72177), .SUM(n68828) );
+  sky130_fd_sc_hd__nand2_1 U45958 ( .A(n48025), .B(n48024), .Y(n55321) );
+  sky130_fd_sc_hd__nor2_2 U45959 ( .A(n47162), .B(n57540), .Y(n41625) );
+  sky130_fd_sc_hd__nor2_2 U45961 ( .A(n56581), .B(n57120), .Y(n41780) );
+  sky130_fd_sc_hd__xnor2_1 U45962 ( .A(n62163), .B(n62162), .Y(n38486) );
+  sky130_fd_sc_hd__o31ai_1 U45963 ( .A1(n41318), .A2(n41317), .A3(n59843), 
+        .B1(n56038), .Y(n59842) );
+  sky130_fd_sc_hd__nand2_1 U45964 ( .A(n36771), .B(n64305), .Y(n64349) );
+  sky130_fd_sc_hd__inv_2 U45965 ( .A(n41624), .Y(n56542) );
+  sky130_fd_sc_hd__inv_4 U45967 ( .A(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .Y(
+        n74033) );
+  sky130_fd_sc_hd__nor2_2 U45968 ( .A(n39345), .B(n66122), .Y(n64328) );
+  sky130_fd_sc_hd__inv_1 U45969 ( .A(n36653), .Y(n43104) );
+  sky130_fd_sc_hd__a2bb2oi_1 U45971 ( .B1(n36654), .B2(n43073), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_1_status_prv[1]), .A2_N(n43075), .Y(n36653) );
+  sky130_fd_sc_hd__clkbuf_1 U45973 ( .A(n55489), .X(n55062) );
+  sky130_fd_sc_hd__o21ai_1 U45974 ( .A1(n57901), .A2(n58858), .B1(n37727), .Y(
+        n57915) );
+  sky130_fd_sc_hd__clkbuf_1 U45976 ( .A(n42400), .X(n37013) );
+  sky130_fd_sc_hd__clkbuf_1 U45977 ( .A(n42396), .X(n37015) );
+  sky130_fd_sc_hd__clkbuf_1 U45978 ( .A(n42381), .X(n36996) );
+  sky130_fd_sc_hd__clkbuf_1 U45979 ( .A(n42378), .X(n36998) );
+  sky130_fd_sc_hd__a22o_1 U45982 ( .A1(n63711), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[28]), .B1(n36850), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[58]), .X(n36981) );
+  sky130_fd_sc_hd__nor2_2 U45983 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[1]), .B(n41208), .Y(n37071) );
+  sky130_fd_sc_hd__nand2_1 U45984 ( .A(n39386), .B(n37949), .Y(n54160) );
+  sky130_fd_sc_hd__mux2i_1 U45986 ( .A0(n47645), .A1(n47644), .S(n73814), .Y(
+        n59326) );
+  sky130_fd_sc_hd__o21a_1 U45987 ( .A1(n39016), .A2(n59510), .B1(n39015), .X(
+        n59480) );
+  sky130_fd_sc_hd__nand2_1 U45988 ( .A(n39584), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_hi_hi_lo_10_), .Y(n39594) );
+  sky130_fd_sc_hd__nand2_1 U45989 ( .A(n37601), .B(n37600), .Y(n36778) );
+  sky130_fd_sc_hd__nand3_1 U45990 ( .A(n38273), .B(n38272), .C(n38271), .Y(
+        n38270) );
+  sky130_fd_sc_hd__nor3_1 U45992 ( .A(n42638), .B(n42637), .C(n42636), .Y(
+        n42639) );
+  sky130_fd_sc_hd__o22a_1 U45994 ( .A1(n65607), .A2(n43072), .B1(n43071), .B2(
+        n43070), .X(n36654) );
+  sky130_fd_sc_hd__nand3_2 U45995 ( .A(n39486), .B(n59783), .C(n39485), .Y(
+        n42921) );
+  sky130_fd_sc_hd__buf_6 U45996 ( .A(n63137), .X(n63138) );
+  sky130_fd_sc_hd__inv_1 U45997 ( .A(n39343), .Y(n39300) );
+  sky130_fd_sc_hd__and2_1 U45998 ( .A(n39343), .B(n65175), .X(n39345) );
+  sky130_fd_sc_hd__nor2b_1 U45999 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_entry[0]), .A(n72128), .Y(n85648) );
+  sky130_fd_sc_hd__inv_6 U46001 ( .A(n37275), .Y(n36784) );
+  sky130_fd_sc_hd__inv_4 U46002 ( .A(n58412), .Y(n72357) );
+  sky130_fd_sc_hd__inv_1 U46004 ( .A(n72186), .Y(n72194) );
+  sky130_fd_sc_hd__nand3_4 U46005 ( .A(n72712), .B(n37052), .C(n73160), .Y(
+        n53246) );
+  sky130_fd_sc_hd__inv_1 U46006 ( .A(n39704), .Y(n39705) );
+  sky130_fd_sc_hd__or2_4 U46007 ( .A(n78411), .B(n78409), .X(n78414) );
+  sky130_fd_sc_hd__inv_2 U46009 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[8]), .Y(n36684) );
+  sky130_fd_sc_hd__inv_8 U46010 ( .A(n36684), .Y(n36685) );
+  sky130_fd_sc_hd__inv_2 U46011 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[9]), .Y(n36686) );
+  sky130_fd_sc_hd__inv_8 U46012 ( .A(n36686), .Y(n36687) );
+  sky130_fd_sc_hd__inv_2 U46013 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[11]), .Y(n36688) );
+  sky130_fd_sc_hd__inv_8 U46014 ( .A(n36688), .Y(n36689) );
+  sky130_fd_sc_hd__inv_2 U46015 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[10]), .Y(n36690) );
+  sky130_fd_sc_hd__inv_8 U46016 ( .A(n36690), .Y(n36691) );
+  sky130_fd_sc_hd__inv_2 U46017 ( .A(n72747), .Y(n76239) );
+  sky130_fd_sc_hd__buf_12 U46018 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_addr[4]), .X(n36655) );
+  sky130_fd_sc_hd__buf_12 U46019 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_addr[4]), .X(n36656) );
+  sky130_fd_sc_hd__inv_2 U46022 ( .A(n37261), .Y(n36659) );
+  sky130_fd_sc_hd__clkinv_2 U46023 ( .A(n36659), .Y(n36660) );
+  sky130_fd_sc_hd__nand2_1 U46024 ( .A(n37042), .B(n37043), .Y(n37261) );
+  sky130_fd_sc_hd__nor2_1 U46028 ( .A(n39903), .B(n39902), .Y(n59985) );
+  sky130_fd_sc_hd__inv_1 U46029 ( .A(n72801), .Y(n59788) );
+  sky130_fd_sc_hd__maj3_2 U46030 ( .A(n85431), .B(n61251), .C(n59954), .X(
+        n59955) );
+  sky130_fd_sc_hd__inv_6 U46034 ( .A(n73267), .Y(n73154) );
+  sky130_fd_sc_hd__inv_2 U46035 ( .A(n68959), .Y(n73267) );
+  sky130_fd_sc_hd__o211ai_4 U46037 ( .A1(n59947), .A2(n76545), .B1(n59440), 
+        .C1(n59439), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_addr[5]) );
+  sky130_fd_sc_hd__o211ai_4 U46038 ( .A1(n76546), .A2(n76545), .B1(n76544), 
+        .C1(n76543), .Y(n37275) );
+  sky130_fd_sc_hd__inv_2 U46039 ( .A(n37275), .Y(n36806) );
+  sky130_fd_sc_hd__inv_4 U46040 ( .A(n36806), .Y(n36664) );
+  sky130_fd_sc_hd__inv_4 U46041 ( .A(n36806), .Y(n36665) );
+  sky130_fd_sc_hd__buf_4 U46042 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_addr[0]), .X(n36666) );
+  sky130_fd_sc_hd__inv_8 U46043 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_out_bits_way_en[1]), .Y(n37252) );
+  sky130_fd_sc_hd__clkinv_4 U46044 ( .A(n36667), .Y(n36668) );
+  sky130_fd_sc_hd__inv_1 U46045 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_out_bits_way_en[1]), .Y(n37251) );
+  sky130_fd_sc_hd__clkinv_4 U46046 ( .A(n36669), .Y(n36670) );
+  sky130_fd_sc_hd__clkinv_4 U46047 ( .A(n36669), .Y(n36671) );
+  sky130_fd_sc_hd__buf_12 U46048 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_addr[4]), .X(n36808) );
+  sky130_fd_sc_hd__buf_12 U46049 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_addr[4]), .X(n36782) );
+  sky130_fd_sc_hd__buf_8 U46051 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_addr[2]), .X(n36672) );
+  sky130_fd_sc_hd__buf_8 U46052 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_addr[2]), .X(n36673) );
+  sky130_fd_sc_hd__buf_8 U46053 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_addr[2]), .X(n36810) );
+  sky130_fd_sc_hd__buf_8 U46054 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_addr[2]), .X(n36785) );
+  sky130_fd_sc_hd__buf_8 U46056 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_addr[8]), .X(n36674) );
+  sky130_fd_sc_hd__buf_8 U46057 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_addr[8]), .X(n36675) );
+  sky130_fd_sc_hd__buf_8 U46058 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_addr[8]), .X(n36809) );
+  sky130_fd_sc_hd__buf_8 U46059 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_addr[8]), .X(n36786) );
+  sky130_fd_sc_hd__buf_12 U46068 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[7]), .X(n37267) );
+  sky130_fd_sc_hd__buf_12 U46069 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[6]), .X(n37266) );
+  sky130_fd_sc_hd__inv_2 U46090 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_array_RW0_addr[3]), .Y(n36712) );
+  sky130_fd_sc_hd__clkinv_4 U46091 ( .A(n36712), .Y(n36713) );
+  sky130_fd_sc_hd__inv_2 U46092 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_array_RW0_addr[1]), .Y(n36714) );
+  sky130_fd_sc_hd__clkinv_4 U46093 ( .A(n36714), .Y(n36715) );
+  sky130_fd_sc_hd__inv_2 U46094 ( .A(n37137), .Y(n36716) );
+  sky130_fd_sc_hd__clkinv_4 U46095 ( .A(n36716), .Y(n36717) );
+  sky130_fd_sc_hd__inv_2 U46096 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_array_RW0_addr[6]), .Y(n36718) );
+  sky130_fd_sc_hd__clkinv_4 U46097 ( .A(n36718), .Y(n36719) );
+  sky130_fd_sc_hd__inv_2 U46098 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_array_RW0_addr[5]), .Y(n36720) );
+  sky130_fd_sc_hd__clkinv_4 U46099 ( .A(n36720), .Y(n36721) );
+  sky130_fd_sc_hd__inv_8 U46105 ( .A(n36727), .Y(n36728) );
+  sky130_fd_sc_hd__inv_8 U46106 ( .A(n36727), .Y(n36729) );
+  sky130_fd_sc_hd__inv_8 U46107 ( .A(n36730), .Y(n36731) );
+  sky130_fd_sc_hd__inv_8 U46108 ( .A(n36730), .Y(n36732) );
+  sky130_fd_sc_hd__inv_8 U46109 ( .A(n36733), .Y(n36734) );
+  sky130_fd_sc_hd__inv_8 U46110 ( .A(n36733), .Y(n36735) );
+  sky130_fd_sc_hd__inv_8 U46111 ( .A(n36736), .Y(n36737) );
+  sky130_fd_sc_hd__inv_8 U46112 ( .A(n36736), .Y(n36738) );
+  sky130_fd_sc_hd__inv_8 U46114 ( .A(n36741), .Y(n36742) );
+  sky130_fd_sc_hd__inv_8 U46115 ( .A(n36741), .Y(n36743) );
+  sky130_fd_sc_hd__inv_8 U46116 ( .A(n36744), .Y(n36745) );
+  sky130_fd_sc_hd__inv_8 U46117 ( .A(n36744), .Y(n36746) );
+  sky130_fd_sc_hd__inv_8 U46118 ( .A(n36747), .Y(n36748) );
+  sky130_fd_sc_hd__inv_8 U46119 ( .A(n36747), .Y(n36749) );
+  sky130_fd_sc_hd__inv_8 U46120 ( .A(n36750), .Y(n36751) );
+  sky130_fd_sc_hd__inv_8 U46121 ( .A(n36750), .Y(n36752) );
+  sky130_fd_sc_hd__inv_2 U46122 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_addr[5]), .Y(n36753) );
+  sky130_fd_sc_hd__inv_4 U46124 ( .A(n36753), .Y(n36755) );
+  sky130_fd_sc_hd__inv_4 U46125 ( .A(n36753), .Y(n36756) );
+  sky130_fd_sc_hd__inv_4 U46126 ( .A(n36753), .Y(n36757) );
+  sky130_fd_sc_hd__inv_2 U46127 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_out_bits_way_en[0]), .Y(n36758) );
+  sky130_fd_sc_hd__inv_4 U46129 ( .A(n36758), .Y(n36760) );
+  sky130_fd_sc_hd__inv_4 U46130 ( .A(n36758), .Y(n36761) );
+  sky130_fd_sc_hd__inv_4 U46131 ( .A(n36758), .Y(n36762) );
+  sky130_fd_sc_hd__inv_1 U46132 ( .A(n59670), .Y(n59628) );
+  sky130_fd_sc_hd__clkinv_1 U46133 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[5]), .Y(n75434) );
+  sky130_fd_sc_hd__clkinv_1 U46134 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[8]), .Y(n75445) );
+  sky130_fd_sc_hd__clkinv_1 U46135 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[6]), .Y(n75440) );
+  sky130_fd_sc_hd__clkinv_1 U46136 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[10]), .Y(n75456) );
+  sky130_fd_sc_hd__clkinv_1 U46137 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[11]), .Y(n75457) );
+  sky130_fd_sc_hd__clkinv_1 U46138 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[7]), .Y(n75441) );
+  sky130_fd_sc_hd__clkinv_1 U46139 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[9]), .Y(n75449) );
+  sky130_fd_sc_hd__clkinv_1 U46140 ( .A(n74316), .Y(n74318) );
+  sky130_fd_sc_hd__clkinv_1 U46141 ( .A(n67540), .Y(n66998) );
+  sky130_fd_sc_hd__inv_1 U46145 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_54[1]), 
+        .Y(n38734) );
+  sky130_fd_sc_hd__inv_1 U46146 ( .A(n59427), .Y(n38094) );
+  sky130_fd_sc_hd__clkinv_1 U46147 ( .A(n62145), .Y(n62099) );
+  sky130_fd_sc_hd__clkinv_1 U46148 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_mask_0_), 
+        .Y(n41395) );
+  sky130_fd_sc_hd__inv_1 U46149 ( .A(n57877), .Y(n38212) );
+  sky130_fd_sc_hd__clkinv_1 U46150 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_47[0]), 
+        .Y(n45787) );
+  sky130_fd_sc_hd__clkinv_1 U46151 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_51[1]), 
+        .Y(n38731) );
+  sky130_fd_sc_hd__clkbuf_1 U46153 ( .A(n42389), .X(n37008) );
+  sky130_fd_sc_hd__inv_1 U46156 ( .A(n53278), .Y(n53273) );
+  sky130_fd_sc_hd__clkinv_1 U46157 ( .A(n78265), .Y(n58762) );
+  sky130_fd_sc_hd__clkinv_1 U46158 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[5]), 
+        .Y(n49882) );
+  sky130_fd_sc_hd__clkinv_1 U46160 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_9[2]), 
+        .Y(n39081) );
+  sky130_fd_sc_hd__clkinv_1 U46161 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_2[1]), 
+        .Y(n38980) );
+  sky130_fd_sc_hd__clkinv_1 U46162 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_53[1]), 
+        .Y(n45597) );
+  sky130_fd_sc_hd__clkinv_1 U46164 ( .A(n59611), .Y(n38551) );
+  sky130_fd_sc_hd__clkinv_1 U46165 ( .A(n58027), .Y(n58067) );
+  sky130_fd_sc_hd__clkinv_1 U46167 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_18[2]), 
+        .Y(n57975) );
+  sky130_fd_sc_hd__clkinv_1 U46168 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_30[2]), 
+        .Y(n58014) );
+  sky130_fd_sc_hd__clkinv_1 U46169 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_23[0]), 
+        .Y(n58046) );
+  sky130_fd_sc_hd__clkinv_1 U46170 ( .A(n38741), .Y(n38737) );
+  sky130_fd_sc_hd__clkinv_1 U46171 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[3]), .Y(n58688) );
+  sky130_fd_sc_hd__clkinv_1 U46174 ( .A(n53251), .Y(n73187) );
+  sky130_fd_sc_hd__clkinv_1 U46175 ( .A(n68127), .Y(n68131) );
+  sky130_fd_sc_hd__clkinv_1 U46177 ( .A(n62345), .Y(n62410) );
+  sky130_fd_sc_hd__clkinv_1 U46178 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[61]), .Y(n62346) );
+  sky130_fd_sc_hd__clkinv_1 U46179 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[58]), .Y(n62270) );
+  sky130_fd_sc_hd__clkinv_1 U46180 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[55]), .Y(n62219) );
+  sky130_fd_sc_hd__clkinv_1 U46181 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[49]), .Y(n62753) );
+  sky130_fd_sc_hd__inv_2 U46182 ( .A(n48789), .Y(n51667) );
+  sky130_fd_sc_hd__inv_1 U46183 ( .A(n52530), .Y(n51162) );
+  sky130_fd_sc_hd__inv_1 U46185 ( .A(n56253), .Y(n56246) );
+  sky130_fd_sc_hd__clkinv_1 U46187 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_10[2]), 
+        .Y(n39080) );
+  sky130_fd_sc_hd__clkinv_1 U46188 ( .A(n57791), .Y(n57771) );
+  sky130_fd_sc_hd__clkinv_1 U46189 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_46[2]), 
+        .Y(n38581) );
+  sky130_fd_sc_hd__clkinv_1 U46190 ( .A(n57884), .Y(n57863) );
+  sky130_fd_sc_hd__clkinv_1 U46191 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_39[0]), 
+        .Y(n38636) );
+  sky130_fd_sc_hd__clkinv_1 U46192 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_28[1]), 
+        .Y(n58023) );
+  sky130_fd_sc_hd__clkinv_1 U46193 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_53[2]), 
+        .Y(n57871) );
+  sky130_fd_sc_hd__inv_2 U46194 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_39[1]), 
+        .Y(n57712) );
+  sky130_fd_sc_hd__inv_1 U46195 ( .A(n38775), .Y(n38783) );
+  sky130_fd_sc_hd__clkinv_1 U46197 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_26[1]), 
+        .Y(n38918) );
+  sky130_fd_sc_hd__clkinv_1 U46198 ( .A(n58278), .Y(n58262) );
+  sky130_fd_sc_hd__clkinv_1 U46199 ( .A(n61411), .Y(n61396) );
+  sky130_fd_sc_hd__clkinv_1 U46200 ( .A(n61406), .Y(n61417) );
+  sky130_fd_sc_hd__clkinv_1 U46201 ( .A(n64980), .Y(n43267) );
+  sky130_fd_sc_hd__o211a_2 U46202 ( .A1(n85490), .A2(n53277), .B1(n53276), 
+        .C1(n53275), .X(n53292) );
+  sky130_fd_sc_hd__clkinv_1 U46203 ( .A(n53250), .Y(n73192) );
+  sky130_fd_sc_hd__clkinv_1 U46204 ( .A(n56878), .Y(n63650) );
+  sky130_fd_sc_hd__inv_2 U46205 ( .A(n65848), .Y(n65850) );
+  sky130_fd_sc_hd__clkinv_1 U46207 ( .A(n56314), .Y(n56321) );
+  sky130_fd_sc_hd__clkinv_1 U46208 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[22]), 
+        .Y(n56276) );
+  sky130_fd_sc_hd__clkinv_1 U46209 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[1]), .Y(n61704) );
+  sky130_fd_sc_hd__inv_1 U46210 ( .A(n50436), .Y(n48290) );
+  sky130_fd_sc_hd__inv_1 U46211 ( .A(n50259), .Y(n50162) );
+  sky130_fd_sc_hd__clkinv_1 U46212 ( .A(n47300), .Y(n47407) );
+  sky130_fd_sc_hd__clkinv_1 U46214 ( .A(n47324), .Y(n47308) );
+  sky130_fd_sc_hd__inv_1 U46216 ( .A(n50252), .Y(n52137) );
+  sky130_fd_sc_hd__clkinv_1 U46217 ( .A(n57763), .Y(n57752) );
+  sky130_fd_sc_hd__clkinv_1 U46218 ( .A(n41414), .Y(n41422) );
+  sky130_fd_sc_hd__clkinv_1 U46219 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_5[2]), 
+        .Y(n58216) );
+  sky130_fd_sc_hd__clkinv_1 U46223 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_50[2]), 
+        .Y(n46401) );
+  sky130_fd_sc_hd__inv_2 U46226 ( .A(n42733), .Y(n42759) );
+  sky130_fd_sc_hd__inv_2 U46227 ( .A(n42541), .Y(n42567) );
+  sky130_fd_sc_hd__inv_1 U46228 ( .A(n39734), .Y(n39573) );
+  sky130_fd_sc_hd__a2bb2oi_1 U46229 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[4]), .B2(n60093), .A1_N(n74279), .A2_N(n45945), .Y(n44619) );
+  sky130_fd_sc_hd__clkinv_1 U46230 ( .A(n57435), .Y(n57484) );
+  sky130_fd_sc_hd__clkinv_1 U46231 ( .A(n50233), .Y(n48273) );
+  sky130_fd_sc_hd__inv_1 U46233 ( .A(n40172), .Y(n40162) );
+  sky130_fd_sc_hd__inv_1 U46234 ( .A(n41398), .Y(n41507) );
+  sky130_fd_sc_hd__clkinv_1 U46235 ( .A(n51836), .Y(n56430) );
+  sky130_fd_sc_hd__inv_1 U46237 ( .A(n73097), .Y(n73262) );
+  sky130_fd_sc_hd__inv_2 U46238 ( .A(n64041), .Y(n43034) );
+  sky130_fd_sc_hd__clkinv_1 U46240 ( .A(n58169), .Y(n58256) );
+  sky130_fd_sc_hd__clkinv_1 U46241 ( .A(n50474), .Y(n57413) );
+  sky130_fd_sc_hd__clkinv_1 U46242 ( .A(n52166), .Y(n56558) );
+  sky130_fd_sc_hd__inv_1 U46244 ( .A(n52271), .Y(n56411) );
+  sky130_fd_sc_hd__a2bb2oi_1 U46246 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[8]), .B2(n60091), .A1_N(n44019), .A2_N(n45945), .Y(n44024) );
+  sky130_fd_sc_hd__clkinv_1 U46247 ( .A(n85132), .Y(n55541) );
+  sky130_fd_sc_hd__inv_2 U46250 ( .A(n56842), .Y(n50028) );
+  sky130_fd_sc_hd__inv_1 U46251 ( .A(n57001), .Y(n57320) );
+  sky130_fd_sc_hd__clkinv_1 U46252 ( .A(n46734), .Y(n46480) );
+  sky130_fd_sc_hd__inv_1 U46253 ( .A(n57140), .Y(n52129) );
+  sky130_fd_sc_hd__clkinv_1 U46254 ( .A(n56724), .Y(n56285) );
+  sky130_fd_sc_hd__clkinv_1 U46255 ( .A(n56559), .Y(n57169) );
+  sky130_fd_sc_hd__clkinv_1 U46256 ( .A(n52201), .Y(n57070) );
+  sky130_fd_sc_hd__clkinv_1 U46258 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__countReset_feed_T_1[1]), .Y(n49104) );
+  sky130_fd_sc_hd__clkinv_1 U46259 ( .A(n46367), .Y(n52814) );
+  sky130_fd_sc_hd__inv_1 U46260 ( .A(n57196), .Y(n57144) );
+  sky130_fd_sc_hd__clkinv_1 U46261 ( .A(n50867), .Y(n56669) );
+  sky130_fd_sc_hd__inv_1 U46262 ( .A(n56543), .Y(n52221) );
+  sky130_fd_sc_hd__clkinv_1 U46263 ( .A(n50494), .Y(n43627) );
+  sky130_fd_sc_hd__clkinv_1 U46264 ( .A(n50986), .Y(n46483) );
+  sky130_fd_sc_hd__clkinv_1 U46265 ( .A(n56473), .Y(n57165) );
+  sky130_fd_sc_hd__clkinv_1 U46266 ( .A(n48281), .Y(n52699) );
+  sky130_fd_sc_hd__clkinv_1 U46267 ( .A(n46048), .Y(n57441) );
+  sky130_fd_sc_hd__inv_1 U46268 ( .A(n56568), .Y(n57164) );
+  sky130_fd_sc_hd__clkinv_1 U46269 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_31[1]), 
+        .Y(n57782) );
+  sky130_fd_sc_hd__inv_1 U46270 ( .A(n39088), .Y(n38298) );
+  sky130_fd_sc_hd__inv_1 U46272 ( .A(n57715), .Y(n57726) );
+  sky130_fd_sc_hd__o211ai_1 U46273 ( .A1(n38961), .A2(n38960), .B1(n38949), 
+        .C1(n38948), .Y(n38952) );
+  sky130_fd_sc_hd__inv_2 U46274 ( .A(n38596), .Y(n59546) );
+  sky130_fd_sc_hd__clkinv_1 U46275 ( .A(n58250), .Y(n58235) );
+  sky130_fd_sc_hd__inv_2 U46276 ( .A(n39562), .Y(n39584) );
+  sky130_fd_sc_hd__clkinv_1 U46277 ( .A(n57217), .Y(n52378) );
+  sky130_fd_sc_hd__clkinv_1 U46279 ( .A(n64912), .Y(n69566) );
+  sky130_fd_sc_hd__clkinv_1 U46280 ( .A(n60096), .Y(n44098) );
+  sky130_fd_sc_hd__clkinv_1 U46282 ( .A(n57408), .Y(n52263) );
+  sky130_fd_sc_hd__clkinv_1 U46284 ( .A(n64331), .Y(n64332) );
+  sky130_fd_sc_hd__a2bb2oi_1 U46286 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[7]), .B2(n60091), .A1_N(n74278), .A2_N(n45945), .Y(n40833) );
+  sky130_fd_sc_hd__clkinv_1 U46287 ( .A(n53675), .Y(n53803) );
+  sky130_fd_sc_hd__inv_1 U46289 ( .A(n38967), .Y(n38977) );
+  sky130_fd_sc_hd__clkinv_1 U46290 ( .A(n58716), .Y(n72385) );
+  sky130_fd_sc_hd__clkinv_1 U46293 ( .A(n69216), .Y(n73105) );
+  sky130_fd_sc_hd__nor2_1 U46294 ( .A(n58794), .B(n53246), .Y(n58806) );
+  sky130_fd_sc_hd__clkinv_1 U46296 ( .A(n37004), .Y(n37005) );
+  sky130_fd_sc_hd__clkinv_1 U46297 ( .A(n43623), .Y(n56538) );
+  sky130_fd_sc_hd__nand3_1 U46298 ( .A(n47639), .B(n47638), .C(n47637), .Y(
+        n49059) );
+  sky130_fd_sc_hd__clkinv_1 U46299 ( .A(n39563), .Y(n39576) );
+  sky130_fd_sc_hd__clkinv_1 U46300 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_partial_insn_valid), .Y(n59770) );
+  sky130_fd_sc_hd__clkinv_1 U46302 ( .A(n48965), .Y(n49689) );
+  sky130_fd_sc_hd__clkinv_1 U46303 ( .A(n37490), .Y(n39968) );
+  sky130_fd_sc_hd__nand2_1 U46304 ( .A(n73342), .B(n37901), .Y(n37530) );
+  sky130_fd_sc_hd__clkinv_1 U46305 ( .A(n83163), .Y(n50685) );
+  sky130_fd_sc_hd__clkinv_1 U46306 ( .A(n50028), .Y(n56296) );
+  sky130_fd_sc_hd__nor2_2 U46307 ( .A(n37818), .B(n48035), .Y(n56843) );
+  sky130_fd_sc_hd__clkinv_1 U46308 ( .A(n50318), .Y(n57436) );
+  sky130_fd_sc_hd__clkinv_1 U46309 ( .A(n46486), .Y(n57176) );
+  sky130_fd_sc_hd__clkinv_1 U46310 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[3]), .Y(n56726) );
+  sky130_fd_sc_hd__nor2_1 U46311 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__countReset_feed_T_1[3]), .B(n37478), .Y(n56881) );
+  sky130_fd_sc_hd__clkinv_1 U46312 ( .A(n52072), .Y(n51010) );
+  sky130_fd_sc_hd__clkinv_1 U46314 ( .A(n61720), .Y(n61754) );
+  sky130_fd_sc_hd__inv_1 U46316 ( .A(n49121), .Y(n49985) );
+  sky130_fd_sc_hd__clkinv_1 U46317 ( .A(n48297), .Y(n48234) );
+  sky130_fd_sc_hd__clkinv_1 U46318 ( .A(n57475), .Y(n48111) );
+  sky130_fd_sc_hd__clkinv_1 U46320 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[3]), .Y(n56261) );
+  sky130_fd_sc_hd__clkinv_1 U46321 ( .A(n57429), .Y(n52763) );
+  sky130_fd_sc_hd__clkinv_1 U46322 ( .A(n39127), .Y(n37870) );
+  sky130_fd_sc_hd__clkinv_1 U46323 ( .A(n57079), .Y(n52198) );
+  sky130_fd_sc_hd__inv_2 U46324 ( .A(n59338), .Y(n37402) );
+  sky130_fd_sc_hd__clkinv_1 U46325 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_1[1]), 
+        .Y(n45703) );
+  sky130_fd_sc_hd__clkinv_1 U46326 ( .A(n62053), .Y(n62036) );
+  sky130_fd_sc_hd__clkinv_1 U46327 ( .A(n60100), .Y(n45288) );
+  sky130_fd_sc_hd__clkinv_1 U46328 ( .A(n45725), .Y(n57488) );
+  sky130_fd_sc_hd__inv_1 U46329 ( .A(n57325), .Y(n57184) );
+  sky130_fd_sc_hd__clkinv_1 U46330 ( .A(n50423), .Y(n50977) );
+  sky130_fd_sc_hd__clkinv_1 U46331 ( .A(n56470), .Y(n57445) );
+  sky130_fd_sc_hd__clkinv_1 U46335 ( .A(n52170), .Y(n52437) );
+  sky130_fd_sc_hd__clkinv_1 U46336 ( .A(n36832), .Y(n37900) );
+  sky130_fd_sc_hd__inv_1 U46337 ( .A(n53934), .Y(n53873) );
+  sky130_fd_sc_hd__clkbuf_1 U46338 ( .A(n64343), .X(n64638) );
+  sky130_fd_sc_hd__clkinv_1 U46339 ( .A(n70276), .Y(n69484) );
+  sky130_fd_sc_hd__clkinv_1 U46341 ( .A(n64864), .Y(n70279) );
+  sky130_fd_sc_hd__inv_1 U46344 ( .A(n47078), .Y(n50422) );
+  sky130_fd_sc_hd__clkinv_1 U46346 ( .A(n66876), .Y(n68413) );
+  sky130_fd_sc_hd__clkinv_1 U46347 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[16]), 
+        .Y(n67187) );
+  sky130_fd_sc_hd__clkinv_1 U46348 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[11]), 
+        .Y(n67247) );
+  sky130_fd_sc_hd__inv_2 U46349 ( .A(n63537), .Y(n63645) );
+  sky130_fd_sc_hd__clkinv_1 U46350 ( .A(n39921), .Y(n39987) );
+  sky130_fd_sc_hd__clkinv_1 U46351 ( .A(n47845), .Y(n47718) );
+  sky130_fd_sc_hd__clkinv_1 U46352 ( .A(n48741), .Y(n47764) );
+  sky130_fd_sc_hd__clkinv_1 U46353 ( .A(n48774), .Y(n47754) );
+  sky130_fd_sc_hd__inv_1 U46354 ( .A(n85126), .Y(n47782) );
+  sky130_fd_sc_hd__clkinv_1 U46356 ( .A(n76813), .Y(n76744) );
+  sky130_fd_sc_hd__clkinv_1 U46357 ( .A(n69835), .Y(n53645) );
+  sky130_fd_sc_hd__clkinv_1 U46358 ( .A(n56696), .Y(n53056) );
+  sky130_fd_sc_hd__buf_2 U46359 ( .A(n53051), .X(n36990) );
+  sky130_fd_sc_hd__clkinv_1 U46360 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[17]), 
+        .Y(n73465) );
+  sky130_fd_sc_hd__clkinv_1 U46361 ( .A(n41732), .Y(n44860) );
+  sky130_fd_sc_hd__clkinv_1 U46362 ( .A(n57529), .Y(n50185) );
+  sky130_fd_sc_hd__clkinv_1 U46363 ( .A(n67169), .Y(n67294) );
+  sky130_fd_sc_hd__clkinv_1 U46364 ( .A(n48329), .Y(n57155) );
+  sky130_fd_sc_hd__clkinv_1 U46365 ( .A(n56695), .Y(n52871) );
+  sky130_fd_sc_hd__inv_1 U46366 ( .A(n52164), .Y(n50918) );
+  sky130_fd_sc_hd__inv_1 U46367 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__gang_T_3), 
+        .Y(n67526) );
+  sky130_fd_sc_hd__clkinv_1 U46368 ( .A(n48257), .Y(n57139) );
+  sky130_fd_sc_hd__clkinv_1 U46369 ( .A(n47162), .Y(n51834) );
+  sky130_fd_sc_hd__clkinv_1 U46372 ( .A(n39762), .Y(n39644) );
+  sky130_fd_sc_hd__clkinv_1 U46373 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[7]), .Y(n37025) );
+  sky130_fd_sc_hd__nor2b_1 U46374 ( .B_N(n52775), .A(n46470), .Y(n57563) );
+  sky130_fd_sc_hd__clkinv_1 U46375 ( .A(n56980), .Y(n57316) );
+  sky130_fd_sc_hd__clkinv_1 U46376 ( .A(n75636), .Y(n75659) );
+  sky130_fd_sc_hd__clkinv_1 U46377 ( .A(n66037), .Y(n69851) );
+  sky130_fd_sc_hd__clkinv_1 U46378 ( .A(n47200), .Y(n57344) );
+  sky130_fd_sc_hd__clkinv_1 U46379 ( .A(n52747), .Y(n57088) );
+  sky130_fd_sc_hd__clkinv_1 U46381 ( .A(n70075), .Y(n37924) );
+  sky130_fd_sc_hd__clkinv_1 U46382 ( .A(n60814), .Y(n72732) );
+  sky130_fd_sc_hd__clkinv_1 U46383 ( .A(n69489), .Y(n70272) );
+  sky130_fd_sc_hd__inv_2 U46385 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_resHi), .Y(n65309) );
+  sky130_fd_sc_hd__nand2_1 U46387 ( .A(n72914), .B(n72913), .Y(n73010) );
+  sky130_fd_sc_hd__clkinv_1 U46388 ( .A(n72999), .Y(n73016) );
+  sky130_fd_sc_hd__clkinv_1 U46390 ( .A(n63480), .Y(n63477) );
+  sky130_fd_sc_hd__clkbuf_1 U46391 ( .A(n80272), .X(n36995) );
+  sky130_fd_sc_hd__clkinv_1 U46393 ( .A(n69658), .Y(n69696) );
+  sky130_fd_sc_hd__inv_1 U46394 ( .A(n84197), .Y(n62027) );
+  sky130_fd_sc_hd__nor2_1 U46395 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_replay), .B(n84262), .Y(n70489) );
+  sky130_fd_sc_hd__o21a_1 U46396 ( .A1(n47981), .A2(n73749), .B1(n47831), .X(
+        n55588) );
+  sky130_fd_sc_hd__clkinv_1 U46397 ( .A(n83658), .Y(n53549) );
+  sky130_fd_sc_hd__clkinv_1 U46398 ( .A(n47550), .Y(n47936) );
+  sky130_fd_sc_hd__a2bb2oi_1 U46399 ( .B1(n47817), .B2(n47816), .A1_N(n60917), 
+        .A2_N(n47815), .Y(n83614) );
+  sky130_fd_sc_hd__clkinv_1 U46401 ( .A(n48798), .Y(n48809) );
+  sky130_fd_sc_hd__clkinv_1 U46402 ( .A(n47753), .Y(n55246) );
+  sky130_fd_sc_hd__clkinv_1 U46403 ( .A(n47976), .Y(n47726) );
+  sky130_fd_sc_hd__clkinv_1 U46404 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_io_full), .Y(n66789) );
+  sky130_fd_sc_hd__clkinv_1 U46405 ( .A(n55100), .Y(n55497) );
+  sky130_fd_sc_hd__clkinv_1 U46407 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[0]), .Y(
+        n67678) );
+  sky130_fd_sc_hd__clkinv_1 U46409 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_MPORT_addr[1]), .Y(n59817) );
+  sky130_fd_sc_hd__a2bb2o_1 U46411 ( .A1_N(n54555), .A2_N(n54747), .B1(n55987), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[25]), .X(n54556) );
+  sky130_fd_sc_hd__inv_2 U46412 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_io_full), .Y(n36803) );
+  sky130_fd_sc_hd__nor2_1 U46413 ( .A(n85437), .B(n59927), .Y(n63186) );
+  sky130_fd_sc_hd__clkinv_1 U46414 ( .A(n59702), .Y(n83100) );
+  sky130_fd_sc_hd__clkinv_1 U46415 ( .A(n47896), .Y(n60260) );
+  sky130_fd_sc_hd__clkinv_1 U46416 ( .A(n41598), .Y(n41636) );
+  sky130_fd_sc_hd__inv_2 U46418 ( .A(n60072), .Y(n62849) );
+  sky130_fd_sc_hd__clkinv_1 U46420 ( .A(n79911), .Y(n68266) );
+  sky130_fd_sc_hd__inv_1 U46421 ( .A(n68059), .Y(n68060) );
+  sky130_fd_sc_hd__clkinv_1 U46423 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .Y(n37462) );
+  sky130_fd_sc_hd__inv_1 U46424 ( .A(n80565), .Y(n80528) );
+  sky130_fd_sc_hd__nor2_1 U46425 ( .A(n40628), .B(n40620), .Y(n82592) );
+  sky130_fd_sc_hd__clkinv_1 U46426 ( .A(n69586), .Y(n54979) );
+  sky130_fd_sc_hd__clkinv_1 U46427 ( .A(n37936), .Y(n62939) );
+  sky130_fd_sc_hd__clkinv_1 U46428 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_xcpt), .Y(n65607) );
+  sky130_fd_sc_hd__inv_2 U46429 ( .A(n66196), .Y(n69745) );
+  sky130_fd_sc_hd__inv_2 U46430 ( .A(n68280), .Y(n73352) );
+  sky130_fd_sc_hd__o211ai_1 U46431 ( .A1(n40231), .A2(n70254), .B1(n40005), 
+        .C1(n40004), .Y(n40208) );
+  sky130_fd_sc_hd__clkinv_1 U46432 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_bypass_1), .Y(n69617) );
+  sky130_fd_sc_hd__clkinv_1 U46433 ( .A(n73254), .Y(n61996) );
+  sky130_fd_sc_hd__clkinv_1 U46435 ( .A(n64200), .Y(n70204) );
+  sky130_fd_sc_hd__clkinv_1 U46436 ( .A(n70301), .Y(n72144) );
+  sky130_fd_sc_hd__nor2_1 U46437 ( .A(n63151), .B(n70075), .Y(n64372) );
+  sky130_fd_sc_hd__clkinv_1 U46438 ( .A(n60966), .Y(n64310) );
+  sky130_fd_sc_hd__clkinv_1 U46439 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_currState[2]), 
+        .Y(n61166) );
+  sky130_fd_sc_hd__inv_1 U46440 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_N9), .Y(n83354) );
+  sky130_fd_sc_hd__nand2_1 U46441 ( .A(n73014), .B(n72998), .Y(n37079) );
+  sky130_fd_sc_hd__nand2_1 U46442 ( .A(n72984), .B(n73015), .Y(n72985) );
+  sky130_fd_sc_hd__clkinv_1 U46443 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .Y(n72747) );
+  sky130_fd_sc_hd__clkinv_1 U46445 ( .A(n86326), .Y(n59745) );
+  sky130_fd_sc_hd__buf_2 U46446 ( .A(n39558), .X(n57376) );
+  sky130_fd_sc_hd__clkinv_1 U46447 ( .A(n56939), .Y(n56947) );
+  sky130_fd_sc_hd__clkinv_1 U46448 ( .A(n85506), .Y(n76232) );
+  sky130_fd_sc_hd__clkinv_1 U46449 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_MPORT_addr[2]), .Y(n76252) );
+  sky130_fd_sc_hd__nand2_1 U46450 ( .A(n76253), .B(n81303), .Y(n81305) );
+  sky130_fd_sc_hd__clkinv_1 U46451 ( .A(n76253), .Y(n81294) );
+  sky130_fd_sc_hd__clkinv_1 U46452 ( .A(n81215), .Y(n81217) );
+  sky130_fd_sc_hd__clkinv_1 U46454 ( .A(n81190), .Y(n81165) );
+  sky130_fd_sc_hd__inv_1 U46455 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N32), 
+        .Y(n81072) );
+  sky130_fd_sc_hd__clkinv_1 U46456 ( .A(n85492), .Y(n76204) );
+  sky130_fd_sc_hd__clkinv_1 U46457 ( .A(n80384), .Y(n80386) );
+  sky130_fd_sc_hd__clkinv_1 U46458 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[2]), .Y(n80403) );
+  sky130_fd_sc_hd__clkinv_1 U46459 ( .A(n60649), .Y(n60704) );
+  sky130_fd_sc_hd__clkinv_1 U46460 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[18]), .Y(n67144) );
+  sky130_fd_sc_hd__clkinv_1 U46461 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[20]), .Y(n67162) );
+  sky130_fd_sc_hd__clkinv_1 U46462 ( .A(n81127), .Y(n81129) );
+  sky130_fd_sc_hd__clkinv_1 U46463 ( .A(n85834), .Y(n75761) );
+  sky130_fd_sc_hd__clkinv_1 U46464 ( .A(n85837), .Y(n75767) );
+  sky130_fd_sc_hd__clkinv_1 U46465 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[19]), .Y(n67147) );
+  sky130_fd_sc_hd__clkinv_1 U46466 ( .A(n72568), .Y(n76374) );
+  sky130_fd_sc_hd__clkinv_1 U46469 ( .A(n85562), .Y(n82413) );
+  sky130_fd_sc_hd__clkinv_1 U46470 ( .A(n85578), .Y(n82398) );
+  sky130_fd_sc_hd__clkinv_1 U46471 ( .A(n85866), .Y(n82381) );
+  sky130_fd_sc_hd__clkinv_1 U46472 ( .A(n69712), .Y(n69706) );
+  sky130_fd_sc_hd__inv_1 U46473 ( .A(n36116), .Y(n81268) );
+  sky130_fd_sc_hd__clkinv_1 U46475 ( .A(n80657), .Y(n80658) );
+  sky130_fd_sc_hd__inv_1 U46476 ( .A(n36168), .Y(n80734) );
+  sky130_fd_sc_hd__clkinv_1 U46477 ( .A(n76387), .Y(n81273) );
+  sky130_fd_sc_hd__clkinv_1 U46478 ( .A(n81044), .Y(n81046) );
+  sky130_fd_sc_hd__clkinv_1 U46479 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[13]), 
+        .Y(n67168) );
+  sky130_fd_sc_hd__inv_1 U46480 ( .A(n36141), .Y(n81018) );
+  sky130_fd_sc_hd__clkinv_1 U46481 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb_io_outer_cs_hold), .Y(n80498) );
+  sky130_fd_sc_hd__clkinv_1 U46482 ( .A(n78527), .Y(n73121) );
+  sky130_fd_sc_hd__clkinv_1 U46484 ( .A(n78287), .Y(n78493) );
+  sky130_fd_sc_hd__clkinv_1 U46485 ( .A(n78486), .Y(n72156) );
+  sky130_fd_sc_hd__clkinv_1 U46487 ( .A(n64455), .Y(n41831) );
+  sky130_fd_sc_hd__clkinv_1 U46488 ( .A(n85505), .Y(n76237) );
+  sky130_fd_sc_hd__nand2_1 U46489 ( .A(n80707), .B(n61891), .Y(n61965) );
+  sky130_fd_sc_hd__inv_1 U46490 ( .A(n36239), .Y(n79878) );
+  sky130_fd_sc_hd__clkinv_1 U46492 ( .A(n81191), .Y(n62083) );
+  sky130_fd_sc_hd__clkinv_1 U46493 ( .A(n80991), .Y(n80993) );
+  sky130_fd_sc_hd__clkinv_1 U46494 ( .A(n80690), .Y(n80692) );
+  sky130_fd_sc_hd__inv_2 U46495 ( .A(n67429), .Y(n67441) );
+  sky130_fd_sc_hd__clkinv_1 U46496 ( .A(n61478), .Y(n63509) );
+  sky130_fd_sc_hd__clkinv_1 U46497 ( .A(n61072), .Y(n36811) );
+  sky130_fd_sc_hd__clkinv_1 U46500 ( .A(n79913), .Y(n75772) );
+  sky130_fd_sc_hd__clkinv_1 U46501 ( .A(n85669), .Y(n76440) );
+  sky130_fd_sc_hd__o2bb2a_2 U46502 ( .A1_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_gennum[3]), .A2_N(n47533), .B1(n47532), .B2(n47700), .X(n75720) );
+  sky130_fd_sc_hd__clkinv_1 U46503 ( .A(n83669), .Y(n83656) );
+  sky130_fd_sc_hd__a21oi_1 U46504 ( .A1(n47814), .A2(n47875), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_gennum[0]), .Y(n83615) );
+  sky130_fd_sc_hd__clkinv_1 U46505 ( .A(n83589), .Y(n83580) );
+  sky130_fd_sc_hd__clkinv_1 U46506 ( .A(n83731), .Y(n83722) );
+  sky130_fd_sc_hd__clkinv_1 U46507 ( .A(n83648), .Y(n83643) );
+  sky130_fd_sc_hd__clkinv_1 U46508 ( .A(n48808), .Y(n55254) );
+  sky130_fd_sc_hd__clkinv_1 U46510 ( .A(n83601), .Y(n83606) );
+  sky130_fd_sc_hd__clkinv_1 U46511 ( .A(n55453), .Y(n55474) );
+  sky130_fd_sc_hd__clkinv_1 U46512 ( .A(n82517), .Y(n82503) );
+  sky130_fd_sc_hd__nor2_1 U46513 ( .A(n54927), .B(n54974), .Y(n54941) );
+  sky130_fd_sc_hd__inv_1 U46514 ( .A(n85501), .Y(n76190) );
+  sky130_fd_sc_hd__clkinv_1 U46515 ( .A(n85503), .Y(n76188) );
+  sky130_fd_sc_hd__clkinv_1 U46516 ( .A(n85510), .Y(n76212) );
+  sky130_fd_sc_hd__clkinv_1 U46517 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_slaveValid), .Y(n76236) );
+  sky130_fd_sc_hd__clkinv_1 U46518 ( .A(n83449), .Y(n83446) );
+  sky130_fd_sc_hd__clkinv_1 U46519 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_full), .Y(n83392) );
+  sky130_fd_sc_hd__clkinv_1 U46520 ( .A(n53198), .Y(n56070) );
+  sky130_fd_sc_hd__clkinv_1 U46522 ( .A(n83407), .Y(n83408) );
+  sky130_fd_sc_hd__a22oi_1 U46523 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[28]), .B1(n54277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[59]), .Y(n83244) );
+  sky130_fd_sc_hd__clkinv_1 U46524 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[27]), .Y(n75901) );
+  sky130_fd_sc_hd__clkinv_1 U46525 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[20]), .Y(n75792) );
+  sky130_fd_sc_hd__clkinv_1 U46526 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[18]), .Y(n75796) );
+  sky130_fd_sc_hd__clkinv_1 U46527 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[14]), .Y(n75786) );
+  sky130_fd_sc_hd__clkinv_1 U46528 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[12]), .Y(n75782) );
+  sky130_fd_sc_hd__clkinv_1 U46530 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_cmd[0]), .Y(n78371) );
+  sky130_fd_sc_hd__clkinv_1 U46531 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_io_full), .Y(n78346) );
+  sky130_fd_sc_hd__inv_2 U46532 ( .A(n78376), .Y(n78369) );
+  sky130_fd_sc_hd__clkinv_1 U46533 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N32), 
+        .Y(n37019) );
+  sky130_fd_sc_hd__clkinv_1 U46534 ( .A(n83111), .Y(n83153) );
+  sky130_fd_sc_hd__clkinv_1 U46535 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .Y(n73166) );
+  sky130_fd_sc_hd__clkinv_1 U46536 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .Y(n72472) );
+  sky130_fd_sc_hd__clkinv_1 U46537 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .Y(n72209) );
+  sky130_fd_sc_hd__clkinv_1 U46540 ( .A(n72746), .Y(n37259) );
+  sky130_fd_sc_hd__clkinv_1 U46541 ( .A(n80710), .Y(n80712) );
+  sky130_fd_sc_hd__clkinv_1 U46542 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[30]), .Y(n75897) );
+  sky130_fd_sc_hd__clkinv_1 U46543 ( .A(n83192), .Y(n83828) );
+  sky130_fd_sc_hd__clkinv_1 U46544 ( .A(n85671), .Y(n75752) );
+  sky130_fd_sc_hd__clkinv_1 U46545 ( .A(n37808), .Y(n37807) );
+  sky130_fd_sc_hd__clkinv_1 U46546 ( .A(n63529), .Y(n63530) );
+  sky130_fd_sc_hd__clkinv_1 U46547 ( .A(n80973), .Y(n80974) );
+  sky130_fd_sc_hd__clkinv_1 U46548 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[21]), .Y(n73495) );
+  sky130_fd_sc_hd__clkinv_1 U46549 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[22]), .Y(n73369) );
+  sky130_fd_sc_hd__inv_1 U46550 ( .A(n63083), .Y(n63131) );
+  sky130_fd_sc_hd__inv_1 U46551 ( .A(n57361), .Y(n50282) );
+  sky130_fd_sc_hd__clkinv_1 U46552 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N170), 
+        .Y(n80976) );
+  sky130_fd_sc_hd__clkinv_1 U46553 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_arb_io_outer_cs_hold), .Y(n77824) );
+  sky130_fd_sc_hd__clkinv_1 U46554 ( .A(n49297), .Y(n49643) );
+  sky130_fd_sc_hd__clkinv_1 U46555 ( .A(n56744), .Y(n56950) );
+  sky130_fd_sc_hd__clkinv_1 U46558 ( .A(n51918), .Y(n83297) );
+  sky130_fd_sc_hd__clkinv_1 U46560 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .Y(n63905) );
+  sky130_fd_sc_hd__clkinv_1 U46562 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[21]), .Y(n71920) );
+  sky130_fd_sc_hd__clkinv_1 U46563 ( .A(n49230), .Y(n60015) );
+  sky130_fd_sc_hd__inv_2 U46564 ( .A(n54277), .Y(n54795) );
+  sky130_fd_sc_hd__clkinv_1 U46565 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .Y(n68851) );
+  sky130_fd_sc_hd__clkinv_1 U46566 ( .A(n70197), .Y(n76271) );
+  sky130_fd_sc_hd__clkinv_1 U46567 ( .A(n70187), .Y(n72153) );
+  sky130_fd_sc_hd__inv_2 U46568 ( .A(n37037), .Y(n37041) );
+  sky130_fd_sc_hd__nand2_1 U46569 ( .A(n36798), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_valid), .Y(n72372) );
+  sky130_fd_sc_hd__inv_2 U46570 ( .A(n84251), .Y(n79565) );
+  sky130_fd_sc_hd__clkinv_1 U46571 ( .A(n79529), .Y(n79521) );
+  sky130_fd_sc_hd__inv_2 U46572 ( .A(n41311), .Y(n78401) );
+  sky130_fd_sc_hd__clkinv_1 U46573 ( .A(n78402), .Y(n78395) );
+  sky130_fd_sc_hd__nor3_2 U46574 ( .A(wb_rst_i), .B(wbs_ack_o), .C(n58315), 
+        .Y(n61200) );
+  sky130_fd_sc_hd__clkinv_1 U46575 ( .A(n69339), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1562) );
+  sky130_fd_sc_hd__clkinv_1 U46576 ( .A(n69177), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1561) );
+  sky130_fd_sc_hd__clkinv_1 U46577 ( .A(n65621), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1555) );
+  sky130_fd_sc_hd__clkinv_1 U46578 ( .A(n64144), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1566) );
+  sky130_fd_sc_hd__clkinv_1 U46579 ( .A(n69290), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1565) );
+  sky130_fd_sc_hd__clkinv_1 U46580 ( .A(n64974), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1558) );
+  sky130_fd_sc_hd__inv_2 U46581 ( .A(n67178), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N48) );
+  sky130_fd_sc_hd__inv_2 U46582 ( .A(n67121), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N51) );
+  sky130_fd_sc_hd__inv_2 U46583 ( .A(n67157), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N53) );
+  sky130_fd_sc_hd__inv_2 U46584 ( .A(n67098), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N57) );
+  sky130_fd_sc_hd__clkinv_1 U46585 ( .A(n68076), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N60) );
+  sky130_fd_sc_hd__inv_2 U46586 ( .A(n67191), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N52) );
+  sky130_fd_sc_hd__inv_2 U46587 ( .A(n65411), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N146) );
+  sky130_fd_sc_hd__inv_2 U46588 ( .A(n73464), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N63) );
+  sky130_fd_sc_hd__inv_2 U46589 ( .A(n67189), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N49) );
+  sky130_fd_sc_hd__clkinv_1 U46590 ( .A(n60994), .Y(n85464) );
+  sky130_fd_sc_hd__clkinv_1 U46591 ( .A(n60026), .Y(n86575) );
+  sky130_fd_sc_hd__clkinv_1 U46592 ( .A(n66099), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1560) );
+  sky130_fd_sc_hd__clkinv_1 U46593 ( .A(n69044), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1556) );
+  sky130_fd_sc_hd__clkinv_1 U46594 ( .A(n67079), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N62) );
+  sky130_fd_sc_hd__clkinv_1 U46595 ( .A(n80721), .Y(n86598) );
+  sky130_fd_sc_hd__clkinv_1 U46597 ( .A(n37082), .Y(n86353) );
+  sky130_fd_sc_hd__clkinv_1 U46598 ( .A(n37090), .Y(n86378) );
+  sky130_fd_sc_hd__and3_1 U46601 ( .A(n73776), .B(n60911), .C(n60910), .X(
+        n85916) );
+  sky130_fd_sc_hd__clkinv_1 U46603 ( .A(n78337), .Y(n84869) );
+  sky130_fd_sc_hd__clkinv_1 U46604 ( .A(n60015), .Y(n86402) );
+  sky130_fd_sc_hd__clkinv_1 U46608 ( .A(n78343), .Y(n84865) );
+  sky130_fd_sc_hd__clkinv_1 U46609 ( .A(n77559), .Y(n84862) );
+  sky130_fd_sc_hd__a2bb2o_1 U46611 ( .A1_N(n83240), .A2_N(n38491), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[24]), .B2(n54319), .X(n84630) );
+  sky130_fd_sc_hd__a2bb2o_1 U46613 ( .A1_N(n83235), .A2_N(n38491), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[19]), .B2(n54319), .X(n84615) );
+  sky130_fd_sc_hd__inv_2 U46615 ( .A(n83231), .Y(n84602) );
+  sky130_fd_sc_hd__a2bb2o_1 U46616 ( .A1_N(n83229), .A2_N(n38491), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[12]), .B2(n54319), .X(n84594) );
+  sky130_fd_sc_hd__a2bb2o_1 U46618 ( .A1_N(n83223), .A2_N(n38491), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[6]), .B2(n54319), .X(n84579) );
+  sky130_fd_sc_hd__inv_2 U46621 ( .A(n63150), .Y(n85816) );
+  sky130_fd_sc_hd__buf_2 U46622 ( .A(n60884), .X(n36820) );
+  sky130_fd_sc_hd__nand2b_2 U46623 ( .A_N(n73753), .B(n83116), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N145) );
+  sky130_fd_sc_hd__buf_2 U46624 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N16), 
+        .X(n36833) );
+  sky130_fd_sc_hd__clkinv_1 U46625 ( .A(n37075), .Y(n86296) );
+  sky130_fd_sc_hd__mux2_2 U46627 ( .A0(n85508), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[14]), .S(n73340), .X(n86241) );
+  sky130_fd_sc_hd__a31oi_1 U46628 ( .A1(n59957), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_scratchpadOn), .A3(n59956), .B1(n82497), .Y(n36487) );
+  sky130_fd_sc_hd__inv_4 U46630 ( .A(n61002), .Y(n36792) );
+  sky130_fd_sc_hd__clkinv_1 U46631 ( .A(n80840), .Y(n85849) );
+  sky130_fd_sc_hd__clkinv_1 U46632 ( .A(n75707), .Y(n85527) );
+  sky130_fd_sc_hd__clkinv_1 U46633 ( .A(n75705), .Y(n85291) );
+  sky130_fd_sc_hd__clkinv_1 U46634 ( .A(n73489), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N63) );
+  sky130_fd_sc_hd__inv_2 U46635 ( .A(n74033), .Y(n83116) );
+  sky130_fd_sc_hd__buf_2 U46636 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N118), .X(n37031) );
+  sky130_fd_sc_hd__clkinv_1 U46638 ( .A(n75844), .Y(n86388) );
+  sky130_fd_sc_hd__inv_2 U46639 ( .A(n69709), .Y(n86393) );
+  sky130_fd_sc_hd__and2_2 U46641 ( .A(n85433), .B(n59975), .X(n86098) );
+  sky130_fd_sc_hd__inv_4 U46642 ( .A(n61056), .Y(n36815) );
+  sky130_fd_sc_hd__buf_2 U46643 ( .A(n63149), .X(n86310) );
+  sky130_fd_sc_hd__clkbuf_1 U46644 ( .A(n49230), .X(n83351) );
+  sky130_fd_sc_hd__buf_2 U46645 ( .A(n61172), .X(n86399) );
+  sky130_fd_sc_hd__buf_2 U46646 ( .A(n61165), .X(n86400) );
+  sky130_fd_sc_hd__buf_2 U46647 ( .A(n61027), .X(n86398) );
+  sky130_fd_sc_hd__clkinv_1 U46648 ( .A(n60172), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata[9]) );
+  sky130_fd_sc_hd__clkinv_1 U46649 ( .A(n60188), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata[10]) );
+  sky130_fd_sc_hd__nand2_1 U46652 ( .A(n73016), .B(n73015), .Y(n73046) );
+  sky130_fd_sc_hd__and4_1 U46653 ( .A(n61907), .B(n61906), .C(n61905), .D(
+        n61904), .X(n36767) );
+  sky130_fd_sc_hd__xnor2_1 U46655 ( .A(n62188), .B(n62187), .Y(n36769) );
+  sky130_fd_sc_hd__nand2_1 U46656 ( .A(n73004), .B(n73008), .Y(n73003) );
+  sky130_fd_sc_hd__nor2_1 U46657 ( .A(n57675), .B(n72994), .Y(n57676) );
+  sky130_fd_sc_hd__nand2_1 U46658 ( .A(n73016), .B(n73000), .Y(n73001) );
+  sky130_fd_sc_hd__nand2_1 U46659 ( .A(n73011), .B(n73008), .Y(n73009) );
+  sky130_fd_sc_hd__inv_2 U46660 ( .A(n63154), .Y(n36804) );
+  sky130_fd_sc_hd__o21a_2 U46662 ( .A1(n69911), .A2(n38037), .B1(n63053), .X(
+        n36771) );
+  sky130_fd_sc_hd__buf_2 U46663 ( .A(n59246), .X(n37000) );
+  sky130_fd_sc_hd__and2_1 U46664 ( .A(n39567), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b10_5[4]), .X(n36772) );
+  sky130_fd_sc_hd__o21a_2 U46666 ( .A1(n83367), .A2(n41622), .B1(n41621), .X(
+        n36774) );
+  sky130_fd_sc_hd__inv_2 U46667 ( .A(n57367), .Y(n57252) );
+  sky130_fd_sc_hd__nor2b_1 U46668 ( .B_N(n55658), .A(n55660), .Y(n57367) );
+  sky130_fd_sc_hd__inv_2 U46669 ( .A(n58301), .Y(n37004) );
+  sky130_fd_sc_hd__o22a_1 U46671 ( .A1(n58854), .A2(n36967), .B1(n59401), .B2(
+        n59404), .X(n36776) );
+  sky130_fd_sc_hd__nand2_1 U46672 ( .A(n73011), .B(n73010), .Y(n73012) );
+  sky130_fd_sc_hd__nand2_1 U46673 ( .A(n73004), .B(n73010), .Y(n73005) );
+  sky130_fd_sc_hd__inv_2 U46674 ( .A(n42996), .Y(n58412) );
+  sky130_fd_sc_hd__nand2_1 U46675 ( .A(n39081), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_10[2]), 
+        .Y(n38272) );
+  sky130_fd_sc_hd__nor2_2 U46676 ( .A(n57192), .B(n36774), .Y(n56482) );
+  sky130_fd_sc_hd__buf_6 U46677 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[11]), .X(n62737) );
+  sky130_fd_sc_hd__a21oi_1 U46678 ( .A1(n70266), .A2(n70265), .B1(n53935), .Y(
+        n53957) );
+  sky130_fd_sc_hd__nand2_2 U46679 ( .A(n61455), .B(n55346), .Y(n46470) );
+  sky130_fd_sc_hd__o22ai_1 U46680 ( .A1(n78319), .A2(n59824), .B1(n83989), 
+        .B2(n83899), .Y(n55346) );
+  sky130_fd_sc_hd__nor4b_4 U46682 ( .D_N(n74011), .A(n74010), .B(n74009), .C(
+        n74008), .Y(n75843) );
+  sky130_fd_sc_hd__nand3_2 U46684 ( .A(n59476), .B(n59475), .C(n59474), .Y(
+        n59591) );
+  sky130_fd_sc_hd__nor2_2 U46685 ( .A(n37545), .B(n60931), .Y(n80707) );
+  sky130_fd_sc_hd__nand2_1 U46686 ( .A(n37601), .B(n37600), .Y(n36777) );
+  sky130_fd_sc_hd__nand2_2 U46687 ( .A(n37601), .B(n37600), .Y(n47614) );
+  sky130_fd_sc_hd__inv_12 U46688 ( .A(n40808), .Y(n36798) );
+  sky130_fd_sc_hd__nand2_1 U46689 ( .A(n40235), .B(n40234), .Y(n40031) );
+  sky130_fd_sc_hd__o22a_1 U46690 ( .A1(n68719), .A2(n70278), .B1(n68603), .B2(
+        n70279), .X(n66064) );
+  sky130_fd_sc_hd__inv_1 U46692 ( .A(n59523), .Y(n38305) );
+  sky130_fd_sc_hd__nor3_2 U46693 ( .A(n59976), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_valid_cached_miss_T_2), .C(n39532), .Y(n40660) );
+  sky130_fd_sc_hd__nor2_2 U46694 ( .A(n62979), .B(n62998), .Y(n40166) );
+  sky130_fd_sc_hd__nor2_2 U46695 ( .A(n42391), .B(n42401), .Y(n42392) );
+  sky130_fd_sc_hd__nor2_1 U46698 ( .A(n59564), .B(n59565), .Y(n59509) );
+  sky130_fd_sc_hd__inv_2 U46699 ( .A(n63153), .Y(n36780) );
+  sky130_fd_sc_hd__clkinv_1 U46700 ( .A(n72713), .Y(n63149) );
+  sky130_fd_sc_hd__inv_2 U46701 ( .A(n37075), .Y(n36781) );
+  sky130_fd_sc_hd__or2_0 U46702 ( .A(n67444), .B(n36217), .X(n36216) );
+  sky130_fd_sc_hd__o21ai_1 U46703 ( .A1(n36350), .A2(n82635), .B1(n61967), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_array_RW0_addr[3]) );
+  sky130_fd_sc_hd__o21ai_1 U46704 ( .A1(n36350), .A2(n82625), .B1(n61892), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_array_RW0_addr[1]) );
+  sky130_fd_sc_hd__clkinv_1 U46705 ( .A(n76547), .Y(n76548) );
+  sky130_fd_sc_hd__inv_2 U46706 ( .A(n73502), .Y(n73510) );
+  sky130_fd_sc_hd__clkinv_1 U46707 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_scale_io_regs_cfg_write_deglitch), .Y(n67427) );
+  sky130_fd_sc_hd__o211ai_1 U46708 ( .A1(n36350), .A2(n82652), .B1(n61899), 
+        .C1(n61898), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_array_RW0_addr[6]) );
+  sky130_fd_sc_hd__clkinv_1 U46709 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_scale_io_regs_cfg_write_deglitch), .Y(n67458) );
+  sky130_fd_sc_hd__clkinv_1 U46710 ( .A(n75671), .Y(n75657) );
+  sky130_fd_sc_hd__clkinv_1 U46711 ( .A(n36151), .Y(n81017) );
+  sky130_fd_sc_hd__inv_4 U46712 ( .A(n53026), .Y(n56929) );
+  sky130_fd_sc_hd__nand3_2 U46713 ( .A(n58468), .B(n58467), .C(n58466), .Y(
+        n85424) );
+  sky130_fd_sc_hd__nand2_1 U46714 ( .A(n80111), .B(n86402), .Y(n61073) );
+  sky130_fd_sc_hd__nand2_1 U46715 ( .A(n80108), .B(n36846), .Y(n61072) );
+  sky130_fd_sc_hd__or2_0 U46716 ( .A(n77954), .B(n49580), .X(n38378) );
+  sky130_fd_sc_hd__inv_2 U46717 ( .A(n53056), .Y(n36814) );
+  sky130_fd_sc_hd__inv_2 U46718 ( .A(n36813), .Y(n36788) );
+  sky130_fd_sc_hd__or2_0 U46719 ( .A(n84203), .B(n63527), .X(n38431) );
+  sky130_fd_sc_hd__inv_2 U46720 ( .A(n51926), .Y(n48464) );
+  sky130_fd_sc_hd__inv_2 U46721 ( .A(n56349), .Y(n56729) );
+  sky130_fd_sc_hd__inv_2 U46722 ( .A(n36768), .Y(n36789) );
+  sky130_fd_sc_hd__inv_1 U46723 ( .A(n36990), .Y(n53052) );
+  sky130_fd_sc_hd__or2_0 U46724 ( .A(n73552), .B(n63527), .X(n38430) );
+  sky130_fd_sc_hd__clkinv_1 U46726 ( .A(n76519), .Y(n39146) );
+  sky130_fd_sc_hd__clkinv_1 U46727 ( .A(n75611), .Y(n75612) );
+  sky130_fd_sc_hd__or2_0 U46728 ( .A(n80424), .B(n84178), .X(n76483) );
+  sky130_fd_sc_hd__clkinv_1 U46729 ( .A(n40225), .Y(n40247) );
+  sky130_fd_sc_hd__inv_1 U46730 ( .A(n36817), .Y(n56681) );
+  sky130_fd_sc_hd__nor2_1 U46732 ( .A(n41571), .B(n41567), .Y(n43541) );
+  sky130_fd_sc_hd__a21boi_0 U46733 ( .A1(n59992), .A2(n62072), .B1_N(n59991), 
+        .Y(n63156) );
+  sky130_fd_sc_hd__clkinv_1 U46734 ( .A(n63459), .Y(n63460) );
+  sky130_fd_sc_hd__clkinv_1 U46735 ( .A(n58815), .Y(n58844) );
+  sky130_fd_sc_hd__or2_0 U46737 ( .A(n84196), .B(n84198), .X(n76365) );
+  sky130_fd_sc_hd__or2_0 U46738 ( .A(n84199), .B(n84198), .X(n76381) );
+  sky130_fd_sc_hd__inv_6 U46739 ( .A(n38490), .Y(n36791) );
+  sky130_fd_sc_hd__clkinv_1 U46740 ( .A(n55570), .Y(n55545) );
+  sky130_fd_sc_hd__clkinv_1 U46741 ( .A(n68364), .Y(n68352) );
+  sky130_fd_sc_hd__inv_1 U46742 ( .A(n37862), .Y(n37861) );
+  sky130_fd_sc_hd__clkinv_1 U46743 ( .A(n73750), .Y(n63409) );
+  sky130_fd_sc_hd__clkinv_1 U46744 ( .A(n73372), .Y(n67457) );
+  sky130_fd_sc_hd__clkinv_1 U46745 ( .A(n59196), .Y(n59197) );
+  sky130_fd_sc_hd__inv_2 U46746 ( .A(n63026), .Y(n64254) );
+  sky130_fd_sc_hd__clkinv_1 U46747 ( .A(n65243), .Y(n69604) );
+  sky130_fd_sc_hd__nand2_1 U46748 ( .A(n72989), .B(n73010), .Y(n72990) );
+  sky130_fd_sc_hd__clkinv_1 U46749 ( .A(n75622), .Y(n75648) );
+  sky130_fd_sc_hd__nand2_2 U46750 ( .A(n40171), .B(n36825), .Y(n69572) );
+  sky130_fd_sc_hd__inv_2 U46751 ( .A(n40066), .Y(n40235) );
+  sky130_fd_sc_hd__inv_2 U46752 ( .A(n43818), .Y(n57560) );
+  sky130_fd_sc_hd__or2_0 U46754 ( .A(n63035), .B(n63021), .X(n64912) );
+  sky130_fd_sc_hd__clkinv_1 U46756 ( .A(n73006), .Y(n72997) );
+  sky130_fd_sc_hd__clkinv_1 U46757 ( .A(n72986), .Y(n72996) );
+  sky130_fd_sc_hd__inv_1 U46758 ( .A(n85543), .Y(n63870) );
+  sky130_fd_sc_hd__or2_0 U46760 ( .A(n55660), .B(n55658), .X(n55646) );
+  sky130_fd_sc_hd__nand2_1 U46761 ( .A(n38044), .B(n68510), .Y(n40154) );
+  sky130_fd_sc_hd__clkinv_1 U46762 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1__T_472), 
+        .Y(n83279) );
+  sky130_fd_sc_hd__a2bb2oi_2 U46763 ( .B1(n68586), .B2(n39976), .A1_N(n39975), 
+        .A2_N(n39974), .Y(n40063) );
+  sky130_fd_sc_hd__or2_1 U46764 ( .A(n48750), .B(n36830), .X(n37155) );
+  sky130_fd_sc_hd__clkinv_1 U46765 ( .A(n37708), .Y(n37707) );
+  sky130_fd_sc_hd__clkinv_1 U46766 ( .A(n49048), .Y(n49050) );
+  sky130_fd_sc_hd__or2_0 U46767 ( .A(n48752), .B(n37804), .X(n37193) );
+  sky130_fd_sc_hd__buf_4 U46768 ( .A(n62977), .X(n36832) );
+  sky130_fd_sc_hd__or2_0 U46769 ( .A(n38971), .B(n62944), .X(n37154) );
+  sky130_fd_sc_hd__a21oi_1 U46770 ( .A1(n44211), .A2(n44210), .B1(n41540), .Y(
+        n43707) );
+  sky130_fd_sc_hd__or2_0 U46771 ( .A(n36609), .B(n79017), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N48) );
+  sky130_fd_sc_hd__nor2_1 U46772 ( .A(n53584), .B(n53586), .Y(n60899) );
+  sky130_fd_sc_hd__nand2_2 U46773 ( .A(n39945), .B(n66417), .Y(n62998) );
+  sky130_fd_sc_hd__clkinv_1 U46774 ( .A(n39414), .Y(n39415) );
+  sky130_fd_sc_hd__clkinv_1 U46775 ( .A(n39900), .Y(n37468) );
+  sky130_fd_sc_hd__inv_1 U46776 ( .A(n58850), .Y(n36793) );
+  sky130_fd_sc_hd__or2_0 U46777 ( .A(n79108), .B(n36614), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N48) );
+  sky130_fd_sc_hd__or2_0 U46778 ( .A(n57450), .B(n56993), .X(n38366) );
+  sky130_fd_sc_hd__clkinv_1 U46779 ( .A(n44251), .Y(n48269) );
+  sky130_fd_sc_hd__or2_0 U46781 ( .A(n73131), .B(n73130), .X(n73132) );
+  sky130_fd_sc_hd__a21oi_1 U46782 ( .A1(n39942), .A2(n54979), .B1(n39926), .Y(
+        n62977) );
+  sky130_fd_sc_hd__or2_0 U46783 ( .A(n79199), .B(n36619), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N48) );
+  sky130_fd_sc_hd__clkinv_1 U46784 ( .A(n44541), .Y(n44586) );
+  sky130_fd_sc_hd__or2_1 U46785 ( .A(n41650), .B(n41739), .X(n53586) );
+  sky130_fd_sc_hd__buf_2 U46786 ( .A(n57676), .X(n36794) );
+  sky130_fd_sc_hd__o211ai_1 U46787 ( .A1(n39973), .A2(n39376), .B1(n38100), 
+        .C1(n38099), .Y(n39428) );
+  sky130_fd_sc_hd__clkinv_1 U46788 ( .A(n48099), .Y(n57071) );
+  sky130_fd_sc_hd__clkinv_1 U46789 ( .A(n45456), .Y(n51861) );
+  sky130_fd_sc_hd__or2_0 U46790 ( .A(n83103), .B(n83102), .X(n83107) );
+  sky130_fd_sc_hd__or2_0 U46791 ( .A(n83186), .B(n83185), .X(n83191) );
+  sky130_fd_sc_hd__or2_0 U46792 ( .A(n83141), .B(n83140), .X(n83147) );
+  sky130_fd_sc_hd__clkinv_1 U46793 ( .A(n57228), .Y(n57317) );
+  sky130_fd_sc_hd__clkinv_1 U46794 ( .A(n52209), .Y(n51508) );
+  sky130_fd_sc_hd__or2_0 U46796 ( .A(n83258), .B(n83257), .X(n83263) );
+  sky130_fd_sc_hd__clkinv_1 U46797 ( .A(n64349), .Y(n39914) );
+  sky130_fd_sc_hd__or2_0 U46798 ( .A(n54097), .B(n82463), .X(n63150) );
+  sky130_fd_sc_hd__or2_0 U46799 ( .A(n57432), .B(n50423), .X(n50502) );
+  sky130_fd_sc_hd__or2_0 U46800 ( .A(n47100), .B(n51822), .X(n45897) );
+  sky130_fd_sc_hd__clkinv_1 U46801 ( .A(n56475), .Y(n56597) );
+  sky130_fd_sc_hd__inv_1 U46802 ( .A(n57895), .Y(n57897) );
+  sky130_fd_sc_hd__clkinv_1 U46803 ( .A(n52234), .Y(n52426) );
+  sky130_fd_sc_hd__clkinv_1 U46804 ( .A(n46490), .Y(n50260) );
+  sky130_fd_sc_hd__mux2i_1 U46805 ( .A0(n62889), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[18]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .Y(n68952) );
+  sky130_fd_sc_hd__buf_2 U46806 ( .A(n60988), .X(n36795) );
+  sky130_fd_sc_hd__or2_0 U46807 ( .A(n79287), .B(n36624), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N48) );
+  sky130_fd_sc_hd__clkinv_1 U46808 ( .A(n50350), .Y(n50195) );
+  sky130_fd_sc_hd__clkinv_1 U46809 ( .A(n58176), .Y(n58155) );
+  sky130_fd_sc_hd__clkinv_1 U46810 ( .A(n65792), .Y(n65794) );
+  sky130_fd_sc_hd__clkinv_1 U46811 ( .A(n65789), .Y(n65790) );
+  sky130_fd_sc_hd__nor2_2 U46812 ( .A(n37950), .B(n54160), .Y(n39973) );
+  sky130_fd_sc_hd__or2_0 U46813 ( .A(n45642), .B(n52691), .X(n52705) );
+  sky130_fd_sc_hd__nor2_4 U46814 ( .A(n78356), .B(n63872), .Y(n86488) );
+  sky130_fd_sc_hd__or2_0 U46815 ( .A(n39120), .B(n39121), .X(n37153) );
+  sky130_fd_sc_hd__a21oi_1 U46816 ( .A1(n39817), .A2(n39816), .B1(n39815), .Y(
+        n39822) );
+  sky130_fd_sc_hd__nor2_1 U46817 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[28]), .B(n60263), .Y(n37577) );
+  sky130_fd_sc_hd__or2_0 U46819 ( .A(n83296), .B(n83096), .X(n83250) );
+  sky130_fd_sc_hd__or2_0 U46820 ( .A(n59766), .B(n59765), .X(n83531) );
+  sky130_fd_sc_hd__clkinv_1 U46822 ( .A(n47084), .Y(n57262) );
+  sky130_fd_sc_hd__clkinv_1 U46823 ( .A(n43367), .Y(n43556) );
+  sky130_fd_sc_hd__and2_0 U46825 ( .A(n39433), .B(n36841), .X(n37176) );
+  sky130_fd_sc_hd__clkinv_1 U46826 ( .A(n39536), .Y(n39444) );
+  sky130_fd_sc_hd__or2_0 U46827 ( .A(n65887), .B(n62832), .X(n62834) );
+  sky130_fd_sc_hd__or2_0 U46828 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_io_deq_bits_MPORT_addr[2]), .B(n37971), .X(n37061) );
+  sky130_fd_sc_hd__mux2i_1 U46829 ( .A0(n38874), .A1(n38873), .S(n38872), .Y(
+        n38962) );
+  sky130_fd_sc_hd__buf_4 U46830 ( .A(n39912), .X(n36796) );
+  sky130_fd_sc_hd__clkinv_1 U46831 ( .A(n38957), .Y(n38938) );
+  sky130_fd_sc_hd__clkinv_1 U46832 ( .A(n65751), .Y(n65763) );
+  sky130_fd_sc_hd__inv_1 U46833 ( .A(n38939), .Y(n38958) );
+  sky130_fd_sc_hd__nand2_1 U46834 ( .A(n54911), .B(n37000), .Y(n38037) );
+  sky130_fd_sc_hd__clkinv_1 U46835 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N102), .Y(n80575) );
+  sky130_fd_sc_hd__or2_0 U46836 ( .A(n61550), .B(n61539), .X(n61553) );
+  sky130_fd_sc_hd__inv_1 U46837 ( .A(n48839), .Y(n62087) );
+  sky130_fd_sc_hd__or2_0 U46838 ( .A(n63602), .B(n63613), .X(n63617) );
+  sky130_fd_sc_hd__clkinv_1 U46839 ( .A(n65679), .Y(n62499) );
+  sky130_fd_sc_hd__or2_0 U46840 ( .A(n43018), .B(n73309), .X(n73311) );
+  sky130_fd_sc_hd__mux2i_1 U46841 ( .A0(n62872), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[10]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .Y(n61093) );
+  sky130_fd_sc_hd__clkinv_1 U46842 ( .A(n57668), .Y(n45976) );
+  sky130_fd_sc_hd__or2_0 U46843 ( .A(n67816), .B(n67815), .X(n67821) );
+  sky130_fd_sc_hd__or2_0 U46844 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[12]), 
+        .B(n67859), .X(n67861) );
+  sky130_fd_sc_hd__inv_2 U46845 ( .A(n48062), .Y(n36797) );
+  sky130_fd_sc_hd__inv_2 U46846 ( .A(n36841), .Y(n64910) );
+  sky130_fd_sc_hd__or2_0 U46847 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[6]), 
+        .B(n63585), .X(n63587) );
+  sky130_fd_sc_hd__or2_0 U46848 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[10]), .B(n73434), .X(n73432) );
+  sky130_fd_sc_hd__or2_0 U46849 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[11]), .B(n73435), .X(n73438) );
+  sky130_fd_sc_hd__or2_0 U46850 ( .A(n67922), .B(n67921), .X(n67927) );
+  sky130_fd_sc_hd__or2_0 U46851 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[6]), 
+        .B(n67617), .X(n67619) );
+  sky130_fd_sc_hd__clkinv_1 U46852 ( .A(n69792), .Y(n62518) );
+  sky130_fd_sc_hd__or2_0 U46853 ( .A(n61506), .B(n61505), .X(n37130) );
+  sky130_fd_sc_hd__inv_4 U46854 ( .A(n54150), .Y(n37949) );
+  sky130_fd_sc_hd__or2_0 U46855 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[14]), .B(n63618), .X(n63620) );
+  sky130_fd_sc_hd__or2_0 U46856 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[5]), 
+        .B(n61784), .X(n61786) );
+  sky130_fd_sc_hd__or2_0 U46857 ( .A(n63567), .B(n63566), .X(n38383) );
+  sky130_fd_sc_hd__or2_0 U46858 ( .A(n62827), .B(n62828), .X(n69731) );
+  sky130_fd_sc_hd__or2_0 U46859 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[11]), .B(n61543), .X(n61546) );
+  sky130_fd_sc_hd__or2_0 U46860 ( .A(n67599), .B(n67598), .X(n67603) );
+  sky130_fd_sc_hd__or2_0 U46861 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[12]), .B(n67641), .X(n67643) );
+  sky130_fd_sc_hd__or2_0 U46862 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[10]), .B(n61542), .X(n61538) );
+  sky130_fd_sc_hd__or2_0 U46863 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[14]), .B(n61555), .X(n61557) );
+  sky130_fd_sc_hd__or2_0 U46864 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[12]), .B(n67971), .X(n67973) );
+  sky130_fd_sc_hd__or2_0 U46865 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[11]), .B(n63606), .X(n63609) );
+  sky130_fd_sc_hd__or2_0 U46866 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[23]), .B(n58664), .X(n68824) );
+  sky130_fd_sc_hd__clkinv_1 U46867 ( .A(n61598), .Y(n61485) );
+  sky130_fd_sc_hd__or2_0 U46868 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[4]), 
+        .B(n68112), .X(n68110) );
+  sky130_fd_sc_hd__or2_0 U46869 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[22]), .B(n58664), .X(n64997) );
+  sky130_fd_sc_hd__or2_0 U46870 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[6]), 
+        .B(n67835), .X(n67837) );
+  sky130_fd_sc_hd__or2_0 U46871 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[5]), 
+        .B(n68113), .X(n68116) );
+  sky130_fd_sc_hd__or2_0 U46873 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[10]), .B(n63605), .X(n63601) );
+  sky130_fd_sc_hd__or2_0 U46874 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[6]), 
+        .B(n67941), .X(n67943) );
+  sky130_fd_sc_hd__or2_0 U46875 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[31]), .B(n58664), .X(n58639) );
+  sky130_fd_sc_hd__or2_0 U46876 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[29]), .B(n58664), .X(n72485) );
+  sky130_fd_sc_hd__or2_0 U46877 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[27]), .B(n58664), .X(n70540) );
+  sky130_fd_sc_hd__or2_0 U46878 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[25]), .B(n58664), .X(n69225) );
+  sky130_fd_sc_hd__or2_0 U46879 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[13]), 
+        .B(n68151), .X(n68153) );
+  sky130_fd_sc_hd__or2_0 U46880 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[5]), 
+        .B(n73409), .X(n73411) );
+  sky130_fd_sc_hd__or2_0 U46881 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[2]), 
+        .B(n67480), .X(n67482) );
+  sky130_fd_sc_hd__clkinv_1 U46882 ( .A(n61077), .Y(n47915) );
+  sky130_fd_sc_hd__clkinv_1 U46883 ( .A(n83802), .Y(n83788) );
+  sky130_fd_sc_hd__or2_0 U46884 ( .A(n62835), .B(n62836), .X(n69714) );
+  sky130_fd_sc_hd__or2_0 U46885 ( .A(n76182), .B(n85588), .X(n76181) );
+  sky130_fd_sc_hd__or2_0 U46886 ( .A(n62836), .B(n62829), .X(n69726) );
+  sky130_fd_sc_hd__nor2_2 U46887 ( .A(n60362), .B(n60039), .Y(n86316) );
+  sky130_fd_sc_hd__nor2_2 U46888 ( .A(n60038), .B(n60039), .Y(n86329) );
+  sky130_fd_sc_hd__nor2_2 U46889 ( .A(n60362), .B(n60040), .Y(n86315) );
+  sky130_fd_sc_hd__nor2_1 U46890 ( .A(n55638), .B(n77566), .Y(n44092) );
+  sky130_fd_sc_hd__buf_4 U46891 ( .A(n59246), .X(n36841) );
+  sky130_fd_sc_hd__nor2_2 U46892 ( .A(n60038), .B(n60040), .Y(n86325) );
+  sky130_fd_sc_hd__or2_0 U46893 ( .A(n62516), .B(n62517), .X(n69793) );
+  sky130_fd_sc_hd__or2_0 U46894 ( .A(n67475), .B(n67474), .X(n67479) );
+  sky130_fd_sc_hd__nor2_2 U46895 ( .A(n60037), .B(n60039), .Y(n86323) );
+  sky130_fd_sc_hd__or2_0 U46896 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[15]), .B(n67988), .X(n67990) );
+  sky130_fd_sc_hd__nor2_2 U46897 ( .A(n60037), .B(n60040), .Y(n86311) );
+  sky130_fd_sc_hd__nor2_2 U46898 ( .A(n60373), .B(n60039), .Y(n86320) );
+  sky130_fd_sc_hd__inv_4 U46899 ( .A(n57525), .Y(n57120) );
+  sky130_fd_sc_hd__or2_0 U46900 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[15]), .B(n67657), .X(n67659) );
+  sky130_fd_sc_hd__or2_0 U46901 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[15]), 
+        .B(n67875), .X(n67877) );
+  sky130_fd_sc_hd__inv_2 U46902 ( .A(n39316), .Y(n36799) );
+  sky130_fd_sc_hd__nor2_2 U46903 ( .A(n60373), .B(n60040), .Y(n86308) );
+  sky130_fd_sc_hd__or2_0 U46905 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[5]), .B(n43025), .X(n58402) );
+  sky130_fd_sc_hd__or2_0 U46906 ( .A(n69325), .B(n69324), .X(n69331) );
+  sky130_fd_sc_hd__or2_0 U46907 ( .A(n59434), .B(n59431), .X(n37211) );
+  sky130_fd_sc_hd__clkinv_1 U46908 ( .A(n53298), .Y(n53276) );
+  sky130_fd_sc_hd__or2_0 U46909 ( .A(n42913), .B(n42912), .X(n42914) );
+  sky130_fd_sc_hd__nor2_2 U46910 ( .A(n60038), .B(n60371), .Y(n86330) );
+  sky130_fd_sc_hd__or2_0 U46911 ( .A(n62107), .B(n62334), .X(n62109) );
+  sky130_fd_sc_hd__nor2_2 U46912 ( .A(n60373), .B(n60041), .Y(n86312) );
+  sky130_fd_sc_hd__nor2_2 U46913 ( .A(n60038), .B(n60041), .Y(n86324) );
+  sky130_fd_sc_hd__clkinv_1 U46914 ( .A(n57514), .Y(n57313) );
+  sky130_fd_sc_hd__clkinv_1 U46915 ( .A(n55292), .Y(n47820) );
+  sky130_fd_sc_hd__nor2_2 U46916 ( .A(n60372), .B(n60037), .Y(n86319) );
+  sky130_fd_sc_hd__clkinv_1 U46917 ( .A(n62336), .Y(n62339) );
+  sky130_fd_sc_hd__clkinv_1 U46918 ( .A(n60968), .Y(n64307) );
+  sky130_fd_sc_hd__or2_0 U46919 ( .A(n69499), .B(n69498), .X(n37212) );
+  sky130_fd_sc_hd__inv_4 U46920 ( .A(n54357), .Y(n54782) );
+  sky130_fd_sc_hd__or2_0 U46922 ( .A(n58158), .B(n58159), .X(n37233) );
+  sky130_fd_sc_hd__or2_0 U46923 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_3_bits_way_en[0]), .B(n77621), .X(n77622) );
+  sky130_fd_sc_hd__or2_0 U46924 ( .A(n70304), .B(n70300), .X(n70265) );
+  sky130_fd_sc_hd__or2_0 U46925 ( .A(n68793), .B(n68792), .X(n68802) );
+  sky130_fd_sc_hd__buf_4 U46926 ( .A(n41614), .X(n57525) );
+  sky130_fd_sc_hd__clkinv_1 U46927 ( .A(n56103), .Y(n47440) );
+  sky130_fd_sc_hd__nor2_2 U46928 ( .A(n60371), .B(n60362), .Y(n86328) );
+  sky130_fd_sc_hd__or2_0 U46929 ( .A(n66073), .B(n66072), .X(n66079) );
+  sky130_fd_sc_hd__or2_0 U46930 ( .A(n58789), .B(n58788), .X(n58790) );
+  sky130_fd_sc_hd__nor2_2 U46931 ( .A(n60361), .B(n60037), .Y(n86318) );
+  sky130_fd_sc_hd__or2_0 U46932 ( .A(n41518), .B(n41519), .X(n38466) );
+  sky130_fd_sc_hd__or2_0 U46933 ( .A(n69441), .B(n69440), .X(n69446) );
+  sky130_fd_sc_hd__or2_0 U46934 ( .A(n68719), .B(n68718), .X(n68799) );
+  sky130_fd_sc_hd__clkinv_1 U46935 ( .A(n85130), .Y(n47824) );
+  sky130_fd_sc_hd__nor2_2 U46936 ( .A(n60038), .B(n60372), .Y(n86317) );
+  sky130_fd_sc_hd__or2_0 U46937 ( .A(n42559), .B(n42558), .X(n42560) );
+  sky130_fd_sc_hd__or2_0 U46938 ( .A(n42537), .B(n42536), .X(n42538) );
+  sky130_fd_sc_hd__or2_0 U46939 ( .A(n42752), .B(n42751), .X(n42753) );
+  sky130_fd_sc_hd__or2_0 U46940 ( .A(n49016), .B(n49015), .X(n61022) );
+  sky130_fd_sc_hd__or2_0 U46941 ( .A(n47441), .B(n47442), .X(n37227) );
+  sky130_fd_sc_hd__or2_0 U46942 ( .A(n41550), .B(n41551), .X(n46705) );
+  sky130_fd_sc_hd__or2_0 U46943 ( .A(n41538), .B(n41539), .X(n44210) );
+  sky130_fd_sc_hd__nor2_2 U46944 ( .A(n60038), .B(n60361), .Y(n86366) );
+  sky130_fd_sc_hd__or2_0 U46945 ( .A(n73668), .B(n85694), .X(n73638) );
+  sky130_fd_sc_hd__or2_0 U46946 ( .A(n47450), .B(n47451), .X(n48050) );
+  sky130_fd_sc_hd__o22ai_2 U46947 ( .A1(n39502), .A2(n39501), .B1(n72813), 
+        .B2(n72803), .Y(n42923) );
+  sky130_fd_sc_hd__or2_0 U46948 ( .A(n41531), .B(n41532), .X(n52296) );
+  sky130_fd_sc_hd__or2_0 U46949 ( .A(n73644), .B(n85688), .X(n73647) );
+  sky130_fd_sc_hd__or2_0 U46950 ( .A(n47431), .B(n47432), .X(n52307) );
+  sky130_fd_sc_hd__or2_0 U46951 ( .A(n73639), .B(n85687), .X(n73641) );
+  sky130_fd_sc_hd__or2_0 U46952 ( .A(n64106), .B(n70414), .X(n70464) );
+  sky130_fd_sc_hd__nor2_2 U46953 ( .A(n60373), .B(n60361), .Y(n86331) );
+  sky130_fd_sc_hd__or2_0 U46954 ( .A(n47438), .B(n47439), .X(n56104) );
+  sky130_fd_sc_hd__or2_0 U46955 ( .A(n42657), .B(n42656), .X(n42658) );
+  sky130_fd_sc_hd__clkinv_1 U46956 ( .A(n50942), .Y(n57476) );
+  sky130_fd_sc_hd__inv_1 U46957 ( .A(n39020), .Y(n39009) );
+  sky130_fd_sc_hd__or2_0 U46960 ( .A(n73703), .B(n85701), .X(n73706) );
+  sky130_fd_sc_hd__clkinv_1 U46961 ( .A(n47827), .Y(n47810) );
+  sky130_fd_sc_hd__or2_0 U46962 ( .A(n73695), .B(n85699), .X(n73698) );
+  sky130_fd_sc_hd__mux2i_1 U46963 ( .A0(n47827), .A1(n47826), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_io_full), .Y(n83579) );
+  sky130_fd_sc_hd__inv_1 U46964 ( .A(n57878), .Y(n57868) );
+  sky130_fd_sc_hd__or2_0 U46965 ( .A(n47281), .B(n47458), .X(n47283) );
+  sky130_fd_sc_hd__clkinv_1 U46966 ( .A(n38709), .Y(n38721) );
+  sky130_fd_sc_hd__or2_0 U46967 ( .A(n73696), .B(n85700), .X(n73570) );
+  sky130_fd_sc_hd__or2_0 U46968 ( .A(n47418), .B(n47419), .X(n38453) );
+  sky130_fd_sc_hd__or2_0 U46969 ( .A(n73645), .B(n85689), .X(n73572) );
+  sky130_fd_sc_hd__or2_0 U46970 ( .A(n43047), .B(n43048), .X(n58418) );
+  sky130_fd_sc_hd__or2_0 U46971 ( .A(n71399), .B(n71398), .X(n74909) );
+  sky130_fd_sc_hd__clkinv_1 U46972 ( .A(n59417), .Y(n57945) );
+  sky130_fd_sc_hd__inv_4 U46973 ( .A(n68709), .Y(n37934) );
+  sky130_fd_sc_hd__clkinv_1 U46974 ( .A(n66143), .Y(n62483) );
+  sky130_fd_sc_hd__clkinv_1 U46975 ( .A(n66156), .Y(n62485) );
+  sky130_fd_sc_hd__or2_0 U46976 ( .A(n41379), .B(n41559), .X(n41381) );
+  sky130_fd_sc_hd__clkinv_1 U46977 ( .A(n57966), .Y(n57980) );
+  sky130_fd_sc_hd__or2_0 U46978 ( .A(n74435), .B(n74692), .X(n74700) );
+  sky130_fd_sc_hd__clkinv_1 U46979 ( .A(n72640), .Y(n72649) );
+  sky130_fd_sc_hd__inv_2 U46980 ( .A(n60847), .Y(n73277) );
+  sky130_fd_sc_hd__inv_2 U46981 ( .A(n60819), .Y(n73275) );
+  sky130_fd_sc_hd__clkinv_1 U46982 ( .A(n39494), .Y(n37980) );
+  sky130_fd_sc_hd__or2_0 U46983 ( .A(n47374), .B(n47375), .X(n38451) );
+  sky130_fd_sc_hd__mux2_2 U46984 ( .A0(n57963), .A1(n38841), .S(n59388), .X(
+        n57979) );
+  sky130_fd_sc_hd__nand2_1 U46985 ( .A(n47695), .B(n47687), .Y(n47700) );
+  sky130_fd_sc_hd__inv_2 U46986 ( .A(n72752), .Y(n73287) );
+  sky130_fd_sc_hd__clkinv_1 U46987 ( .A(n47655), .Y(n53540) );
+  sky130_fd_sc_hd__inv_2 U46988 ( .A(n64064), .Y(n73284) );
+  sky130_fd_sc_hd__inv_2 U46989 ( .A(n60817), .Y(n73288) );
+  sky130_fd_sc_hd__or2_0 U46990 ( .A(n74039), .B(n73868), .X(n75844) );
+  sky130_fd_sc_hd__and2_0 U46991 ( .A(n85487), .B(n36846), .X(n63474) );
+  sky130_fd_sc_hd__clkinv_1 U46992 ( .A(n39532), .Y(n41303) );
+  sky130_fd_sc_hd__or2_0 U46993 ( .A(n47365), .B(n47366), .X(n38449) );
+  sky130_fd_sc_hd__or2_0 U46994 ( .A(n60062), .B(n83785), .X(n83807) );
+  sky130_fd_sc_hd__and2_0 U46995 ( .A(n76444), .B(n36846), .X(n80072) );
+  sky130_fd_sc_hd__or2_0 U46996 ( .A(n58749), .B(n58750), .X(n73083) );
+  sky130_fd_sc_hd__or2_0 U46997 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_MPORT_addr[0]), .B(n80989), .X(n81003) );
+  sky130_fd_sc_hd__or2_0 U46998 ( .A(n73315), .B(n73314), .X(n73317) );
+  sky130_fd_sc_hd__or2_0 U46999 ( .A(n47359), .B(n47360), .X(n38448) );
+  sky130_fd_sc_hd__or2_0 U47001 ( .A(n58738), .B(n58739), .X(n69385) );
+  sky130_fd_sc_hd__or2_0 U47002 ( .A(n58733), .B(n58734), .X(n69220) );
+  sky130_fd_sc_hd__or2_0 U47003 ( .A(n47372), .B(n47373), .X(n51038) );
+  sky130_fd_sc_hd__clkinv_1 U47004 ( .A(n78398), .Y(n54108) );
+  sky130_fd_sc_hd__or2_0 U47005 ( .A(n58743), .B(n58744), .X(n72437) );
+  sky130_fd_sc_hd__or2_0 U47006 ( .A(n47379), .B(n47380), .X(n38452) );
+  sky130_fd_sc_hd__clkinv_1 U47007 ( .A(n82457), .Y(n61474) );
+  sky130_fd_sc_hd__or2_0 U47008 ( .A(n58723), .B(n58724), .X(n64985) );
+  sky130_fd_sc_hd__or2_0 U47009 ( .A(n47363), .B(n47364), .X(n38450) );
+  sky130_fd_sc_hd__and2_0 U47010 ( .A(n62921), .B(n36846), .X(n86279) );
+  sky130_fd_sc_hd__or2_0 U47011 ( .A(n41520), .B(n41521), .X(n48469) );
+  sky130_fd_sc_hd__clkinv_1 U47013 ( .A(n39017), .Y(n59511) );
+  sky130_fd_sc_hd__or2_0 U47014 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[33]), .B(n62482), .X(n66144) );
+  sky130_fd_sc_hd__clkinv_1 U47015 ( .A(n39008), .Y(n39019) );
+  sky130_fd_sc_hd__or2_0 U47016 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[34]), .B(n62484), .X(n66157) );
+  sky130_fd_sc_hd__inv_2 U47017 ( .A(n60813), .Y(n73301) );
+  sky130_fd_sc_hd__inv_2 U47018 ( .A(n60842), .Y(n73298) );
+  sky130_fd_sc_hd__or2_0 U47019 ( .A(n45932), .B(n45931), .X(n45934) );
+  sky130_fd_sc_hd__inv_2 U47020 ( .A(n60797), .Y(n73294) );
+  sky130_fd_sc_hd__inv_2 U47021 ( .A(n60812), .Y(n73299) );
+  sky130_fd_sc_hd__inv_2 U47022 ( .A(n63999), .Y(n73300) );
+  sky130_fd_sc_hd__inv_2 U47023 ( .A(n60796), .Y(n72721) );
+  sky130_fd_sc_hd__inv_2 U47024 ( .A(n60789), .Y(n73285) );
+  sky130_fd_sc_hd__inv_2 U47025 ( .A(n64068), .Y(n73282) );
+  sky130_fd_sc_hd__inv_2 U47026 ( .A(n60798), .Y(n73297) );
+  sky130_fd_sc_hd__inv_2 U47027 ( .A(n60793), .Y(n73289) );
+  sky130_fd_sc_hd__inv_2 U47028 ( .A(n60794), .Y(n73295) );
+  sky130_fd_sc_hd__or2_0 U47029 ( .A(n73611), .B(n73610), .X(n73581) );
+  sky130_fd_sc_hd__inv_2 U47030 ( .A(n60816), .Y(n73272) );
+  sky130_fd_sc_hd__inv_2 U47031 ( .A(n63995), .Y(n73270) );
+  sky130_fd_sc_hd__nor2_1 U47032 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[2]), .B(n37706), .Y(n39654) );
+  sky130_fd_sc_hd__nand3_1 U47034 ( .A(n38021), .B(n38913), .C(n38914), .Y(
+        n59570) );
+  sky130_fd_sc_hd__o21a_1 U47036 ( .A1(n38835), .A2(n57938), .B1(n38820), .X(
+        n59601) );
+  sky130_fd_sc_hd__inv_2 U47038 ( .A(n60818), .Y(n73273) );
+  sky130_fd_sc_hd__inv_2 U47039 ( .A(n36975), .Y(n41606) );
+  sky130_fd_sc_hd__or2_0 U47040 ( .A(n70748), .B(n74701), .X(n74672) );
+  sky130_fd_sc_hd__clkinv_1 U47041 ( .A(n47751), .Y(n47752) );
+  sky130_fd_sc_hd__inv_2 U47043 ( .A(n47654), .Y(n55557) );
+  sky130_fd_sc_hd__or2_0 U47044 ( .A(n47420), .B(n47421), .X(n50070) );
+  sky130_fd_sc_hd__or2_0 U47045 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar__readys_unready_T_8[10]), .B(n59825), .X(n37219) );
+  sky130_fd_sc_hd__clkinv_1 U47046 ( .A(n85504), .Y(n68440) );
+  sky130_fd_sc_hd__or2_0 U47047 ( .A(n73614), .B(n73613), .X(n73610) );
+  sky130_fd_sc_hd__clkinv_1 U47048 ( .A(n85509), .Y(n76210) );
+  sky130_fd_sc_hd__or2_0 U47049 ( .A(n40718), .B(n40704), .X(n45943) );
+  sky130_fd_sc_hd__or2_0 U47050 ( .A(n40773), .B(n40704), .X(n45284) );
+  sky130_fd_sc_hd__or2_0 U47051 ( .A(n49964), .B(n49963), .X(n52614) );
+  sky130_fd_sc_hd__a21boi_1 U47052 ( .A1(n85509), .A2(n37899), .B1_N(n37531), 
+        .Y(n73342) );
+  sky130_fd_sc_hd__clkinv_1 U47053 ( .A(n39302), .Y(n39381) );
+  sky130_fd_sc_hd__or2_0 U47054 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[9]), .B(n78245), .X(n78555) );
+  sky130_fd_sc_hd__or2_0 U47055 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_size[1]), .B(n85817), .X(n85882) );
+  sky130_fd_sc_hd__or2_0 U47057 ( .A(n84065), .B(n83814), .X(n83815) );
+  sky130_fd_sc_hd__clkinv_1 U47058 ( .A(n47873), .Y(n47813) );
+  sky130_fd_sc_hd__inv_8 U47059 ( .A(n37037), .Y(n36848) );
+  sky130_fd_sc_hd__or2_0 U47060 ( .A(n73622), .B(n73621), .X(n73613) );
+  sky130_fd_sc_hd__nor2_1 U47061 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_cmd[3]), .B(n54123), .Y(n54099) );
+  sky130_fd_sc_hd__clkinv_1 U47063 ( .A(n47709), .Y(n47532) );
+  sky130_fd_sc_hd__clkinv_1 U47064 ( .A(n62153), .Y(n62155) );
+  sky130_fd_sc_hd__clkinv_1 U47065 ( .A(n53869), .Y(n53851) );
+  sky130_fd_sc_hd__or2_0 U47066 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[30]), .B(n38499), .X(n39825) );
+  sky130_fd_sc_hd__or2_0 U47067 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[31]), .B(n38499), .X(n39828) );
+  sky130_fd_sc_hd__or2_0 U47068 ( .A(n49648), .B(n49647), .X(n49649) );
+  sky130_fd_sc_hd__or2_0 U47069 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_cmd[3]), .B(n41366), .X(n40042) );
+  sky130_fd_sc_hd__or2_0 U47070 ( .A(n83159), .B(n44384), .X(n83163) );
+  sky130_fd_sc_hd__or2_0 U47071 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar__readys_unready_T_8[13]), .B(n59830), .X(n41690) );
+  sky130_fd_sc_hd__or2_0 U47072 ( .A(n59727), .B(n59726), .X(n59728) );
+  sky130_fd_sc_hd__clkinv_1 U47073 ( .A(n60870), .Y(n41584) );
+  sky130_fd_sc_hd__clkinv_1 U47074 ( .A(n65753), .Y(n64552) );
+  sky130_fd_sc_hd__clkinv_1 U47075 ( .A(n37596), .Y(n37595) );
+  sky130_fd_sc_hd__and2_0 U47076 ( .A(n39567), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b10_5[3]), .X(n37096) );
+  sky130_fd_sc_hd__and2_0 U47077 ( .A(n39567), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b10_5[2]), .X(n37095) );
+  sky130_fd_sc_hd__or2_0 U47078 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rvc), .B(n39567), .X(n37195) );
+  sky130_fd_sc_hd__or2_0 U47079 ( .A(n57907), .B(n57906), .X(n38461) );
+  sky130_fd_sc_hd__or2_0 U47080 ( .A(n57810), .B(n57812), .X(n37150) );
+  sky130_fd_sc_hd__and2_0 U47081 ( .A(n38921), .B(n58038), .X(n37105) );
+  sky130_fd_sc_hd__clkinv_1 U47082 ( .A(n62180), .Y(n62181) );
+  sky130_fd_sc_hd__clkinv_1 U47083 ( .A(n47814), .Y(n47613) );
+  sky130_fd_sc_hd__inv_4 U47084 ( .A(n83116), .Y(n37038) );
+  sky130_fd_sc_hd__or2_0 U47085 ( .A(n37622), .B(n38540), .X(n37156) );
+  sky130_fd_sc_hd__clkinv_1 U47086 ( .A(n62141), .Y(n62182) );
+  sky130_fd_sc_hd__or2_0 U47087 ( .A(n37032), .B(n62690), .X(n37119) );
+  sky130_fd_sc_hd__or2_0 U47088 ( .A(n56031), .B(n56030), .X(n69709) );
+  sky130_fd_sc_hd__or2_0 U47089 ( .A(n73619), .B(n73618), .X(n73621) );
+  sky130_fd_sc_hd__or2_0 U47090 ( .A(n76873), .B(n76834), .X(n76870) );
+  sky130_fd_sc_hd__or2_0 U47091 ( .A(n73895), .B(n38470), .X(n38373) );
+  sky130_fd_sc_hd__or2_0 U47092 ( .A(n73984), .B(n38470), .X(n73910) );
+  sky130_fd_sc_hd__clkinv_1 U47093 ( .A(n56881), .Y(n63648) );
+  sky130_fd_sc_hd__or2_0 U47094 ( .A(n58199), .B(n39021), .X(n39022) );
+  sky130_fd_sc_hd__buf_4 U47095 ( .A(n48920), .X(n48917) );
+  sky130_fd_sc_hd__clkinv_1 U47096 ( .A(n38532), .Y(n38529) );
+  sky130_fd_sc_hd__inv_4 U47097 ( .A(n59770), .Y(n73315) );
+  sky130_fd_sc_hd__clkinv_1 U47098 ( .A(n57767), .Y(n57754) );
+  sky130_fd_sc_hd__or2_0 U47099 ( .A(n72714), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[1]), .X(n72716) );
+  sky130_fd_sc_hd__or2_0 U47101 ( .A(
+        MarmotCaravelChip_dut_sys_uart_0_rxd_uart_rxd_sync_output_chain_sync_1), .B(n83631), .X(
+        MarmotCaravelChip_dut_sys_uart_0_rxd_uart_rxd_sync_output_chain_N0) );
+  sky130_fd_sc_hd__or2_0 U47102 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[43]), .B(n64529), .X(n65485) );
+  sky130_fd_sc_hd__or2_0 U47103 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[48]), .B(n64543), .X(n65726) );
+  sky130_fd_sc_hd__or2_0 U47104 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[34]), .B(n64504), .X(n65284) );
+  sky130_fd_sc_hd__or2_0 U47105 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[44]), .B(n64530), .X(n38407) );
+  sky130_fd_sc_hd__clkinv_1 U47106 ( .A(n38929), .Y(n38912) );
+  sky130_fd_sc_hd__or2_0 U47107 ( .A(n73627), .B(n73626), .X(n73618) );
+  sky130_fd_sc_hd__buf_6 U47109 ( .A(n41596), .X(n36850) );
+  sky130_fd_sc_hd__inv_2 U47110 ( .A(n62693), .Y(n62690) );
+  sky130_fd_sc_hd__or2_0 U47111 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[61]), .B(n64566), .X(n69614) );
+  sky130_fd_sc_hd__or2_0 U47112 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[59]), .B(n64563), .X(n65869) );
+  sky130_fd_sc_hd__or2_0 U47113 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[57]), .B(n64560), .X(n65840) );
+  sky130_fd_sc_hd__or2_0 U47114 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[55]), .B(n64557), .X(n65818) );
+  sky130_fd_sc_hd__or2_0 U47115 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[53]), .B(n64554), .X(n65783) );
+  sky130_fd_sc_hd__or2_0 U47116 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[51]), .B(n64551), .X(n65754) );
+  sky130_fd_sc_hd__or2_0 U47117 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[49]), .B(n64544), .X(n65729) );
+  sky130_fd_sc_hd__or2_0 U47118 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[47]), .B(n64536), .X(n38408) );
+  sky130_fd_sc_hd__or2_0 U47119 ( .A(n37562), .B(n47699), .X(n37190) );
+  sky130_fd_sc_hd__xnor2_1 U47120 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[6]), .B(n62581), .Y(n62426) );
+  sky130_fd_sc_hd__or2_0 U47121 ( .A(n37564), .B(n60963), .X(n37192) );
+  sky130_fd_sc_hd__or2_0 U47122 ( .A(n37566), .B(n73771), .X(n37196) );
+  sky130_fd_sc_hd__or2_0 U47123 ( .A(n73915), .B(n73914), .X(n73916) );
+  sky130_fd_sc_hd__inv_6 U47124 ( .A(n82466), .Y(n36851) );
+  sky130_fd_sc_hd__or2_0 U47125 ( .A(n73914), .B(n73894), .X(n38470) );
+  sky130_fd_sc_hd__inv_2 U47126 ( .A(n39174), .Y(n39233) );
+  sky130_fd_sc_hd__nand2_1 U47127 ( .A(n39904), .B(n57607), .Y(n44126) );
+  sky130_fd_sc_hd__clkinv_1 U47128 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_19[0]), 
+        .Y(n45783) );
+  sky130_fd_sc_hd__inv_2 U47129 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[0]), .Y(n48914) );
+  sky130_fd_sc_hd__or2_0 U47130 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[29]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[29]), .X(n76161) );
+  sky130_fd_sc_hd__clkinv_1 U47131 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_45[1]), 
+        .Y(n57689) );
+  sky130_fd_sc_hd__clkinv_1 U47132 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_7[0]), 
+        .Y(n39066) );
+  sky130_fd_sc_hd__clkinv_1 U47133 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_45[0]), 
+        .Y(n38575) );
+  sky130_fd_sc_hd__clkinv_1 U47134 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_8[1]), 
+        .Y(n39069) );
+  sky130_fd_sc_hd__clkinv_1 U47135 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[12]), 
+        .Y(n67171) );
+  sky130_fd_sc_hd__clkinv_1 U47136 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_51[0]), 
+        .Y(n38722) );
+  sky130_fd_sc_hd__clkinv_1 U47137 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_13[0]), 
+        .Y(n45760) );
+  sky130_fd_sc_hd__clkinv_1 U47138 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_13[2]), 
+        .Y(n58118) );
+  sky130_fd_sc_hd__clkinv_1 U47139 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_13[1]), 
+        .Y(n58107) );
+  sky130_fd_sc_hd__clkinv_1 U47140 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_16[2]), 
+        .Y(n57964) );
+  sky130_fd_sc_hd__clkinv_1 U47141 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_11[2]), 
+        .Y(n39050) );
+  sky130_fd_sc_hd__clkinv_1 U47142 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[8]), .Y(n64518) );
+  sky130_fd_sc_hd__clkinv_1 U47143 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[3]), .Y(n74286) );
+  sky130_fd_sc_hd__clkinv_1 U47144 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_30[0]), 
+        .Y(n38879) );
+  sky130_fd_sc_hd__inv_2 U47145 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[8]), .Y(n53430) );
+  sky130_fd_sc_hd__clkinv_1 U47146 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_49[0]), 
+        .Y(n45788) );
+  sky130_fd_sc_hd__clkinv_1 U47147 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[27]), .Y(n61597) );
+  sky130_fd_sc_hd__clkinv_1 U47148 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_27[2]), 
+        .Y(n58017) );
+  sky130_fd_sc_hd__xnor2_1 U47149 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[27]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[26]), .Y(n62284) );
+  sky130_fd_sc_hd__or2_0 U47150 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_opcode[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_opcode[1]), .X(n47735) );
+  sky130_fd_sc_hd__inv_4 U47151 ( .A(n62148), .Y(n36800) );
+  sky130_fd_sc_hd__clkinv_1 U47152 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_MPORT_addr[3]), .Y(n83217) );
+  sky130_fd_sc_hd__or2_0 U47153 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_acknum[3]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_acknum[2]), .X(n83639) );
+  sky130_fd_sc_hd__inv_1 U47154 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_32[1]), 
+        .Y(n45547) );
+  sky130_fd_sc_hd__or2_0 U47155 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[21]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[21]), .X(n76064) );
+  sky130_fd_sc_hd__clkinv_1 U47156 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_33[0]), 
+        .Y(n57785) );
+  sky130_fd_sc_hd__or2_0 U47157 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[19]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[19]), .X(n76038) );
+  sky130_fd_sc_hd__or2_0 U47158 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[17]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[17]), .X(n76713) );
+  sky130_fd_sc_hd__clkinv_1 U47159 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_bypass_0), .Y(n65663) );
+  sky130_fd_sc_hd__inv_12 U47160 ( .A(n74033), .Y(n36801) );
+  sky130_fd_sc_hd__inv_6 U47161 ( .A(n49230), .Y(n36802) );
+  sky130_fd_sc_hd__clkinv_1 U47162 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_alu2[1]), .Y(n53623) );
+  sky130_fd_sc_hd__or2_0 U47163 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[0]), .B(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[0]), .X(n76474) );
+  sky130_fd_sc_hd__or2_0 U47164 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[25]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[25]), .X(n76113) );
+  sky130_fd_sc_hd__or2_0 U47165 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[23]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[23]), .X(n76091) );
+  sky130_fd_sc_hd__or2_0 U47166 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[27]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[27]), .X(n76134) );
+  sky130_fd_sc_hd__inv_1 U47167 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_9[1]), 
+        .Y(n39077) );
+  sky130_fd_sc_hd__clkinv_1 U47169 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_9[0]), 
+        .Y(n45763) );
+  sky130_fd_sc_hd__clkinv_1 U47170 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[21]), 
+        .Y(n52002) );
+  sky130_fd_sc_hd__inv_2 U47171 ( .A(n37075), .Y(n86293) );
+  sky130_fd_sc_hd__inv_2 U47172 ( .A(n37075), .Y(n86292) );
+  sky130_fd_sc_hd__inv_2 U47175 ( .A(n70082), .Y(n70122) );
+  sky130_fd_sc_hd__inv_2 U47176 ( .A(n37075), .Y(n86290) );
+  sky130_fd_sc_hd__nor2_1 U47177 ( .A(n62001), .B(n72713), .Y(n62002) );
+  sky130_fd_sc_hd__inv_2 U47178 ( .A(n37075), .Y(n86289) );
+  sky130_fd_sc_hd__nand2_2 U47179 ( .A(n61998), .B(n61997), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N388) );
+  sky130_fd_sc_hd__inv_2 U47181 ( .A(n37075), .Y(n86291) );
+  sky130_fd_sc_hd__inv_2 U47183 ( .A(n37075), .Y(n86288) );
+  sky130_fd_sc_hd__inv_2 U47184 ( .A(n69914), .Y(n69591) );
+  sky130_fd_sc_hd__nand2b_1 U47185 ( .A_N(n63822), .B(n61996), .Y(n61998) );
+  sky130_fd_sc_hd__clkinv_1 U47186 ( .A(n58311), .Y(n76501) );
+  sky130_fd_sc_hd__nor2_1 U47187 ( .A(n76500), .B(n58311), .Y(n37516) );
+  sky130_fd_sc_hd__clkinv_1 U47188 ( .A(n63142), .Y(n63056) );
+  sky130_fd_sc_hd__inv_2 U47189 ( .A(n37075), .Y(n36805) );
+  sky130_fd_sc_hd__and2_1 U47190 ( .A(n37823), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .X(n37144) );
+  sky130_fd_sc_hd__o2bb2ai_1 U47191 ( .B1(n76239), .B2(n63140), .A1_N(n72746), 
+        .A2_N(n63135), .Y(n63724) );
+  sky130_fd_sc_hd__inv_2 U47192 ( .A(n37019), .Y(n37020) );
+  sky130_fd_sc_hd__o21a_2 U47193 ( .A1(n78198), .A2(n86725), .B1(n61963), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_array_RW0_addr[2]) );
+  sky130_fd_sc_hd__and2_1 U47195 ( .A(n85834), .B(n62085), .X(n85940) );
+  sky130_fd_sc_hd__and2_1 U47197 ( .A(n85836), .B(n62084), .X(n85982) );
+  sky130_fd_sc_hd__and2_1 U47198 ( .A(n85573), .B(n62084), .X(n85973) );
+  sky130_fd_sc_hd__and2_1 U47199 ( .A(n85670), .B(n62084), .X(n85969) );
+  sky130_fd_sc_hd__clkinv_1 U47200 ( .A(n59457), .Y(n59458) );
+  sky130_fd_sc_hd__and2_1 U47202 ( .A(n85837), .B(n62085), .X(n85989) );
+  sky130_fd_sc_hd__and2_1 U47203 ( .A(n85580), .B(n62084), .X(n85964) );
+  sky130_fd_sc_hd__o21ai_1 U47204 ( .A1(n36350), .A2(n82623), .B1(n76549), .Y(
+        n37137) );
+  sky130_fd_sc_hd__and2_1 U47206 ( .A(n85671), .B(n62084), .X(n85965) );
+  sky130_fd_sc_hd__and2_1 U47207 ( .A(n85668), .B(n62084), .X(n86068) );
+  sky130_fd_sc_hd__and2_1 U47208 ( .A(n85833), .B(n62084), .X(n86067) );
+  sky130_fd_sc_hd__and2_1 U47209 ( .A(n85837), .B(n62084), .X(n85988) );
+  sky130_fd_sc_hd__and2_1 U47211 ( .A(n85834), .B(n62086), .X(n85938) );
+  sky130_fd_sc_hd__a211oi_1 U47212 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__receivedData_T[3]), .A2(n56870), .B1(n51762), .C1(n51761), .Y(n51766) );
+  sky130_fd_sc_hd__and2_1 U47213 ( .A(n85667), .B(n62084), .X(n85928) );
+  sky130_fd_sc_hd__and2_1 U47214 ( .A(n79913), .B(n62086), .X(n85990) );
+  sky130_fd_sc_hd__and2_1 U47215 ( .A(n85836), .B(n62086), .X(n85976) );
+  sky130_fd_sc_hd__and2_1 U47217 ( .A(n85668), .B(n62086), .X(n86072) );
+  sky130_fd_sc_hd__and2_1 U47218 ( .A(n85833), .B(n62086), .X(n86071) );
+  sky130_fd_sc_hd__and2_1 U47219 ( .A(n85667), .B(n62086), .X(n85924) );
+  sky130_fd_sc_hd__and2_1 U47221 ( .A(n85576), .B(n62085), .X(n86063) );
+  sky130_fd_sc_hd__and2_1 U47222 ( .A(n85589), .B(n62086), .X(n85930) );
+  sky130_fd_sc_hd__and2_1 U47223 ( .A(n85286), .B(n62085), .X(n85999) );
+  sky130_fd_sc_hd__and2_1 U47224 ( .A(n85285), .B(n62085), .X(n86000) );
+  sky130_fd_sc_hd__and2_1 U47225 ( .A(n85671), .B(n62086), .X(n85962) );
+  sky130_fd_sc_hd__and2_1 U47226 ( .A(n85573), .B(n62085), .X(n85974) );
+  sky130_fd_sc_hd__and2_1 U47228 ( .A(n85580), .B(n62085), .X(n85966) );
+  sky130_fd_sc_hd__and2_1 U47229 ( .A(n85580), .B(n62086), .X(n85961) );
+  sky130_fd_sc_hd__and2_1 U47230 ( .A(n85671), .B(n62085), .X(n85967) );
+  sky130_fd_sc_hd__and2_1 U47232 ( .A(n85667), .B(n62085), .X(n85929) );
+  sky130_fd_sc_hd__and2_1 U47233 ( .A(n85833), .B(n62085), .X(n86069) );
+  sky130_fd_sc_hd__and2_1 U47234 ( .A(n85668), .B(n62085), .X(n86070) );
+  sky130_fd_sc_hd__and2_1 U47235 ( .A(n85573), .B(n62086), .X(n85972) );
+  sky130_fd_sc_hd__and2_1 U47236 ( .A(n85285), .B(n62086), .X(n85996) );
+  sky130_fd_sc_hd__clkinv_1 U47237 ( .A(n36214), .Y(n67459) );
+  sky130_fd_sc_hd__and2_1 U47238 ( .A(n85286), .B(n62086), .X(n85995) );
+  sky130_fd_sc_hd__and2_1 U47239 ( .A(n85835), .B(n62085), .X(n85983) );
+  sky130_fd_sc_hd__and2_1 U47240 ( .A(n85836), .B(n62085), .X(n85984) );
+  sky130_fd_sc_hd__and2_1 U47241 ( .A(n85576), .B(n62086), .X(n86061) );
+  sky130_fd_sc_hd__and2_1 U47242 ( .A(n79913), .B(n62084), .X(n85993) );
+  sky130_fd_sc_hd__and2_1 U47243 ( .A(n85576), .B(n62084), .X(n86062) );
+  sky130_fd_sc_hd__and2_1 U47245 ( .A(n85286), .B(n62084), .X(n85997) );
+  sky130_fd_sc_hd__buf_2 U47247 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N29), 
+        .X(n36989) );
+  sky130_fd_sc_hd__clkinv_1 U47248 ( .A(n85526), .Y(n63476) );
+  sky130_fd_sc_hd__o211ai_1 U47249 ( .A1(n36350), .A2(n82789), .B1(n61895), 
+        .C1(n61894), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_array_RW0_addr[5]) );
+  sky130_fd_sc_hd__and2_0 U47251 ( .A(n61447), .B(n77637), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N496) );
+  sky130_fd_sc_hd__inv_2 U47252 ( .A(n61900), .Y(n72781) );
+  sky130_fd_sc_hd__clkinv_1 U47253 ( .A(n73528), .Y(n62005) );
+  sky130_fd_sc_hd__inv_2 U47255 ( .A(n58829), .Y(n37506) );
+  sky130_fd_sc_hd__o211a_2 U47257 ( .A1(n64032), .A2(n59437), .B1(n59436), 
+        .C1(n59435), .X(n73140) );
+  sky130_fd_sc_hd__nand3b_1 U47258 ( .A_N(n58520), .B(n58519), .C(n58518), .Y(
+        n85484) );
+  sky130_fd_sc_hd__clkinv_1 U47259 ( .A(n67385), .Y(n68193) );
+  sky130_fd_sc_hd__clkinv_1 U47261 ( .A(n81024), .Y(n67253) );
+  sky130_fd_sc_hd__nor2_2 U47262 ( .A(n63656), .B(n68261), .Y(n73502) );
+  sky130_fd_sc_hd__inv_1 U47263 ( .A(n58292), .Y(n58293) );
+  sky130_fd_sc_hd__and2_1 U47265 ( .A(n36108), .B(n62083), .X(n86060) );
+  sky130_fd_sc_hd__clkinv_1 U47267 ( .A(n75637), .Y(n75652) );
+  sky130_fd_sc_hd__clkinv_1 U47268 ( .A(n63878), .Y(n86591) );
+  sky130_fd_sc_hd__clkinv_1 U47269 ( .A(n67435), .Y(n67443) );
+  sky130_fd_sc_hd__clkinv_1 U47270 ( .A(n67259), .Y(n67261) );
+  sky130_fd_sc_hd__clkinv_1 U47271 ( .A(n75663), .Y(n75653) );
+  sky130_fd_sc_hd__clkinv_1 U47272 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_scale_io_regs_cfg_write_deglitch), .Y(n67395) );
+  sky130_fd_sc_hd__and2_0 U47273 ( .A(n38384), .B(n37138), .X(n37143) );
+  sky130_fd_sc_hd__inv_1 U47274 ( .A(n36240), .Y(n79877) );
+  sky130_fd_sc_hd__clkinv_1 U47275 ( .A(n63447), .Y(n63385) );
+  sky130_fd_sc_hd__clkinv_1 U47277 ( .A(n36165), .Y(n67333) );
+  sky130_fd_sc_hd__nand3_1 U47278 ( .A(n37905), .B(n40055), .C(n40070), .Y(
+        n62938) );
+  sky130_fd_sc_hd__and2_1 U47279 ( .A(n36100), .B(n62083), .X(n86055) );
+  sky130_fd_sc_hd__or2_1 U47281 ( .A(n61155), .B(n83631), .X(n36138) );
+  sky130_fd_sc_hd__nand3_1 U47282 ( .A(n37101), .B(n37053), .C(n53388), .Y(
+        n64030) );
+  sky130_fd_sc_hd__nor3_2 U47283 ( .A(n67435), .B(n36802), .C(n67444), .Y(
+        n67429) );
+  sky130_fd_sc_hd__inv_1 U47284 ( .A(n85672), .Y(n73532) );
+  sky130_fd_sc_hd__inv_2 U47285 ( .A(n61073), .Y(n36812) );
+  sky130_fd_sc_hd__clkinv_1 U47286 ( .A(n75655), .Y(n75621) );
+  sky130_fd_sc_hd__clkinv_1 U47288 ( .A(n81350), .Y(n73540) );
+  sky130_fd_sc_hd__inv_1 U47290 ( .A(n39152), .Y(n37471) );
+  sky130_fd_sc_hd__clkinv_1 U47291 ( .A(n76402), .Y(n76403) );
+  sky130_fd_sc_hd__clkinv_1 U47292 ( .A(n68043), .Y(n59398) );
+  sky130_fd_sc_hd__clkinv_1 U47293 ( .A(n76432), .Y(n62850) );
+  sky130_fd_sc_hd__clkinv_1 U47294 ( .A(n80725), .Y(n67258) );
+  sky130_fd_sc_hd__clkinv_1 U47295 ( .A(n63696), .Y(n63694) );
+  sky130_fd_sc_hd__and2_1 U47297 ( .A(n36116), .B(n62081), .X(n86052) );
+  sky130_fd_sc_hd__clkinv_1 U47298 ( .A(n76437), .Y(n62851) );
+  sky130_fd_sc_hd__clkinv_1 U47299 ( .A(n76438), .Y(n62852) );
+  sky130_fd_sc_hd__inv_1 U47300 ( .A(n36187), .Y(n80422) );
+  sky130_fd_sc_hd__and2_0 U47301 ( .A(n81038), .B(n67260), .X(n38432) );
+  sky130_fd_sc_hd__clkinv_1 U47302 ( .A(n76455), .Y(n86580) );
+  sky130_fd_sc_hd__clkinv_1 U47303 ( .A(n73529), .Y(n62006) );
+  sky130_fd_sc_hd__inv_1 U47304 ( .A(n38806), .Y(n38808) );
+  sky130_fd_sc_hd__clkinv_1 U47305 ( .A(n76314), .Y(n86581) );
+  sky130_fd_sc_hd__clkinv_1 U47306 ( .A(n67405), .Y(n67409) );
+  sky130_fd_sc_hd__clkinv_1 U47307 ( .A(n75635), .Y(n75660) );
+  sky130_fd_sc_hd__clkinv_1 U47309 ( .A(n63464), .Y(n86582) );
+  sky130_fd_sc_hd__clkinv_1 U47310 ( .A(n80720), .Y(n67394) );
+  sky130_fd_sc_hd__clkinv_1 U47311 ( .A(n75630), .Y(n75664) );
+  sky130_fd_sc_hd__clkinv_1 U47312 ( .A(n84187), .Y(n36159) );
+  sky130_fd_sc_hd__clkinv_1 U47313 ( .A(n70525), .Y(n70526) );
+  sky130_fd_sc_hd__clkinv_1 U47314 ( .A(n36188), .Y(n80413) );
+  sky130_fd_sc_hd__clkinv_1 U47315 ( .A(n63475), .Y(n73548) );
+  sky130_fd_sc_hd__buf_4 U47317 ( .A(n59999), .X(n79920) );
+  sky130_fd_sc_hd__and2_1 U47318 ( .A(n38358), .B(n38691), .X(n37123) );
+  sky130_fd_sc_hd__clkinv_1 U47319 ( .A(n63495), .Y(n61051) );
+  sky130_fd_sc_hd__clkinv_1 U47320 ( .A(n73141), .Y(n37723) );
+  sky130_fd_sc_hd__clkinv_1 U47321 ( .A(n85758), .Y(n76296) );
+  sky130_fd_sc_hd__and2_0 U47322 ( .A(n58756), .B(n58755), .X(n38484) );
+  sky130_fd_sc_hd__clkinv_1 U47323 ( .A(n61977), .Y(n61978) );
+  sky130_fd_sc_hd__clkinv_1 U47324 ( .A(n84200), .Y(n36129) );
+  sky130_fd_sc_hd__clkinv_1 U47325 ( .A(n68058), .Y(n68062) );
+  sky130_fd_sc_hd__inv_1 U47326 ( .A(n36245), .Y(n79717) );
+  sky130_fd_sc_hd__nor2_1 U47327 ( .A(n49412), .B(n49944), .Y(n49745) );
+  sky130_fd_sc_hd__or2_1 U47328 ( .A(n61152), .B(n83631), .X(n36182) );
+  sky130_fd_sc_hd__inv_2 U47329 ( .A(n50877), .Y(n36813) );
+  sky130_fd_sc_hd__nor2_4 U47330 ( .A(n60933), .B(n61977), .Y(n81038) );
+  sky130_fd_sc_hd__clkinv_1 U47331 ( .A(n83609), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_N36) );
+  sky130_fd_sc_hd__inv_1 U47332 ( .A(n56391), .Y(n51690) );
+  sky130_fd_sc_hd__clkinv_1 U47333 ( .A(n73535), .Y(n73531) );
+  sky130_fd_sc_hd__clkinv_1 U47334 ( .A(n62069), .Y(n62070) );
+  sky130_fd_sc_hd__clkinv_1 U47335 ( .A(n66810), .Y(n66811) );
+  sky130_fd_sc_hd__clkinv_1 U47336 ( .A(n55591), .Y(n55504) );
+  sky130_fd_sc_hd__clkinv_1 U47337 ( .A(n63523), .Y(n63524) );
+  sky130_fd_sc_hd__clkinv_1 U47338 ( .A(n72779), .Y(n72780) );
+  sky130_fd_sc_hd__clkinv_1 U47339 ( .A(n51700), .Y(n49580) );
+  sky130_fd_sc_hd__clkinv_1 U47340 ( .A(n58304), .Y(n58306) );
+  sky130_fd_sc_hd__clkinv_1 U47341 ( .A(n80415), .Y(n67252) );
+  sky130_fd_sc_hd__clkinv_1 U47342 ( .A(n66792), .Y(n61035) );
+  sky130_fd_sc_hd__clkinv_1 U47343 ( .A(n49461), .Y(n49465) );
+  sky130_fd_sc_hd__and2_0 U47344 ( .A(n73535), .B(n81399), .X(n86074) );
+  sky130_fd_sc_hd__clkinv_1 U47345 ( .A(n80735), .Y(n67257) );
+  sky130_fd_sc_hd__clkinv_1 U47346 ( .A(n73766), .Y(n73767) );
+  sky130_fd_sc_hd__clkinv_1 U47347 ( .A(n85740), .Y(n75734) );
+  sky130_fd_sc_hd__clkinv_1 U47348 ( .A(n75614), .Y(n75615) );
+  sky130_fd_sc_hd__clkinv_1 U47349 ( .A(n84165), .Y(n73746) );
+  sky130_fd_sc_hd__clkinv_1 U47350 ( .A(n83604), .Y(n83607) );
+  sky130_fd_sc_hd__clkinv_1 U47351 ( .A(n39145), .Y(n76520) );
+  sky130_fd_sc_hd__inv_2 U47352 ( .A(n69029), .Y(n53348) );
+  sky130_fd_sc_hd__clkinv_1 U47354 ( .A(n67264), .Y(n67263) );
+  sky130_fd_sc_hd__o21a_1 U47355 ( .A1(n39114), .A2(n59448), .B1(n37872), .X(
+        n39141) );
+  sky130_fd_sc_hd__clkinv_1 U47357 ( .A(n84433), .Y(n53522) );
+  sky130_fd_sc_hd__nor2_4 U47358 ( .A(n47990), .B(n47996), .Y(n48207) );
+  sky130_fd_sc_hd__nand3_1 U47359 ( .A(n40202), .B(n40201), .C(n37889), .Y(
+        n62935) );
+  sky130_fd_sc_hd__nor2_2 U47360 ( .A(n60977), .B(n63519), .Y(n63475) );
+  sky130_fd_sc_hd__clkinv_1 U47362 ( .A(n51665), .Y(n51213) );
+  sky130_fd_sc_hd__and2_0 U47363 ( .A(n37487), .B(n59473), .X(n37062) );
+  sky130_fd_sc_hd__nand2_1 U47364 ( .A(n63521), .B(n49225), .Y(n84204) );
+  sky130_fd_sc_hd__nor2_1 U47365 ( .A(n76018), .B(n76017), .Y(n76659) );
+  sky130_fd_sc_hd__clkinv_1 U47366 ( .A(n49285), .Y(n49286) );
+  sky130_fd_sc_hd__clkinv_1 U47367 ( .A(n76175), .Y(n76163) );
+  sky130_fd_sc_hd__clkinv_1 U47368 ( .A(n49525), .Y(n49526) );
+  sky130_fd_sc_hd__clkinv_1 U47369 ( .A(n73786), .Y(n73802) );
+  sky130_fd_sc_hd__clkinv_1 U47371 ( .A(n55585), .Y(n55513) );
+  sky130_fd_sc_hd__nand3_1 U47372 ( .A(n37758), .B(n37757), .C(n37756), .Y(
+        n39138) );
+  sky130_fd_sc_hd__clkinv_1 U47374 ( .A(n67075), .Y(
+        MarmotCaravelChip_dut_sys_clint_N79) );
+  sky130_fd_sc_hd__clkinv_1 U47375 ( .A(n67559), .Y(
+        MarmotCaravelChip_dut_sys_clint_N100) );
+  sky130_fd_sc_hd__clkinv_1 U47376 ( .A(n67067), .Y(
+        MarmotCaravelChip_dut_sys_clint_N111) );
+  sky130_fd_sc_hd__clkinv_1 U47377 ( .A(n67277), .Y(
+        MarmotCaravelChip_dut_sys_clint_N101) );
+  sky130_fd_sc_hd__clkinv_1 U47378 ( .A(n68383), .Y(
+        MarmotCaravelChip_dut_sys_clint_N74) );
+  sky130_fd_sc_hd__clkinv_1 U47380 ( .A(n68335), .Y(
+        MarmotCaravelChip_dut_sys_clint_N102) );
+  sky130_fd_sc_hd__clkinv_1 U47381 ( .A(n63366), .Y(n63370) );
+  sky130_fd_sc_hd__clkinv_1 U47382 ( .A(n68332), .Y(
+        MarmotCaravelChip_dut_sys_clint_N103) );
+  sky130_fd_sc_hd__clkinv_1 U47383 ( .A(n68321), .Y(
+        MarmotCaravelChip_dut_sys_clint_N106) );
+  sky130_fd_sc_hd__clkinv_1 U47384 ( .A(n68328), .Y(
+        MarmotCaravelChip_dut_sys_clint_N104) );
+  sky130_fd_sc_hd__clkinv_1 U47385 ( .A(n68306), .Y(
+        MarmotCaravelChip_dut_sys_clint_N115) );
+  sky130_fd_sc_hd__clkinv_1 U47386 ( .A(n66866), .Y(
+        MarmotCaravelChip_dut_sys_clint_N112) );
+  sky130_fd_sc_hd__clkinv_1 U47387 ( .A(n73354), .Y(
+        MarmotCaravelChip_dut_sys_clint_N105) );
+  sky130_fd_sc_hd__clkinv_1 U47388 ( .A(n66859), .Y(
+        MarmotCaravelChip_dut_sys_clint_N117) );
+  sky130_fd_sc_hd__clkinv_1 U47389 ( .A(n68311), .Y(
+        MarmotCaravelChip_dut_sys_clint_N114) );
+  sky130_fd_sc_hd__clkinv_1 U47390 ( .A(n68356), .Y(
+        MarmotCaravelChip_dut_sys_clint_N87) );
+  sky130_fd_sc_hd__clkinv_1 U47391 ( .A(n68293), .Y(
+        MarmotCaravelChip_dut_sys_clint_N89) );
+  sky130_fd_sc_hd__clkinv_1 U47392 ( .A(n67032), .Y(
+        MarmotCaravelChip_dut_sys_clint_N86) );
+  sky130_fd_sc_hd__clkinv_1 U47393 ( .A(n68074), .Y(
+        MarmotCaravelChip_dut_sys_clint_N85) );
+  sky130_fd_sc_hd__clkinv_1 U47394 ( .A(n68024), .Y(n68026) );
+  sky130_fd_sc_hd__clkinv_1 U47395 ( .A(n67044), .Y(
+        MarmotCaravelChip_dut_sys_clint_N84) );
+  sky130_fd_sc_hd__clkinv_1 U47396 ( .A(n68360), .Y(
+        MarmotCaravelChip_dut_sys_clint_N83) );
+  sky130_fd_sc_hd__clkinv_1 U47397 ( .A(n68366), .Y(
+        MarmotCaravelChip_dut_sys_clint_N82) );
+  sky130_fd_sc_hd__clkinv_1 U47398 ( .A(n66870), .Y(
+        MarmotCaravelChip_dut_sys_clint_N118) );
+  sky130_fd_sc_hd__clkinv_1 U47399 ( .A(n67035), .Y(
+        MarmotCaravelChip_dut_sys_clint_N116) );
+  sky130_fd_sc_hd__clkinv_1 U47401 ( .A(n68370), .Y(
+        MarmotCaravelChip_dut_sys_clint_N81) );
+  sky130_fd_sc_hd__clkinv_1 U47402 ( .A(n67592), .Y(
+        MarmotCaravelChip_dut_sys_clint_N80) );
+  sky130_fd_sc_hd__clkinv_1 U47403 ( .A(n68373), .Y(
+        MarmotCaravelChip_dut_sys_clint_N78) );
+  sky130_fd_sc_hd__clkinv_1 U47404 ( .A(n67340), .Y(
+        MarmotCaravelChip_dut_sys_clint_N98) );
+  sky130_fd_sc_hd__clkinv_1 U47405 ( .A(n68340), .Y(
+        MarmotCaravelChip_dut_sys_clint_N99) );
+  sky130_fd_sc_hd__clkinv_1 U47406 ( .A(n68314), .Y(
+        MarmotCaravelChip_dut_sys_clint_N110) );
+  sky130_fd_sc_hd__clkinv_1 U47407 ( .A(n68317), .Y(
+        MarmotCaravelChip_dut_sys_clint_N109) );
+  sky130_fd_sc_hd__clkinv_1 U47408 ( .A(n68377), .Y(
+        MarmotCaravelChip_dut_sys_clint_N77) );
+  sky130_fd_sc_hd__inv_1 U47409 ( .A(n49602), .Y(n49770) );
+  sky130_fd_sc_hd__inv_4 U47410 ( .A(n69216), .Y(n73322) );
+  sky130_fd_sc_hd__clkinv_1 U47411 ( .A(n67539), .Y(
+        MarmotCaravelChip_dut_sys_clint_N107) );
+  sky130_fd_sc_hd__clkinv_1 U47412 ( .A(n68303), .Y(
+        MarmotCaravelChip_dut_sys_clint_N119) );
+  sky130_fd_sc_hd__clkinv_1 U47413 ( .A(n67550), .Y(
+        MarmotCaravelChip_dut_sys_clint_N75) );
+  sky130_fd_sc_hd__clkinv_1 U47414 ( .A(n73747), .Y(n73751) );
+  sky130_fd_sc_hd__clkinv_1 U47415 ( .A(n85761), .Y(n76324) );
+  sky130_fd_sc_hd__and2_0 U47416 ( .A(n63459), .B(n76311), .X(n85960) );
+  sky130_fd_sc_hd__clkinv_1 U47417 ( .A(n84171), .Y(n73757) );
+  sky130_fd_sc_hd__clkinv_1 U47418 ( .A(n67126), .Y(
+        MarmotCaravelChip_dut_sys_clint_N108) );
+  sky130_fd_sc_hd__clkinv_1 U47419 ( .A(n67134), .Y(
+        MarmotCaravelChip_dut_sys_clint_N76) );
+  sky130_fd_sc_hd__clkinv_1 U47420 ( .A(n73808), .Y(n60920) );
+  sky130_fd_sc_hd__and2_0 U47421 ( .A(n73179), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_btb_update_bits_prediction_entry[1]), .X(n86029) );
+  sky130_fd_sc_hd__and2_0 U47422 ( .A(n73747), .B(n62861), .X(n86094) );
+  sky130_fd_sc_hd__clkinv_1 U47423 ( .A(n55564), .Y(n55327) );
+  sky130_fd_sc_hd__clkinv_1 U47424 ( .A(n55588), .Y(n55548) );
+  sky130_fd_sc_hd__clkinv_1 U47425 ( .A(n55007), .Y(n55563) );
+  sky130_fd_sc_hd__and2_0 U47426 ( .A(n55585), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_io_full), .X(n55335) );
+  sky130_fd_sc_hd__inv_2 U47427 ( .A(n68969), .Y(n36816) );
+  sky130_fd_sc_hd__clkinv_1 U47428 ( .A(n68426), .Y(
+        MarmotCaravelChip_dut_sys_clint_N113) );
+  sky130_fd_sc_hd__inv_1 U47429 ( .A(n56843), .Y(n53027) );
+  sky130_fd_sc_hd__clkinv_1 U47430 ( .A(n80689), .Y(n80687) );
+  sky130_fd_sc_hd__clkinv_1 U47431 ( .A(n68300), .Y(
+        MarmotCaravelChip_dut_sys_clint_N120) );
+  sky130_fd_sc_hd__clkinv_1 U47432 ( .A(n49668), .Y(n49711) );
+  sky130_fd_sc_hd__and2_0 U47433 ( .A(n73179), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_btb_update_bits_prediction_entry[0]), .X(n86030) );
+  sky130_fd_sc_hd__nor2_2 U47434 ( .A(n47832), .B(n55588), .Y(n49285) );
+  sky130_fd_sc_hd__clkinv_1 U47435 ( .A(n73763), .Y(n63273) );
+  sky130_fd_sc_hd__clkinv_1 U47436 ( .A(n66096), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1297) );
+  sky130_fd_sc_hd__clkinv_1 U47437 ( .A(n70037), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1294) );
+  sky130_fd_sc_hd__clkinv_1 U47438 ( .A(n69053), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1293) );
+  sky130_fd_sc_hd__clkinv_1 U47439 ( .A(n68869), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1296) );
+  sky130_fd_sc_hd__clkinv_1 U47440 ( .A(n64281), .Y(n64282) );
+  sky130_fd_sc_hd__and2_0 U47441 ( .A(n85529), .B(n85536), .X(n86081) );
+  sky130_fd_sc_hd__inv_1 U47442 ( .A(n48910), .Y(n48911) );
+  sky130_fd_sc_hd__clkinv_1 U47443 ( .A(n70338), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1278) );
+  sky130_fd_sc_hd__clkinv_1 U47444 ( .A(n65245), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N139) );
+  sky130_fd_sc_hd__nand3_1 U47445 ( .A(n36982), .B(n36983), .C(n36984), .Y(
+        n65781) );
+  sky130_fd_sc_hd__clkinv_1 U47446 ( .A(n65630), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1292) );
+  sky130_fd_sc_hd__clkinv_1 U47447 ( .A(n73256), .Y(n73260) );
+  sky130_fd_sc_hd__clkinv_1 U47448 ( .A(n63822), .Y(n40266) );
+  sky130_fd_sc_hd__o31ai_1 U47449 ( .A1(n39453), .A2(n63936), .A3(n63937), 
+        .B1(n37491), .Y(n85416) );
+  sky130_fd_sc_hd__nand2_1 U47450 ( .A(n37677), .B(n49219), .Y(n48025) );
+  sky130_fd_sc_hd__clkinv_1 U47451 ( .A(n48956), .Y(n36817) );
+  sky130_fd_sc_hd__clkinv_1 U47452 ( .A(n63369), .Y(n63350) );
+  sky130_fd_sc_hd__nand2_1 U47453 ( .A(n38163), .B(n58825), .Y(n38070) );
+  sky130_fd_sc_hd__clkinv_1 U47454 ( .A(n73255), .Y(n86484) );
+  sky130_fd_sc_hd__clkinv_1 U47455 ( .A(n64139), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1304) );
+  sky130_fd_sc_hd__clkinv_1 U47456 ( .A(n70317), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1300) );
+  sky130_fd_sc_hd__nor2_1 U47457 ( .A(n55593), .B(n84169), .Y(n60072) );
+  sky130_fd_sc_hd__nand2_1 U47458 ( .A(n73776), .B(n53491), .Y(n84171) );
+  sky130_fd_sc_hd__clkinv_1 U47459 ( .A(n83704), .Y(n83713) );
+  sky130_fd_sc_hd__clkinv_1 U47460 ( .A(n64968), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1295) );
+  sky130_fd_sc_hd__clkinv_1 U47461 ( .A(n59471), .Y(n59475) );
+  sky130_fd_sc_hd__clkinv_1 U47462 ( .A(n55543), .Y(n55568) );
+  sky130_fd_sc_hd__inv_2 U47463 ( .A(n53246), .Y(n61936) );
+  sky130_fd_sc_hd__clkinv_1 U47464 ( .A(n55580), .Y(n55508) );
+  sky130_fd_sc_hd__clkinv_1 U47465 ( .A(n55342), .Y(n55572) );
+  sky130_fd_sc_hd__clkinv_1 U47466 ( .A(n70313), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1303) );
+  sky130_fd_sc_hd__clkinv_1 U47467 ( .A(n69293), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1302) );
+  sky130_fd_sc_hd__clkinv_1 U47468 ( .A(n68895), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1301) );
+  sky130_fd_sc_hd__clkinv_1 U47469 ( .A(n63316), .Y(n63317) );
+  sky130_fd_sc_hd__clkinv_1 U47470 ( .A(n63382), .Y(n63383) );
+  sky130_fd_sc_hd__clkinv_1 U47471 ( .A(n63319), .Y(n63320) );
+  sky130_fd_sc_hd__clkinv_1 U47472 ( .A(n63437), .Y(n63440) );
+  sky130_fd_sc_hd__clkinv_1 U47474 ( .A(n52526), .Y(n56215) );
+  sky130_fd_sc_hd__or2_1 U47478 ( .A(n59986), .B(n73163), .X(n38421) );
+  sky130_fd_sc_hd__clkinv_1 U47479 ( .A(n67730), .Y(n67732) );
+  sky130_fd_sc_hd__clkinv_1 U47480 ( .A(n67735), .Y(n67737) );
+  sky130_fd_sc_hd__nand2_2 U47481 ( .A(n73773), .B(n55303), .Y(n84169) );
+  sky130_fd_sc_hd__clkinv_1 U47482 ( .A(n67747), .Y(n67749) );
+  sky130_fd_sc_hd__clkinv_1 U47483 ( .A(n55573), .Y(n55315) );
+  sky130_fd_sc_hd__clkinv_1 U47484 ( .A(n60928), .Y(n84168) );
+  sky130_fd_sc_hd__clkinv_1 U47485 ( .A(n73773), .Y(n73774) );
+  sky130_fd_sc_hd__or4_1 U47486 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_io_full), .B(n61090), .C(n73800), .D(n61089), .X(n73779) );
+  sky130_fd_sc_hd__inv_1 U47487 ( .A(n38975), .Y(n37865) );
+  sky130_fd_sc_hd__clkinv_1 U47488 ( .A(n84170), .Y(n73717) );
+  sky130_fd_sc_hd__inv_2 U47489 ( .A(n48422), .Y(n36818) );
+  sky130_fd_sc_hd__clkinv_1 U47491 ( .A(n67744), .Y(n67746) );
+  sky130_fd_sc_hd__clkinv_1 U47492 ( .A(n74127), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[29]) );
+  sky130_fd_sc_hd__clkinv_1 U47493 ( .A(n67545), .Y(n67547) );
+  sky130_fd_sc_hd__clkinv_1 U47494 ( .A(n85522), .Y(n62960) );
+  sky130_fd_sc_hd__clkinv_1 U47495 ( .A(n83740), .Y(n84172) );
+  sky130_fd_sc_hd__clkinv_1 U47496 ( .A(n66864), .Y(n66862) );
+  sky130_fd_sc_hd__nand3_1 U47497 ( .A(n58823), .B(n58822), .C(n58824), .Y(
+        n37579) );
+  sky130_fd_sc_hd__clkinv_1 U47498 ( .A(n75823), .Y(n73735) );
+  sky130_fd_sc_hd__clkinv_1 U47499 ( .A(n37122), .Y(n49849) );
+  sky130_fd_sc_hd__clkinv_1 U47500 ( .A(n67275), .Y(n67269) );
+  sky130_fd_sc_hd__clkinv_1 U47501 ( .A(n63489), .Y(n63284) );
+  sky130_fd_sc_hd__clkinv_1 U47503 ( .A(n78312), .Y(n53525) );
+  sky130_fd_sc_hd__clkinv_1 U47504 ( .A(n75662), .Y(n75654) );
+  sky130_fd_sc_hd__clkinv_1 U47505 ( .A(n59221), .Y(n59225) );
+  sky130_fd_sc_hd__inv_1 U47506 ( .A(n56132), .Y(n55319) );
+  sky130_fd_sc_hd__clkinv_1 U47507 ( .A(n67999), .Y(n62034) );
+  sky130_fd_sc_hd__o31a_1 U47508 ( .A1(n40147), .A2(n40146), .A3(n40231), .B1(
+        n40145), .X(n62933) );
+  sky130_fd_sc_hd__clkinv_1 U47509 ( .A(n67030), .Y(n67025) );
+  sky130_fd_sc_hd__and2_0 U47510 ( .A(n38095), .B(n85403), .X(n37104) );
+  sky130_fd_sc_hd__clkinv_1 U47511 ( .A(n67751), .Y(n67753) );
+  sky130_fd_sc_hd__clkinv_1 U47512 ( .A(n66857), .Y(n66847) );
+  sky130_fd_sc_hd__clkinv_1 U47513 ( .A(n67073), .Y(n67068) );
+  sky130_fd_sc_hd__clkinv_1 U47514 ( .A(n67560), .Y(n67562) );
+  sky130_fd_sc_hd__nor2_1 U47515 ( .A(n63870), .B(n59219), .Y(n59226) );
+  sky130_fd_sc_hd__clkinv_1 U47516 ( .A(n82435), .Y(n62062) );
+  sky130_fd_sc_hd__clkinv_1 U47517 ( .A(n67885), .Y(n62064) );
+  sky130_fd_sc_hd__and2_0 U47518 ( .A(n63298), .B(n62861), .X(n86092) );
+  sky130_fd_sc_hd__nand3_1 U47519 ( .A(n55302), .B(n55301), .C(n55300), .Y(
+        n73773) );
+  sky130_fd_sc_hd__clkinv_1 U47520 ( .A(n63310), .Y(n63311) );
+  sky130_fd_sc_hd__clkinv_1 U47521 ( .A(n82432), .Y(n62066) );
+  sky130_fd_sc_hd__clkinv_1 U47522 ( .A(n76267), .Y(n76289) );
+  sky130_fd_sc_hd__clkinv_1 U47523 ( .A(n63450), .Y(n83809) );
+  sky130_fd_sc_hd__or2_1 U47524 ( .A(n37971), .B(n55577), .X(n37122) );
+  sky130_fd_sc_hd__o21a_1 U47525 ( .A1(n73567), .A2(n55240), .B1(n55239), .X(
+        n60009) );
+  sky130_fd_sc_hd__and2_0 U47526 ( .A(n37786), .B(n58275), .X(n37189) );
+  sky130_fd_sc_hd__inv_1 U47527 ( .A(n41587), .Y(n41588) );
+  sky130_fd_sc_hd__clkinv_1 U47528 ( .A(n67755), .Y(n67757) );
+  sky130_fd_sc_hd__clkinv_1 U47530 ( .A(n39136), .Y(n39156) );
+  sky130_fd_sc_hd__a21oi_1 U47531 ( .A1(n56174), .A2(n60079), .B1(n56173), .Y(
+        n73804) );
+  sky130_fd_sc_hd__inv_2 U47532 ( .A(n63000), .Y(n64379) );
+  sky130_fd_sc_hd__clkinv_1 U47533 ( .A(n70255), .Y(n62001) );
+  sky130_fd_sc_hd__clkinv_1 U47534 ( .A(n38791), .Y(n59462) );
+  sky130_fd_sc_hd__clkinv_1 U47535 ( .A(n85531), .Y(n63865) );
+  sky130_fd_sc_hd__clkinv_1 U47536 ( .A(n73753), .Y(n73760) );
+  sky130_fd_sc_hd__clkinv_1 U47537 ( .A(n63363), .Y(n63349) );
+  sky130_fd_sc_hd__clkinv_1 U47538 ( .A(n68018), .Y(n61987) );
+  sky130_fd_sc_hd__clkinv_1 U47539 ( .A(n82439), .Y(n62030) );
+  sky130_fd_sc_hd__clkinv_1 U47540 ( .A(n59291), .Y(n59294) );
+  sky130_fd_sc_hd__clkinv_1 U47541 ( .A(n67444), .Y(n67445) );
+  sky130_fd_sc_hd__nand3_1 U47542 ( .A(n59195), .B(n59271), .C(n59269), .Y(
+        n59219) );
+  sky130_fd_sc_hd__inv_1 U47543 ( .A(n40033), .Y(n37912) );
+  sky130_fd_sc_hd__inv_1 U47544 ( .A(n40031), .Y(n37913) );
+  sky130_fd_sc_hd__clkinv_1 U47545 ( .A(n72976), .Y(n72984) );
+  sky130_fd_sc_hd__clkinv_1 U47547 ( .A(n75628), .Y(n75239) );
+  sky130_fd_sc_hd__clkinv_1 U47548 ( .A(n63365), .Y(n63345) );
+  sky130_fd_sc_hd__clkinv_1 U47549 ( .A(n59244), .Y(n59245) );
+  sky130_fd_sc_hd__or2_0 U47550 ( .A(n61476), .B(n85482), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N95) );
+  sky130_fd_sc_hd__clkinv_1 U47551 ( .A(n83513), .Y(n83511) );
+  sky130_fd_sc_hd__nand2_1 U47552 ( .A(n39135), .B(n37881), .Y(n37060) );
+  sky130_fd_sc_hd__clkinv_1 U47553 ( .A(n83537), .Y(n63712) );
+  sky130_fd_sc_hd__clkinv_1 U47554 ( .A(n82444), .Y(n82446) );
+  sky130_fd_sc_hd__clkinv_1 U47555 ( .A(n84067), .Y(n74028) );
+  sky130_fd_sc_hd__nand2_2 U47556 ( .A(n64167), .B(n59314), .Y(n63936) );
+  sky130_fd_sc_hd__inv_2 U47557 ( .A(n37875), .Y(n36819) );
+  sky130_fd_sc_hd__o21a_1 U47558 ( .A1(n64369), .A2(n39534), .B1(n72679), .X(
+        n64160) );
+  sky130_fd_sc_hd__and2_0 U47560 ( .A(n37964), .B(n37962), .X(n37063) );
+  sky130_fd_sc_hd__o221a_1 U47561 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_param[2]), .B1(n83029), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_param[0]), .C1(n85883), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N499) );
+  sky130_fd_sc_hd__clkinv_1 U47562 ( .A(n74159), .Y(n74153) );
+  sky130_fd_sc_hd__inv_1 U47563 ( .A(n72679), .Y(n38065) );
+  sky130_fd_sc_hd__clkinv_1 U47564 ( .A(n84061), .Y(n84052) );
+  sky130_fd_sc_hd__and2_0 U47566 ( .A(n38334), .B(n58282), .X(n37148) );
+  sky130_fd_sc_hd__o221a_1 U47567 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_param[3]), .B1(n83029), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_param[1]), .C1(n85883), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N500) );
+  sky130_fd_sc_hd__clkinv_1 U47568 ( .A(n63342), .Y(n78314) );
+  sky130_fd_sc_hd__inv_1 U47569 ( .A(n40030), .Y(n40010) );
+  sky130_fd_sc_hd__clkinv_1 U47570 ( .A(n61893), .Y(n64032) );
+  sky130_fd_sc_hd__clkinv_1 U47572 ( .A(n56005), .Y(n53593) );
+  sky130_fd_sc_hd__clkinv_1 U47573 ( .A(n85451), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_csb0[0]) );
+  sky130_fd_sc_hd__clkinv_1 U47574 ( .A(n72987), .Y(n72926) );
+  sky130_fd_sc_hd__clkinv_1 U47575 ( .A(n59211), .Y(n72710) );
+  sky130_fd_sc_hd__clkinv_1 U47576 ( .A(n59310), .Y(n59311) );
+  sky130_fd_sc_hd__clkinv_1 U47577 ( .A(n72960), .Y(n72964) );
+  sky130_fd_sc_hd__clkinv_1 U47578 ( .A(n72965), .Y(n72972) );
+  sky130_fd_sc_hd__clkinv_1 U47579 ( .A(n72952), .Y(n72948) );
+  sky130_fd_sc_hd__clkinv_1 U47580 ( .A(n72951), .Y(n72949) );
+  sky130_fd_sc_hd__inv_2 U47581 ( .A(n62999), .Y(n36821) );
+  sky130_fd_sc_hd__clkinv_1 U47582 ( .A(n83254), .Y(n83256) );
+  sky130_fd_sc_hd__clkinv_1 U47583 ( .A(n55943), .Y(n55944) );
+  sky130_fd_sc_hd__clkinv_1 U47584 ( .A(n55945), .Y(n55946) );
+  sky130_fd_sc_hd__clkinv_1 U47585 ( .A(n85485), .Y(n62000) );
+  sky130_fd_sc_hd__clkinv_1 U47586 ( .A(n72117), .Y(n71556) );
+  sky130_fd_sc_hd__nand2_2 U47588 ( .A(n86593), .B(n54240), .Y(n74159) );
+  sky130_fd_sc_hd__o2bb2ai_1 U47589 ( .B1(n60338), .B2(n78391), .A1_N(n78402), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[30]), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_out_bits_data[18]) );
+  sky130_fd_sc_hd__inv_1 U47590 ( .A(n38801), .Y(n38803) );
+  sky130_fd_sc_hd__inv_2 U47591 ( .A(n58846), .Y(n36822) );
+  sky130_fd_sc_hd__o2bb2ai_1 U47592 ( .B1(n60332), .B2(n78391), .A1_N(n78402), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[21]), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_out_bits_data[9]) );
+  sky130_fd_sc_hd__o2bb2ai_1 U47593 ( .B1(n60333), .B2(n78391), .A1_N(n78402), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[20]), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_out_bits_data[8]) );
+  sky130_fd_sc_hd__clkinv_1 U47594 ( .A(n60060), .Y(n54296) );
+  sky130_fd_sc_hd__o2bb2ai_1 U47595 ( .B1(n60334), .B2(n78391), .A1_N(n78402), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[19]), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_out_bits_data[7]) );
+  sky130_fd_sc_hd__clkinv_1 U47596 ( .A(n83534), .Y(n77393) );
+  sky130_fd_sc_hd__o2bb2ai_1 U47597 ( .B1(n60335), .B2(n78391), .A1_N(n78402), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[17]), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_out_bits_data[5]) );
+  sky130_fd_sc_hd__or2_1 U47598 ( .A(n82416), .B(n41744), .X(n48361) );
+  sky130_fd_sc_hd__o2bb2ai_1 U47599 ( .B1(n60336), .B2(n78391), .A1_N(n78402), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[15]), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_out_bits_data[3]) );
+  sky130_fd_sc_hd__o2bb2ai_1 U47600 ( .B1(n60337), .B2(n78391), .A1_N(n78402), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[14]), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_out_bits_data[2]) );
+  sky130_fd_sc_hd__clkinv_1 U47601 ( .A(n83544), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N91) );
+  sky130_fd_sc_hd__and2_0 U47602 ( .A(n39534), .B(n64156), .X(n85538) );
+  sky130_fd_sc_hd__o2bb2ai_1 U47603 ( .B1(n60341), .B2(n78391), .A1_N(n78402), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[25]), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_out_bits_data[13]) );
+  sky130_fd_sc_hd__o2bb2ai_1 U47604 ( .B1(n60342), .B2(n78391), .A1_N(n78402), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[24]), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_out_bits_data[12]) );
+  sky130_fd_sc_hd__o2bb2ai_1 U47605 ( .B1(n60343), .B2(n78391), .A1_N(n78402), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[23]), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_out_bits_data[11]) );
+  sky130_fd_sc_hd__o2bb2ai_1 U47606 ( .B1(n60344), .B2(n78391), .A1_N(n78402), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[12]), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_out_bits_data[0]) );
+  sky130_fd_sc_hd__and2_0 U47607 ( .A(n59243), .B(n63934), .X(n37188) );
+  sky130_fd_sc_hd__clkinv_1 U47608 ( .A(n70173), .Y(n70174) );
+  sky130_fd_sc_hd__clkinv_1 U47609 ( .A(n63821), .Y(n63823) );
+  sky130_fd_sc_hd__o2bb2ai_1 U47610 ( .B1(n60340), .B2(n78391), .A1_N(n78402), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[26]), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_out_bits_data[14]) );
+  sky130_fd_sc_hd__clkinv_1 U47611 ( .A(n84021), .Y(n84022) );
+  sky130_fd_sc_hd__clkinv_1 U47612 ( .A(n69724), .Y(n69612) );
+  sky130_fd_sc_hd__inv_2 U47616 ( .A(n64199), .Y(n68442) );
+  sky130_fd_sc_hd__clkinv_1 U47618 ( .A(n39954), .Y(n39955) );
+  sky130_fd_sc_hd__clkinv_1 U47619 ( .A(n83945), .Y(n83980) );
+  sky130_fd_sc_hd__clkinv_1 U47620 ( .A(n41743), .Y(n45826) );
+  sky130_fd_sc_hd__clkinv_1 U47621 ( .A(n83889), .Y(n83931) );
+  sky130_fd_sc_hd__clkinv_1 U47622 ( .A(n83415), .Y(n83883) );
+  sky130_fd_sc_hd__clkinv_1 U47623 ( .A(n83781), .Y(n83783) );
+  sky130_fd_sc_hd__o22a_1 U47624 ( .A1(n85534), .A2(n85536), .B1(n85546), .B2(
+        n39451), .X(n39453) );
+  sky130_fd_sc_hd__clkinv_1 U47625 ( .A(n83535), .Y(n63148) );
+  sky130_fd_sc_hd__inv_1 U47626 ( .A(n63937), .Y(n63935) );
+  sky130_fd_sc_hd__inv_2 U47627 ( .A(n63864), .Y(n36824) );
+  sky130_fd_sc_hd__nand2_1 U47628 ( .A(n72900), .B(n72899), .Y(n72951) );
+  sky130_fd_sc_hd__nand2_1 U47629 ( .A(n72897), .B(n72896), .Y(n72952) );
+  sky130_fd_sc_hd__or2_1 U47630 ( .A(n84096), .B(n61477), .X(n61002) );
+  sky130_fd_sc_hd__clkinv_1 U47631 ( .A(n75417), .Y(n75237) );
+  sky130_fd_sc_hd__nand2_1 U47632 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[5]), .B(n78550), .Y(n78549) );
+  sky130_fd_sc_hd__clkinv_1 U47633 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1733), .Y(n76458) );
+  sky130_fd_sc_hd__clkinv_1 U47634 ( .A(n83540), .Y(n83906) );
+  sky130_fd_sc_hd__clkinv_1 U47635 ( .A(n74614), .Y(n74730) );
+  sky130_fd_sc_hd__clkinv_1 U47636 ( .A(n77480), .Y(n77482) );
+  sky130_fd_sc_hd__o221ai_1 U47637 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(n48706), .B1(n55990), .B2(n48705), .C1(n48704), .Y(n84405) );
+  sky130_fd_sc_hd__clkinv_1 U47638 ( .A(n71193), .Y(n71190) );
+  sky130_fd_sc_hd__inv_1 U47639 ( .A(n58816), .Y(n58820) );
+  sky130_fd_sc_hd__clkinv_1 U47640 ( .A(n78550), .Y(n69992) );
+  sky130_fd_sc_hd__clkinv_1 U47641 ( .A(n55999), .Y(n85162) );
+  sky130_fd_sc_hd__clkinv_1 U47642 ( .A(n54138), .Y(n55994) );
+  sky130_fd_sc_hd__clkinv_1 U47643 ( .A(n59484), .Y(n59442) );
+  sky130_fd_sc_hd__clkinv_1 U47644 ( .A(n59464), .Y(n59473) );
+  sky130_fd_sc_hd__inv_2 U47645 ( .A(n63036), .Y(n36825) );
+  sky130_fd_sc_hd__inv_2 U47646 ( .A(n40154), .Y(n62980) );
+  sky130_fd_sc_hd__clkinv_1 U47647 ( .A(n79864), .Y(n79868) );
+  sky130_fd_sc_hd__clkinv_1 U47648 ( .A(n68944), .Y(n72281) );
+  sky130_fd_sc_hd__inv_1 U47649 ( .A(n37463), .Y(n38045) );
+  sky130_fd_sc_hd__clkinv_1 U47650 ( .A(n74083), .Y(n68472) );
+  sky130_fd_sc_hd__and2_1 U47651 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[4]), .B(n78548), .X(n78550) );
+  sky130_fd_sc_hd__a21boi_2 U47652 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_state_0), 
+        .A2(n84065), .B1_N(n83813), .Y(n55660) );
+  sky130_fd_sc_hd__nand3_1 U47654 ( .A(n76623), .B(n76622), .C(n76621), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_addr[10]) );
+  sky130_fd_sc_hd__nand2_1 U47655 ( .A(n61161), .B(n60899), .Y(n83902) );
+  sky130_fd_sc_hd__clkinv_1 U47656 ( .A(n55998), .Y(n55631) );
+  sky130_fd_sc_hd__clkinv_1 U47657 ( .A(n71192), .Y(n71186) );
+  sky130_fd_sc_hd__clkinv_1 U47658 ( .A(n55346), .Y(n55993) );
+  sky130_fd_sc_hd__clkinv_1 U47659 ( .A(n46788), .Y(n46826) );
+  sky130_fd_sc_hd__clkinv_1 U47660 ( .A(n53597), .Y(n55639) );
+  sky130_fd_sc_hd__clkinv_1 U47661 ( .A(n36466), .Y(n61162) );
+  sky130_fd_sc_hd__nand3_1 U47662 ( .A(n76615), .B(n76614), .C(n76613), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_addr[9]) );
+  sky130_fd_sc_hd__clkinv_1 U47663 ( .A(n77638), .Y(n77639) );
+  sky130_fd_sc_hd__clkinv_1 U47664 ( .A(n70258), .Y(n65110) );
+  sky130_fd_sc_hd__clkinv_1 U47665 ( .A(n83440), .Y(n83884) );
+  sky130_fd_sc_hd__inv_2 U47666 ( .A(n70387), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1563) );
+  sky130_fd_sc_hd__clkinv_1 U47667 ( .A(n79703), .Y(n79707) );
+  sky130_fd_sc_hd__o211ai_1 U47668 ( .A1(n41838), .A2(n69586), .B1(n41837), 
+        .C1(n37917), .Y(n41839) );
+  sky130_fd_sc_hd__clkinv_1 U47669 ( .A(n83923), .Y(n83462) );
+  sky130_fd_sc_hd__clkinv_1 U47670 ( .A(n45714), .Y(n43360) );
+  sky130_fd_sc_hd__a21oi_1 U47671 ( .A1(n69615), .A2(n69614), .B1(n64567), .Y(
+        n69610) );
+  sky130_fd_sc_hd__clkinv_1 U47672 ( .A(n57227), .Y(n41776) );
+  sky130_fd_sc_hd__ha_1 U47673 ( .A(n73075), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .COUT(n58635), .SUM(n73090) );
+  sky130_fd_sc_hd__inv_2 U47674 ( .A(n72994), .Y(n72945) );
+  sky130_fd_sc_hd__clkinv_1 U47675 ( .A(n70504), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1029) );
+  sky130_fd_sc_hd__ha_2 U47676 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[29]), .B(n72478), .COUT(n73075), .SUM(n72490) );
+  sky130_fd_sc_hd__clkinv_1 U47677 ( .A(n73186), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1028) );
+  sky130_fd_sc_hd__clkinv_1 U47678 ( .A(n70254), .Y(n70257) );
+  sky130_fd_sc_hd__clkinv_1 U47679 ( .A(n84149), .Y(n84151) );
+  sky130_fd_sc_hd__clkinv_1 U47680 ( .A(n75869), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1156) );
+  sky130_fd_sc_hd__clkinv_1 U47681 ( .A(n83538), .Y(n83539) );
+  sky130_fd_sc_hd__or2_1 U47682 ( .A(n64351), .B(n40131), .X(n64357) );
+  sky130_fd_sc_hd__clkinv_1 U47683 ( .A(n85409), .Y(n59277) );
+  sky130_fd_sc_hd__clkinv_1 U47684 ( .A(n83085), .Y(n83088) );
+  sky130_fd_sc_hd__clkinv_1 U47685 ( .A(n85755), .Y(n76246) );
+  sky130_fd_sc_hd__clkinv_1 U47686 ( .A(n70524), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1027) );
+  sky130_fd_sc_hd__nand3_1 U47687 ( .A(n37902), .B(n39977), .C(n39969), .Y(
+        n39976) );
+  sky130_fd_sc_hd__clkinv_1 U47688 ( .A(n50439), .Y(n52267) );
+  sky130_fd_sc_hd__clkinv_1 U47689 ( .A(n59268), .Y(n59179) );
+  sky130_fd_sc_hd__clkinv_1 U47690 ( .A(n78414), .Y(n54242) );
+  sky130_fd_sc_hd__clkinv_1 U47691 ( .A(n56405), .Y(n56409) );
+  sky130_fd_sc_hd__clkinv_1 U47692 ( .A(n70509), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1149) );
+  sky130_fd_sc_hd__clkinv_1 U47693 ( .A(n64993), .Y(n70583) );
+  sky130_fd_sc_hd__clkinv_1 U47694 ( .A(n70140), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1016) );
+  sky130_fd_sc_hd__clkinv_1 U47695 ( .A(n74052), .Y(n68689) );
+  sky130_fd_sc_hd__clkinv_1 U47696 ( .A(n75862), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1155) );
+  sky130_fd_sc_hd__clkinv_1 U47697 ( .A(n85649), .Y(n72774) );
+  sky130_fd_sc_hd__clkinv_1 U47699 ( .A(n72165), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1039) );
+  sky130_fd_sc_hd__clkinv_1 U47700 ( .A(n68809), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1038) );
+  sky130_fd_sc_hd__clkinv_1 U47701 ( .A(n70571), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1036) );
+  sky130_fd_sc_hd__clkinv_1 U47702 ( .A(n68996), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1035) );
+  sky130_fd_sc_hd__clkinv_1 U47703 ( .A(n65618), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1034) );
+  sky130_fd_sc_hd__clkinv_1 U47704 ( .A(n66426), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1032) );
+  sky130_fd_sc_hd__clkinv_1 U47705 ( .A(n72318), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1030) );
+  sky130_fd_sc_hd__clkinv_1 U47706 ( .A(n70002), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1026) );
+  sky130_fd_sc_hd__clkinv_1 U47707 ( .A(n68617), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1024) );
+  sky130_fd_sc_hd__clkinv_1 U47708 ( .A(n68542), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1023) );
+  sky130_fd_sc_hd__clkinv_1 U47709 ( .A(n72163), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1019) );
+  sky130_fd_sc_hd__clkinv_1 U47710 ( .A(n72154), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1018) );
+  sky130_fd_sc_hd__clkinv_1 U47711 ( .A(n70484), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1017) );
+  sky130_fd_sc_hd__clkinv_1 U47712 ( .A(n68929), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1033) );
+  sky130_fd_sc_hd__clkinv_1 U47713 ( .A(n75873), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1161) );
+  sky130_fd_sc_hd__o211a_2 U47714 ( .A1(n78415), .A2(n78414), .B1(n78413), 
+        .C1(n78412), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_out_bits_way_en[1]) );
+  sky130_fd_sc_hd__clkinv_1 U47715 ( .A(n81325), .Y(n81346) );
+  sky130_fd_sc_hd__clkinv_1 U47717 ( .A(n85660), .Y(n73055) );
+  sky130_fd_sc_hd__nand4_1 U47718 ( .A(n76595), .B(n76594), .C(n76593), .D(
+        n76592), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_addr[6]) );
+  sky130_fd_sc_hd__clkinv_1 U47719 ( .A(n78545), .Y(n70480) );
+  sky130_fd_sc_hd__clkinv_1 U47720 ( .A(n75810), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1159) );
+  sky130_fd_sc_hd__clkinv_1 U47721 ( .A(n72261), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1031) );
+  sky130_fd_sc_hd__clkinv_1 U47722 ( .A(n79017), .Y(n77787) );
+  sky130_fd_sc_hd__clkinv_1 U47723 ( .A(n85647), .Y(n72763) );
+  sky130_fd_sc_hd__or2_1 U47724 ( .A(n47890), .B(n47891), .X(n37054) );
+  sky130_fd_sc_hd__clkinv_1 U47725 ( .A(n70514), .Y(n72775) );
+  sky130_fd_sc_hd__clkinv_1 U47726 ( .A(n75875), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1163) );
+  sky130_fd_sc_hd__clkinv_1 U47727 ( .A(n66350), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1160) );
+  sky130_fd_sc_hd__clkinv_1 U47728 ( .A(n75870), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1158) );
+  sky130_fd_sc_hd__clkinv_1 U47729 ( .A(n68560), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1151) );
+  sky130_fd_sc_hd__clkinv_1 U47730 ( .A(n70493), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1150) );
+  sky130_fd_sc_hd__clkinv_1 U47731 ( .A(n70410), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1148) );
+  sky130_fd_sc_hd__clkinv_1 U47732 ( .A(n75866), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1145) );
+  sky130_fd_sc_hd__clkinv_1 U47733 ( .A(n70038), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1164) );
+  sky130_fd_sc_hd__clkinv_1 U47734 ( .A(n78320), .Y(n83892) );
+  sky130_fd_sc_hd__buf_4 U47735 ( .A(n64419), .X(n36826) );
+  sky130_fd_sc_hd__clkinv_1 U47736 ( .A(n70517), .Y(n73064) );
+  sky130_fd_sc_hd__clkinv_1 U47737 ( .A(n64055), .Y(n72764) );
+  sky130_fd_sc_hd__clkinv_1 U47738 ( .A(n57309), .Y(n48293) );
+  sky130_fd_sc_hd__clkinv_1 U47739 ( .A(n51533), .Y(n57215) );
+  sky130_fd_sc_hd__clkinv_1 U47740 ( .A(n43697), .Y(n70260) );
+  sky130_fd_sc_hd__clkinv_1 U47741 ( .A(n64351), .Y(n64338) );
+  sky130_fd_sc_hd__o21a_1 U47742 ( .A1(n37345), .A2(n48427), .B1(n37343), .X(
+        n48393) );
+  sky130_fd_sc_hd__nand3_1 U47743 ( .A(n76608), .B(n76607), .C(n76606), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_addr[8]) );
+  sky130_fd_sc_hd__inv_2 U47744 ( .A(n64471), .Y(n36827) );
+  sky130_fd_sc_hd__buf_2 U47745 ( .A(n57676), .X(n36828) );
+  sky130_fd_sc_hd__clkinv_1 U47746 ( .A(n52757), .Y(n47149) );
+  sky130_fd_sc_hd__clkinv_1 U47747 ( .A(n64981), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1037) );
+  sky130_fd_sc_hd__clkinv_1 U47749 ( .A(n84512), .Y(n54793) );
+  sky130_fd_sc_hd__clkinv_1 U47750 ( .A(n65595), .Y(n66483) );
+  sky130_fd_sc_hd__clkinv_1 U47751 ( .A(n85664), .Y(n73178) );
+  sky130_fd_sc_hd__clkinv_1 U47752 ( .A(n83897), .Y(n83912) );
+  sky130_fd_sc_hd__o211ai_1 U47753 ( .A1(n78414), .A2(n78408), .B1(n78407), 
+        .C1(n78412), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_out_bits_way_en[0]) );
+  sky130_fd_sc_hd__clkinv_1 U47754 ( .A(n75231), .Y(n72105) );
+  sky130_fd_sc_hd__clkinv_1 U47755 ( .A(n64979), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N809) );
+  sky130_fd_sc_hd__clkinv_1 U47756 ( .A(n85663), .Y(n73176) );
+  sky130_fd_sc_hd__clkinv_1 U47757 ( .A(n57674), .Y(n72916) );
+  sky130_fd_sc_hd__clkinv_1 U47758 ( .A(n78491), .Y(n78494) );
+  sky130_fd_sc_hd__nand3_1 U47759 ( .A(n76601), .B(n76600), .C(n76599), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_addr[7]) );
+  sky130_fd_sc_hd__clkinv_1 U47760 ( .A(n78560), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N958) );
+  sky130_fd_sc_hd__clkinv_1 U47761 ( .A(n52231), .Y(n57138) );
+  sky130_fd_sc_hd__clkinv_1 U47762 ( .A(n61141), .Y(n58332) );
+  sky130_fd_sc_hd__clkinv_1 U47763 ( .A(n79699), .Y(n79704) );
+  sky130_fd_sc_hd__clkinv_1 U47764 ( .A(n43355), .Y(n46347) );
+  sky130_fd_sc_hd__clkinv_1 U47765 ( .A(n44813), .Y(n44279) );
+  sky130_fd_sc_hd__clkinv_1 U47766 ( .A(n65246), .Y(n65261) );
+  sky130_fd_sc_hd__clkinv_1 U47767 ( .A(n48295), .Y(n44805) );
+  sky130_fd_sc_hd__clkinv_1 U47768 ( .A(n78931), .Y(n81398) );
+  sky130_fd_sc_hd__clkinv_1 U47769 ( .A(n52257), .Y(n57458) );
+  sky130_fd_sc_hd__clkinv_1 U47770 ( .A(n70505), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N793) );
+  sky130_fd_sc_hd__clkinv_1 U47771 ( .A(n75867), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N923) );
+  sky130_fd_sc_hd__clkinv_1 U47772 ( .A(n45423), .Y(n48130) );
+  sky130_fd_sc_hd__clkinv_1 U47773 ( .A(n68928), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N805) );
+  sky130_fd_sc_hd__clkinv_1 U47774 ( .A(n85648), .Y(n72772) );
+  sky130_fd_sc_hd__clkinv_1 U47775 ( .A(n75872), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N935) );
+  sky130_fd_sc_hd__clkinv_1 U47776 ( .A(n58521), .Y(n58370) );
+  sky130_fd_sc_hd__clkinv_1 U47777 ( .A(n57018), .Y(n50349) );
+  sky130_fd_sc_hd__and2_1 U47778 ( .A(n57896), .B(n57907), .X(n37102) );
+  sky130_fd_sc_hd__clkinv_1 U47779 ( .A(n47163), .Y(n50395) );
+  sky130_fd_sc_hd__inv_2 U47782 ( .A(n40059), .Y(n36829) );
+  sky130_fd_sc_hd__clkinv_1 U47783 ( .A(n72581), .Y(n63170) );
+  sky130_fd_sc_hd__o211ai_1 U47784 ( .A1(n76585), .A2(n76584), .B1(n76583), 
+        .C1(n76582), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_addr[5]) );
+  sky130_fd_sc_hd__clkinv_1 U47785 ( .A(n70138), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N788) );
+  sky130_fd_sc_hd__clkinv_1 U47786 ( .A(n75865), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N918) );
+  sky130_fd_sc_hd__clkinv_1 U47787 ( .A(n85754), .Y(n76244) );
+  sky130_fd_sc_hd__clkinv_1 U47788 ( .A(n85403), .Y(n72707) );
+  sky130_fd_sc_hd__clkinv_1 U47789 ( .A(n72235), .Y(n72244) );
+  sky130_fd_sc_hd__clkinv_1 U47790 ( .A(n58656), .Y(n73223) );
+  sky130_fd_sc_hd__nand4_1 U47791 ( .A(n76559), .B(n76558), .C(n76557), .D(
+        n76556), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_addr[2]) );
+  sky130_fd_sc_hd__clkinv_1 U47792 ( .A(n75809), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N933) );
+  sky130_fd_sc_hd__a2bb2oi_1 U47793 ( .B1(n84025), .B2(n84033), .A1_N(n84071), 
+        .A2_N(n55623), .Y(n84043) );
+  sky130_fd_sc_hd__clkinv_1 U47794 ( .A(n72260), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N803) );
+  sky130_fd_sc_hd__nand4_1 U47795 ( .A(n76577), .B(n76576), .C(n76575), .D(
+        n76574), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_addr[4]) );
+  sky130_fd_sc_hd__nand4_1 U47796 ( .A(n76566), .B(n76565), .C(n76564), .D(
+        n76563), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_addr[3]) );
+  sky130_fd_sc_hd__inv_2 U47797 ( .A(n48840), .Y(n36830) );
+  sky130_fd_sc_hd__clkinv_1 U47798 ( .A(n81168), .Y(n81189) );
+  sky130_fd_sc_hd__clkinv_1 U47799 ( .A(n65617), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N806) );
+  sky130_fd_sc_hd__clkinv_1 U47800 ( .A(n68995), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N807) );
+  sky130_fd_sc_hd__clkinv_1 U47801 ( .A(n85659), .Y(n73053) );
+  sky130_fd_sc_hd__clkinv_1 U47802 ( .A(n70570), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N808) );
+  sky130_fd_sc_hd__clkinv_1 U47803 ( .A(n68857), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N810) );
+  sky130_fd_sc_hd__clkinv_1 U47804 ( .A(n66092), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N811) );
+  sky130_fd_sc_hd__clkinv_1 U47805 ( .A(n84132), .Y(n84133) );
+  sky130_fd_sc_hd__clkinv_1 U47806 ( .A(n79860), .Y(n79865) );
+  sky130_fd_sc_hd__and2_0 U47807 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[2]), .B(n78543), .X(n78545) );
+  sky130_fd_sc_hd__clkinv_1 U47808 ( .A(n81242), .Y(n81263) );
+  sky130_fd_sc_hd__a21oi_1 U47809 ( .A1(n56105), .A2(n56104), .B1(n47440), .Y(
+        n48427) );
+  sky130_fd_sc_hd__clkinv_1 U47810 ( .A(n65640), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N936) );
+  sky130_fd_sc_hd__clkinv_1 U47811 ( .A(n60946), .Y(n60948) );
+  sky130_fd_sc_hd__clkinv_1 U47812 ( .A(n65964), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N797) );
+  sky130_fd_sc_hd__clkinv_1 U47813 ( .A(n65966), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N927) );
+  sky130_fd_sc_hd__clkinv_1 U47814 ( .A(n57533), .Y(n43340) );
+  sky130_fd_sc_hd__clkinv_1 U47815 ( .A(n70475), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N917) );
+  sky130_fd_sc_hd__nand3_2 U47816 ( .A(n39941), .B(n39940), .C(n39939), .Y(
+        n62979) );
+  sky130_fd_sc_hd__clkinv_1 U47817 ( .A(n70488), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N919) );
+  sky130_fd_sc_hd__clkinv_1 U47818 ( .A(n75864), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N920) );
+  sky130_fd_sc_hd__clkinv_1 U47819 ( .A(n75879), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N921) );
+  sky130_fd_sc_hd__clkinv_1 U47820 ( .A(n75863), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N922) );
+  sky130_fd_sc_hd__nor2_4 U47821 ( .A(n39953), .B(n39952), .Y(n62983) );
+  sky130_fd_sc_hd__clkinv_1 U47822 ( .A(n85646), .Y(n72760) );
+  sky130_fd_sc_hd__clkinv_1 U47823 ( .A(n70498), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N924) );
+  sky130_fd_sc_hd__clkinv_1 U47824 ( .A(n68563), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N925) );
+  sky130_fd_sc_hd__clkinv_1 U47825 ( .A(n68646), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N926) );
+  sky130_fd_sc_hd__clkinv_1 U47826 ( .A(n75861), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N928) );
+  sky130_fd_sc_hd__clkinv_1 U47827 ( .A(n75871), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N932) );
+  sky130_fd_sc_hd__clkinv_1 U47828 ( .A(n73184), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N800) );
+  sky130_fd_sc_hd__clkinv_1 U47829 ( .A(n75868), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N930) );
+  sky130_fd_sc_hd__clkinv_1 U47830 ( .A(n75811), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N934) );
+  sky130_fd_sc_hd__clkinv_1 U47831 ( .A(n75876), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N937) );
+  sky130_fd_sc_hd__buf_2 U47832 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15), 
+        .X(n36831) );
+  sky130_fd_sc_hd__clkinv_1 U47833 ( .A(n70487), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N789) );
+  sky130_fd_sc_hd__inv_1 U47834 ( .A(n59278), .Y(n84275) );
+  sky130_fd_sc_hd__clkinv_1 U47835 ( .A(n72158), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N790) );
+  sky130_fd_sc_hd__clkinv_1 U47836 ( .A(n72379), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N801) );
+  sky130_fd_sc_hd__clkinv_1 U47837 ( .A(n83000), .Y(n83030) );
+  sky130_fd_sc_hd__clkinv_1 U47838 ( .A(n70503), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N931) );
+  sky130_fd_sc_hd__clkinv_1 U47839 ( .A(n70495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N794) );
+  sky130_fd_sc_hd__clkinv_1 U47840 ( .A(n68541), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N795) );
+  sky130_fd_sc_hd__clkinv_1 U47841 ( .A(n68645), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N796) );
+  sky130_fd_sc_hd__clkinv_1 U47842 ( .A(n72787), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N798) );
+  sky130_fd_sc_hd__clkinv_1 U47843 ( .A(n72317), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N802) );
+  sky130_fd_sc_hd__clkinv_1 U47844 ( .A(n66425), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N804) );
+  sky130_fd_sc_hd__clkinv_1 U47845 ( .A(n58274), .Y(n58261) );
+  sky130_fd_sc_hd__clkinv_1 U47846 ( .A(n69213), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N812) );
+  sky130_fd_sc_hd__clkinv_1 U47847 ( .A(n81408), .Y(n81429) );
+  sky130_fd_sc_hd__a21oi_1 U47848 ( .A1(n65870), .A2(n65869), .B1(n64564), .Y(
+        n65882) );
+  sky130_fd_sc_hd__clkinv_1 U47849 ( .A(n66571), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N929) );
+  sky130_fd_sc_hd__clkinv_1 U47850 ( .A(n47178), .Y(n52381) );
+  sky130_fd_sc_hd__clkinv_1 U47852 ( .A(n75877), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N938) );
+  sky130_fd_sc_hd__clkinv_1 U47854 ( .A(n51490), .Y(n51497) );
+  sky130_fd_sc_hd__clkinv_1 U47856 ( .A(n52416), .Y(n57129) );
+  sky130_fd_sc_hd__clkinv_1 U47857 ( .A(n64048), .Y(n73056) );
+  sky130_fd_sc_hd__clkinv_1 U47858 ( .A(n43624), .Y(n48123) );
+  sky130_fd_sc_hd__clkinv_1 U47859 ( .A(n70523), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N799) );
+  sky130_fd_sc_hd__clkinv_1 U47860 ( .A(n70546), .Y(n70555) );
+  sky130_fd_sc_hd__clkinv_1 U47861 ( .A(n69050), .Y(n85599) );
+  sky130_fd_sc_hd__clkinv_1 U47862 ( .A(n57078), .Y(n46481) );
+  sky130_fd_sc_hd__clkinv_1 U47863 ( .A(n48129), .Y(n46328) );
+  sky130_fd_sc_hd__buf_2 U47864 ( .A(n60988), .X(n36834) );
+  sky130_fd_sc_hd__clkinv_1 U47865 ( .A(n56578), .Y(n56579) );
+  sky130_fd_sc_hd__clkinv_1 U47866 ( .A(n45854), .Y(n47168) );
+  sky130_fd_sc_hd__clkinv_1 U47867 ( .A(n71998), .Y(n71994) );
+  sky130_fd_sc_hd__clkinv_1 U47868 ( .A(n83311), .Y(n36287) );
+  sky130_fd_sc_hd__nor2_1 U47869 ( .A(n85183), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeat_count[0]), .Y(n44541) );
+  sky130_fd_sc_hd__inv_1 U47870 ( .A(n50450), .Y(n57208) );
+  sky130_fd_sc_hd__clkinv_1 U47871 ( .A(n73091), .Y(n73101) );
+  sky130_fd_sc_hd__clkinv_1 U47872 ( .A(n77637), .Y(n77641) );
+  sky130_fd_sc_hd__clkinv_1 U47873 ( .A(n82478), .Y(n85178) );
+  sky130_fd_sc_hd__clkinv_1 U47874 ( .A(n54897), .Y(n54905) );
+  sky130_fd_sc_hd__inv_1 U47875 ( .A(n52215), .Y(n46334) );
+  sky130_fd_sc_hd__clkinv_1 U47876 ( .A(n52097), .Y(n56429) );
+  sky130_fd_sc_hd__clkinv_1 U47877 ( .A(n69397), .Y(n69405) );
+  sky130_fd_sc_hd__clkinv_1 U47878 ( .A(n77777), .Y(n81433) );
+  sky130_fd_sc_hd__clkinv_1 U47879 ( .A(n49695), .Y(n48948) );
+  sky130_fd_sc_hd__clkinv_1 U47880 ( .A(n46724), .Y(n43364) );
+  sky130_fd_sc_hd__clkinv_1 U47881 ( .A(n46327), .Y(n57318) );
+  sky130_fd_sc_hd__clkinv_1 U47882 ( .A(n52382), .Y(n46799) );
+  sky130_fd_sc_hd__clkinv_1 U47883 ( .A(n48125), .Y(n57298) );
+  sky130_fd_sc_hd__clkinv_1 U47884 ( .A(n78557), .Y(n78556) );
+  sky130_fd_sc_hd__clkinv_1 U47885 ( .A(n64971), .Y(n85540) );
+  sky130_fd_sc_hd__clkinv_1 U47886 ( .A(n45867), .Y(n50448) );
+  sky130_fd_sc_hd__clkinv_1 U47887 ( .A(n50342), .Y(n50401) );
+  sky130_fd_sc_hd__clkinv_1 U47888 ( .A(n64354), .Y(n64352) );
+  sky130_fd_sc_hd__clkinv_1 U47889 ( .A(n72164), .Y(n86574) );
+  sky130_fd_sc_hd__clkinv_1 U47890 ( .A(n78248), .Y(n86570) );
+  sky130_fd_sc_hd__clkinv_1 U47891 ( .A(n54292), .Y(n69091) );
+  sky130_fd_sc_hd__clkinv_1 U47892 ( .A(n78553), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N955) );
+  sky130_fd_sc_hd__clkinv_1 U47893 ( .A(n78290), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N953) );
+  sky130_fd_sc_hd__clkinv_1 U47894 ( .A(n68866), .Y(n85172) );
+  sky130_fd_sc_hd__clkinv_1 U47895 ( .A(n78286), .Y(n85177) );
+  sky130_fd_sc_hd__clkinv_1 U47896 ( .A(n78281), .Y(n85175) );
+  sky130_fd_sc_hd__clkinv_1 U47897 ( .A(n49698), .Y(n48977) );
+  sky130_fd_sc_hd__clkinv_1 U47898 ( .A(n65003), .Y(n65011) );
+  sky130_fd_sc_hd__clkinv_1 U47899 ( .A(n54178), .Y(n84472) );
+  sky130_fd_sc_hd__inv_1 U47900 ( .A(n52220), .Y(n57143) );
+  sky130_fd_sc_hd__clkinv_1 U47901 ( .A(n68952), .Y(n68961) );
+  sky130_fd_sc_hd__clkinv_1 U47902 ( .A(n49581), .Y(n56903) );
+  sky130_fd_sc_hd__clkinv_1 U47903 ( .A(n81311), .Y(n61444) );
+  sky130_fd_sc_hd__clkinv_1 U47904 ( .A(n58266), .Y(n58252) );
+  sky130_fd_sc_hd__clkinv_1 U47906 ( .A(n68812), .Y(n70577) );
+  sky130_fd_sc_hd__inv_1 U47907 ( .A(n58259), .Y(n58246) );
+  sky130_fd_sc_hd__clkinv_1 U47908 ( .A(n64329), .Y(n40233) );
+  sky130_fd_sc_hd__clkinv_1 U47909 ( .A(n52083), .Y(n44320) );
+  sky130_fd_sc_hd__clkinv_1 U47910 ( .A(n50393), .Y(n52073) );
+  sky130_fd_sc_hd__clkinv_1 U47911 ( .A(n85591), .Y(n68562) );
+  sky130_fd_sc_hd__clkinv_1 U47912 ( .A(n83126), .Y(n83129) );
+  sky130_fd_sc_hd__clkinv_1 U47913 ( .A(n45729), .Y(n45654) );
+  sky130_fd_sc_hd__clkinv_1 U47915 ( .A(n78274), .Y(n85216) );
+  sky130_fd_sc_hd__clkinv_1 U47916 ( .A(n50479), .Y(n44814) );
+  sky130_fd_sc_hd__clkinv_1 U47917 ( .A(n50932), .Y(n50973) );
+  sky130_fd_sc_hd__and2_0 U47918 ( .A(n47947), .B(n37970), .X(n37191) );
+  sky130_fd_sc_hd__inv_1 U47919 ( .A(n58074), .Y(n58083) );
+  sky130_fd_sc_hd__clkinv_1 U47920 ( .A(n48259), .Y(n50402) );
+  sky130_fd_sc_hd__clkinv_1 U47921 ( .A(n69716), .Y(n69717) );
+  sky130_fd_sc_hd__a21oi_2 U47922 ( .A1(n59932), .A2(n59892), .B1(n59891), .Y(
+        n83071) );
+  sky130_fd_sc_hd__and2_0 U47923 ( .A(n64329), .B(n64328), .X(n64317) );
+  sky130_fd_sc_hd__or2_1 U47924 ( .A(n56446), .B(n36797), .X(n43771) );
+  sky130_fd_sc_hd__nand3_1 U47925 ( .A(n38024), .B(n38133), .C(n37153), .Y(
+        n38131) );
+  sky130_fd_sc_hd__clkinv_1 U47926 ( .A(n68673), .Y(n66387) );
+  sky130_fd_sc_hd__clkinv_1 U47927 ( .A(n57121), .Y(n51550) );
+  sky130_fd_sc_hd__and2_0 U47928 ( .A(n38654), .B(n38693), .X(n37057) );
+  sky130_fd_sc_hd__clkinv_1 U47930 ( .A(n83170), .Y(n83171) );
+  sky130_fd_sc_hd__clkinv_1 U47931 ( .A(n72449), .Y(n72459) );
+  sky130_fd_sc_hd__clkinv_1 U47932 ( .A(n57145), .Y(n51517) );
+  sky130_fd_sc_hd__clkinv_1 U47933 ( .A(n69380), .Y(n86573) );
+  sky130_fd_sc_hd__clkinv_1 U47934 ( .A(n83166), .Y(n83164) );
+  sky130_fd_sc_hd__clkinv_1 U47935 ( .A(n49628), .Y(n56910) );
+  sky130_fd_sc_hd__nand3_1 U47936 ( .A(n37656), .B(n39925), .C(n54173), .Y(
+        n39942) );
+  sky130_fd_sc_hd__clkinv_1 U47937 ( .A(n65760), .Y(n65791) );
+  sky130_fd_sc_hd__clkinv_1 U47938 ( .A(n43743), .Y(n50258) );
+  sky130_fd_sc_hd__clkinv_1 U47939 ( .A(n52238), .Y(n48106) );
+  sky130_fd_sc_hd__nor3b_1 U47940 ( .C_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[0]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mcountinhibit_2_), .B(n70477), .Y(n78540) );
+  sky130_fd_sc_hd__inv_1 U47941 ( .A(n69728), .Y(n65864) );
+  sky130_fd_sc_hd__clkinv_1 U47942 ( .A(n79856), .Y(n79861) );
+  sky130_fd_sc_hd__clkinv_1 U47943 ( .A(n85742), .Y(n66424) );
+  sky130_fd_sc_hd__clkinv_1 U47944 ( .A(n57124), .Y(n46323) );
+  sky130_fd_sc_hd__clkinv_1 U47945 ( .A(n85641), .Y(n78508) );
+  sky130_fd_sc_hd__or2_1 U47946 ( .A(n60856), .B(n60858), .X(n64015) );
+  sky130_fd_sc_hd__inv_1 U47947 ( .A(n39110), .Y(n39111) );
+  sky130_fd_sc_hd__clkinv_1 U47948 ( .A(n85749), .Y(n69059) );
+  sky130_fd_sc_hd__clkinv_1 U47949 ( .A(n61163), .Y(n59964) );
+  sky130_fd_sc_hd__clkinv_1 U47950 ( .A(n53296), .Y(n58504) );
+  sky130_fd_sc_hd__clkinv_1 U47951 ( .A(n82453), .Y(n82455) );
+  sky130_fd_sc_hd__clkinv_1 U47952 ( .A(n69715), .Y(n69718) );
+  sky130_fd_sc_hd__clkinv_1 U47953 ( .A(n75965), .Y(n75967) );
+  sky130_fd_sc_hd__clkinv_1 U47954 ( .A(n48275), .Y(n57203) );
+  sky130_fd_sc_hd__clkinv_1 U47955 ( .A(n70269), .Y(n66255) );
+  sky130_fd_sc_hd__or2_1 U47956 ( .A(n60268), .B(n47978), .X(n37107) );
+  sky130_fd_sc_hd__clkinv_1 U47957 ( .A(n68925), .Y(n86571) );
+  sky130_fd_sc_hd__clkinv_1 U47958 ( .A(n74616), .Y(n70790) );
+  sky130_fd_sc_hd__clkinv_1 U47959 ( .A(n51837), .Y(n56474) );
+  sky130_fd_sc_hd__and2_0 U47960 ( .A(n50447), .B(n41624), .X(n57162) );
+  sky130_fd_sc_hd__clkinv_1 U47961 ( .A(n60943), .Y(n54094) );
+  sky130_fd_sc_hd__clkinv_1 U47962 ( .A(n48060), .Y(n56481) );
+  sky130_fd_sc_hd__clkinv_1 U47963 ( .A(n50398), .Y(n52151) );
+  sky130_fd_sc_hd__clkinv_1 U47964 ( .A(n59412), .Y(n58861) );
+  sky130_fd_sc_hd__or2_0 U47965 ( .A(n57788), .B(n59412), .X(n37197) );
+  sky130_fd_sc_hd__clkinv_1 U47966 ( .A(n47066), .Y(n57152) );
+  sky130_fd_sc_hd__buf_2 U47967 ( .A(n86577), .X(n36835) );
+  sky130_fd_sc_hd__clkinv_1 U47968 ( .A(n71452), .Y(n71453) );
+  sky130_fd_sc_hd__clkinv_1 U47969 ( .A(n50177), .Y(n50172) );
+  sky130_fd_sc_hd__clkinv_1 U47970 ( .A(n45410), .Y(n56548) );
+  sky130_fd_sc_hd__clkinv_1 U47971 ( .A(n48264), .Y(n46714) );
+  sky130_fd_sc_hd__clkinv_1 U47972 ( .A(n65473), .Y(n65508) );
+  sky130_fd_sc_hd__clkinv_1 U47973 ( .A(n70994), .Y(n70995) );
+  sky130_fd_sc_hd__and2_0 U47974 ( .A(n39912), .B(n64303), .X(n37094) );
+  sky130_fd_sc_hd__clkinv_1 U47975 ( .A(n72376), .Y(n86487) );
+  sky130_fd_sc_hd__clkinv_1 U47976 ( .A(n52165), .Y(n45454) );
+  sky130_fd_sc_hd__clkinv_1 U47977 ( .A(n54789), .Y(n54132) );
+  sky130_fd_sc_hd__clkinv_1 U47978 ( .A(n78276), .Y(n78278) );
+  sky130_fd_sc_hd__clkinv_1 U47979 ( .A(n70592), .Y(n70601) );
+  sky130_fd_sc_hd__clkinv_1 U47980 ( .A(n78541), .Y(n85448) );
+  sky130_fd_sc_hd__clkinv_1 U47981 ( .A(n51527), .Y(n57425) );
+  sky130_fd_sc_hd__clkinv_1 U47983 ( .A(n63957), .Y(n63963) );
+  sky130_fd_sc_hd__clkinv_1 U47984 ( .A(n81112), .Y(n81118) );
+  sky130_fd_sc_hd__clkinv_1 U47985 ( .A(n64115), .Y(n85170) );
+  sky130_fd_sc_hd__clkinv_1 U47986 ( .A(n70009), .Y(n85171) );
+  sky130_fd_sc_hd__clkinv_1 U47987 ( .A(n52080), .Y(n57161) );
+  sky130_fd_sc_hd__clkinv_1 U47988 ( .A(n47077), .Y(n46493) );
+  sky130_fd_sc_hd__or2_0 U47989 ( .A(n48258), .B(n56444), .X(n38402) );
+  sky130_fd_sc_hd__clkinv_1 U47990 ( .A(n57353), .Y(n45724) );
+  sky130_fd_sc_hd__nor2_2 U47991 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_flush_pipe), .B(n58437), .Y(n77637) );
+  sky130_fd_sc_hd__clkinv_1 U47992 ( .A(n68644), .Y(n86494) );
+  sky130_fd_sc_hd__inv_1 U47993 ( .A(n50475), .Y(n52214) );
+  sky130_fd_sc_hd__clkinv_1 U47994 ( .A(n61930), .Y(n61931) );
+  sky130_fd_sc_hd__clkinv_1 U47995 ( .A(n56452), .Y(n46783) );
+  sky130_fd_sc_hd__clkinv_1 U47996 ( .A(n61992), .Y(n61443) );
+  sky130_fd_sc_hd__clkinv_1 U47997 ( .A(n70393), .Y(n85629) );
+  sky130_fd_sc_hd__clkinv_1 U47998 ( .A(n78294), .Y(n85533) );
+  sky130_fd_sc_hd__clkinv_1 U47999 ( .A(n45645), .Y(n57238) );
+  sky130_fd_sc_hd__clkinv_1 U48000 ( .A(n46723), .Y(n47086) );
+  sky130_fd_sc_hd__clkinv_1 U48001 ( .A(n50425), .Y(n52265) );
+  sky130_fd_sc_hd__clkinv_1 U48002 ( .A(n72473), .Y(n86589) );
+  sky130_fd_sc_hd__clkinv_1 U48003 ( .A(n50856), .Y(n56907) );
+  sky130_fd_sc_hd__clkinv_1 U48004 ( .A(n72314), .Y(n86586) );
+  sky130_fd_sc_hd__clkinv_1 U48005 ( .A(n45715), .Y(n57181) );
+  sky130_fd_sc_hd__clkinv_1 U48006 ( .A(n79695), .Y(n79700) );
+  sky130_fd_sc_hd__clkinv_1 U48007 ( .A(n76831), .Y(n56043) );
+  sky130_fd_sc_hd__and2_0 U48008 ( .A(n45999), .B(n57040), .X(n56567) );
+  sky130_fd_sc_hd__clkinv_1 U48010 ( .A(n72266), .Y(n72324) );
+  sky130_fd_sc_hd__clkinv_1 U48013 ( .A(n60921), .Y(n60927) );
+  sky130_fd_sc_hd__clkinv_1 U48014 ( .A(n36796), .Y(n64327) );
+  sky130_fd_sc_hd__clkinv_1 U48015 ( .A(n48775), .Y(n60255) );
+  sky130_fd_sc_hd__inv_1 U48016 ( .A(n57447), .Y(n52107) );
+  sky130_fd_sc_hd__clkinv_1 U48017 ( .A(n72014), .Y(n72015) );
+  sky130_fd_sc_hd__clkinv_1 U48018 ( .A(n69183), .Y(n86572) );
+  sky130_fd_sc_hd__a21oi_1 U48019 ( .A1(n65841), .A2(n65840), .B1(n64561), .Y(
+        n65861) );
+  sky130_fd_sc_hd__clkinv_1 U48020 ( .A(n57085), .Y(n57087) );
+  sky130_fd_sc_hd__clkinv_1 U48021 ( .A(n45457), .Y(n57510) );
+  sky130_fd_sc_hd__clkinv_1 U48022 ( .A(n52211), .Y(n57191) );
+  sky130_fd_sc_hd__clkinv_1 U48023 ( .A(n57195), .Y(n52403) );
+  sky130_fd_sc_hd__clkinv_1 U48024 ( .A(n44224), .Y(n52752) );
+  sky130_fd_sc_hd__clkinv_1 U48025 ( .A(n48071), .Y(n48252) );
+  sky130_fd_sc_hd__clkinv_1 U48026 ( .A(n82479), .Y(n85218) );
+  sky130_fd_sc_hd__clkinv_1 U48027 ( .A(n52726), .Y(n46006) );
+  sky130_fd_sc_hd__clkinv_1 U48028 ( .A(n39435), .Y(n39440) );
+  sky130_fd_sc_hd__inv_1 U48029 ( .A(n50328), .Y(n46351) );
+  sky130_fd_sc_hd__clkinv_1 U48030 ( .A(n43649), .Y(n43786) );
+  sky130_fd_sc_hd__nand3_1 U48031 ( .A(n53896), .B(n37089), .C(n54255), .Y(
+        n37582) );
+  sky130_fd_sc_hd__clkinv_1 U48032 ( .A(n47069), .Y(n57000) );
+  sky130_fd_sc_hd__clkinv_1 U48033 ( .A(n50232), .Y(n52700) );
+  sky130_fd_sc_hd__clkinv_1 U48034 ( .A(n75831), .Y(n75846) );
+  sky130_fd_sc_hd__clkinv_1 U48035 ( .A(n70463), .Y(n85173) );
+  sky130_fd_sc_hd__clkinv_1 U48036 ( .A(n53394), .Y(n53395) );
+  sky130_fd_sc_hd__clkinv_1 U48037 ( .A(n57446), .Y(n51510) );
+  sky130_fd_sc_hd__clkinv_1 U48038 ( .A(n66253), .Y(n65188) );
+  sky130_fd_sc_hd__clkinv_1 U48039 ( .A(n56995), .Y(n47164) );
+  sky130_fd_sc_hd__clkinv_1 U48040 ( .A(n72402), .Y(n72411) );
+  sky130_fd_sc_hd__nor2_1 U48041 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[25]), .B(n47894), .Y(n47892) );
+  sky130_fd_sc_hd__clkinv_1 U48043 ( .A(n57055), .Y(n52704) );
+  sky130_fd_sc_hd__clkinv_1 U48044 ( .A(n38670), .Y(n38671) );
+  sky130_fd_sc_hd__clkinv_1 U48047 ( .A(n56590), .Y(n56441) );
+  sky130_fd_sc_hd__clkinv_1 U48048 ( .A(n56575), .Y(n48280) );
+  sky130_fd_sc_hd__clkinv_1 U48049 ( .A(n57456), .Y(n51863) );
+  sky130_fd_sc_hd__clkinv_1 U48050 ( .A(n71343), .Y(n71344) );
+  sky130_fd_sc_hd__clkinv_1 U48052 ( .A(n61063), .Y(n61064) );
+  sky130_fd_sc_hd__clkinv_1 U48053 ( .A(n39120), .Y(n39030) );
+  sky130_fd_sc_hd__clkinv_1 U48054 ( .A(n52727), .Y(n57454) );
+  sky130_fd_sc_hd__o21ai_1 U48055 ( .A1(n65830), .A2(n65834), .B1(n65831), .Y(
+        n65841) );
+  sky130_fd_sc_hd__clkinv_1 U48056 ( .A(n72017), .Y(n72018) );
+  sky130_fd_sc_hd__clkinv_1 U48057 ( .A(n43628), .Y(n48114) );
+  sky130_fd_sc_hd__clkinv_1 U48058 ( .A(n65846), .Y(n65847) );
+  sky130_fd_sc_hd__a21oi_1 U48059 ( .A1(n62775), .A2(n65451), .B1(n62774), .Y(
+        n65474) );
+  sky130_fd_sc_hd__clkinv_1 U48060 ( .A(n53378), .Y(n53379) );
+  sky130_fd_sc_hd__inv_1 U48061 ( .A(n52725), .Y(n48258) );
+  sky130_fd_sc_hd__clkinv_1 U48062 ( .A(n61059), .Y(n61060) );
+  sky130_fd_sc_hd__clkinv_1 U48063 ( .A(n57412), .Y(n57335) );
+  sky130_fd_sc_hd__clkinv_1 U48064 ( .A(n52414), .Y(n52417) );
+  sky130_fd_sc_hd__clkinv_1 U48065 ( .A(n52085), .Y(n52712) );
+  sky130_fd_sc_hd__a2bb2o_1 U48066 ( .A1_N(n83234), .A2_N(n38491), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[18]), .B2(n54319), .X(n84612) );
+  sky130_fd_sc_hd__a2bb2o_1 U48067 ( .A1_N(n83242), .A2_N(n38491), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[26]), .B2(n54319), .X(n84636) );
+  sky130_fd_sc_hd__clkinv_1 U48068 ( .A(n57527), .Y(n43673) );
+  sky130_fd_sc_hd__clkinv_1 U48070 ( .A(n69830), .Y(n68525) );
+  sky130_fd_sc_hd__nand2b_1 U48071 ( .A_N(n54156), .B(n37920), .Y(n54153) );
+  sky130_fd_sc_hd__clkinv_1 U48072 ( .A(n39121), .Y(n39031) );
+  sky130_fd_sc_hd__clkinv_1 U48073 ( .A(n84152), .Y(n77479) );
+  sky130_fd_sc_hd__clkinv_1 U48074 ( .A(n83182), .Y(n83179) );
+  sky130_fd_sc_hd__clkinv_1 U48075 ( .A(n65735), .Y(n65720) );
+  sky130_fd_sc_hd__clkinv_1 U48077 ( .A(n65739), .Y(n65719) );
+  sky130_fd_sc_hd__inv_1 U48078 ( .A(n52216), .Y(n52741) );
+  sky130_fd_sc_hd__clkinv_1 U48079 ( .A(n54976), .Y(n54983) );
+  sky130_fd_sc_hd__clkinv_1 U48080 ( .A(n78259), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N957) );
+  sky130_fd_sc_hd__buf_2 U48081 ( .A(n59762), .X(n36836) );
+  sky130_fd_sc_hd__clkinv_1 U48082 ( .A(n69439), .Y(n66506) );
+  sky130_fd_sc_hd__clkinv_1 U48083 ( .A(n44176), .Y(n58425) );
+  sky130_fd_sc_hd__a2bb2o_1 U48084 ( .A1_N(n83226), .A2_N(n38491), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[9]), .B2(n54319), .X(n84585) );
+  sky130_fd_sc_hd__nand2_1 U48085 ( .A(n70489), .B(n64081), .Y(n58437) );
+  sky130_fd_sc_hd__clkinv_1 U48086 ( .A(n53393), .Y(n53396) );
+  sky130_fd_sc_hd__clkinv_1 U48087 ( .A(n83320), .Y(n83310) );
+  sky130_fd_sc_hd__clkinv_1 U48088 ( .A(n85748), .Y(n68927) );
+  sky130_fd_sc_hd__nor2_1 U48090 ( .A(n39983), .B(n37886), .Y(n37656) );
+  sky130_fd_sc_hd__clkinv_1 U48091 ( .A(n50315), .Y(n50978) );
+  sky130_fd_sc_hd__buf_2 U48092 ( .A(n59761), .X(n36837) );
+  sky130_fd_sc_hd__clkinv_1 U48094 ( .A(n70034), .Y(n85623) );
+  sky130_fd_sc_hd__clkinv_1 U48095 ( .A(n43747), .Y(n57014) );
+  sky130_fd_sc_hd__clkinv_1 U48096 ( .A(n48445), .Y(n43814) );
+  sky130_fd_sc_hd__a2bb2o_1 U48097 ( .A1_N(n83237), .A2_N(n38491), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[21]), .B2(n54319), .X(n84621) );
+  sky130_fd_sc_hd__clkinv_1 U48098 ( .A(n51819), .Y(n48239) );
+  sky130_fd_sc_hd__clkinv_1 U48099 ( .A(n69012), .Y(n69020) );
+  sky130_fd_sc_hd__clkinv_1 U48100 ( .A(n83210), .Y(n83208) );
+  sky130_fd_sc_hd__clkinv_1 U48101 ( .A(n85794), .Y(n70025) );
+  sky130_fd_sc_hd__clkinv_1 U48102 ( .A(n51505), .Y(n52693) );
+  sky130_fd_sc_hd__clkinv_1 U48103 ( .A(n58501), .Y(n58502) );
+  sky130_fd_sc_hd__clkinv_1 U48104 ( .A(n85604), .Y(n78517) );
+  sky130_fd_sc_hd__a2bb2o_1 U48105 ( .A1_N(n83228), .A2_N(n38491), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[11]), .B2(n54319), .X(n84591) );
+  sky130_fd_sc_hd__a2bb2o_1 U48106 ( .A1_N(n83230), .A2_N(n38491), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[14]), .B2(n54319), .X(n84600) );
+  sky130_fd_sc_hd__clkinv_1 U48107 ( .A(n61061), .Y(n61062) );
+  sky130_fd_sc_hd__clkinv_1 U48108 ( .A(n50485), .Y(n50196) );
+  sky130_fd_sc_hd__clkinv_1 U48109 ( .A(n72285), .Y(n72293) );
+  sky130_fd_sc_hd__a21oi_1 U48110 ( .A1(n39795), .A2(n39794), .B1(n39612), .Y(
+        n39615) );
+  sky130_fd_sc_hd__clkinv_1 U48112 ( .A(n43316), .Y(n47041) );
+  sky130_fd_sc_hd__clkinv_1 U48113 ( .A(n65447), .Y(n65433) );
+  sky130_fd_sc_hd__clkinv_1 U48114 ( .A(n65451), .Y(n65432) );
+  sky130_fd_sc_hd__clkinv_1 U48115 ( .A(n65638), .Y(n44139) );
+  sky130_fd_sc_hd__nand2_1 U48116 ( .A(n59963), .B(n59962), .Y(n61163) );
+  sky130_fd_sc_hd__clkinv_1 U48117 ( .A(n78464), .Y(n78470) );
+  sky130_fd_sc_hd__clkinv_1 U48118 ( .A(n83214), .Y(n83215) );
+  sky130_fd_sc_hd__clkinv_1 U48119 ( .A(n57052), .Y(n51551) );
+  sky130_fd_sc_hd__clkinv_1 U48120 ( .A(n50331), .Y(n52724) );
+  sky130_fd_sc_hd__clkinv_1 U48121 ( .A(n69493), .Y(n65582) );
+  sky130_fd_sc_hd__a2bb2o_1 U48123 ( .A1_N(n83244), .A2_N(n38491), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[28]), .B2(n54319), .X(n84642) );
+  sky130_fd_sc_hd__clkinv_1 U48124 ( .A(n59483), .Y(n59528) );
+  sky130_fd_sc_hd__clkinv_1 U48125 ( .A(n59587), .Y(n59477) );
+  sky130_fd_sc_hd__o21ai_1 U48127 ( .A1(n83065), .A2(n59926), .B1(n59925), .Y(
+        n61164) );
+  sky130_fd_sc_hd__clkinv_1 U48128 ( .A(n80424), .Y(n63494) );
+  sky130_fd_sc_hd__inv_1 U48129 ( .A(n57528), .Y(n56587) );
+  sky130_fd_sc_hd__clkinv_1 U48130 ( .A(n46350), .Y(n56435) );
+  sky130_fd_sc_hd__clkinv_1 U48131 ( .A(n65509), .Y(n65511) );
+  sky130_fd_sc_hd__clkinv_1 U48132 ( .A(n70900), .Y(n70901) );
+  sky130_fd_sc_hd__clkinv_1 U48133 ( .A(n83298), .Y(n57101) );
+  sky130_fd_sc_hd__clkinv_1 U48134 ( .A(n76372), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N361) );
+  sky130_fd_sc_hd__clkinv_1 U48135 ( .A(n72130), .Y(n72131) );
+  sky130_fd_sc_hd__clkinv_1 U48136 ( .A(n61441), .Y(n85638) );
+  sky130_fd_sc_hd__clkinv_1 U48137 ( .A(n69765), .Y(n69766) );
+  sky130_fd_sc_hd__inv_1 U48138 ( .A(n50261), .Y(n50235) );
+  sky130_fd_sc_hd__clkinv_1 U48139 ( .A(n50348), .Y(n52258) );
+  sky130_fd_sc_hd__clkinv_1 U48140 ( .A(n65943), .Y(n65944) );
+  sky130_fd_sc_hd__nor2_2 U48141 ( .A(n64910), .B(n39376), .Y(n54921) );
+  sky130_fd_sc_hd__clkinv_1 U48142 ( .A(n83181), .Y(n83180) );
+  sky130_fd_sc_hd__a2bb2o_1 U48143 ( .A1_N(n83239), .A2_N(n38491), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[23]), .B2(n54319), .X(n84627) );
+  sky130_fd_sc_hd__clkinv_1 U48144 ( .A(n49249), .Y(n63160) );
+  sky130_fd_sc_hd__clkinv_1 U48145 ( .A(n65292), .Y(n65294) );
+  sky130_fd_sc_hd__clkinv_1 U48146 ( .A(n65271), .Y(n65291) );
+  sky130_fd_sc_hd__clkinv_1 U48147 ( .A(n65503), .Y(n65505) );
+  sky130_fd_sc_hd__clkinv_1 U48148 ( .A(n65708), .Y(n65694) );
+  sky130_fd_sc_hd__clkinv_1 U48149 ( .A(n66038), .Y(n65575) );
+  sky130_fd_sc_hd__clkinv_1 U48150 ( .A(n50440), .Y(n52122) );
+  sky130_fd_sc_hd__clkinv_1 U48151 ( .A(n65417), .Y(n65419) );
+  sky130_fd_sc_hd__clkinv_1 U48152 ( .A(n44269), .Y(n43784) );
+  sky130_fd_sc_hd__clkinv_1 U48153 ( .A(n65704), .Y(n65706) );
+  sky130_fd_sc_hd__clkinv_1 U48154 ( .A(n65431), .Y(n65450) );
+  sky130_fd_sc_hd__clkinv_1 U48155 ( .A(n65289), .Y(n65290) );
+  sky130_fd_sc_hd__clkinv_1 U48156 ( .A(n65512), .Y(n65490) );
+  sky130_fd_sc_hd__clkinv_1 U48157 ( .A(n83729), .Y(n83732) );
+  sky130_fd_sc_hd__clkinv_1 U48158 ( .A(n65396), .Y(n65305) );
+  sky130_fd_sc_hd__clkinv_1 U48159 ( .A(n65421), .Y(n65408) );
+  sky130_fd_sc_hd__clkinv_1 U48160 ( .A(n65470), .Y(n65472) );
+  sky130_fd_sc_hd__clkinv_1 U48161 ( .A(n65448), .Y(n65449) );
+  sky130_fd_sc_hd__clkinv_1 U48162 ( .A(n65475), .Y(n65476) );
+  sky130_fd_sc_hd__clkinv_1 U48163 ( .A(n65461), .Y(n65477) );
+  sky130_fd_sc_hd__clkinv_1 U48164 ( .A(n79691), .Y(n79696) );
+  sky130_fd_sc_hd__clkinv_1 U48165 ( .A(n65391), .Y(n65393) );
+  sky130_fd_sc_hd__clkinv_1 U48166 ( .A(n65444), .Y(n65446) );
+  sky130_fd_sc_hd__clkinv_1 U48167 ( .A(n84262), .Y(n72674) );
+  sky130_fd_sc_hd__clkinv_1 U48168 ( .A(n65851), .Y(n65835) );
+  sky130_fd_sc_hd__clkinv_1 U48169 ( .A(n71458), .Y(n71459) );
+  sky130_fd_sc_hd__clkinv_1 U48170 ( .A(n65843), .Y(n65845) );
+  sky130_fd_sc_hd__clkinv_1 U48171 ( .A(n58348), .Y(n58356) );
+  sky130_fd_sc_hd__clkinv_1 U48172 ( .A(n76618), .Y(n76590) );
+  sky130_fd_sc_hd__buf_4 U48173 ( .A(n43345), .X(n36838) );
+  sky130_fd_sc_hd__clkinv_1 U48174 ( .A(n69673), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N85) );
+  sky130_fd_sc_hd__clkinv_1 U48175 ( .A(n50460), .Y(n56468) );
+  sky130_fd_sc_hd__clkinv_1 U48176 ( .A(n69732), .Y(n65888) );
+  sky130_fd_sc_hd__clkinv_1 U48177 ( .A(n69727), .Y(n65889) );
+  sky130_fd_sc_hd__inv_2 U48178 ( .A(n57481), .Y(n50919) );
+  sky130_fd_sc_hd__clkinv_1 U48179 ( .A(n58475), .Y(n58476) );
+  sky130_fd_sc_hd__clkinv_1 U48180 ( .A(n59923), .Y(n83063) );
+  sky130_fd_sc_hd__clkinv_1 U48181 ( .A(n65718), .Y(n65738) );
+  sky130_fd_sc_hd__clkinv_1 U48182 ( .A(n65736), .Y(n65737) );
+  sky130_fd_sc_hd__clkinv_1 U48183 ( .A(n65732), .Y(n65734) );
+  sky130_fd_sc_hd__clkinv_1 U48184 ( .A(n65757), .Y(n65759) );
+  sky130_fd_sc_hd__clkinv_1 U48185 ( .A(n65795), .Y(n65775) );
+  sky130_fd_sc_hd__clkinv_1 U48186 ( .A(n58500), .Y(n58503) );
+  sky130_fd_sc_hd__clkinv_1 U48187 ( .A(n85640), .Y(n72152) );
+  sky130_fd_sc_hd__clkinv_1 U48188 ( .A(n65786), .Y(n65788) );
+  sky130_fd_sc_hd__clkinv_1 U48189 ( .A(n80421), .Y(n49304) );
+  sky130_fd_sc_hd__clkinv_1 U48190 ( .A(n83072), .Y(n36286) );
+  sky130_fd_sc_hd__clkinv_1 U48191 ( .A(n83676), .Y(n60150) );
+  sky130_fd_sc_hd__clkinv_1 U48192 ( .A(n65810), .Y(n65826) );
+  sky130_fd_sc_hd__clkinv_1 U48193 ( .A(n51050), .Y(n63493) );
+  sky130_fd_sc_hd__clkinv_1 U48194 ( .A(n65824), .Y(n65825) );
+  sky130_fd_sc_hd__clkinv_1 U48195 ( .A(n65821), .Y(n65823) );
+  sky130_fd_sc_hd__clkinv_1 U48196 ( .A(n77630), .Y(n56033) );
+  sky130_fd_sc_hd__clkinv_1 U48197 ( .A(n58421), .Y(n58423) );
+  sky130_fd_sc_hd__inv_1 U48198 ( .A(n44221), .Y(n50262) );
+  sky130_fd_sc_hd__clkinv_1 U48199 ( .A(n44173), .Y(n44175) );
+  sky130_fd_sc_hd__clkinv_1 U48200 ( .A(n48034), .Y(n47956) );
+  sky130_fd_sc_hd__clkinv_1 U48201 ( .A(n72809), .Y(n72808) );
+  sky130_fd_sc_hd__clkinv_1 U48202 ( .A(n45072), .Y(n83299) );
+  sky130_fd_sc_hd__clkinv_1 U48203 ( .A(n37985), .Y(n37984) );
+  sky130_fd_sc_hd__clkinv_1 U48204 ( .A(n53397), .Y(n53399) );
+  sky130_fd_sc_hd__clkinv_1 U48205 ( .A(n65986), .Y(n86569) );
+  sky130_fd_sc_hd__clkinv_1 U48206 ( .A(n53258), .Y(n53259) );
+  sky130_fd_sc_hd__clkinv_1 U48207 ( .A(n85746), .Y(n73181) );
+  sky130_fd_sc_hd__and2_0 U48208 ( .A(n85746), .B(n83351), .X(n86109) );
+  sky130_fd_sc_hd__clkinv_1 U48209 ( .A(n73224), .Y(n53300) );
+  sky130_fd_sc_hd__clkinv_1 U48210 ( .A(n63412), .Y(n63338) );
+  sky130_fd_sc_hd__clkinv_1 U48211 ( .A(n63328), .Y(n63329) );
+  sky130_fd_sc_hd__and2_0 U48212 ( .A(n39921), .B(n54896), .X(n39965) );
+  sky130_fd_sc_hd__or2_0 U48213 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_count[1]), .B(n78463), .X(n78471) );
+  sky130_fd_sc_hd__clkinv_1 U48214 ( .A(n58554), .Y(n58556) );
+  sky130_fd_sc_hd__clkinv_1 U48215 ( .A(n84114), .Y(n84116) );
+  sky130_fd_sc_hd__clkinv_1 U48216 ( .A(n44051), .Y(n51026) );
+  sky130_fd_sc_hd__clkinv_1 U48217 ( .A(n58166), .Y(n58255) );
+  sky130_fd_sc_hd__clkinv_1 U48218 ( .A(n60068), .Y(n60069) );
+  sky130_fd_sc_hd__clkinv_1 U48219 ( .A(n57159), .Y(n48268) );
+  sky130_fd_sc_hd__clkinv_1 U48220 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N626), .Y(n72677) );
+  sky130_fd_sc_hd__clkinv_1 U48221 ( .A(n47978), .Y(n36839) );
+  sky130_fd_sc_hd__inv_1 U48222 ( .A(n58151), .Y(n58174) );
+  sky130_fd_sc_hd__clkinv_1 U48223 ( .A(n85662), .Y(n73171) );
+  sky130_fd_sc_hd__clkinv_1 U48225 ( .A(n51482), .Y(n51486) );
+  sky130_fd_sc_hd__clkinv_1 U48226 ( .A(n85750), .Y(n70569) );
+  sky130_fd_sc_hd__nand2_2 U48227 ( .A(n37985), .B(n39323), .Y(n39376) );
+  sky130_fd_sc_hd__clkinv_1 U48228 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_valid), .Y(n75801) );
+  sky130_fd_sc_hd__and2_1 U48229 ( .A(n39978), .B(n37490), .X(n37164) );
+  sky130_fd_sc_hd__clkinv_1 U48230 ( .A(n79852), .Y(n79857) );
+  sky130_fd_sc_hd__inv_1 U48231 ( .A(n39107), .Y(n38136) );
+  sky130_fd_sc_hd__clkinv_1 U48232 ( .A(n39034), .Y(n39035) );
+  sky130_fd_sc_hd__clkinv_1 U48233 ( .A(n61057), .Y(n61058) );
+  sky130_fd_sc_hd__clkinv_1 U48235 ( .A(n38797), .Y(n38798) );
+  sky130_fd_sc_hd__clkinv_1 U48236 ( .A(n38673), .Y(n38674) );
+  sky130_fd_sc_hd__clkinv_1 U48237 ( .A(n38574), .Y(n37645) );
+  sky130_fd_sc_hd__inv_1 U48238 ( .A(n57820), .Y(n57822) );
+  sky130_fd_sc_hd__clkinv_1 U48239 ( .A(n38685), .Y(n38686) );
+  sky130_fd_sc_hd__clkinv_1 U48240 ( .A(n67357), .Y(n67358) );
+  sky130_fd_sc_hd__clkinv_1 U48241 ( .A(n63421), .Y(n63352) );
+  sky130_fd_sc_hd__clkinv_1 U48242 ( .A(n50466), .Y(n52251) );
+  sky130_fd_sc_hd__clkinv_1 U48243 ( .A(n62926), .Y(n61157) );
+  sky130_fd_sc_hd__a21oi_1 U48244 ( .A1(n65819), .A2(n65818), .B1(n64558), .Y(
+        n65834) );
+  sky130_fd_sc_hd__clkinv_1 U48245 ( .A(n85793), .Y(n80152) );
+  sky130_fd_sc_hd__clkinv_1 U48246 ( .A(n60856), .Y(n60857) );
+  sky130_fd_sc_hd__clkinv_1 U48247 ( .A(n61093), .Y(n61101) );
+  sky130_fd_sc_hd__clkinv_1 U48248 ( .A(n63413), .Y(n63307) );
+  sky130_fd_sc_hd__clkinv_1 U48249 ( .A(n72373), .Y(n40614) );
+  sky130_fd_sc_hd__clkinv_1 U48250 ( .A(n63490), .Y(n49001) );
+  sky130_fd_sc_hd__clkinv_1 U48251 ( .A(n78356), .Y(n63873) );
+  sky130_fd_sc_hd__clkinv_1 U48252 ( .A(n49919), .Y(n49315) );
+  sky130_fd_sc_hd__nor2_2 U48253 ( .A(n65751), .B(n65757), .Y(n65789) );
+  sky130_fd_sc_hd__or2_0 U48254 ( .A(n44244), .B(n50259), .X(n43638) );
+  sky130_fd_sc_hd__clkinv_1 U48256 ( .A(n63296), .Y(n63299) );
+  sky130_fd_sc_hd__clkinv_1 U48257 ( .A(n52228), .Y(n52697) );
+  sky130_fd_sc_hd__and2_0 U48258 ( .A(n85745), .B(n36801), .X(n86100) );
+  sky130_fd_sc_hd__inv_1 U48259 ( .A(n80737), .Y(n62853) );
+  sky130_fd_sc_hd__clkinv_1 U48260 ( .A(n71013), .Y(n71014) );
+  sky130_fd_sc_hd__clkinv_1 U48261 ( .A(n51096), .Y(n60016) );
+  sky130_fd_sc_hd__clkinv_1 U48262 ( .A(n48072), .Y(n56996) );
+  sky130_fd_sc_hd__clkinv_1 U48263 ( .A(n57039), .Y(n50437) );
+  sky130_fd_sc_hd__clkinv_1 U48264 ( .A(n50396), .Y(n51839) );
+  sky130_fd_sc_hd__clkinv_1 U48265 ( .A(n67265), .Y(n67262) );
+  sky130_fd_sc_hd__clkinv_1 U48266 ( .A(n54977), .Y(n39926) );
+  sky130_fd_sc_hd__clkinv_1 U48268 ( .A(n79323), .Y(n79326) );
+  sky130_fd_sc_hd__clkinv_1 U48269 ( .A(n51525), .Y(n45996) );
+  sky130_fd_sc_hd__nand3_1 U48270 ( .A(n36770), .B(n56616), .C(n47743), .Y(
+        n48748) );
+  sky130_fd_sc_hd__inv_1 U48271 ( .A(n58091), .Y(n58079) );
+  sky130_fd_sc_hd__clkinv_1 U48272 ( .A(n69775), .Y(n69777) );
+  sky130_fd_sc_hd__clkinv_1 U48273 ( .A(n60290), .Y(n60291) );
+  sky130_fd_sc_hd__inv_1 U48274 ( .A(n54281), .Y(n54282) );
+  sky130_fd_sc_hd__clkinv_1 U48275 ( .A(n58005), .Y(n58007) );
+  sky130_fd_sc_hd__clkinv_1 U48276 ( .A(n56616), .Y(n37767) );
+  sky130_fd_sc_hd__clkinv_1 U48277 ( .A(n81039), .Y(n52531) );
+  sky130_fd_sc_hd__clkinv_1 U48278 ( .A(n80431), .Y(n80432) );
+  sky130_fd_sc_hd__clkinv_1 U48279 ( .A(n67386), .Y(n67387) );
+  sky130_fd_sc_hd__clkinv_1 U48280 ( .A(n45341), .Y(n45346) );
+  sky130_fd_sc_hd__clkinv_1 U48281 ( .A(n60303), .Y(n60304) );
+  sky130_fd_sc_hd__clkinv_1 U48282 ( .A(n58265), .Y(n58267) );
+  sky130_fd_sc_hd__clkinv_1 U48283 ( .A(n69676), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N84) );
+  sky130_fd_sc_hd__inv_1 U48284 ( .A(n52229), .Y(n48247) );
+  sky130_fd_sc_hd__clkinv_1 U48285 ( .A(n80708), .Y(n80717) );
+  sky130_fd_sc_hd__clkinv_1 U48286 ( .A(n85842), .Y(n80433) );
+  sky130_fd_sc_hd__clkinv_1 U48287 ( .A(n65238), .Y(n65240) );
+  sky130_fd_sc_hd__clkinv_1 U48288 ( .A(n65262), .Y(n65247) );
+  sky130_fd_sc_hd__clkinv_1 U48289 ( .A(n63408), .Y(n63331) );
+  sky130_fd_sc_hd__clkinv_1 U48290 ( .A(n63332), .Y(n63335) );
+  sky130_fd_sc_hd__clkinv_1 U48291 ( .A(n70906), .Y(n70907) );
+  sky130_fd_sc_hd__clkinv_1 U48292 ( .A(n63428), .Y(n63339) );
+  sky130_fd_sc_hd__clkinv_1 U48293 ( .A(n63429), .Y(n63348) );
+  sky130_fd_sc_hd__clkinv_1 U48294 ( .A(n39967), .Y(n39969) );
+  sky130_fd_sc_hd__clkinv_1 U48295 ( .A(n83027), .Y(n78205) );
+  sky130_fd_sc_hd__clkinv_1 U48297 ( .A(n63422), .Y(n63323) );
+  sky130_fd_sc_hd__clkinv_1 U48298 ( .A(n49261), .Y(n49694) );
+  sky130_fd_sc_hd__clkinv_1 U48299 ( .A(n63158), .Y(n48828) );
+  sky130_fd_sc_hd__clkinv_1 U48300 ( .A(n51302), .Y(n48830) );
+  sky130_fd_sc_hd__clkinv_1 U48301 ( .A(n63394), .Y(n63306) );
+  sky130_fd_sc_hd__clkinv_1 U48302 ( .A(n72484), .Y(n58665) );
+  sky130_fd_sc_hd__clkinv_1 U48303 ( .A(n51305), .Y(n48952) );
+  sky130_fd_sc_hd__clkinv_1 U48304 ( .A(n59329), .Y(n48878) );
+  sky130_fd_sc_hd__clkinv_1 U48305 ( .A(n70539), .Y(n58663) );
+  sky130_fd_sc_hd__clkinv_1 U48306 ( .A(n69224), .Y(n58662) );
+  sky130_fd_sc_hd__clkinv_1 U48307 ( .A(n63165), .Y(n49273) );
+  sky130_fd_sc_hd__clkinv_1 U48308 ( .A(n63183), .Y(n67055) );
+  sky130_fd_sc_hd__clkinv_1 U48309 ( .A(n72312), .Y(n40615) );
+  sky130_fd_sc_hd__clkinv_1 U48310 ( .A(n65883), .Y(n69730) );
+  sky130_fd_sc_hd__clkinv_1 U48311 ( .A(n68823), .Y(n58661) );
+  sky130_fd_sc_hd__o21ai_1 U48312 ( .A1(n65805), .A2(n65809), .B1(n65806), .Y(
+        n65819) );
+  sky130_fd_sc_hd__clkinv_1 U48313 ( .A(n63427), .Y(n63295) );
+  sky130_fd_sc_hd__clkinv_1 U48314 ( .A(n71094), .Y(n71095) );
+  sky130_fd_sc_hd__clkinv_1 U48315 ( .A(n47988), .Y(n47989) );
+  sky130_fd_sc_hd__clkinv_1 U48316 ( .A(n83350), .Y(n83352) );
+  sky130_fd_sc_hd__clkinv_1 U48317 ( .A(n70702), .Y(n70703) );
+  sky130_fd_sc_hd__clkinv_1 U48318 ( .A(n69682), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N82) );
+  sky130_fd_sc_hd__clkinv_1 U48319 ( .A(n50065), .Y(n53161) );
+  sky130_fd_sc_hd__clkinv_1 U48320 ( .A(n44193), .Y(n54909) );
+  sky130_fd_sc_hd__clkinv_1 U48321 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N170), 
+        .Y(n80350) );
+  sky130_fd_sc_hd__clkinv_1 U48322 ( .A(n69667), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N87) );
+  sky130_fd_sc_hd__clkinv_1 U48323 ( .A(n83674), .Y(n50085) );
+  sky130_fd_sc_hd__clkinv_1 U48324 ( .A(n39410), .Y(n39404) );
+  sky130_fd_sc_hd__clkinv_1 U48325 ( .A(n64884), .Y(n64885) );
+  sky130_fd_sc_hd__clkinv_1 U48326 ( .A(n39423), .Y(n39424) );
+  sky130_fd_sc_hd__nand2b_1 U48327 ( .A_N(n54150), .B(n54151), .Y(n39536) );
+  sky130_fd_sc_hd__nand2_1 U48328 ( .A(n39399), .B(n37986), .Y(n37985) );
+  sky130_fd_sc_hd__clkinv_1 U48329 ( .A(n59630), .Y(n59633) );
+  sky130_fd_sc_hd__clkinv_1 U48330 ( .A(n76281), .Y(n76291) );
+  sky130_fd_sc_hd__clkinv_1 U48331 ( .A(n64158), .Y(n64166) );
+  sky130_fd_sc_hd__clkinv_1 U48332 ( .A(n54955), .Y(n54957) );
+  sky130_fd_sc_hd__clkinv_1 U48333 ( .A(n63046), .Y(n59247) );
+  sky130_fd_sc_hd__clkinv_1 U48334 ( .A(n54964), .Y(n54967) );
+  sky130_fd_sc_hd__clkinv_1 U48335 ( .A(n57296), .Y(n50257) );
+  sky130_fd_sc_hd__clkinv_1 U48336 ( .A(n65884), .Y(n65862) );
+  sky130_fd_sc_hd__clkinv_1 U48337 ( .A(n85848), .Y(n80755) );
+  sky130_fd_sc_hd__clkinv_1 U48338 ( .A(n65887), .Y(n65872) );
+  sky130_fd_sc_hd__clkinv_1 U48339 ( .A(n39347), .Y(n39349) );
+  sky130_fd_sc_hd__clkinv_1 U48340 ( .A(n54898), .Y(n54159) );
+  sky130_fd_sc_hd__clkinv_1 U48341 ( .A(n71552), .Y(n71441) );
+  sky130_fd_sc_hd__clkinv_1 U48342 ( .A(n72023), .Y(n72024) );
+  sky130_fd_sc_hd__and2_0 U48343 ( .A(n38035), .B(n39980), .X(n37179) );
+  sky130_fd_sc_hd__or2_1 U48344 ( .A(n50476), .B(n48281), .X(n52401) );
+  sky130_fd_sc_hd__clkinv_1 U48345 ( .A(n50211), .Y(n44298) );
+  sky130_fd_sc_hd__clkinv_1 U48346 ( .A(n39980), .Y(n39370) );
+  sky130_fd_sc_hd__clkinv_1 U48347 ( .A(n69691), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N79) );
+  sky130_fd_sc_hd__or2_1 U48348 ( .A(n47918), .B(n47995), .X(n53005) );
+  sky130_fd_sc_hd__a2bb2oi_1 U48349 ( .B1(n41831), .B2(n41830), .A1_N(n66641), 
+        .A2_N(n54911), .Y(n41837) );
+  sky130_fd_sc_hd__inv_2 U48350 ( .A(n54359), .Y(n55985) );
+  sky130_fd_sc_hd__clkinv_1 U48351 ( .A(n60258), .Y(n49053) );
+  sky130_fd_sc_hd__clkinv_1 U48352 ( .A(n48021), .Y(n60281) );
+  sky130_fd_sc_hd__clkinv_1 U48353 ( .A(n36977), .Y(n59468) );
+  sky130_fd_sc_hd__and2_0 U48354 ( .A(n61169), .B(n61168), .X(n63461) );
+  sky130_fd_sc_hd__clkinv_1 U48355 ( .A(n61142), .Y(n58331) );
+  sky130_fd_sc_hd__clkinv_1 U48356 ( .A(n43064), .Y(n43116) );
+  sky130_fd_sc_hd__clkinv_1 U48357 ( .A(n50194), .Y(n46491) );
+  sky130_fd_sc_hd__clkinv_1 U48358 ( .A(n61976), .Y(n60894) );
+  sky130_fd_sc_hd__clkinv_1 U48359 ( .A(n59580), .Y(n59581) );
+  sky130_fd_sc_hd__clkinv_1 U48360 ( .A(n59480), .Y(n59518) );
+  sky130_fd_sc_hd__clkinv_1 U48361 ( .A(n61140), .Y(n53291) );
+  sky130_fd_sc_hd__clkinv_1 U48362 ( .A(n85741), .Y(n72259) );
+  sky130_fd_sc_hd__clkinv_1 U48363 ( .A(n61139), .Y(n53290) );
+  sky130_fd_sc_hd__clkinv_1 U48364 ( .A(n53279), .Y(n53280) );
+  sky130_fd_sc_hd__clkinv_1 U48365 ( .A(n83611), .Y(n60919) );
+  sky130_fd_sc_hd__clkinv_1 U48366 ( .A(n58338), .Y(n61946) );
+  sky130_fd_sc_hd__clkinv_1 U48367 ( .A(n68589), .Y(n66263) );
+  sky130_fd_sc_hd__clkinv_1 U48368 ( .A(n83724), .Y(n83726) );
+  sky130_fd_sc_hd__clkinv_1 U48369 ( .A(n79369), .Y(n79374) );
+  sky130_fd_sc_hd__clkinv_1 U48370 ( .A(n63166), .Y(n63168) );
+  sky130_fd_sc_hd__clkinv_1 U48371 ( .A(n62988), .Y(n62992) );
+  sky130_fd_sc_hd__clkinv_1 U48372 ( .A(n61532), .Y(n61500) );
+  sky130_fd_sc_hd__clkinv_1 U48373 ( .A(n40640), .Y(n72427) );
+  sky130_fd_sc_hd__clkinv_1 U48374 ( .A(n66251), .Y(n66502) );
+  sky130_fd_sc_hd__clkinv_1 U48375 ( .A(n83114), .Y(n36285) );
+  sky130_fd_sc_hd__nor2_1 U48376 ( .A(n59810), .B(n83895), .Y(n59807) );
+  sky130_fd_sc_hd__clkinv_1 U48377 ( .A(n40612), .Y(n40613) );
+  sky130_fd_sc_hd__clkinv_1 U48378 ( .A(n67846), .Y(n67810) );
+  sky130_fd_sc_hd__clkinv_1 U48379 ( .A(n58805), .Y(n58799) );
+  sky130_fd_sc_hd__clkinv_1 U48380 ( .A(n69713), .Y(n62837) );
+  sky130_fd_sc_hd__clkinv_1 U48381 ( .A(n61068), .Y(n48733) );
+  sky130_fd_sc_hd__clkinv_1 U48382 ( .A(n61763), .Y(n61808) );
+  sky130_fd_sc_hd__clkinv_1 U48383 ( .A(n63292), .Y(n63439) );
+  sky130_fd_sc_hd__clkinv_1 U48384 ( .A(n60467), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N170) );
+  sky130_fd_sc_hd__clkinv_1 U48385 ( .A(n59994), .Y(n49327) );
+  sky130_fd_sc_hd__nand2_1 U48386 ( .A(n47954), .B(n47888), .Y(n47918) );
+  sky130_fd_sc_hd__clkbuf_1 U48387 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N634), .X(n37023) );
+  sky130_fd_sc_hd__clkinv_1 U48388 ( .A(n60844), .Y(n60849) );
+  sky130_fd_sc_hd__clkinv_1 U48389 ( .A(n61796), .Y(n61801) );
+  sky130_fd_sc_hd__clkinv_1 U48390 ( .A(n63280), .Y(n63281) );
+  sky130_fd_sc_hd__clkinv_1 U48391 ( .A(n53316), .Y(n58398) );
+  sky130_fd_sc_hd__clkinv_1 U48393 ( .A(n58803), .Y(n58795) );
+  sky130_fd_sc_hd__clkinv_1 U48394 ( .A(n58794), .Y(n58796) );
+  sky130_fd_sc_hd__clkinv_1 U48395 ( .A(n63525), .Y(n63522) );
+  sky130_fd_sc_hd__clkinv_1 U48396 ( .A(n47995), .Y(n63683) );
+  sky130_fd_sc_hd__clkinv_1 U48397 ( .A(n53500), .Y(n53506) );
+  sky130_fd_sc_hd__clkinv_1 U48398 ( .A(n79379), .Y(n79382) );
+  sky130_fd_sc_hd__clkinv_1 U48400 ( .A(n79375), .Y(n79378) );
+  sky130_fd_sc_hd__clkinv_1 U48401 ( .A(n83787), .Y(n83784) );
+  sky130_fd_sc_hd__clkinv_1 U48402 ( .A(n63302), .Y(n63398) );
+  sky130_fd_sc_hd__nand2_1 U48403 ( .A(n41710), .B(n46834), .Y(n47236) );
+  sky130_fd_sc_hd__clkinv_1 U48404 ( .A(n47917), .Y(n63685) );
+  sky130_fd_sc_hd__inv_2 U48405 ( .A(n37891), .Y(n37986) );
+  sky130_fd_sc_hd__clkinv_1 U48406 ( .A(n63288), .Y(n63291) );
+  sky130_fd_sc_hd__clkinv_1 U48407 ( .A(n78148), .Y(n81005) );
+  sky130_fd_sc_hd__clkinv_1 U48408 ( .A(n67952), .Y(n67909) );
+  sky130_fd_sc_hd__clkinv_1 U48409 ( .A(n79383), .Y(n79386) );
+  sky130_fd_sc_hd__clkinv_1 U48410 ( .A(n47955), .Y(n47912) );
+  sky130_fd_sc_hd__clkinv_1 U48412 ( .A(n50954), .Y(n57118) );
+  sky130_fd_sc_hd__clkinv_1 U48413 ( .A(n69670), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N86) );
+  sky130_fd_sc_hd__clkinv_1 U48414 ( .A(n73455), .Y(n73457) );
+  sky130_fd_sc_hd__clkinv_1 U48415 ( .A(n67504), .Y(n67510) );
+  sky130_fd_sc_hd__clkinv_1 U48416 ( .A(n67507), .Y(n67509) );
+  sky130_fd_sc_hd__clkinv_1 U48417 ( .A(n61953), .Y(n53284) );
+  sky130_fd_sc_hd__clkinv_1 U48418 ( .A(n69694), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N78) );
+  sky130_fd_sc_hd__clkinv_1 U48419 ( .A(n68129), .Y(n68088) );
+  sky130_fd_sc_hd__inv_2 U48420 ( .A(n54149), .Y(n36840) );
+  sky130_fd_sc_hd__clkinv_1 U48421 ( .A(n83754), .Y(n83757) );
+  sky130_fd_sc_hd__clkinv_1 U48422 ( .A(n53514), .Y(n53515) );
+  sky130_fd_sc_hd__clkinv_1 U48423 ( .A(n49251), .Y(n60074) );
+  sky130_fd_sc_hd__nand2_2 U48424 ( .A(n39356), .B(n39314), .Y(n54911) );
+  sky130_fd_sc_hd__clkinv_1 U48425 ( .A(n63531), .Y(n63528) );
+  sky130_fd_sc_hd__clkinv_1 U48426 ( .A(n63336), .Y(n63399) );
+  sky130_fd_sc_hd__clkinv_1 U48427 ( .A(n54916), .Y(n39306) );
+  sky130_fd_sc_hd__clkinv_1 U48428 ( .A(n63309), .Y(n55524) );
+  sky130_fd_sc_hd__clkinv_1 U48429 ( .A(n70909), .Y(n70910) );
+  sky130_fd_sc_hd__clkinv_1 U48430 ( .A(n84199), .Y(n49480) );
+  sky130_fd_sc_hd__clkinv_1 U48431 ( .A(n71349), .Y(n71350) );
+  sky130_fd_sc_hd__or2_0 U48432 ( .A(n73387), .B(n73386), .X(n73392) );
+  sky130_fd_sc_hd__clkinv_1 U48433 ( .A(n59341), .Y(n58819) );
+  sky130_fd_sc_hd__clkinv_1 U48434 ( .A(n79848), .Y(n79853) );
+  sky130_fd_sc_hd__clkinv_1 U48435 ( .A(n50166), .Y(n46047) );
+  sky130_fd_sc_hd__clkinv_1 U48436 ( .A(n60300), .Y(n60301) );
+  sky130_fd_sc_hd__clkinv_1 U48437 ( .A(n84196), .Y(n49390) );
+  sky130_fd_sc_hd__clkinv_1 U48438 ( .A(n56628), .Y(n56635) );
+  sky130_fd_sc_hd__clkinv_1 U48439 ( .A(n73422), .Y(n73380) );
+  sky130_fd_sc_hd__clkinv_1 U48440 ( .A(n83571), .Y(n83574) );
+  sky130_fd_sc_hd__clkinv_1 U48441 ( .A(n71463), .Y(n71464) );
+  sky130_fd_sc_hd__clkinv_1 U48442 ( .A(n64880), .Y(n64886) );
+  sky130_fd_sc_hd__clkinv_1 U48443 ( .A(n79687), .Y(n79692) );
+  sky130_fd_sc_hd__clkinv_1 U48444 ( .A(n44053), .Y(n44054) );
+  sky130_fd_sc_hd__clkinv_1 U48445 ( .A(n63513), .Y(n73550) );
+  sky130_fd_sc_hd__clkinv_1 U48446 ( .A(n69679), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N83) );
+  sky130_fd_sc_hd__a21oi_2 U48447 ( .A1(n38346), .A2(n38343), .B1(n38859), .Y(
+        n59588) );
+  sky130_fd_sc_hd__clkinv_1 U48448 ( .A(n67627), .Y(n67593) );
+  sky130_fd_sc_hd__clkinv_1 U48449 ( .A(n71825), .Y(n71826) );
+  sky130_fd_sc_hd__clkinv_1 U48450 ( .A(n65214), .Y(n64839) );
+  sky130_fd_sc_hd__clkinv_1 U48451 ( .A(n59656), .Y(n59659) );
+  sky130_fd_sc_hd__clkinv_1 U48452 ( .A(n53689), .Y(n53691) );
+  sky130_fd_sc_hd__clkinv_1 U48453 ( .A(n53680), .Y(n53682) );
+  sky130_fd_sc_hd__clkinv_1 U48454 ( .A(n69661), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N89) );
+  sky130_fd_sc_hd__clkinv_1 U48455 ( .A(n82526), .Y(n82575) );
+  sky130_fd_sc_hd__clkinv_1 U48456 ( .A(n63391), .Y(n63287) );
+  sky130_fd_sc_hd__clkinv_1 U48457 ( .A(n59501), .Y(n37043) );
+  sky130_fd_sc_hd__clkinv_1 U48458 ( .A(n69685), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N81) );
+  sky130_fd_sc_hd__nand2_2 U48459 ( .A(n38182), .B(n38180), .Y(n58843) );
+  sky130_fd_sc_hd__clkinv_1 U48460 ( .A(n45987), .Y(n45990) );
+  sky130_fd_sc_hd__clkinv_1 U48461 ( .A(n56170), .Y(n56175) );
+  sky130_fd_sc_hd__clkinv_1 U48462 ( .A(n70778), .Y(n70779) );
+  sky130_fd_sc_hd__clkinv_1 U48463 ( .A(n53678), .Y(n53686) );
+  sky130_fd_sc_hd__clkinv_1 U48464 ( .A(n78269), .Y(n78271) );
+  sky130_fd_sc_hd__a21oi_1 U48466 ( .A1(n65784), .A2(n65783), .B1(n64555), .Y(
+        n65809) );
+  sky130_fd_sc_hd__clkinv_1 U48467 ( .A(n63315), .Y(n63406) );
+  sky130_fd_sc_hd__clkinv_1 U48468 ( .A(n63596), .Y(n63562) );
+  sky130_fd_sc_hd__clkinv_1 U48469 ( .A(n55362), .Y(n55363) );
+  sky130_fd_sc_hd__clkinv_1 U48470 ( .A(n50305), .Y(n52045) );
+  sky130_fd_sc_hd__clkinv_1 U48471 ( .A(n75728), .Y(n75724) );
+  sky130_fd_sc_hd__clkinv_1 U48472 ( .A(n68093), .Y(n68106) );
+  sky130_fd_sc_hd__clkinv_1 U48473 ( .A(n59627), .Y(n59643) );
+  sky130_fd_sc_hd__inv_1 U48474 ( .A(n53685), .Y(n53679) );
+  sky130_fd_sc_hd__o211ai_1 U48475 ( .A1(n40666), .A2(n40665), .B1(n74049), 
+        .C1(n78204), .Y(n72675) );
+  sky130_fd_sc_hd__clkinv_1 U48476 ( .A(n69275), .Y(n69277) );
+  sky130_fd_sc_hd__clkinv_1 U48477 ( .A(n66047), .Y(n65185) );
+  sky130_fd_sc_hd__clkinv_1 U48478 ( .A(n46320), .Y(n52468) );
+  sky130_fd_sc_hd__clkinv_1 U48480 ( .A(n68453), .Y(n64804) );
+  sky130_fd_sc_hd__clkinv_1 U48481 ( .A(n68452), .Y(n64813) );
+  sky130_fd_sc_hd__clkinv_1 U48482 ( .A(n66041), .Y(n64812) );
+  sky130_fd_sc_hd__clkinv_1 U48483 ( .A(n73538), .Y(n49164) );
+  sky130_fd_sc_hd__clkinv_1 U48484 ( .A(n65571), .Y(n64830) );
+  sky130_fd_sc_hd__inv_1 U48485 ( .A(n45268), .Y(n45270) );
+  sky130_fd_sc_hd__clkinv_1 U48486 ( .A(n69824), .Y(n69264) );
+  sky130_fd_sc_hd__clkinv_1 U48487 ( .A(n66430), .Y(n66435) );
+  sky130_fd_sc_hd__clkinv_1 U48488 ( .A(n82598), .Y(n82599) );
+  sky130_fd_sc_hd__clkinv_1 U48489 ( .A(n49328), .Y(n49062) );
+  sky130_fd_sc_hd__clkinv_1 U48490 ( .A(n66433), .Y(n66434) );
+  sky130_fd_sc_hd__nor2_1 U48491 ( .A(n54346), .B(n84645), .Y(n59810) );
+  sky130_fd_sc_hd__clkinv_1 U48492 ( .A(n60014), .Y(n49391) );
+  sky130_fd_sc_hd__clkinv_1 U48493 ( .A(n39431), .Y(n39434) );
+  sky130_fd_sc_hd__clkinv_1 U48494 ( .A(n55236), .Y(n55241) );
+  sky130_fd_sc_hd__clkinv_1 U48495 ( .A(n60907), .Y(n60911) );
+  sky130_fd_sc_hd__clkinv_1 U48496 ( .A(n68591), .Y(n66066) );
+  sky130_fd_sc_hd__clkinv_1 U48497 ( .A(n71417), .Y(n71418) );
+  sky130_fd_sc_hd__clkinv_1 U48498 ( .A(n73542), .Y(n62013) );
+  sky130_fd_sc_hd__clkinv_1 U48499 ( .A(n83019), .Y(n83017) );
+  sky130_fd_sc_hd__clkinv_1 U48500 ( .A(n49854), .Y(n49439) );
+  sky130_fd_sc_hd__clkinv_1 U48501 ( .A(n53496), .Y(n49855) );
+  sky130_fd_sc_hd__clkinv_1 U48502 ( .A(n63482), .Y(n60561) );
+  sky130_fd_sc_hd__clkinv_1 U48503 ( .A(n83324), .Y(n85422) );
+  sky130_fd_sc_hd__clkinv_1 U48504 ( .A(n47919), .Y(n47960) );
+  sky130_fd_sc_hd__clkinv_1 U48505 ( .A(n70295), .Y(n68599) );
+  sky130_fd_sc_hd__clkinv_1 U48506 ( .A(n72526), .Y(n66076) );
+  sky130_fd_sc_hd__clkinv_1 U48507 ( .A(n49357), .Y(n49359) );
+  sky130_fd_sc_hd__nand2_1 U48508 ( .A(n39011), .B(n39010), .Y(n59510) );
+  sky130_fd_sc_hd__clkinv_1 U48509 ( .A(n68594), .Y(n64868) );
+  sky130_fd_sc_hd__clkinv_1 U48510 ( .A(n57061), .Y(n47186) );
+  sky130_fd_sc_hd__clkinv_1 U48511 ( .A(n47911), .Y(n47888) );
+  sky130_fd_sc_hd__clkinv_1 U48512 ( .A(n49038), .Y(n49506) );
+  sky130_fd_sc_hd__clkinv_1 U48513 ( .A(n70287), .Y(n70288) );
+  sky130_fd_sc_hd__inv_1 U48514 ( .A(n46294), .Y(n46296) );
+  sky130_fd_sc_hd__clkinv_1 U48515 ( .A(n49217), .Y(n49223) );
+  sky130_fd_sc_hd__inv_1 U48516 ( .A(n61004), .Y(n61005) );
+  sky130_fd_sc_hd__inv_1 U48517 ( .A(n50082), .Y(n50083) );
+  sky130_fd_sc_hd__clkinv_1 U48519 ( .A(n73139), .Y(n40623) );
+  sky130_fd_sc_hd__clkinv_1 U48520 ( .A(n69664), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N88) );
+  sky130_fd_sc_hd__clkinv_1 U48521 ( .A(n51928), .Y(n51929) );
+  sky130_fd_sc_hd__clkinv_1 U48523 ( .A(n63950), .Y(n72311) );
+  sky130_fd_sc_hd__clkinv_1 U48524 ( .A(n69487), .Y(n69490) );
+  sky130_fd_sc_hd__clkinv_1 U48525 ( .A(n69823), .Y(n69483) );
+  sky130_fd_sc_hd__clkinv_1 U48526 ( .A(n68451), .Y(n65579) );
+  sky130_fd_sc_hd__clkinv_1 U48527 ( .A(n72426), .Y(n63903) );
+  sky130_fd_sc_hd__clkinv_1 U48528 ( .A(n69688), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N80) );
+  sky130_fd_sc_hd__clkinv_1 U48529 ( .A(n44794), .Y(n51581) );
+  sky130_fd_sc_hd__nand2_1 U48530 ( .A(n37934), .B(n39343), .Y(n37891) );
+  sky130_fd_sc_hd__clkinv_1 U48531 ( .A(n82567), .Y(n82527) );
+  sky130_fd_sc_hd__clkinv_1 U48532 ( .A(n83429), .Y(n83432) );
+  sky130_fd_sc_hd__clkinv_1 U48533 ( .A(n42821), .Y(n42710) );
+  sky130_fd_sc_hd__clkinv_1 U48534 ( .A(n59422), .Y(n59419) );
+  sky130_fd_sc_hd__clkinv_1 U48535 ( .A(n83155), .Y(n36284) );
+  sky130_fd_sc_hd__nand2_2 U48536 ( .A(n39343), .B(n68709), .Y(n39316) );
+  sky130_fd_sc_hd__clkinv_1 U48537 ( .A(n71019), .Y(n71020) );
+  sky130_fd_sc_hd__clkinv_1 U48538 ( .A(n82492), .Y(n82495) );
+  sky130_fd_sc_hd__clkinv_1 U48539 ( .A(n49441), .Y(n48819) );
+  sky130_fd_sc_hd__clkinv_1 U48540 ( .A(n61954), .Y(n53285) );
+  sky130_fd_sc_hd__clkinv_1 U48541 ( .A(n47037), .Y(n47039) );
+  sky130_fd_sc_hd__clkinv_1 U48542 ( .A(n82560), .Y(n82533) );
+  sky130_fd_sc_hd__clkinv_1 U48543 ( .A(n63305), .Y(n55547) );
+  sky130_fd_sc_hd__clkinv_1 U48544 ( .A(n60075), .Y(n60078) );
+  sky130_fd_sc_hd__clkinv_1 U48545 ( .A(n69699), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N77) );
+  sky130_fd_sc_hd__clkinv_1 U48546 ( .A(n68814), .Y(n68815) );
+  sky130_fd_sc_hd__clkinv_1 U48547 ( .A(n42490), .Y(n42514) );
+  sky130_fd_sc_hd__clkinv_1 U48548 ( .A(n83560), .Y(n83565) );
+  sky130_fd_sc_hd__clkinv_1 U48549 ( .A(n80845), .Y(n80854) );
+  sky130_fd_sc_hd__clkinv_1 U48550 ( .A(n83695), .Y(n85466) );
+  sky130_fd_sc_hd__clkinv_1 U48551 ( .A(n63503), .Y(n63504) );
+  sky130_fd_sc_hd__clkinv_1 U48552 ( .A(n68593), .Y(n69433) );
+  sky130_fd_sc_hd__clkinv_1 U48554 ( .A(n49473), .Y(n62080) );
+  sky130_fd_sc_hd__clkinv_1 U48555 ( .A(n71724), .Y(n71725) );
+  sky130_fd_sc_hd__clkinv_1 U48556 ( .A(n60655), .Y(n60672) );
+  sky130_fd_sc_hd__clkinv_1 U48557 ( .A(n43315), .Y(n48466) );
+  sky130_fd_sc_hd__clkinv_1 U48558 ( .A(n69159), .Y(n69161) );
+  sky130_fd_sc_hd__inv_1 U48559 ( .A(n50804), .Y(n50806) );
+  sky130_fd_sc_hd__clkinv_1 U48560 ( .A(n82589), .Y(n85880) );
+  sky130_fd_sc_hd__clkinv_1 U48562 ( .A(n72802), .Y(n85644) );
+  sky130_fd_sc_hd__nor2_1 U48563 ( .A(n47708), .B(n48843), .Y(n60067) );
+  sky130_fd_sc_hd__clkinv_1 U48564 ( .A(n60088), .Y(n60089) );
+  sky130_fd_sc_hd__clkinv_1 U48565 ( .A(n85129), .Y(n47746) );
+  sky130_fd_sc_hd__and2_0 U48566 ( .A(n67480), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[2]), 
+        .X(n67481) );
+  sky130_fd_sc_hd__clkinv_1 U48567 ( .A(n70264), .Y(n53935) );
+  sky130_fd_sc_hd__clkinv_1 U48568 ( .A(n58401), .Y(n53281) );
+  sky130_fd_sc_hd__clkinv_1 U48569 ( .A(n63520), .Y(n73549) );
+  sky130_fd_sc_hd__clkinv_1 U48570 ( .A(n83638), .Y(n83641) );
+  sky130_fd_sc_hd__clkinv_1 U48571 ( .A(n49250), .Y(n49271) );
+  sky130_fd_sc_hd__or2_0 U48572 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[12]), .B(n67514), .X(n67516) );
+  sky130_fd_sc_hd__clkinv_1 U48573 ( .A(n83763), .Y(n55264) );
+  sky130_fd_sc_hd__clkinv_1 U48574 ( .A(n56451), .Y(n48100) );
+  sky130_fd_sc_hd__clkinv_1 U48575 ( .A(n85124), .Y(n37977) );
+  sky130_fd_sc_hd__clkinv_1 U48576 ( .A(n72383), .Y(n72384) );
+  sky130_fd_sc_hd__clkinv_1 U48577 ( .A(n72382), .Y(n72386) );
+  sky130_fd_sc_hd__nand2b_1 U48578 ( .A_N(n72802), .B(n42922), .Y(n39507) );
+  sky130_fd_sc_hd__clkinv_1 U48579 ( .A(n65576), .Y(n66036) );
+  sky130_fd_sc_hd__inv_1 U48580 ( .A(n39761), .Y(n39707) );
+  sky130_fd_sc_hd__clkinv_1 U48581 ( .A(n68519), .Y(n65195) );
+  sky130_fd_sc_hd__clkinv_1 U48582 ( .A(n44052), .Y(n44055) );
+  sky130_fd_sc_hd__clkinv_1 U48583 ( .A(n83743), .Y(n83748) );
+  sky130_fd_sc_hd__clkinv_1 U48584 ( .A(n67498), .Y(n67503) );
+  sky130_fd_sc_hd__clkinv_1 U48585 ( .A(n55243), .Y(n55248) );
+  sky130_fd_sc_hd__clkinv_1 U48586 ( .A(n63820), .Y(n84294) );
+  sky130_fd_sc_hd__clkinv_1 U48587 ( .A(n48970), .Y(n49283) );
+  sky130_fd_sc_hd__clkinv_1 U48588 ( .A(n63103), .Y(n63079) );
+  sky130_fd_sc_hd__clkinv_1 U48589 ( .A(n60727), .Y(n60735) );
+  sky130_fd_sc_hd__clkinv_1 U48590 ( .A(n82416), .Y(n77644) );
+  sky130_fd_sc_hd__clkinv_1 U48591 ( .A(n60898), .Y(n83357) );
+  sky130_fd_sc_hd__a22oi_1 U48592 ( .A1(n63085), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[1]), 
+        .B1(n63084), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[0]), 
+        .Y(n63086) );
+  sky130_fd_sc_hd__clkinv_1 U48594 ( .A(n61956), .Y(n58505) );
+  sky130_fd_sc_hd__clkinv_1 U48595 ( .A(n68986), .Y(n66485) );
+  sky130_fd_sc_hd__clkinv_1 U48596 ( .A(n47867), .Y(n47853) );
+  sky130_fd_sc_hd__clkinv_1 U48597 ( .A(n63082), .Y(n63094) );
+  sky130_fd_sc_hd__clkinv_1 U48598 ( .A(n66486), .Y(n53888) );
+  sky130_fd_sc_hd__inv_1 U48599 ( .A(n52121), .Y(n45727) );
+  sky130_fd_sc_hd__clkinv_1 U48600 ( .A(n68724), .Y(n68798) );
+  sky130_fd_sc_hd__clkinv_1 U48601 ( .A(n68801), .Y(n53897) );
+  sky130_fd_sc_hd__clkinv_1 U48602 ( .A(n53695), .Y(n53697) );
+  sky130_fd_sc_hd__clkinv_1 U48603 ( .A(n69445), .Y(n53919) );
+  sky130_fd_sc_hd__clkinv_1 U48604 ( .A(n63282), .Y(n63283) );
+  sky130_fd_sc_hd__clkinv_1 U48605 ( .A(n50984), .Y(n57072) );
+  sky130_fd_sc_hd__clkinv_1 U48606 ( .A(n48250), .Y(n57180) );
+  sky130_fd_sc_hd__clkinv_1 U48607 ( .A(n60592), .Y(n60618) );
+  sky130_fd_sc_hd__clkinv_1 U48608 ( .A(n56953), .Y(n45476) );
+  sky130_fd_sc_hd__clkinv_1 U48609 ( .A(n60840), .Y(n60831) );
+  sky130_fd_sc_hd__inv_2 U48610 ( .A(n85458), .Y(n47793) );
+  sky130_fd_sc_hd__and2_0 U48611 ( .A(n53956), .B(n53955), .X(n36988) );
+  sky130_fd_sc_hd__inv_1 U48612 ( .A(n45986), .Y(n51930) );
+  sky130_fd_sc_hd__clkinv_1 U48613 ( .A(n54244), .Y(n54246) );
+  sky130_fd_sc_hd__clkinv_1 U48614 ( .A(n69330), .Y(n53912) );
+  sky130_fd_sc_hd__clkinv_1 U48615 ( .A(n63074), .Y(n63107) );
+  sky130_fd_sc_hd__clkinv_1 U48616 ( .A(n73424), .Y(n73420) );
+  sky130_fd_sc_hd__clkinv_1 U48617 ( .A(n65945), .Y(n68450) );
+  sky130_fd_sc_hd__clkinv_1 U48618 ( .A(n63340), .Y(n63341) );
+  sky130_fd_sc_hd__clkinv_1 U48619 ( .A(n80855), .Y(n80860) );
+  sky130_fd_sc_hd__clkinv_1 U48620 ( .A(n66078), .Y(n53905) );
+  sky130_fd_sc_hd__or2_0 U48621 ( .A(n73676), .B(n85696), .X(n73673) );
+  sky130_fd_sc_hd__clkinv_1 U48622 ( .A(n48389), .Y(n48391) );
+  sky130_fd_sc_hd__clkinv_1 U48623 ( .A(n73373), .Y(n73375) );
+  sky130_fd_sc_hd__clkinv_1 U48625 ( .A(n48375), .Y(n48377) );
+  sky130_fd_sc_hd__or2_0 U48626 ( .A(n70084), .B(n70083), .X(n53956) );
+  sky130_fd_sc_hd__clkinv_1 U48627 ( .A(n49102), .Y(n59620) );
+  sky130_fd_sc_hd__clkinv_1 U48628 ( .A(n82537), .Y(n82552) );
+  sky130_fd_sc_hd__clkinv_1 U48629 ( .A(n47417), .Y(n37354) );
+  sky130_fd_sc_hd__clkinv_1 U48630 ( .A(n80547), .Y(n80552) );
+  sky130_fd_sc_hd__clkinv_1 U48631 ( .A(n61018), .Y(n47869) );
+  sky130_fd_sc_hd__clkinv_1 U48632 ( .A(n49450), .Y(n49452) );
+  sky130_fd_sc_hd__nand2_1 U48633 ( .A(n47938), .B(n47937), .Y(n49231) );
+  sky130_fd_sc_hd__clkinv_1 U48634 ( .A(n47076), .Y(n57409) );
+  sky130_fd_sc_hd__clkinv_1 U48635 ( .A(n54272), .Y(n53874) );
+  sky130_fd_sc_hd__clkinv_1 U48636 ( .A(n76320), .Y(n76321) );
+  sky130_fd_sc_hd__clkinv_1 U48637 ( .A(n51946), .Y(n51948) );
+  sky130_fd_sc_hd__clkinv_1 U48638 ( .A(n72387), .Y(n73214) );
+  sky130_fd_sc_hd__clkinv_1 U48639 ( .A(n49416), .Y(n49426) );
+  sky130_fd_sc_hd__clkinv_1 U48640 ( .A(n56643), .Y(n49590) );
+  sky130_fd_sc_hd__clkinv_1 U48641 ( .A(n38564), .Y(n38565) );
+  sky130_fd_sc_hd__clkinv_1 U48642 ( .A(n66773), .Y(n66775) );
+  sky130_fd_sc_hd__clkinv_1 U48643 ( .A(n63314), .Y(n63407) );
+  sky130_fd_sc_hd__clkinv_1 U48644 ( .A(n85878), .Y(n82982) );
+  sky130_fd_sc_hd__clkinv_1 U48645 ( .A(n64044), .Y(n64072) );
+  sky130_fd_sc_hd__clkinv_1 U48646 ( .A(n68329), .Y(n68333) );
+  sky130_fd_sc_hd__clkinv_1 U48647 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N32), .Y(
+        n81371) );
+  sky130_fd_sc_hd__clkinv_1 U48648 ( .A(n49414), .Y(n49415) );
+  sky130_fd_sc_hd__clkinv_1 U48649 ( .A(n48964), .Y(n48946) );
+  sky130_fd_sc_hd__inv_2 U48650 ( .A(n70076), .Y(n39970) );
+  sky130_fd_sc_hd__a2bb2o_1 U48651 ( .A1_N(n54635), .A2_N(n54747), .B1(n55987), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[17]), .X(n54636) );
+  sky130_fd_sc_hd__clkinv_1 U48652 ( .A(n54249), .Y(n53676) );
+  sky130_fd_sc_hd__clkinv_1 U48653 ( .A(n54262), .Y(n54270) );
+  sky130_fd_sc_hd__clkinv_1 U48654 ( .A(n51024), .Y(n50292) );
+  sky130_fd_sc_hd__clkinv_1 U48655 ( .A(n52306), .Y(n47433) );
+  sky130_fd_sc_hd__clkinv_1 U48656 ( .A(n50293), .Y(n41476) );
+  sky130_fd_sc_hd__clkinv_1 U48657 ( .A(n49495), .Y(n49496) );
+  sky130_fd_sc_hd__clkinv_1 U48658 ( .A(n57695), .Y(n57703) );
+  sky130_fd_sc_hd__clkinv_1 U48659 ( .A(n45387), .Y(n41482) );
+  sky130_fd_sc_hd__clkinv_1 U48660 ( .A(n44050), .Y(n41481) );
+  sky130_fd_sc_hd__clkinv_1 U48661 ( .A(n72264), .Y(n72322) );
+  sky130_fd_sc_hd__clkinv_1 U48662 ( .A(n66761), .Y(n66763) );
+  sky130_fd_sc_hd__a2bb2o_1 U48663 ( .A1_N(n54565), .A2_N(n54747), .B1(n55987), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[24]), .X(n54566) );
+  sky130_fd_sc_hd__or2_0 U48664 ( .A(n73671), .B(n85695), .X(n73670) );
+  sky130_fd_sc_hd__clkinv_1 U48665 ( .A(n82566), .Y(n82546) );
+  sky130_fd_sc_hd__clkinv_1 U48666 ( .A(n76388), .Y(n76389) );
+  sky130_fd_sc_hd__clkinv_1 U48667 ( .A(n79844), .Y(n79849) );
+  sky130_fd_sc_hd__clkinv_1 U48668 ( .A(n43366), .Y(n46487) );
+  sky130_fd_sc_hd__clkinv_1 U48669 ( .A(n42923), .Y(n42925) );
+  sky130_fd_sc_hd__or2_0 U48670 ( .A(n73661), .B(n85693), .X(n73659) );
+  sky130_fd_sc_hd__clkinv_1 U48671 ( .A(n79683), .Y(n79688) );
+  sky130_fd_sc_hd__clkinv_1 U48672 ( .A(n44795), .Y(n48181) );
+  sky130_fd_sc_hd__and2_0 U48673 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16), .B(n79910), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N50) );
+  sky130_fd_sc_hd__clkinv_1 U48674 ( .A(n72549), .Y(n68683) );
+  sky130_fd_sc_hd__clkinv_1 U48675 ( .A(n48180), .Y(n41462) );
+  sky130_fd_sc_hd__clkinv_1 U48676 ( .A(n44793), .Y(n41461) );
+  sky130_fd_sc_hd__clkinv_1 U48677 ( .A(n52491), .Y(n51583) );
+  sky130_fd_sc_hd__clkinv_1 U48678 ( .A(n60012), .Y(n60013) );
+  sky130_fd_sc_hd__clkinv_1 U48679 ( .A(n51584), .Y(n41467) );
+  sky130_fd_sc_hd__clkinv_1 U48680 ( .A(n61136), .Y(n58341) );
+  sky130_fd_sc_hd__inv_1 U48681 ( .A(n83739), .Y(n83742) );
+  sky130_fd_sc_hd__clkinv_1 U48682 ( .A(n52042), .Y(n52043) );
+  sky130_fd_sc_hd__clkinv_1 U48683 ( .A(n44056), .Y(n45388) );
+  sky130_fd_sc_hd__a2bb2o_1 U48684 ( .A1_N(n54605), .A2_N(n54747), .B1(n55987), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[20]), .X(n54606) );
+  sky130_fd_sc_hd__clkinv_1 U48685 ( .A(n46704), .Y(n41552) );
+  sky130_fd_sc_hd__clkinv_1 U48686 ( .A(n61020), .Y(n59621) );
+  sky130_fd_sc_hd__clkinv_1 U48687 ( .A(n58495), .Y(n61945) );
+  sky130_fd_sc_hd__o2bb2ai_1 U48688 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_cmd[3]), .B2(n41366), .A1_N(n40672), .A2_N(n40671), .Y(n57647) );
+  sky130_fd_sc_hd__clkinv_1 U48689 ( .A(n48049), .Y(n47452) );
+  sky130_fd_sc_hd__clkinv_1 U48690 ( .A(n54264), .Y(n54256) );
+  sky130_fd_sc_hd__clkinv_1 U48691 ( .A(n58694), .Y(n53311) );
+  sky130_fd_sc_hd__clkinv_1 U48692 ( .A(n65596), .Y(n53887) );
+  sky130_fd_sc_hd__clkinv_1 U48693 ( .A(n64887), .Y(n65593) );
+  sky130_fd_sc_hd__clkinv_1 U48694 ( .A(n63925), .Y(n63991) );
+  sky130_fd_sc_hd__clkinv_1 U48695 ( .A(n38593), .Y(n38594) );
+  sky130_fd_sc_hd__clkinv_1 U48696 ( .A(n60086), .Y(n47863) );
+  sky130_fd_sc_hd__clkinv_1 U48697 ( .A(n58681), .Y(n53404) );
+  sky130_fd_sc_hd__clkinv_1 U48698 ( .A(n85790), .Y(n77969) );
+  sky130_fd_sc_hd__clkinv_1 U48699 ( .A(n82553), .Y(n82534) );
+  sky130_fd_sc_hd__clkinv_1 U48700 ( .A(n66390), .Y(n53884) );
+  sky130_fd_sc_hd__clkinv_1 U48701 ( .A(n68447), .Y(n66389) );
+  sky130_fd_sc_hd__clkinv_1 U48702 ( .A(n68674), .Y(n53883) );
+  sky130_fd_sc_hd__clkinv_1 U48703 ( .A(n66272), .Y(n68671) );
+  sky130_fd_sc_hd__clkinv_1 U48704 ( .A(n69094), .Y(n53878) );
+  sky130_fd_sc_hd__clkinv_1 U48705 ( .A(n70184), .Y(n69093) );
+  sky130_fd_sc_hd__clkinv_1 U48706 ( .A(n54293), .Y(n53877) );
+  sky130_fd_sc_hd__clkinv_1 U48707 ( .A(n76305), .Y(n76303) );
+  sky130_fd_sc_hd__clkinv_1 U48708 ( .A(n59622), .Y(n47832) );
+  sky130_fd_sc_hd__and2_0 U48710 ( .A(n86596), .B(n85428), .X(n38425) );
+  sky130_fd_sc_hd__clkinv_1 U48711 ( .A(n50243), .Y(n50263) );
+  sky130_fd_sc_hd__clkinv_1 U48712 ( .A(n62024), .Y(n49479) );
+  sky130_fd_sc_hd__clkinv_1 U48713 ( .A(n55553), .Y(n55554) );
+  sky130_fd_sc_hd__clkinv_1 U48714 ( .A(n66429), .Y(n68935) );
+  sky130_fd_sc_hd__clkinv_1 U48715 ( .A(n52295), .Y(n41533) );
+  sky130_fd_sc_hd__clkinv_1 U48716 ( .A(n44209), .Y(n41540) );
+  sky130_fd_sc_hd__clkinv_1 U48719 ( .A(n61131), .Y(n61133) );
+  sky130_fd_sc_hd__a21oi_1 U48720 ( .A1(n65755), .A2(n65754), .B1(n64552), .Y(
+        n65774) );
+  sky130_fd_sc_hd__clkinv_1 U48721 ( .A(n60975), .Y(n60981) );
+  sky130_fd_sc_hd__clkinv_1 U48722 ( .A(n67955), .Y(n67951) );
+  sky130_fd_sc_hd__inv_1 U48723 ( .A(n48852), .Y(n48853) );
+  sky130_fd_sc_hd__clkinv_1 U48724 ( .A(n64840), .Y(n64823) );
+  sky130_fd_sc_hd__clkinv_1 U48725 ( .A(n54222), .Y(n54224) );
+  sky130_fd_sc_hd__or2_0 U48726 ( .A(n73582), .B(n85675), .X(n73585) );
+  sky130_fd_sc_hd__clkinv_1 U48727 ( .A(n83635), .Y(n63275) );
+  sky130_fd_sc_hd__or2_0 U48728 ( .A(n73592), .B(n85677), .X(n73591) );
+  sky130_fd_sc_hd__clkinv_1 U48729 ( .A(n49389), .Y(n81121) );
+  sky130_fd_sc_hd__clkinv_1 U48730 ( .A(n64302), .Y(n64304) );
+  sky130_fd_sc_hd__clkinv_1 U48731 ( .A(n58109), .Y(n58124) );
+  sky130_fd_sc_hd__clkinv_1 U48732 ( .A(n49099), .Y(n49464) );
+  sky130_fd_sc_hd__clkinv_1 U48733 ( .A(n53692), .Y(n53698) );
+  sky130_fd_sc_hd__clkinv_1 U48734 ( .A(n62334), .Y(n62836) );
+  sky130_fd_sc_hd__inv_1 U48735 ( .A(n36350), .Y(n78198) );
+  sky130_fd_sc_hd__clkinv_1 U48736 ( .A(n72551), .Y(n68468) );
+  sky130_fd_sc_hd__clkinv_1 U48737 ( .A(n63912), .Y(n72429) );
+  sky130_fd_sc_hd__clkinv_1 U48738 ( .A(n82597), .Y(n82595) );
+  sky130_fd_sc_hd__clkinv_1 U48739 ( .A(n77621), .Y(n56037) );
+  sky130_fd_sc_hd__clkinv_1 U48740 ( .A(n58070), .Y(n58071) );
+  sky130_fd_sc_hd__clkinv_1 U48741 ( .A(n83719), .Y(n83720) );
+  sky130_fd_sc_hd__a21o_1 U48742 ( .A1(n86596), .A2(n85423), .B1(n59500), .X(
+        n59501) );
+  sky130_fd_sc_hd__clkinv_1 U48743 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N32), 
+        .Y(n81199) );
+  sky130_fd_sc_hd__clkinv_1 U48744 ( .A(n39090), .Y(n39092) );
+  sky130_fd_sc_hd__clkinv_1 U48745 ( .A(n66303), .Y(n66551) );
+  sky130_fd_sc_hd__clkinv_1 U48746 ( .A(n63502), .Y(n38504) );
+  sky130_fd_sc_hd__clkinv_1 U48747 ( .A(n49067), .Y(n48931) );
+  sky130_fd_sc_hd__clkinv_1 U48748 ( .A(n49290), .Y(n48969) );
+  sky130_fd_sc_hd__or2_0 U48749 ( .A(n73660), .B(n85692), .X(n73663) );
+  sky130_fd_sc_hd__clkinv_1 U48750 ( .A(n83197), .Y(n36283) );
+  sky130_fd_sc_hd__clkinv_1 U48751 ( .A(n53495), .Y(n48829) );
+  sky130_fd_sc_hd__clkinv_1 U48752 ( .A(n40812), .Y(n40816) );
+  sky130_fd_sc_hd__clkinv_1 U48753 ( .A(n83066), .Y(n83068) );
+  sky130_fd_sc_hd__or2_0 U48754 ( .A(n73657), .B(n85691), .X(n73654) );
+  sky130_fd_sc_hd__or2_0 U48755 ( .A(n73652), .B(n85690), .X(n73651) );
+  sky130_fd_sc_hd__or2_0 U48756 ( .A(n73679), .B(n85697), .X(n73682) );
+  sky130_fd_sc_hd__or2_0 U48757 ( .A(n73575), .B(n85674), .X(n73577) );
+  sky130_fd_sc_hd__clkinv_1 U48758 ( .A(n56065), .Y(n56067) );
+  sky130_fd_sc_hd__or2_0 U48759 ( .A(n73583), .B(n85676), .X(n73574) );
+  sky130_fd_sc_hd__clkinv_1 U48760 ( .A(n83557), .Y(n83559) );
+  sky130_fd_sc_hd__clkinv_1 U48761 ( .A(n82611), .Y(n82603) );
+  sky130_fd_sc_hd__clkinv_1 U48762 ( .A(n43543), .Y(n43545) );
+  sky130_fd_sc_hd__clkinv_1 U48763 ( .A(n83418), .Y(n83423) );
+  sky130_fd_sc_hd__inv_2 U48764 ( .A(n39357), .Y(n39358) );
+  sky130_fd_sc_hd__clkinv_1 U48765 ( .A(n68999), .Y(n72219) );
+  sky130_fd_sc_hd__clkinv_1 U48766 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N32), .Y(n80696) );
+  sky130_fd_sc_hd__clkinv_1 U48767 ( .A(n70708), .Y(n70709) );
+  sky130_fd_sc_hd__clkinv_1 U48768 ( .A(n60845), .Y(n60846) );
+  sky130_fd_sc_hd__clkinv_1 U48769 ( .A(n60843), .Y(n60848) );
+  sky130_fd_sc_hd__clkinv_1 U48770 ( .A(n46306), .Y(n46789) );
+  sky130_fd_sc_hd__clkinv_1 U48771 ( .A(n60851), .Y(n60841) );
+  sky130_fd_sc_hd__or2_0 U48772 ( .A(n73600), .B(n85679), .X(n73603) );
+  sky130_fd_sc_hd__clkinv_1 U48773 ( .A(n61947), .Y(n61949) );
+  sky130_fd_sc_hd__clkinv_1 U48774 ( .A(n60781), .Y(n60784) );
+  sky130_fd_sc_hd__clkinv_1 U48775 ( .A(n53314), .Y(n43038) );
+  sky130_fd_sc_hd__clkinv_1 U48776 ( .A(n54284), .Y(n54291) );
+  sky130_fd_sc_hd__clkinv_1 U48777 ( .A(n65664), .Y(n65666) );
+  sky130_fd_sc_hd__and2_0 U48778 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16), 
+        .B(MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .X(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N50)
+         );
+  sky130_fd_sc_hd__clkinv_1 U48779 ( .A(n66770), .Y(n66772) );
+  sky130_fd_sc_hd__clkinv_1 U48780 ( .A(n54770), .Y(n54772) );
+  sky130_fd_sc_hd__clkinv_1 U48781 ( .A(n84007), .Y(n84008) );
+  sky130_fd_sc_hd__clkinv_1 U48782 ( .A(n66787), .Y(n47977) );
+  sky130_fd_sc_hd__clkinv_1 U48784 ( .A(n70194), .Y(n85643) );
+  sky130_fd_sc_hd__or2_0 U48785 ( .A(n73601), .B(n85680), .X(n73599) );
+  sky130_fd_sc_hd__clkinv_1 U48786 ( .A(n63982), .Y(n63998) );
+  sky130_fd_sc_hd__clkinv_1 U48787 ( .A(n45855), .Y(n57305) );
+  sky130_fd_sc_hd__or2_0 U48788 ( .A(n73597), .B(n85678), .X(n73594) );
+  sky130_fd_sc_hd__or2_0 U48789 ( .A(n73680), .B(n85698), .X(n73678) );
+  sky130_fd_sc_hd__clkinv_1 U48790 ( .A(n61943), .Y(n61944) );
+  sky130_fd_sc_hd__clkinv_1 U48791 ( .A(n82990), .Y(n82991) );
+  sky130_fd_sc_hd__clkinv_1 U48792 ( .A(n43703), .Y(n43705) );
+  sky130_fd_sc_hd__clkinv_1 U48793 ( .A(n61042), .Y(n59988) );
+  sky130_fd_sc_hd__clkinv_1 U48794 ( .A(n80351), .Y(n80353) );
+  sky130_fd_sc_hd__clkinv_1 U48795 ( .A(n62989), .Y(n64301) );
+  sky130_fd_sc_hd__nand3_1 U48796 ( .A(n83577), .B(n83570), .C(n83562), .Y(
+        n85454) );
+  sky130_fd_sc_hd__clkinv_1 U48797 ( .A(n70339), .Y(n70345) );
+  sky130_fd_sc_hd__clkinv_1 U48798 ( .A(n70442), .Y(n70448) );
+  sky130_fd_sc_hd__clkinv_1 U48799 ( .A(n76307), .Y(n63289) );
+  sky130_fd_sc_hd__clkinv_1 U48800 ( .A(n51390), .Y(n50068) );
+  sky130_fd_sc_hd__o2bb2ai_1 U48801 ( .B1(n42872), .B2(n40628), .A1_N(n40627), 
+        .A2_N(n82592), .Y(n63912) );
+  sky130_fd_sc_hd__clkinv_1 U48802 ( .A(n85898), .Y(n36589) );
+  sky130_fd_sc_hd__clkinv_1 U48803 ( .A(n68017), .Y(n67742) );
+  sky130_fd_sc_hd__clkinv_1 U48804 ( .A(n60658), .Y(n60678) );
+  sky130_fd_sc_hd__clkinv_1 U48805 ( .A(n83555), .Y(n60085) );
+  sky130_fd_sc_hd__inv_2 U48806 ( .A(n62971), .Y(n36843) );
+  sky130_fd_sc_hd__clkinv_1 U48807 ( .A(n39632), .Y(n39634) );
+  sky130_fd_sc_hd__o21a_1 U48808 ( .A1(n47876), .A2(n47875), .B1(n47874), .X(
+        n47913) );
+  sky130_fd_sc_hd__clkinv_1 U48809 ( .A(n55091), .Y(n55092) );
+  sky130_fd_sc_hd__clkinv_1 U48810 ( .A(n83579), .Y(n63303) );
+  sky130_fd_sc_hd__clkinv_1 U48811 ( .A(n80977), .Y(n77837) );
+  sky130_fd_sc_hd__clkinv_1 U48812 ( .A(n57125), .Y(n50496) );
+  sky130_fd_sc_hd__clkinv_1 U48813 ( .A(n68353), .Y(n68289) );
+  sky130_fd_sc_hd__clkinv_1 U48814 ( .A(n75836), .Y(n75837) );
+  sky130_fd_sc_hd__clkinv_1 U48815 ( .A(n60976), .Y(n49184) );
+  sky130_fd_sc_hd__clkinv_1 U48816 ( .A(n47714), .Y(n47681) );
+  sky130_fd_sc_hd__clkinv_1 U48817 ( .A(n83879), .Y(n36411) );
+  sky130_fd_sc_hd__clkinv_1 U48818 ( .A(n69511), .Y(n69513) );
+  sky130_fd_sc_hd__clkinv_1 U48819 ( .A(n41560), .Y(n41563) );
+  sky130_fd_sc_hd__clkinv_1 U48820 ( .A(n76527), .Y(n76530) );
+  sky130_fd_sc_hd__clkinv_1 U48821 ( .A(n49015), .Y(n49013) );
+  sky130_fd_sc_hd__or2_1 U48822 ( .A(n41472), .B(n41473), .X(n51025) );
+  sky130_fd_sc_hd__clkinv_1 U48823 ( .A(n82449), .Y(n82451) );
+  sky130_fd_sc_hd__clkinv_1 U48824 ( .A(n49032), .Y(n49033) );
+  sky130_fd_sc_hd__clkinv_1 U48825 ( .A(n56583), .Y(n44274) );
+  sky130_fd_sc_hd__or2_1 U48826 ( .A(n41463), .B(n41464), .X(n38456) );
+  sky130_fd_sc_hd__or2_1 U48827 ( .A(n41459), .B(n41460), .X(n38454) );
+  sky130_fd_sc_hd__clkinv_1 U48830 ( .A(n53646), .Y(n53604) );
+  sky130_fd_sc_hd__clkinv_1 U48832 ( .A(n62071), .Y(n61045) );
+  sky130_fd_sc_hd__clkinv_1 U48833 ( .A(n48967), .Y(n48972) );
+  sky130_fd_sc_hd__clkinv_1 U48834 ( .A(n45691), .Y(n45689) );
+  sky130_fd_sc_hd__clkinv_1 U48835 ( .A(n60308), .Y(n49412) );
+  sky130_fd_sc_hd__clkinv_1 U48836 ( .A(n76405), .Y(n63308) );
+  sky130_fd_sc_hd__inv_1 U48837 ( .A(n50981), .Y(n50374) );
+  sky130_fd_sc_hd__or2_1 U48838 ( .A(n41479), .B(n41480), .X(n38465) );
+  sky130_fd_sc_hd__clkinv_1 U48839 ( .A(n49442), .Y(n48826) );
+  sky130_fd_sc_hd__clkinv_1 U48840 ( .A(n52555), .Y(n52557) );
+  sky130_fd_sc_hd__clkinv_1 U48841 ( .A(n80592), .Y(n80595) );
+  sky130_fd_sc_hd__clkinv_1 U48842 ( .A(n60077), .Y(n49462) );
+  sky130_fd_sc_hd__clkinv_1 U48843 ( .A(n60730), .Y(n60746) );
+  sky130_fd_sc_hd__clkinv_1 U48844 ( .A(n73780), .Y(n47796) );
+  sky130_fd_sc_hd__clkinv_1 U48845 ( .A(n60577), .Y(n60590) );
+  sky130_fd_sc_hd__clkinv_1 U48846 ( .A(n55235), .Y(n83595) );
+  sky130_fd_sc_hd__clkinv_1 U48847 ( .A(n66321), .Y(n66330) );
+  sky130_fd_sc_hd__clkinv_1 U48848 ( .A(n64000), .Y(n64001) );
+  sky130_fd_sc_hd__clkinv_1 U48850 ( .A(n48236), .Y(n48095) );
+  sky130_fd_sc_hd__clkinv_1 U48851 ( .A(n50344), .Y(n50332) );
+  sky130_fd_sc_hd__clkinv_1 U48852 ( .A(n51495), .Y(n51483) );
+  sky130_fd_sc_hd__clkinv_1 U48853 ( .A(n80505), .Y(n80511) );
+  sky130_fd_sc_hd__and2_0 U48854 ( .A(n59778), .B(n85490), .X(n59780) );
+  sky130_fd_sc_hd__clkinv_1 U48855 ( .A(n48133), .Y(n56574) );
+  sky130_fd_sc_hd__clkinv_1 U48856 ( .A(n59784), .Y(n59785) );
+  sky130_fd_sc_hd__clkinv_1 U48857 ( .A(n75733), .Y(n63297) );
+  sky130_fd_sc_hd__clkinv_1 U48858 ( .A(n48192), .Y(n51598) );
+  sky130_fd_sc_hd__clkinv_1 U48859 ( .A(n64989), .Y(n70576) );
+  sky130_fd_sc_hd__clkinv_1 U48860 ( .A(n47685), .Y(n47528) );
+  sky130_fd_sc_hd__clkinv_1 U48861 ( .A(n59434), .Y(n59436) );
+  sky130_fd_sc_hd__clkinv_1 U48863 ( .A(n58303), .Y(n58307) );
+  sky130_fd_sc_hd__clkinv_1 U48864 ( .A(n47459), .Y(n47462) );
+  sky130_fd_sc_hd__o211a_2 U48865 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[1]), .A2(n70084), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[3]), .C1(n70085), .X(n69845) );
+  sky130_fd_sc_hd__clkinv_1 U48866 ( .A(n38756), .Y(n38758) );
+  sky130_fd_sc_hd__clkinv_1 U48867 ( .A(n52560), .Y(n50822) );
+  sky130_fd_sc_hd__clkinv_1 U48868 ( .A(n73338), .Y(n72129) );
+  sky130_fd_sc_hd__clkinv_1 U48869 ( .A(n53157), .Y(n53159) );
+  sky130_fd_sc_hd__clkinv_1 U48870 ( .A(n83717), .Y(n63279) );
+  sky130_fd_sc_hd__or2_0 U48871 ( .A(n42635), .B(n42634), .X(n42636) );
+  sky130_fd_sc_hd__clkinv_1 U48872 ( .A(n59927), .Y(n59929) );
+  sky130_fd_sc_hd__clkinv_1 U48873 ( .A(n60834), .Y(n60787) );
+  sky130_fd_sc_hd__clkinv_1 U48874 ( .A(n60788), .Y(n60807) );
+  sky130_fd_sc_hd__clkinv_1 U48875 ( .A(n79995), .Y(n62011) );
+  sky130_fd_sc_hd__clkinv_1 U48876 ( .A(n81082), .Y(n81065) );
+  sky130_fd_sc_hd__clkinv_1 U48877 ( .A(n77453), .Y(n83488) );
+  sky130_fd_sc_hd__clkinv_1 U48878 ( .A(n38561), .Y(n38563) );
+  sky130_fd_sc_hd__or2_1 U48879 ( .A(n68603), .B(n68602), .X(n37083) );
+  sky130_fd_sc_hd__clkinv_1 U48880 ( .A(n83325), .Y(n85421) );
+  sky130_fd_sc_hd__clkinv_1 U48881 ( .A(n60778), .Y(n83637) );
+  sky130_fd_sc_hd__or2_1 U48884 ( .A(n68529), .B(n68528), .X(n37049) );
+  sky130_fd_sc_hd__clkinv_1 U48886 ( .A(n75720), .Y(n75722) );
+  sky130_fd_sc_hd__or2_1 U48887 ( .A(n68464), .B(n68463), .X(n37085) );
+  sky130_fd_sc_hd__clkinv_1 U48888 ( .A(n45516), .Y(n52841) );
+  sky130_fd_sc_hd__clkinv_1 U48890 ( .A(n83673), .Y(n83670) );
+  sky130_fd_sc_hd__clkinv_1 U48891 ( .A(n55293), .Y(n55298) );
+  sky130_fd_sc_hd__or2_1 U48893 ( .A(n70178), .B(n70177), .X(n37086) );
+  sky130_fd_sc_hd__clkinv_1 U48895 ( .A(n60880), .Y(n60881) );
+  sky130_fd_sc_hd__clkinv_1 U48896 ( .A(n59383), .Y(n59384) );
+  sky130_fd_sc_hd__clkinv_1 U48898 ( .A(n82584), .Y(n82570) );
+  sky130_fd_sc_hd__clkinv_1 U48899 ( .A(n63924), .Y(n64063) );
+  sky130_fd_sc_hd__clkinv_1 U48900 ( .A(n38592), .Y(n38611) );
+  sky130_fd_sc_hd__clkinv_1 U48901 ( .A(n63122), .Y(n68083) );
+  sky130_fd_sc_hd__clkinv_1 U48902 ( .A(n82986), .Y(n83013) );
+  sky130_fd_sc_hd__clkinv_1 U48903 ( .A(n62042), .Y(n62044) );
+  sky130_fd_sc_hd__clkinv_1 U48904 ( .A(n38558), .Y(n38553) );
+  sky130_fd_sc_hd__clkinv_1 U48905 ( .A(n64836), .Y(n64818) );
+  sky130_fd_sc_hd__clkinv_1 U48906 ( .A(n58417), .Y(n43049) );
+  sky130_fd_sc_hd__clkinv_1 U48908 ( .A(n52041), .Y(n52044) );
+  sky130_fd_sc_hd__clkinv_1 U48909 ( .A(n51951), .Y(n51953) );
+  sky130_fd_sc_hd__clkinv_1 U48910 ( .A(n53092), .Y(n51950) );
+  sky130_fd_sc_hd__clkinv_1 U48911 ( .A(n38830), .Y(n38874) );
+  sky130_fd_sc_hd__clkinv_1 U48912 ( .A(n51949), .Y(n53093) );
+  sky130_fd_sc_hd__clkinv_1 U48913 ( .A(n64016), .Y(n64054) );
+  sky130_fd_sc_hd__clkinv_1 U48914 ( .A(n57731), .Y(n57732) );
+  sky130_fd_sc_hd__clkinv_1 U48915 ( .A(n82524), .Y(n61201) );
+  sky130_fd_sc_hd__clkinv_1 U48916 ( .A(n58186), .Y(n58190) );
+  sky130_fd_sc_hd__inv_1 U48917 ( .A(n37383), .Y(n36969) );
+  sky130_fd_sc_hd__clkinv_1 U48918 ( .A(n63072), .Y(n68084) );
+  sky130_fd_sc_hd__clkinv_1 U48919 ( .A(n53551), .Y(n53517) );
+  sky130_fd_sc_hd__clkinv_1 U48920 ( .A(n66764), .Y(n66766) );
+  sky130_fd_sc_hd__clkinv_1 U48921 ( .A(n57865), .Y(n57874) );
+  sky130_fd_sc_hd__clkinv_1 U48922 ( .A(n55556), .Y(n76404) );
+  sky130_fd_sc_hd__or2_0 U48923 ( .A(n42729), .B(n42728), .X(n42730) );
+  sky130_fd_sc_hd__clkinv_1 U48924 ( .A(n83683), .Y(n83686) );
+  sky130_fd_sc_hd__clkinv_1 U48925 ( .A(n58136), .Y(n58147) );
+  sky130_fd_sc_hd__clkinv_1 U48926 ( .A(n59778), .Y(n39479) );
+  sky130_fd_sc_hd__clkinv_1 U48927 ( .A(n39935), .Y(n39417) );
+  sky130_fd_sc_hd__clkinv_1 U48928 ( .A(n86497), .Y(n75824) );
+  sky130_fd_sc_hd__clkinv_1 U48929 ( .A(n53162), .Y(n53045) );
+  sky130_fd_sc_hd__and2_0 U48930 ( .A(n38866), .B(n38821), .X(n37126) );
+  sky130_fd_sc_hd__clkinv_1 U48931 ( .A(n80391), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N32) );
+  sky130_fd_sc_hd__clkinv_1 U48932 ( .A(n85041), .Y(n55402) );
+  sky130_fd_sc_hd__clkinv_1 U48933 ( .A(n85045), .Y(n55409) );
+  sky130_fd_sc_hd__clkinv_1 U48934 ( .A(n61968), .Y(n81227) );
+  sky130_fd_sc_hd__or2_0 U48935 ( .A(n73975), .B(n85715), .X(n73904) );
+  sky130_fd_sc_hd__clkinv_1 U48936 ( .A(n72995), .Y(n72918) );
+  sky130_fd_sc_hd__clkinv_1 U48937 ( .A(n84959), .Y(n55181) );
+  sky130_fd_sc_hd__or2_0 U48939 ( .A(n73974), .B(n85716), .X(n73902) );
+  sky130_fd_sc_hd__clkinv_1 U48940 ( .A(n39155), .Y(n39157) );
+  sky130_fd_sc_hd__clkinv_1 U48941 ( .A(n39703), .Y(n39706) );
+  sky130_fd_sc_hd__clkinv_1 U48942 ( .A(n85037), .Y(n55395) );
+  sky130_fd_sc_hd__clkinv_1 U48943 ( .A(n68666), .Y(n54174) );
+  sky130_fd_sc_hd__clkinv_1 U48944 ( .A(n39635), .Y(n39636) );
+  sky130_fd_sc_hd__a21o_2 U48945 ( .A1(n82447), .A2(n83823), .B1(n82498), .X(
+        n76545) );
+  sky130_fd_sc_hd__clkinv_1 U48946 ( .A(n79048), .Y(n79110) );
+  sky130_fd_sc_hd__clkinv_1 U48947 ( .A(n59492), .Y(n55655) );
+  sky130_fd_sc_hd__clkinv_1 U48948 ( .A(n84955), .Y(n55174) );
+  sky130_fd_sc_hd__clkinv_1 U48949 ( .A(n62038), .Y(n61990) );
+  sky130_fd_sc_hd__clkinv_1 U48950 ( .A(n84979), .Y(n55217) );
+  sky130_fd_sc_hd__clkinv_1 U48951 ( .A(n58550), .Y(n58552) );
+  sky130_fd_sc_hd__o21a_1 U48952 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_9[2]), 
+        .A2(n59523), .B1(n38308), .X(n59520) );
+  sky130_fd_sc_hd__clkinv_1 U48953 ( .A(n84951), .Y(n55167) );
+  sky130_fd_sc_hd__clkinv_1 U48954 ( .A(n58394), .Y(n58396) );
+  sky130_fd_sc_hd__clkinv_1 U48955 ( .A(n38612), .Y(n38613) );
+  sky130_fd_sc_hd__clkinv_1 U48956 ( .A(n38655), .Y(n38657) );
+  sky130_fd_sc_hd__clkinv_1 U48957 ( .A(n38608), .Y(n38609) );
+  sky130_fd_sc_hd__clkinv_1 U48958 ( .A(n38651), .Y(n38653) );
+  sky130_fd_sc_hd__clkinv_1 U48959 ( .A(n69219), .Y(n58735) );
+  sky130_fd_sc_hd__clkinv_1 U48960 ( .A(n84971), .Y(n55202) );
+  sky130_fd_sc_hd__inv_1 U48961 ( .A(n47700), .Y(n47701) );
+  sky130_fd_sc_hd__a21o_1 U48962 ( .A1(n55294), .A2(n47813), .B1(n83613), .X(
+        n47875) );
+  sky130_fd_sc_hd__clkinv_1 U48963 ( .A(n69384), .Y(n58740) );
+  sky130_fd_sc_hd__clkinv_1 U48964 ( .A(n85025), .Y(n55374) );
+  sky130_fd_sc_hd__clkinv_1 U48965 ( .A(n72436), .Y(n58745) );
+  sky130_fd_sc_hd__clkinv_1 U48966 ( .A(n36604), .Y(n81399) );
+  sky130_fd_sc_hd__clkinv_1 U48967 ( .A(n73082), .Y(n58751) );
+  sky130_fd_sc_hd__clkinv_1 U48968 ( .A(n83647), .Y(n83652) );
+  sky130_fd_sc_hd__clkinv_1 U48969 ( .A(n60824), .Y(n57381) );
+  sky130_fd_sc_hd__clkinv_1 U48970 ( .A(n60915), .Y(n73778) );
+  sky130_fd_sc_hd__clkinv_1 U48971 ( .A(n83316), .Y(n83319) );
+  sky130_fd_sc_hd__mux2i_1 U48972 ( .A0(n47827), .A1(n47697), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_io_full), .Y(n75713) );
+  sky130_fd_sc_hd__nor2_1 U48973 ( .A(n61091), .B(n73800), .Y(n60880) );
+  sky130_fd_sc_hd__clkinv_1 U48974 ( .A(n38873), .Y(n38834) );
+  sky130_fd_sc_hd__clkinv_1 U48975 ( .A(n38861), .Y(n38853) );
+  sky130_fd_sc_hd__clkinv_1 U48976 ( .A(n84947), .Y(n55159) );
+  sky130_fd_sc_hd__clkinv_1 U48977 ( .A(n38759), .Y(n38761) );
+  sky130_fd_sc_hd__o21ai_1 U48978 ( .A1(n48727), .A2(n47557), .B1(n48725), .Y(
+        n83753) );
+  sky130_fd_sc_hd__clkinv_1 U48979 ( .A(n85033), .Y(n55388) );
+  sky130_fd_sc_hd__clkinv_1 U48980 ( .A(n60031), .Y(n59747) );
+  sky130_fd_sc_hd__clkinv_1 U48982 ( .A(n85029), .Y(n55381) );
+  sky130_fd_sc_hd__clkinv_1 U48983 ( .A(n83556), .Y(n55284) );
+  sky130_fd_sc_hd__clkinv_1 U48984 ( .A(n84943), .Y(n55152) );
+  sky130_fd_sc_hd__clkinv_1 U48985 ( .A(n83999), .Y(n84001) );
+  sky130_fd_sc_hd__clkinv_1 U48986 ( .A(n38765), .Y(n38766) );
+  sky130_fd_sc_hd__clkinv_1 U48987 ( .A(n38932), .Y(n38934) );
+  sky130_fd_sc_hd__nand2b_1 U48988 ( .A_N(n47737), .B(n84982), .Y(n47714) );
+  sky130_fd_sc_hd__nor2_1 U48989 ( .A(n61091), .B(n60915), .Y(n47819) );
+  sky130_fd_sc_hd__clkinv_1 U48990 ( .A(n73568), .Y(n47805) );
+  sky130_fd_sc_hd__clkinv_1 U48991 ( .A(n78534), .Y(n70415) );
+  sky130_fd_sc_hd__clkinv_1 U48992 ( .A(n70413), .Y(n70416) );
+  sky130_fd_sc_hd__clkinv_1 U48993 ( .A(n55242), .Y(n55245) );
+  sky130_fd_sc_hd__clkinv_1 U48994 ( .A(n62077), .Y(n49474) );
+  sky130_fd_sc_hd__clkinv_1 U48995 ( .A(n84967), .Y(n55195) );
+  sky130_fd_sc_hd__clkinv_1 U48996 ( .A(n84983), .Y(n55224) );
+  sky130_fd_sc_hd__or2_0 U48997 ( .A(n73979), .B(n85714), .X(n73898) );
+  sky130_fd_sc_hd__clkinv_1 U48998 ( .A(n68107), .Y(n56785) );
+  sky130_fd_sc_hd__clkinv_1 U48999 ( .A(n81292), .Y(n81313) );
+  sky130_fd_sc_hd__clkinv_1 U49000 ( .A(n39782), .Y(n39783) );
+  sky130_fd_sc_hd__clkinv_1 U49001 ( .A(n83681), .Y(n60427) );
+  sky130_fd_sc_hd__clkinv_1 U49002 ( .A(n81293), .Y(n79019) );
+  sky130_fd_sc_hd__inv_1 U49004 ( .A(n39027), .Y(n39029) );
+  sky130_fd_sc_hd__clkinv_1 U49005 ( .A(n44166), .Y(n44168) );
+  sky130_fd_sc_hd__clkinv_1 U49006 ( .A(n39688), .Y(n39689) );
+  sky130_fd_sc_hd__clkinv_1 U49007 ( .A(n47660), .Y(n47650) );
+  sky130_fd_sc_hd__clkinv_1 U49008 ( .A(n39686), .Y(n39690) );
+  sky130_fd_sc_hd__clkinv_1 U49009 ( .A(n84975), .Y(n55210) );
+  sky130_fd_sc_hd__clkinv_1 U49010 ( .A(n84963), .Y(n55188) );
+  sky130_fd_sc_hd__clkinv_1 U49011 ( .A(n84995), .Y(n55271) );
+  sky130_fd_sc_hd__clkinv_1 U49012 ( .A(n68336), .Y(n68323) );
+  sky130_fd_sc_hd__clkinv_1 U49013 ( .A(n66880), .Y(n67580) );
+  sky130_fd_sc_hd__clkinv_1 U49014 ( .A(n79840), .Y(n79845) );
+  sky130_fd_sc_hd__inv_2 U49015 ( .A(n85535), .Y(n64173) );
+  sky130_fd_sc_hd__clkinv_1 U49016 ( .A(n85612), .Y(n66745) );
+  sky130_fd_sc_hd__inv_2 U49017 ( .A(n48497), .Y(n51901) );
+  sky130_fd_sc_hd__clkinv_1 U49018 ( .A(n44275), .Y(n57501) );
+  sky130_fd_sc_hd__clkinv_1 U49019 ( .A(n43337), .Y(n51535) );
+  sky130_fd_sc_hd__clkinv_1 U49021 ( .A(n61052), .Y(n61053) );
+  sky130_fd_sc_hd__clkinv_1 U49022 ( .A(n70010), .Y(n66560) );
+  sky130_fd_sc_hd__clkinv_1 U49023 ( .A(n69996), .Y(n69112) );
+  sky130_fd_sc_hd__clkinv_1 U49024 ( .A(n83895), .Y(n83911) );
+  sky130_fd_sc_hd__clkinv_1 U49025 ( .A(n41673), .Y(n41680) );
+  sky130_fd_sc_hd__clkinv_1 U49026 ( .A(n41371), .Y(n41569) );
+  sky130_fd_sc_hd__nor2_2 U49027 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N43), .B(n82445), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N16) );
+  sky130_fd_sc_hd__clkinv_1 U49028 ( .A(n63163), .Y(n79484) );
+  sky130_fd_sc_hd__clkinv_1 U49029 ( .A(n48468), .Y(n41522) );
+  sky130_fd_sc_hd__clkinv_1 U49030 ( .A(n54120), .Y(n54122) );
+  sky130_fd_sc_hd__clkinv_1 U49031 ( .A(n52976), .Y(n47381) );
+  sky130_fd_sc_hd__clkinv_1 U49032 ( .A(n52977), .Y(n47382) );
+  sky130_fd_sc_hd__clkinv_1 U49033 ( .A(n50307), .Y(n47376) );
+  sky130_fd_sc_hd__clkinv_1 U49034 ( .A(n51037), .Y(n50306) );
+  sky130_fd_sc_hd__clkinv_1 U49035 ( .A(n52979), .Y(n52046) );
+  sky130_fd_sc_hd__clkinv_1 U49036 ( .A(n51601), .Y(n47367) );
+  sky130_fd_sc_hd__clkinv_1 U49037 ( .A(n52504), .Y(n51600) );
+  sky130_fd_sc_hd__clkinv_1 U49038 ( .A(n50898), .Y(n47361) );
+  sky130_fd_sc_hd__clkinv_1 U49039 ( .A(n50899), .Y(n47362) );
+  sky130_fd_sc_hd__clkinv_1 U49040 ( .A(n50900), .Y(n48193) );
+  sky130_fd_sc_hd__clkinv_1 U49041 ( .A(n70713), .Y(n70714) );
+  sky130_fd_sc_hd__or2_0 U49042 ( .A(n75267), .B(n75386), .X(n75320) );
+  sky130_fd_sc_hd__clkinv_1 U49043 ( .A(n75207), .Y(n72031) );
+  sky130_fd_sc_hd__clkinv_1 U49044 ( .A(n79679), .Y(n79684) );
+  sky130_fd_sc_hd__clkinv_1 U49045 ( .A(n40879), .Y(n40880) );
+  sky130_fd_sc_hd__clkinv_1 U49046 ( .A(n47137), .Y(n54196) );
+  sky130_fd_sc_hd__inv_1 U49047 ( .A(n58158), .Y(n58160) );
+  sky130_fd_sc_hd__clkinv_1 U49049 ( .A(n58149), .Y(n58112) );
+  sky130_fd_sc_hd__clkinv_1 U49050 ( .A(n75845), .Y(n73869) );
+  sky130_fd_sc_hd__clkinv_1 U49051 ( .A(n85615), .Y(n66754) );
+  sky130_fd_sc_hd__clkinv_1 U49052 ( .A(n58134), .Y(n58146) );
+  sky130_fd_sc_hd__clkinv_1 U49053 ( .A(n60461), .Y(n60462) );
+  sky130_fd_sc_hd__inv_2 U49054 ( .A(n58066), .Y(n58028) );
+  sky130_fd_sc_hd__inv_1 U49055 ( .A(n59373), .Y(n59377) );
+  sky130_fd_sc_hd__clkinv_1 U49056 ( .A(n80046), .Y(n62008) );
+  sky130_fd_sc_hd__clkinv_1 U49057 ( .A(n81033), .Y(n62009) );
+  sky130_fd_sc_hd__clkinv_1 U49058 ( .A(n81035), .Y(n62010) );
+  sky130_fd_sc_hd__clkinv_1 U49059 ( .A(n81356), .Y(n62081) );
+  sky130_fd_sc_hd__clkinv_1 U49060 ( .A(n81359), .Y(n67329) );
+  sky130_fd_sc_hd__clkinv_1 U49061 ( .A(n81040), .Y(n67404) );
+  sky130_fd_sc_hd__clkinv_1 U49062 ( .A(n79935), .Y(n62012) );
+  sky130_fd_sc_hd__clkinv_1 U49063 ( .A(n57949), .Y(n57958) );
+  sky130_fd_sc_hd__clkinv_1 U49064 ( .A(n77469), .Y(n77467) );
+  sky130_fd_sc_hd__and2_0 U49065 ( .A(n83196), .B(n78350), .X(n54358) );
+  sky130_fd_sc_hd__inv_1 U49066 ( .A(n57979), .Y(n57970) );
+  sky130_fd_sc_hd__clkinv_1 U49067 ( .A(n57995), .Y(n57997) );
+  sky130_fd_sc_hd__clkinv_1 U49068 ( .A(n57992), .Y(n57993) );
+  sky130_fd_sc_hd__clkinv_1 U49069 ( .A(n63343), .Y(n63344) );
+  sky130_fd_sc_hd__inv_1 U49070 ( .A(n58240), .Y(n58243) );
+  sky130_fd_sc_hd__clkinv_1 U49071 ( .A(n65987), .Y(n66182) );
+  sky130_fd_sc_hd__clkinv_1 U49072 ( .A(n68894), .Y(n70384) );
+  sky130_fd_sc_hd__clkinv_1 U49073 ( .A(n57758), .Y(n57797) );
+  sky130_fd_sc_hd__clkinv_1 U49074 ( .A(n57801), .Y(n57802) );
+  sky130_fd_sc_hd__clkinv_1 U49076 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N634), .Y(n64225) );
+  sky130_fd_sc_hd__clkinv_1 U49077 ( .A(n81436), .Y(n62007) );
+  sky130_fd_sc_hd__clkinv_1 U49078 ( .A(n64106), .Y(n64107) );
+  sky130_fd_sc_hd__clkinv_1 U49079 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N580), .Y(n64224) );
+  sky130_fd_sc_hd__clkinv_1 U49080 ( .A(n86495), .Y(n65612) );
+  sky130_fd_sc_hd__clkinv_1 U49081 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N598), .Y(n70027) );
+  sky130_fd_sc_hd__clkinv_1 U49082 ( .A(n58200), .Y(n58191) );
+  sky130_fd_sc_hd__clkinv_1 U49083 ( .A(n55961), .Y(n55955) );
+  sky130_fd_sc_hd__clkinv_1 U49084 ( .A(n58227), .Y(n58229) );
+  sky130_fd_sc_hd__clkinv_1 U49085 ( .A(n72805), .Y(n72806) );
+  sky130_fd_sc_hd__clkinv_1 U49086 ( .A(n83435), .Y(n83430) );
+  sky130_fd_sc_hd__clkinv_1 U49087 ( .A(n83654), .Y(n55307) );
+  sky130_fd_sc_hd__clkinv_1 U49088 ( .A(n68019), .Y(n62052) );
+  sky130_fd_sc_hd__clkinv_1 U49089 ( .A(n83577), .Y(n83572) );
+  sky130_fd_sc_hd__clkinv_1 U49090 ( .A(n65458), .Y(n65715) );
+  sky130_fd_sc_hd__clkinv_1 U49091 ( .A(n78223), .Y(n78215) );
+  sky130_fd_sc_hd__clkinv_1 U49092 ( .A(n83583), .Y(n83590) );
+  sky130_fd_sc_hd__clkinv_1 U49093 ( .A(n75731), .Y(n75732) );
+  sky130_fd_sc_hd__clkinv_1 U49094 ( .A(n76315), .Y(n76317) );
+  sky130_fd_sc_hd__clkinv_1 U49095 ( .A(n48068), .Y(n43368) );
+  sky130_fd_sc_hd__clkinv_1 U49096 ( .A(n66767), .Y(n66769) );
+  sky130_fd_sc_hd__clkinv_1 U49097 ( .A(n76485), .Y(n75826) );
+  sky130_fd_sc_hd__and2_0 U49098 ( .A(n68894), .B(n86752), .X(n70336) );
+  sky130_fd_sc_hd__clkinv_1 U49099 ( .A(n54332), .Y(n54330) );
+  sky130_fd_sc_hd__clkinv_1 U49100 ( .A(n81278), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N32) );
+  sky130_fd_sc_hd__clkinv_1 U49101 ( .A(n54342), .Y(n83360) );
+  sky130_fd_sc_hd__clkinv_1 U49102 ( .A(n63929), .Y(n73061) );
+  sky130_fd_sc_hd__clkinv_1 U49103 ( .A(n82581), .Y(n82558) );
+  sky130_fd_sc_hd__clkinv_1 U49104 ( .A(n62057), .Y(n62043) );
+  sky130_fd_sc_hd__clkinv_1 U49105 ( .A(n85616), .Y(n66756) );
+  sky130_fd_sc_hd__clkinv_1 U49106 ( .A(n54204), .Y(n54206) );
+  sky130_fd_sc_hd__clkinv_1 U49107 ( .A(n70281), .Y(n69852) );
+  sky130_fd_sc_hd__clkinv_1 U49108 ( .A(n65589), .Y(n66050) );
+  sky130_fd_sc_hd__clkinv_1 U49109 ( .A(n70178), .Y(n70180) );
+  sky130_fd_sc_hd__clkinv_1 U49110 ( .A(n70283), .Y(n69256) );
+  sky130_fd_sc_hd__clkinv_1 U49111 ( .A(n66477), .Y(n66060) );
+  sky130_fd_sc_hd__clkinv_1 U49112 ( .A(n65953), .Y(n66058) );
+  sky130_fd_sc_hd__inv_1 U49113 ( .A(n66059), .Y(n70280) );
+  sky130_fd_sc_hd__clkinv_1 U49114 ( .A(n49451), .Y(n49190) );
+  sky130_fd_sc_hd__clkinv_1 U49115 ( .A(n66778), .Y(n66780) );
+  sky130_fd_sc_hd__clkinv_1 U49116 ( .A(n80816), .Y(n80822) );
+  sky130_fd_sc_hd__clkinv_1 U49117 ( .A(n59773), .Y(n59774) );
+  sky130_fd_sc_hd__clkinv_1 U49118 ( .A(n68793), .Y(n66055) );
+  sky130_fd_sc_hd__clkinv_1 U49119 ( .A(n69844), .Y(n70085) );
+  sky130_fd_sc_hd__clkinv_1 U49120 ( .A(n68529), .Y(n68530) );
+  sky130_fd_sc_hd__clkinv_1 U49121 ( .A(n49016), .Y(n47868) );
+  sky130_fd_sc_hd__clkinv_1 U49122 ( .A(n40628), .Y(n73136) );
+  sky130_fd_sc_hd__clkinv_1 U49123 ( .A(n81360), .Y(n67212) );
+  sky130_fd_sc_hd__clkinv_1 U49124 ( .A(n80669), .Y(n80661) );
+  sky130_fd_sc_hd__clkinv_1 U49125 ( .A(n40630), .Y(n73135) );
+  sky130_fd_sc_hd__clkinv_1 U49126 ( .A(n40636), .Y(n40618) );
+  sky130_fd_sc_hd__clkinv_1 U49127 ( .A(n60354), .Y(n60048) );
+  sky130_fd_sc_hd__clkinv_1 U49128 ( .A(n65219), .Y(n66603) );
+  sky130_fd_sc_hd__clkinv_1 U49129 ( .A(n60832), .Y(n60833) );
+  sky130_fd_sc_hd__clkinv_1 U49130 ( .A(n49574), .Y(n49047) );
+  sky130_fd_sc_hd__clkinv_1 U49131 ( .A(n60809), .Y(n45343) );
+  sky130_fd_sc_hd__clkinv_1 U49132 ( .A(n49024), .Y(n49025) );
+  sky130_fd_sc_hd__clkinv_1 U49133 ( .A(n82498), .Y(n60024) );
+  sky130_fd_sc_hd__clkinv_1 U49134 ( .A(n55259), .Y(n55260) );
+  sky130_fd_sc_hd__clkinv_1 U49135 ( .A(n72598), .Y(n40045) );
+  sky130_fd_sc_hd__clkinv_1 U49136 ( .A(n72599), .Y(n72584) );
+  sky130_fd_sc_hd__nor2_2 U49137 ( .A(n60129), .B(n82445), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N15) );
+  sky130_fd_sc_hd__clkinv_1 U49138 ( .A(n60357), .Y(n59804) );
+  sky130_fd_sc_hd__clkinv_1 U49139 ( .A(n63981), .Y(n70521) );
+  sky130_fd_sc_hd__clkinv_1 U49140 ( .A(n61725), .Y(n61741) );
+  sky130_fd_sc_hd__clkinv_1 U49142 ( .A(n49470), .Y(n59327) );
+  sky130_fd_sc_hd__clkinv_1 U49143 ( .A(n80155), .Y(n80178) );
+  sky130_fd_sc_hd__clkinv_1 U49144 ( .A(n49388), .Y(n48951) );
+  sky130_fd_sc_hd__clkinv_1 U49145 ( .A(n69499), .Y(n69428) );
+  sky130_fd_sc_hd__clkinv_1 U49146 ( .A(n60306), .Y(n49413) );
+  sky130_fd_sc_hd__clkinv_1 U49147 ( .A(n69964), .Y(n69427) );
+  sky130_fd_sc_hd__clkinv_1 U49148 ( .A(n57645), .Y(n40685) );
+  sky130_fd_sc_hd__clkinv_1 U49149 ( .A(n69154), .Y(n66604) );
+  sky130_fd_sc_hd__clkinv_1 U49150 ( .A(n66499), .Y(n66501) );
+  sky130_fd_sc_hd__clkinv_1 U49151 ( .A(n74041), .Y(n74013) );
+  sky130_fd_sc_hd__clkinv_1 U49152 ( .A(n68719), .Y(n64805) );
+  sky130_fd_sc_hd__clkinv_1 U49153 ( .A(n69325), .Y(n69261) );
+  sky130_fd_sc_hd__clkinv_1 U49154 ( .A(n66614), .Y(n69260) );
+  sky130_fd_sc_hd__clkinv_1 U49155 ( .A(n49084), .Y(n49463) );
+  sky130_fd_sc_hd__or2_0 U49156 ( .A(n73968), .B(n85728), .X(n73942) );
+  sky130_fd_sc_hd__clkinv_1 U49157 ( .A(n69882), .Y(n69885) );
+  sky130_fd_sc_hd__clkinv_1 U49158 ( .A(n52348), .Y(n52353) );
+  sky130_fd_sc_hd__clkinv_1 U49159 ( .A(n53486), .Y(n53492) );
+  sky130_fd_sc_hd__clkinv_1 U49160 ( .A(n69441), .Y(n69426) );
+  sky130_fd_sc_hd__or2_0 U49161 ( .A(n73967), .B(n85727), .X(n73940) );
+  sky130_fd_sc_hd__clkinv_1 U49162 ( .A(n79505), .Y(n79507) );
+  sky130_fd_sc_hd__clkinv_1 U49163 ( .A(n69084), .Y(n69086) );
+  sky130_fd_sc_hd__clkinv_1 U49164 ( .A(n64874), .Y(n65203) );
+  sky130_fd_sc_hd__clkinv_1 U49165 ( .A(n67817), .Y(n68094) );
+  sky130_fd_sc_hd__clkinv_1 U49166 ( .A(n66267), .Y(n66268) );
+  sky130_fd_sc_hd__clkinv_1 U49167 ( .A(n66380), .Y(n66382) );
+  sky130_fd_sc_hd__clkinv_1 U49168 ( .A(n68464), .Y(n68466) );
+  sky130_fd_sc_hd__clkinv_1 U49169 ( .A(n68679), .Y(n68681) );
+  sky130_fd_sc_hd__clkinv_1 U49170 ( .A(n47965), .Y(n47967) );
+  sky130_fd_sc_hd__clkinv_1 U49171 ( .A(n66073), .Y(n66054) );
+  sky130_fd_sc_hd__clkinv_1 U49172 ( .A(n55637), .Y(n55623) );
+  sky130_fd_sc_hd__clkinv_1 U49173 ( .A(n47885), .Y(n47887) );
+  sky130_fd_sc_hd__clkinv_1 U49174 ( .A(n70304), .Y(n64819) );
+  sky130_fd_sc_hd__clkinv_1 U49175 ( .A(n68981), .Y(n65207) );
+  sky130_fd_sc_hd__clkinv_1 U49176 ( .A(n48971), .Y(n48968) );
+  sky130_fd_sc_hd__clkinv_1 U49177 ( .A(n69552), .Y(n69553) );
+  sky130_fd_sc_hd__and2_2 U49178 ( .A(n82457), .B(n59760), .X(n86115) );
+  sky130_fd_sc_hd__clkinv_1 U49179 ( .A(n84911), .Y(n55081) );
+  sky130_fd_sc_hd__clkinv_1 U49180 ( .A(n81437), .Y(n62028) );
+  sky130_fd_sc_hd__clkinv_1 U49181 ( .A(n74045), .Y(n83002) );
+  sky130_fd_sc_hd__clkinv_1 U49182 ( .A(n70125), .Y(n65140) );
+  sky130_fd_sc_hd__clkinv_1 U49183 ( .A(n56027), .Y(n53444) );
+  sky130_fd_sc_hd__clkinv_1 U49184 ( .A(n74027), .Y(n74015) );
+  sky130_fd_sc_hd__clkinv_1 U49185 ( .A(n73994), .Y(n85735) );
+  sky130_fd_sc_hd__clkinv_1 U49186 ( .A(n55979), .Y(n55981) );
+  sky130_fd_sc_hd__clkinv_1 U49187 ( .A(n83478), .Y(n83474) );
+  sky130_fd_sc_hd__clkinv_1 U49188 ( .A(n83193), .Y(n84076) );
+  sky130_fd_sc_hd__clkinv_1 U49189 ( .A(n77910), .Y(n77889) );
+  sky130_fd_sc_hd__clkinv_1 U49190 ( .A(n39480), .Y(n39477) );
+  sky130_fd_sc_hd__and2_0 U49191 ( .A(n66437), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .X(n37092) );
+  sky130_fd_sc_hd__clkinv_1 U49192 ( .A(n39786), .Y(n39623) );
+  sky130_fd_sc_hd__clkinv_1 U49193 ( .A(n39685), .Y(n39696) );
+  sky130_fd_sc_hd__clkinv_1 U49194 ( .A(n39750), .Y(n39752) );
+  sky130_fd_sc_hd__clkinv_1 U49195 ( .A(n39721), .Y(n39723) );
+  sky130_fd_sc_hd__clkinv_1 U49196 ( .A(n78552), .Y(n78544) );
+  sky130_fd_sc_hd__clkinv_1 U49197 ( .A(n39755), .Y(n39726) );
+  sky130_fd_sc_hd__clkinv_1 U49198 ( .A(n39743), .Y(n39745) );
+  sky130_fd_sc_hd__clkinv_1 U49199 ( .A(n39654), .Y(n39656) );
+  sky130_fd_sc_hd__clkinv_1 U49200 ( .A(n52838), .Y(n52839) );
+  sky130_fd_sc_hd__clkinv_1 U49201 ( .A(n52842), .Y(n52844) );
+  sky130_fd_sc_hd__clkinv_1 U49202 ( .A(n39694), .Y(n39695) );
+  sky130_fd_sc_hd__clkinv_1 U49203 ( .A(n39483), .Y(n39484) );
+  sky130_fd_sc_hd__inv_2 U49204 ( .A(n37920), .Y(n36844) );
+  sky130_fd_sc_hd__clkinv_1 U49205 ( .A(n61158), .Y(n39878) );
+  sky130_fd_sc_hd__clkinv_1 U49206 ( .A(n54192), .Y(n54194) );
+  sky130_fd_sc_hd__clkinv_1 U49207 ( .A(n82983), .Y(n82984) );
+  sky130_fd_sc_hd__clkinv_1 U49208 ( .A(n42422), .Y(n42425) );
+  sky130_fd_sc_hd__clkinv_1 U49209 ( .A(n48963), .Y(n48947) );
+  sky130_fd_sc_hd__clkinv_1 U49210 ( .A(n82519), .Y(n83827) );
+  sky130_fd_sc_hd__clkinv_1 U49211 ( .A(n74005), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_bundleIn_0_a_bits_opcode_0_) );
+  sky130_fd_sc_hd__clkinv_1 U49212 ( .A(n64081), .Y(n43066) );
+  sky130_fd_sc_hd__clkinv_1 U49213 ( .A(n75813), .Y(n75814) );
+  sky130_fd_sc_hd__clkinv_1 U49214 ( .A(n70388), .Y(n69341) );
+  sky130_fd_sc_hd__clkinv_1 U49215 ( .A(n70358), .Y(n69348) );
+  sky130_fd_sc_hd__clkinv_1 U49216 ( .A(n64012), .Y(n64014) );
+  sky130_fd_sc_hd__a21o_1 U49217 ( .A1(n55273), .A2(n47807), .B1(n83634), .X(
+        n49024) );
+  sky130_fd_sc_hd__clkinv_1 U49218 ( .A(n64073), .Y(n53372) );
+  sky130_fd_sc_hd__clkinv_1 U49219 ( .A(n76653), .Y(n76672) );
+  sky130_fd_sc_hd__clkinv_1 U49220 ( .A(n63639), .Y(n63547) );
+  sky130_fd_sc_hd__clkinv_1 U49221 ( .A(n52349), .Y(n52350) );
+  sky130_fd_sc_hd__and2_0 U49222 ( .A(n51283), .B(n37478), .X(n37146) );
+  sky130_fd_sc_hd__clkinv_1 U49223 ( .A(n56659), .Y(n51125) );
+  sky130_fd_sc_hd__clkinv_1 U49224 ( .A(n81037), .Y(n67296) );
+  sky130_fd_sc_hd__clkinv_1 U49225 ( .A(n52615), .Y(n50143) );
+  sky130_fd_sc_hd__clkinv_1 U49226 ( .A(n79526), .Y(n79523) );
+  sky130_fd_sc_hd__clkinv_1 U49227 ( .A(n84248), .Y(n78308) );
+  sky130_fd_sc_hd__nor3_2 U49228 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_stickyBusyReg), .B(n78132), .C(n84248), 
+        .Y(n79549) );
+  sky130_fd_sc_hd__clkinv_1 U49229 ( .A(n47674), .Y(n47676) );
+  sky130_fd_sc_hd__inv_2 U49230 ( .A(n47774), .Y(n47775) );
+  sky130_fd_sc_hd__nand3_1 U49231 ( .A(n37088), .B(n47713), .C(n47724), .Y(
+        n47829) );
+  sky130_fd_sc_hd__inv_1 U49232 ( .A(n47687), .Y(n47688) );
+  sky130_fd_sc_hd__clkinv_1 U49233 ( .A(n67697), .Y(n67698) );
+  sky130_fd_sc_hd__inv_1 U49234 ( .A(n48932), .Y(n49066) );
+  sky130_fd_sc_hd__inv_2 U49235 ( .A(n55040), .Y(n61167) );
+  sky130_fd_sc_hd__o22a_1 U49236 ( .A1(n47547), .A2(n47546), .B1(n47545), .B2(
+        n47961), .X(n55230) );
+  sky130_fd_sc_hd__clkinv_1 U49237 ( .A(n49003), .Y(n60299) );
+  sky130_fd_sc_hd__clkinv_1 U49238 ( .A(n81373), .Y(n61217) );
+  sky130_fd_sc_hd__o21ai_1 U49239 ( .A1(n41636), .A2(n41664), .B1(n41665), .Y(
+        n83428) );
+  sky130_fd_sc_hd__nor4_1 U49240 ( .A(n84868), .B(n85439), .C(n85435), .D(
+        n41643), .Y(n54828) );
+  sky130_fd_sc_hd__nor2b_1 U49241 ( .B_N(n41639), .A(n41638), .Y(n60543) );
+  sky130_fd_sc_hd__clkinv_1 U49243 ( .A(n54942), .Y(n54943) );
+  sky130_fd_sc_hd__clkinv_1 U49244 ( .A(n38715), .Y(n38763) );
+  sky130_fd_sc_hd__inv_1 U49245 ( .A(n59508), .Y(n38622) );
+  sky130_fd_sc_hd__clkinv_1 U49246 ( .A(n48945), .Y(n48962) );
+  sky130_fd_sc_hd__clkinv_1 U49247 ( .A(n47961), .Y(n47962) );
+  sky130_fd_sc_hd__clkinv_1 U49248 ( .A(n49437), .Y(n48010) );
+  sky130_fd_sc_hd__clkinv_1 U49249 ( .A(n47916), .Y(n47900) );
+  sky130_fd_sc_hd__clkinv_1 U49250 ( .A(n37574), .Y(n47841) );
+  sky130_fd_sc_hd__clkinv_1 U49251 ( .A(n39024), .Y(n39025) );
+  sky130_fd_sc_hd__clkinv_1 U49252 ( .A(n51443), .Y(n51447) );
+  sky130_fd_sc_hd__clkinv_1 U49253 ( .A(n50069), .Y(n47422) );
+  sky130_fd_sc_hd__clkinv_1 U49254 ( .A(n73248), .Y(n39932) );
+  sky130_fd_sc_hd__clkinv_1 U49255 ( .A(n47478), .Y(n52859) );
+  sky130_fd_sc_hd__nand3_1 U49256 ( .A(n39256), .B(n39255), .C(n39254), .Y(
+        n39951) );
+  sky130_fd_sc_hd__o211ai_1 U49257 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data[9]), .A2(n41102), .B1(n39271), .C1(n39270), .Y(n68666) );
+  sky130_fd_sc_hd__clkinv_1 U49258 ( .A(n54209), .Y(n47261) );
+  sky130_fd_sc_hd__clkinv_1 U49259 ( .A(n84123), .Y(n84121) );
+  sky130_fd_sc_hd__clkinv_1 U49260 ( .A(n47134), .Y(n47136) );
+  sky130_fd_sc_hd__clkinv_1 U49261 ( .A(n72597), .Y(n63169) );
+  sky130_fd_sc_hd__clkinv_1 U49262 ( .A(n53501), .Y(n83150) );
+  sky130_fd_sc_hd__clkinv_1 U49263 ( .A(n81146), .Y(n81155) );
+  sky130_fd_sc_hd__clkinv_1 U49264 ( .A(n81063), .Y(n79229) );
+  sky130_fd_sc_hd__clkinv_1 U49265 ( .A(n69978), .Y(n59915) );
+  sky130_fd_sc_hd__clkinv_1 U49266 ( .A(n85613), .Y(n66749) );
+  sky130_fd_sc_hd__clkinv_1 U49267 ( .A(n61623), .Y(n61638) );
+  sky130_fd_sc_hd__clkinv_1 U49268 ( .A(n44602), .Y(n40681) );
+  sky130_fd_sc_hd__clkinv_1 U49269 ( .A(n83785), .Y(n83786) );
+  sky130_fd_sc_hd__clkinv_1 U49270 ( .A(n64835), .Y(n69838) );
+  sky130_fd_sc_hd__clkinv_1 U49271 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N652), .Y(n64226) );
+  sky130_fd_sc_hd__clkinv_1 U49272 ( .A(n84010), .Y(n83995) );
+  sky130_fd_sc_hd__clkinv_1 U49273 ( .A(n70084), .Y(n69846) );
+  sky130_fd_sc_hd__clkinv_1 U49274 ( .A(n59368), .Y(n59380) );
+  sky130_fd_sc_hd__clkinv_1 U49275 ( .A(n59416), .Y(n59425) );
+  sky130_fd_sc_hd__clkinv_1 U49276 ( .A(n60830), .Y(n60839) );
+  sky130_fd_sc_hd__clkinv_1 U49277 ( .A(n60808), .Y(n45342) );
+  sky130_fd_sc_hd__clkinv_1 U49278 ( .A(n45745), .Y(n45747) );
+  sky130_fd_sc_hd__clkinv_1 U49279 ( .A(n46453), .Y(n67802) );
+  sky130_fd_sc_hd__clkinv_1 U49280 ( .A(n76292), .Y(n76306) );
+  sky130_fd_sc_hd__clkinv_1 U49281 ( .A(n80485), .Y(n80504) );
+  sky130_fd_sc_hd__clkinv_1 U49282 ( .A(n83634), .Y(n55272) );
+  sky130_fd_sc_hd__clkinv_1 U49283 ( .A(n83715), .Y(n83716) );
+  sky130_fd_sc_hd__clkinv_1 U49284 ( .A(n66945), .Y(n66946) );
+  sky130_fd_sc_hd__clkinv_1 U49285 ( .A(n45515), .Y(n52840) );
+  sky130_fd_sc_hd__clkinv_1 U49286 ( .A(n55361), .Y(n55367) );
+  sky130_fd_sc_hd__inv_1 U49287 ( .A(n57727), .Y(n57716) );
+  sky130_fd_sc_hd__clkinv_1 U49288 ( .A(n46409), .Y(n52772) );
+  sky130_fd_sc_hd__clkinv_1 U49289 ( .A(n46414), .Y(n52771) );
+  sky130_fd_sc_hd__clkinv_1 U49290 ( .A(n59538), .Y(n59540) );
+  sky130_fd_sc_hd__clkinv_1 U49291 ( .A(n59557), .Y(n59558) );
+  sky130_fd_sc_hd__clkinv_1 U49292 ( .A(n59570), .Y(n59574) );
+  sky130_fd_sc_hd__inv_1 U49293 ( .A(n57847), .Y(n57849) );
+  sky130_fd_sc_hd__clkinv_1 U49294 ( .A(n64013), .Y(n63922) );
+  sky130_fd_sc_hd__clkinv_1 U49295 ( .A(n82557), .Y(n85477) );
+  sky130_fd_sc_hd__clkinv_1 U49296 ( .A(n82547), .Y(n82562) );
+  sky130_fd_sc_hd__clkinv_1 U49297 ( .A(n76439), .Y(n62856) );
+  sky130_fd_sc_hd__clkinv_1 U49298 ( .A(n73484), .Y(n67439) );
+  sky130_fd_sc_hd__clkinv_1 U49299 ( .A(n58237), .Y(n58238) );
+  sky130_fd_sc_hd__clkinv_1 U49300 ( .A(n58282), .Y(n58284) );
+  sky130_fd_sc_hd__clkinv_1 U49301 ( .A(n80989), .Y(n80995) );
+  sky130_fd_sc_hd__mux2i_1 U49302 ( .A0(n57976), .A1(n57975), .S(n59388), .Y(
+        n57982) );
+  sky130_fd_sc_hd__clkinv_1 U49303 ( .A(n80392), .Y(n80388) );
+  sky130_fd_sc_hd__clkinv_1 U49305 ( .A(n58209), .Y(n58224) );
+  sky130_fd_sc_hd__clkinv_1 U49306 ( .A(n58223), .Y(n58212) );
+  sky130_fd_sc_hd__clkinv_1 U49307 ( .A(n57929), .Y(n58831) );
+  sky130_fd_sc_hd__clkinv_1 U49308 ( .A(n57728), .Y(n57730) );
+  sky130_fd_sc_hd__clkinv_1 U49309 ( .A(n85617), .Y(n66760) );
+  sky130_fd_sc_hd__clkinv_1 U49310 ( .A(n70451), .Y(n70130) );
+  sky130_fd_sc_hd__clkinv_1 U49311 ( .A(n86585), .Y(n64906) );
+  sky130_fd_sc_hd__clkinv_1 U49312 ( .A(n70353), .Y(n70144) );
+  sky130_fd_sc_hd__clkinv_1 U49313 ( .A(n45588), .Y(n52770) );
+  sky130_fd_sc_hd__clkinv_1 U49314 ( .A(n70352), .Y(n70316) );
+  sky130_fd_sc_hd__clkinv_1 U49315 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N706), .Y(n70395) );
+  sky130_fd_sc_hd__clkinv_1 U49316 ( .A(n60647), .Y(n60694) );
+  sky130_fd_sc_hd__clkinv_1 U49317 ( .A(n61679), .Y(n61689) );
+  sky130_fd_sc_hd__clkinv_1 U49318 ( .A(n61663), .Y(n61673) );
+  sky130_fd_sc_hd__clkinv_1 U49319 ( .A(n80282), .Y(n80285) );
+  sky130_fd_sc_hd__clkinv_1 U49320 ( .A(n80674), .Y(n80676) );
+  sky130_fd_sc_hd__clkinv_1 U49321 ( .A(n83975), .Y(n83984) );
+  sky130_fd_sc_hd__clkinv_1 U49322 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N670), .Y(n64085) );
+  sky130_fd_sc_hd__clkinv_1 U49323 ( .A(n80369), .Y(n84119) );
+  sky130_fd_sc_hd__clkinv_1 U49324 ( .A(n81362), .Y(n67166) );
+  sky130_fd_sc_hd__clkinv_1 U49325 ( .A(n81196), .Y(n81204) );
+  sky130_fd_sc_hd__clkinv_1 U49326 ( .A(n81042), .Y(n81048) );
+  sky130_fd_sc_hd__inv_1 U49327 ( .A(n64178), .Y(n84437) );
+  sky130_fd_sc_hd__clkinv_1 U49328 ( .A(n60721), .Y(n80588) );
+  sky130_fd_sc_hd__clkinv_1 U49329 ( .A(n60719), .Y(n60728) );
+  sky130_fd_sc_hd__clkinv_1 U49330 ( .A(n81125), .Y(n81131) );
+  sky130_fd_sc_hd__clkinv_1 U49331 ( .A(n62072), .Y(n60307) );
+  sky130_fd_sc_hd__clkinv_1 U49332 ( .A(n81438), .Y(n62082) );
+  sky130_fd_sc_hd__clkinv_1 U49333 ( .A(n65300), .Y(n65414) );
+  sky130_fd_sc_hd__clkinv_1 U49334 ( .A(n54095), .Y(n54097) );
+  sky130_fd_sc_hd__clkinv_1 U49335 ( .A(n43217), .Y(n44599) );
+  sky130_fd_sc_hd__clkinv_1 U49336 ( .A(n72139), .Y(n72142) );
+  sky130_fd_sc_hd__clkinv_1 U49337 ( .A(n66646), .Y(n69927) );
+  sky130_fd_sc_hd__clkinv_1 U49338 ( .A(n66132), .Y(n62481) );
+  sky130_fd_sc_hd__clkinv_1 U49339 ( .A(n83043), .Y(n83039) );
+  sky130_fd_sc_hd__nor2_2 U49340 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[5]), .B(n83345), .Y(n41818) );
+  sky130_fd_sc_hd__clkinv_1 U49341 ( .A(n67589), .Y(n68371) );
+  sky130_fd_sc_hd__clkinv_1 U49342 ( .A(n41703), .Y(n41706) );
+  sky130_fd_sc_hd__clkinv_1 U49343 ( .A(n68357), .Y(n68362) );
+  sky130_fd_sc_hd__clkinv_1 U49344 ( .A(n41708), .Y(n43801) );
+  sky130_fd_sc_hd__clkinv_1 U49345 ( .A(n84058), .Y(n84050) );
+  sky130_fd_sc_hd__and2_0 U49346 ( .A(n68318), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[0]), .X(n67537) );
+  sky130_fd_sc_hd__clkinv_1 U49347 ( .A(n41664), .Y(n41666) );
+  sky130_fd_sc_hd__clkinv_1 U49348 ( .A(n41686), .Y(n82415) );
+  sky130_fd_sc_hd__clkinv_1 U49349 ( .A(n85611), .Y(n65137) );
+  sky130_fd_sc_hd__clkinv_1 U49350 ( .A(n41557), .Y(n41558) );
+  sky130_fd_sc_hd__clkinv_1 U49351 ( .A(n69836), .Y(n69837) );
+  sky130_fd_sc_hd__clkinv_1 U49352 ( .A(n60578), .Y(n60612) );
+  sky130_fd_sc_hd__clkinv_1 U49353 ( .A(n84125), .Y(n84146) );
+  sky130_fd_sc_hd__clkinv_1 U49354 ( .A(n54240), .Y(n41324) );
+  sky130_fd_sc_hd__clkinv_1 U49355 ( .A(n78353), .Y(n78354) );
+  sky130_fd_sc_hd__clkinv_1 U49356 ( .A(n79494), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N388) );
+  sky130_fd_sc_hd__clkinv_1 U49357 ( .A(n70883), .Y(n70885) );
+  sky130_fd_sc_hd__inv_1 U49358 ( .A(n59357), .Y(n36845) );
+  sky130_fd_sc_hd__clkinv_1 U49359 ( .A(n83523), .Y(n77394) );
+  sky130_fd_sc_hd__clkinv_1 U49360 ( .A(n64494), .Y(n64497) );
+  sky130_fd_sc_hd__nand2_1 U49361 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_currState[2]), 
+        .B(n61172), .Y(n84248) );
+  sky130_fd_sc_hd__clkinv_1 U49362 ( .A(n37109), .Y(n58210) );
+  sky130_fd_sc_hd__clkinv_1 U49363 ( .A(n81381), .Y(n77781) );
+  sky130_fd_sc_hd__clkinv_1 U49364 ( .A(n84931), .Y(n55131) );
+  sky130_fd_sc_hd__clkinv_1 U49365 ( .A(n71176), .Y(n71178) );
+  sky130_fd_sc_hd__o21a_1 U49367 ( .A1(n57978), .A2(n57961), .B1(n57960), .X(
+        n59391) );
+  sky130_fd_sc_hd__clkinv_1 U49368 ( .A(n60922), .Y(n60923) );
+  sky130_fd_sc_hd__clkinv_1 U49369 ( .A(n84935), .Y(n55138) );
+  sky130_fd_sc_hd__clkinv_1 U49370 ( .A(n85547), .Y(n69710) );
+  sky130_fd_sc_hd__clkinv_1 U49371 ( .A(n50077), .Y(n85011) );
+  sky130_fd_sc_hd__clkinv_1 U49372 ( .A(n76380), .Y(n62854) );
+  sky130_fd_sc_hd__clkinv_1 U49373 ( .A(n85324), .Y(n50797) );
+  sky130_fd_sc_hd__clkinv_1 U49374 ( .A(n82542), .Y(n82539) );
+  sky130_fd_sc_hd__clkinv_1 U49375 ( .A(n82501), .Y(n85203) );
+  sky130_fd_sc_hd__clkinv_1 U49376 ( .A(n76343), .Y(n78498) );
+  sky130_fd_sc_hd__clkinv_1 U49377 ( .A(n66794), .Y(n66795) );
+  sky130_fd_sc_hd__clkinv_1 U49378 ( .A(n55649), .Y(n55650) );
+  sky130_fd_sc_hd__clkinv_1 U49379 ( .A(n67267), .Y(n77647) );
+  sky130_fd_sc_hd__clkinv_1 U49380 ( .A(n55294), .Y(n55295) );
+  sky130_fd_sc_hd__clkinv_1 U49381 ( .A(n80248), .Y(n79468) );
+  sky130_fd_sc_hd__clkinv_1 U49382 ( .A(n55282), .Y(n55283) );
+  sky130_fd_sc_hd__clkinv_1 U49383 ( .A(n58634), .Y(n72273) );
+  sky130_fd_sc_hd__clkinv_1 U49384 ( .A(n63923), .Y(n63980) );
+  sky130_fd_sc_hd__clkinv_1 U49386 ( .A(n83886), .Y(n44608) );
+  sky130_fd_sc_hd__clkinv_1 U49387 ( .A(n76272), .Y(n76274) );
+  sky130_fd_sc_hd__clkinv_1 U49388 ( .A(n51339), .Y(n51783) );
+  sky130_fd_sc_hd__clkinv_1 U49389 ( .A(n66204), .Y(n69917) );
+  sky130_fd_sc_hd__clkinv_1 U49391 ( .A(n78251), .Y(n70492) );
+  sky130_fd_sc_hd__clkinv_1 U49392 ( .A(n59410), .Y(n59411) );
+  sky130_fd_sc_hd__clkinv_1 U49393 ( .A(n43103), .Y(n43086) );
+  sky130_fd_sc_hd__clkinv_1 U49394 ( .A(n56082), .Y(n85010) );
+  sky130_fd_sc_hd__clkinv_1 U49395 ( .A(n59415), .Y(n59426) );
+  sky130_fd_sc_hd__inv_1 U49396 ( .A(n59369), .Y(n59379) );
+  sky130_fd_sc_hd__nor2_1 U49397 ( .A(n41311), .B(n54109), .Y(n78398) );
+  sky130_fd_sc_hd__clkinv_1 U49399 ( .A(n52993), .Y(n85009) );
+  sky130_fd_sc_hd__clkinv_1 U49400 ( .A(n83456), .Y(n85563) );
+  sky130_fd_sc_hd__clkinv_1 U49401 ( .A(n83485), .Y(n77464) );
+  sky130_fd_sc_hd__clkinv_1 U49402 ( .A(n83501), .Y(n77455) );
+  sky130_fd_sc_hd__clkinv_1 U49403 ( .A(n83459), .Y(n85564) );
+  sky130_fd_sc_hd__clkinv_1 U49404 ( .A(n51960), .Y(n85307) );
+  sky130_fd_sc_hd__clkinv_1 U49405 ( .A(n66750), .Y(n69660) );
+  sky130_fd_sc_hd__clkinv_1 U49406 ( .A(n42513), .Y(n42489) );
+  sky130_fd_sc_hd__clkinv_1 U49407 ( .A(n77425), .Y(n77421) );
+  sky130_fd_sc_hd__inv_2 U49408 ( .A(n84070), .Y(n84077) );
+  sky130_fd_sc_hd__clkinv_1 U49409 ( .A(n79351), .Y(n79363) );
+  sky130_fd_sc_hd__clkinv_1 U49410 ( .A(n77862), .Y(n77864) );
+  sky130_fd_sc_hd__clkinv_1 U49411 ( .A(n77841), .Y(n77846) );
+  sky130_fd_sc_hd__clkinv_1 U49412 ( .A(n54183), .Y(n84646) );
+  sky130_fd_sc_hd__clkinv_1 U49414 ( .A(n39698), .Y(n39700) );
+  sky130_fd_sc_hd__clkinv_1 U49415 ( .A(n39708), .Y(n39710) );
+  sky130_fd_sc_hd__clkinv_1 U49416 ( .A(n39664), .Y(n39666) );
+  sky130_fd_sc_hd__clkinv_1 U49417 ( .A(n39669), .Y(n39650) );
+  sky130_fd_sc_hd__clkinv_1 U49418 ( .A(n39717), .Y(n39638) );
+  sky130_fd_sc_hd__clkinv_1 U49419 ( .A(n39639), .Y(n39641) );
+  sky130_fd_sc_hd__clkinv_1 U49420 ( .A(n39730), .Y(n39741) );
+  sky130_fd_sc_hd__clkinv_1 U49421 ( .A(n39739), .Y(n39740) );
+  sky130_fd_sc_hd__clkinv_1 U49422 ( .A(n39637), .Y(n39718) );
+  sky130_fd_sc_hd__clkinv_1 U49423 ( .A(n79675), .Y(n79680) );
+  sky130_fd_sc_hd__and2_0 U49424 ( .A(n76445), .B(n79910), .X(n80075) );
+  sky130_fd_sc_hd__clkinv_1 U49425 ( .A(n85395), .Y(n39883) );
+  sky130_fd_sc_hd__clkinv_1 U49426 ( .A(n60464), .Y(n78101) );
+  sky130_fd_sc_hd__clkinv_1 U49427 ( .A(n79746), .Y(n79778) );
+  sky130_fd_sc_hd__clkinv_1 U49428 ( .A(n39758), .Y(n39577) );
+  sky130_fd_sc_hd__clkinv_1 U49429 ( .A(n39622), .Y(n39611) );
+  sky130_fd_sc_hd__clkinv_1 U49430 ( .A(n85614), .Y(n66752) );
+  sky130_fd_sc_hd__clkinv_1 U49431 ( .A(n79836), .Y(n79841) );
+  sky130_fd_sc_hd__clkinv_1 U49432 ( .A(n37893), .Y(n37892) );
+  sky130_fd_sc_hd__clkinv_1 U49433 ( .A(n55454), .Y(n54999) );
+  sky130_fd_sc_hd__clkinv_1 U49434 ( .A(n79408), .Y(n79420) );
+  sky130_fd_sc_hd__clkinv_1 U49435 ( .A(n84073), .Y(n83194) );
+  sky130_fd_sc_hd__clkinv_1 U49436 ( .A(n79215), .Y(n79216) );
+  sky130_fd_sc_hd__clkinv_1 U49437 ( .A(n54790), .Y(n84130) );
+  sky130_fd_sc_hd__clkinv_1 U49438 ( .A(n46439), .Y(n52769) );
+  sky130_fd_sc_hd__clkinv_1 U49439 ( .A(n84666), .Y(n54544) );
+  sky130_fd_sc_hd__clkinv_1 U49440 ( .A(n84672), .Y(n54564) );
+  sky130_fd_sc_hd__clkinv_1 U49441 ( .A(n84711), .Y(n54694) );
+  sky130_fd_sc_hd__clkinv_1 U49442 ( .A(n84708), .Y(n54684) );
+  sky130_fd_sc_hd__clkinv_1 U49443 ( .A(n83888), .Y(n44609) );
+  sky130_fd_sc_hd__clkinv_1 U49444 ( .A(n84714), .Y(n54704) );
+  sky130_fd_sc_hd__clkinv_1 U49445 ( .A(n84717), .Y(n54715) );
+  sky130_fd_sc_hd__clkinv_1 U49446 ( .A(n84720), .Y(n54725) );
+  sky130_fd_sc_hd__clkinv_1 U49447 ( .A(n84699), .Y(n54654) );
+  sky130_fd_sc_hd__clkinv_1 U49448 ( .A(n84696), .Y(n54644) );
+  sky130_fd_sc_hd__clkinv_1 U49449 ( .A(n84705), .Y(n54674) );
+  sky130_fd_sc_hd__clkinv_1 U49450 ( .A(n84702), .Y(n54664) );
+  sky130_fd_sc_hd__clkinv_1 U49451 ( .A(n82480), .Y(n78496) );
+  sky130_fd_sc_hd__clkinv_1 U49452 ( .A(n84723), .Y(n54735) );
+  sky130_fd_sc_hd__clkinv_1 U49453 ( .A(n84726), .Y(n54745) );
+  sky130_fd_sc_hd__clkinv_1 U49454 ( .A(n84729), .Y(n54757) );
+  sky130_fd_sc_hd__clkinv_1 U49455 ( .A(n84675), .Y(n54574) );
+  sky130_fd_sc_hd__clkinv_1 U49456 ( .A(n84687), .Y(n54614) );
+  sky130_fd_sc_hd__clkinv_1 U49457 ( .A(n84684), .Y(n54604) );
+  sky130_fd_sc_hd__clkinv_1 U49458 ( .A(n84693), .Y(n54634) );
+  sky130_fd_sc_hd__clkinv_1 U49459 ( .A(n84690), .Y(n54624) );
+  sky130_fd_sc_hd__clkinv_1 U49460 ( .A(n84681), .Y(n54594) );
+  sky130_fd_sc_hd__clkinv_1 U49461 ( .A(n84678), .Y(n54584) );
+  sky130_fd_sc_hd__clkinv_1 U49462 ( .A(n84669), .Y(n54554) );
+  sky130_fd_sc_hd__clkinv_1 U49463 ( .A(n84663), .Y(n54534) );
+  sky130_fd_sc_hd__clkinv_1 U49464 ( .A(n84443), .Y(n54093) );
+  sky130_fd_sc_hd__clkinv_1 U49465 ( .A(n76479), .Y(n86486) );
+  sky130_fd_sc_hd__and2_0 U49466 ( .A(n65997), .B(n53941), .X(n36986) );
+  sky130_fd_sc_hd__clkinv_1 U49467 ( .A(n54838), .Y(n54834) );
+  sky130_fd_sc_hd__clkinv_1 U49468 ( .A(n54839), .Y(n77557) );
+  sky130_fd_sc_hd__clkinv_1 U49469 ( .A(n83393), .Y(n54830) );
+  sky130_fd_sc_hd__clkinv_1 U49470 ( .A(n80882), .Y(n80821) );
+  sky130_fd_sc_hd__clkinv_1 U49471 ( .A(n63848), .Y(n39334) );
+  sky130_fd_sc_hd__clkinv_1 U49472 ( .A(n63849), .Y(n39333) );
+  sky130_fd_sc_hd__clkinv_1 U49473 ( .A(n63796), .Y(n39304) );
+  sky130_fd_sc_hd__clkinv_1 U49474 ( .A(n63797), .Y(n39303) );
+  sky130_fd_sc_hd__clkinv_1 U49475 ( .A(n39383), .Y(n72784) );
+  sky130_fd_sc_hd__clkinv_1 U49476 ( .A(n63776), .Y(n39355) );
+  sky130_fd_sc_hd__clkinv_1 U49477 ( .A(n63777), .Y(n39354) );
+  sky130_fd_sc_hd__clkinv_1 U49478 ( .A(n63766), .Y(n41841) );
+  sky130_fd_sc_hd__clkinv_1 U49479 ( .A(n63767), .Y(n41840) );
+  sky130_fd_sc_hd__clkinv_1 U49480 ( .A(n83014), .Y(n82985) );
+  sky130_fd_sc_hd__clkinv_1 U49481 ( .A(n41334), .Y(n41306) );
+  sky130_fd_sc_hd__clkinv_1 U49482 ( .A(n80881), .Y(n80880) );
+  sky130_fd_sc_hd__clkinv_1 U49483 ( .A(n80573), .Y(n80572) );
+  sky130_fd_sc_hd__clkinv_1 U49484 ( .A(n52827), .Y(n48496) );
+  sky130_fd_sc_hd__or2_0 U49485 ( .A(n71748), .B(n75328), .X(n75322) );
+  sky130_fd_sc_hd__clkinv_1 U49486 ( .A(n79201), .Y(n79140) );
+  sky130_fd_sc_hd__clkinv_1 U49487 ( .A(n83458), .Y(n85565) );
+  sky130_fd_sc_hd__clkinv_1 U49488 ( .A(n65978), .Y(n70379) );
+  sky130_fd_sc_hd__clkinv_1 U49489 ( .A(n39943), .Y(n73147) );
+  sky130_fd_sc_hd__clkinv_1 U49490 ( .A(n63819), .Y(n39949) );
+  sky130_fd_sc_hd__clkinv_1 U49491 ( .A(n47288), .Y(n47286) );
+  sky130_fd_sc_hd__clkinv_1 U49492 ( .A(n47456), .Y(n47457) );
+  sky130_fd_sc_hd__clkinv_1 U49493 ( .A(n39947), .Y(n63817) );
+  sky130_fd_sc_hd__clkinv_1 U49494 ( .A(n73149), .Y(n39944) );
+  sky130_fd_sc_hd__clkinv_1 U49495 ( .A(n65631), .Y(n69056) );
+  sky130_fd_sc_hd__clkinv_1 U49496 ( .A(n47467), .Y(n47466) );
+  sky130_fd_sc_hd__clkinv_1 U49497 ( .A(n53546), .Y(n53547) );
+  sky130_fd_sc_hd__clkinv_1 U49498 ( .A(n71703), .Y(n71705) );
+  sky130_fd_sc_hd__clkinv_1 U49499 ( .A(n52315), .Y(n51988) );
+  sky130_fd_sc_hd__clkinv_1 U49500 ( .A(n65622), .Y(n69047) );
+  sky130_fd_sc_hd__clkinv_1 U49501 ( .A(n56673), .Y(n51157) );
+  sky130_fd_sc_hd__clkinv_1 U49502 ( .A(n56668), .Y(n56670) );
+  sky130_fd_sc_hd__clkinv_1 U49503 ( .A(n51158), .Y(n51159) );
+  sky130_fd_sc_hd__clkinv_1 U49504 ( .A(n84923), .Y(n55116) );
+  sky130_fd_sc_hd__clkinv_1 U49505 ( .A(n64142), .Y(n70438) );
+  sky130_fd_sc_hd__clkinv_1 U49506 ( .A(n84939), .Y(n55145) );
+  sky130_fd_sc_hd__clkinv_1 U49507 ( .A(n71630), .Y(n71632) );
+  sky130_fd_sc_hd__clkinv_1 U49508 ( .A(n41707), .Y(n43396) );
+  sky130_fd_sc_hd__clkinv_1 U49509 ( .A(n81279), .Y(n81283) );
+  sky130_fd_sc_hd__clkinv_1 U49510 ( .A(n82610), .Y(n82613) );
+  sky130_fd_sc_hd__clkinv_1 U49511 ( .A(n41685), .Y(n82417) );
+  sky130_fd_sc_hd__clkinv_1 U49512 ( .A(n76152), .Y(n76173) );
+  sky130_fd_sc_hd__clkinv_1 U49513 ( .A(n60886), .Y(n59841) );
+  sky130_fd_sc_hd__clkinv_1 U49514 ( .A(n78264), .Y(n78247) );
+  sky130_fd_sc_hd__clkinv_1 U49515 ( .A(n71259), .Y(n71261) );
+  sky130_fd_sc_hd__clkinv_1 U49516 ( .A(n68393), .Y(n68398) );
+  sky130_fd_sc_hd__clkinv_1 U49517 ( .A(n65499), .Y(n65500) );
+  sky130_fd_sc_hd__clkinv_1 U49518 ( .A(n66002), .Y(n66003) );
+  sky130_fd_sc_hd__or2_0 U49519 ( .A(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[23]), 
+        .B(n52827), .X(n48489) );
+  sky130_fd_sc_hd__clkinv_1 U49520 ( .A(n71329), .Y(n71331) );
+  sky130_fd_sc_hd__a31oi_2 U49521 ( .A1(n83876), .A2(n36803), .A3(n85901), 
+        .B1(n41641), .Y(n83920) );
+  sky130_fd_sc_hd__clkinv_1 U49522 ( .A(n47716), .Y(n47717) );
+  sky130_fd_sc_hd__clkinv_1 U49523 ( .A(n84927), .Y(n55124) );
+  sky130_fd_sc_hd__clkinv_1 U49524 ( .A(n70681), .Y(n70683) );
+  sky130_fd_sc_hd__clkinv_1 U49525 ( .A(n83295), .Y(n41826) );
+  sky130_fd_sc_hd__clkinv_1 U49526 ( .A(n85328), .Y(n56657) );
+  sky130_fd_sc_hd__clkinv_1 U49527 ( .A(n49037), .Y(n49061) );
+  sky130_fd_sc_hd__clkinv_1 U49528 ( .A(n83450), .Y(n83448) );
+  sky130_fd_sc_hd__clkinv_1 U49529 ( .A(n48861), .Y(n48862) );
+  sky130_fd_sc_hd__inv_2 U49530 ( .A(n48712), .Y(n47738) );
+  sky130_fd_sc_hd__clkinv_1 U49531 ( .A(n67803), .Y(n67804) );
+  sky130_fd_sc_hd__clkinv_1 U49532 ( .A(n67710), .Y(n67711) );
+  sky130_fd_sc_hd__clkinv_1 U49533 ( .A(n80176), .Y(n80249) );
+  sky130_fd_sc_hd__clkinv_1 U49534 ( .A(n62958), .Y(n62959) );
+  sky130_fd_sc_hd__clkinv_1 U49535 ( .A(n48810), .Y(n47562) );
+  sky130_fd_sc_hd__clkinv_1 U49536 ( .A(n74567), .Y(n70966) );
+  sky130_fd_sc_hd__clkinv_1 U49537 ( .A(n48824), .Y(n49424) );
+  sky130_fd_sc_hd__clkinv_1 U49538 ( .A(n67806), .Y(n67807) );
+  sky130_fd_sc_hd__clkinv_1 U49539 ( .A(n62962), .Y(n62963) );
+  sky130_fd_sc_hd__clkinv_1 U49540 ( .A(n50032), .Y(n85012) );
+  sky130_fd_sc_hd__clkinv_1 U49541 ( .A(n67721), .Y(n67722) );
+  sky130_fd_sc_hd__clkinv_1 U49542 ( .A(n67891), .Y(n67893) );
+  sky130_fd_sc_hd__clkinv_1 U49543 ( .A(n67717), .Y(n67718) );
+  sky130_fd_sc_hd__clkinv_1 U49544 ( .A(n47761), .Y(n47762) );
+  sky130_fd_sc_hd__and2_0 U49545 ( .A(n84966), .B(n53539), .X(n37088) );
+  sky130_fd_sc_hd__inv_1 U49546 ( .A(n47776), .Y(n55056) );
+  sky130_fd_sc_hd__clkinv_1 U49547 ( .A(n47661), .Y(n47663) );
+  sky130_fd_sc_hd__clkinv_1 U49548 ( .A(n49130), .Y(n49132) );
+  sky130_fd_sc_hd__clkinv_1 U49549 ( .A(n49524), .Y(n49191) );
+  sky130_fd_sc_hd__clkinv_1 U49550 ( .A(n83460), .Y(n54855) );
+  sky130_fd_sc_hd__clkinv_1 U49551 ( .A(n54854), .Y(n77543) );
+  sky130_fd_sc_hd__clkinv_1 U49552 ( .A(n54853), .Y(n54859) );
+  sky130_fd_sc_hd__clkinv_1 U49553 ( .A(n78954), .Y(n36608) );
+  sky130_fd_sc_hd__clkinv_1 U49554 ( .A(n79480), .Y(n79479) );
+  sky130_fd_sc_hd__clkinv_1 U49555 ( .A(n61046), .Y(n49225) );
+  sky130_fd_sc_hd__clkinv_1 U49556 ( .A(n57816), .Y(n57819) );
+  sky130_fd_sc_hd__clkinv_1 U49557 ( .A(n66322), .Y(n66329) );
+  sky130_fd_sc_hd__clkinv_1 U49558 ( .A(n66331), .Y(n65641) );
+  sky130_fd_sc_hd__clkinv_1 U49559 ( .A(n56010), .Y(n49798) );
+  sky130_fd_sc_hd__clkinv_1 U49560 ( .A(n58095), .Y(n58096) );
+  sky130_fd_sc_hd__clkinv_1 U49561 ( .A(n58000), .Y(n58097) );
+  sky130_fd_sc_hd__clkinv_1 U49562 ( .A(n84255), .Y(n79536) );
+  sky130_fd_sc_hd__clkinv_1 U49563 ( .A(n63979), .Y(n63913) );
+  sky130_fd_sc_hd__clkinv_1 U49564 ( .A(n42872), .Y(n40624) );
+  sky130_fd_sc_hd__clkinv_1 U49565 ( .A(n40582), .Y(n40584) );
+  sky130_fd_sc_hd__clkinv_1 U49566 ( .A(n50018), .Y(n50020) );
+  sky130_fd_sc_hd__clkinv_1 U49567 ( .A(n58822), .Y(n58283) );
+  sky130_fd_sc_hd__clkinv_1 U49568 ( .A(n58257), .Y(n58234) );
+  sky130_fd_sc_hd__clkinv_1 U49569 ( .A(n80789), .Y(n80799) );
+  sky130_fd_sc_hd__clkinv_1 U49570 ( .A(n42871), .Y(n40625) );
+  sky130_fd_sc_hd__clkinv_1 U49571 ( .A(n42870), .Y(n40571) );
+  sky130_fd_sc_hd__clkinv_1 U49572 ( .A(n42874), .Y(n40573) );
+  sky130_fd_sc_hd__and2_0 U49573 ( .A(n42871), .B(n42870), .X(n38420) );
+  sky130_fd_sc_hd__and2_0 U49574 ( .A(n42872), .B(n42874), .X(n38416) );
+  sky130_fd_sc_hd__and2_0 U49575 ( .A(n40619), .B(n42873), .X(n40570) );
+  sky130_fd_sc_hd__clkinv_1 U49576 ( .A(n65650), .Y(n66211) );
+  sky130_fd_sc_hd__clkinv_1 U49577 ( .A(n65651), .Y(n66219) );
+  sky130_fd_sc_hd__clkinv_1 U49578 ( .A(n65652), .Y(n66166) );
+  sky130_fd_sc_hd__clkinv_1 U49579 ( .A(n76482), .Y(n62855) );
+  sky130_fd_sc_hd__clkinv_1 U49580 ( .A(n79311), .Y(n36628) );
+  sky130_fd_sc_hd__clkinv_1 U49581 ( .A(n65653), .Y(n66174) );
+  sky130_fd_sc_hd__clkinv_1 U49582 ( .A(n40610), .Y(n40418) );
+  sky130_fd_sc_hd__clkinv_1 U49583 ( .A(n76373), .Y(n76375) );
+  sky130_fd_sc_hd__clkinv_1 U49584 ( .A(n65654), .Y(n68753) );
+  sky130_fd_sc_hd__clkinv_1 U49585 ( .A(n40579), .Y(n40417) );
+  sky130_fd_sc_hd__clkinv_1 U49586 ( .A(n40633), .Y(n63904) );
+  sky130_fd_sc_hd__clkinv_1 U49587 ( .A(n65655), .Y(n68761) );
+  sky130_fd_sc_hd__clkinv_1 U49588 ( .A(n42873), .Y(n40616) );
+  sky130_fd_sc_hd__clkinv_1 U49589 ( .A(n65656), .Y(n66126) );
+  sky130_fd_sc_hd__clkinv_1 U49590 ( .A(n82580), .Y(n82545) );
+  sky130_fd_sc_hd__clkinv_1 U49591 ( .A(n79509), .Y(n79506) );
+  sky130_fd_sc_hd__clkinv_1 U49592 ( .A(n65657), .Y(n66140) );
+  sky130_fd_sc_hd__clkinv_1 U49593 ( .A(n65658), .Y(n66153) );
+  sky130_fd_sc_hd__clkinv_1 U49594 ( .A(n65659), .Y(n69508) );
+  sky130_fd_sc_hd__clkinv_1 U49595 ( .A(n85431), .Y(n53390) );
+  sky130_fd_sc_hd__clkinv_1 U49596 ( .A(n85430), .Y(n61149) );
+  sky130_fd_sc_hd__clkinv_1 U49597 ( .A(n65660), .Y(n65675) );
+  sky130_fd_sc_hd__clkinv_1 U49598 ( .A(n56023), .Y(n56025) );
+  sky130_fd_sc_hd__clkinv_1 U49599 ( .A(n70485), .Y(n70141) );
+  sky130_fd_sc_hd__clkinv_1 U49600 ( .A(n66197), .Y(n43167) );
+  sky130_fd_sc_hd__clkinv_1 U49601 ( .A(n73357), .Y(n73358) );
+  sky130_fd_sc_hd__clkinv_1 U49602 ( .A(n44611), .Y(n43497) );
+  sky130_fd_sc_hd__clkinv_1 U49603 ( .A(n67342), .Y(n66905) );
+  sky130_fd_sc_hd__clkinv_1 U49604 ( .A(n85507), .Y(n75778) );
+  sky130_fd_sc_hd__clkinv_1 U49605 ( .A(n76348), .Y(n76818) );
+  sky130_fd_sc_hd__clkinv_1 U49606 ( .A(n51187), .Y(n52858) );
+  sky130_fd_sc_hd__clkinv_1 U49607 ( .A(n85491), .Y(n76224) );
+  sky130_fd_sc_hd__clkinv_1 U49608 ( .A(n59731), .Y(n59742) );
+  sky130_fd_sc_hd__clkinv_1 U49609 ( .A(n85512), .Y(n76228) );
+  sky130_fd_sc_hd__clkinv_1 U49610 ( .A(n85511), .Y(n76220) );
+  sky130_fd_sc_hd__clkinv_1 U49611 ( .A(n85494), .Y(n76226) );
+  sky130_fd_sc_hd__clkinv_1 U49612 ( .A(n51336), .Y(n51338) );
+  sky130_fd_sc_hd__clkinv_1 U49613 ( .A(n61451), .Y(n83461) );
+  sky130_fd_sc_hd__clkinv_1 U49614 ( .A(n85516), .Y(n67335) );
+  sky130_fd_sc_hd__clkinv_1 U49615 ( .A(n85500), .Y(n76198) );
+  sky130_fd_sc_hd__clkinv_1 U49616 ( .A(n39835), .Y(n39837) );
+  sky130_fd_sc_hd__clkinv_1 U49617 ( .A(n85513), .Y(n76202) );
+  sky130_fd_sc_hd__clkinv_1 U49618 ( .A(n85502), .Y(n76214) );
+  sky130_fd_sc_hd__clkinv_1 U49619 ( .A(n85495), .Y(n76222) );
+  sky130_fd_sc_hd__clkinv_1 U49620 ( .A(n85497), .Y(n68428) );
+  sky130_fd_sc_hd__clkinv_1 U49621 ( .A(n46450), .Y(n67733) );
+  sky130_fd_sc_hd__clkinv_1 U49622 ( .A(n36415), .Y(n84163) );
+  sky130_fd_sc_hd__clkinv_1 U49623 ( .A(n85493), .Y(n76192) );
+  sky130_fd_sc_hd__clkinv_1 U49624 ( .A(n85518), .Y(n76200) );
+  sky130_fd_sc_hd__clkinv_1 U49625 ( .A(n83065), .Y(n84127) );
+  sky130_fd_sc_hd__clkinv_1 U49626 ( .A(n82461), .Y(n82460) );
+  sky130_fd_sc_hd__clkinv_1 U49627 ( .A(n54131), .Y(n40851) );
+  sky130_fd_sc_hd__clkinv_1 U49628 ( .A(n51779), .Y(n51781) );
+  sky130_fd_sc_hd__clkinv_1 U49629 ( .A(n55047), .Y(n85902) );
+  sky130_fd_sc_hd__clkinv_1 U49630 ( .A(n84011), .Y(n85905) );
+  sky130_fd_sc_hd__clkinv_1 U49631 ( .A(n85658), .Y(n72875) );
+  sky130_fd_sc_hd__clkinv_1 U49632 ( .A(n54327), .Y(n85781) );
+  sky130_fd_sc_hd__clkinv_1 U49633 ( .A(n43902), .Y(n43903) );
+  sky130_fd_sc_hd__clkinv_1 U49634 ( .A(n85657), .Y(n72866) );
+  sky130_fd_sc_hd__clkinv_1 U49635 ( .A(n83473), .Y(n36416) );
+  sky130_fd_sc_hd__clkinv_1 U49636 ( .A(n85656), .Y(n72857) );
+  sky130_fd_sc_hd__clkinv_1 U49637 ( .A(n85655), .Y(n72848) );
+  sky130_fd_sc_hd__clkinv_1 U49638 ( .A(n83240), .Y(n84629) );
+  sky130_fd_sc_hd__clkinv_1 U49639 ( .A(n83239), .Y(n84626) );
+  sky130_fd_sc_hd__clkinv_1 U49640 ( .A(n85654), .Y(n72839) );
+  sky130_fd_sc_hd__clkinv_1 U49641 ( .A(n83238), .Y(n84623) );
+  sky130_fd_sc_hd__clkinv_1 U49642 ( .A(n83237), .Y(n84620) );
+  sky130_fd_sc_hd__clkinv_1 U49643 ( .A(n41573), .Y(n84608) );
+  sky130_fd_sc_hd__clkinv_1 U49644 ( .A(n41574), .Y(n84605) );
+  sky130_fd_sc_hd__clkinv_1 U49645 ( .A(n85653), .Y(n72830) );
+  sky130_fd_sc_hd__clkinv_1 U49646 ( .A(n53951), .Y(n53953) );
+  sky130_fd_sc_hd__clkinv_1 U49647 ( .A(n85652), .Y(n72820) );
+  sky130_fd_sc_hd__clkinv_1 U49648 ( .A(n40619), .Y(n42875) );
+  sky130_fd_sc_hd__clkinv_1 U49649 ( .A(n39803), .Y(n39804) );
+  sky130_fd_sc_hd__clkinv_1 U49650 ( .A(n39807), .Y(n39809) );
+  sky130_fd_sc_hd__clkinv_1 U49651 ( .A(n39797), .Y(n39625) );
+  sky130_fd_sc_hd__clkinv_1 U49652 ( .A(n39767), .Y(n39626) );
+  sky130_fd_sc_hd__clkinv_1 U49653 ( .A(n39814), .Y(n39815) );
+  sky130_fd_sc_hd__clkinv_1 U49654 ( .A(n39818), .Y(n39820) );
+  sky130_fd_sc_hd__clkinv_1 U49655 ( .A(n39831), .Y(n39832) );
+  sky130_fd_sc_hd__clkinv_1 U49656 ( .A(n78313), .Y(n62861) );
+  sky130_fd_sc_hd__clkinv_1 U49657 ( .A(n52860), .Y(n52862) );
+  sky130_fd_sc_hd__clkinv_1 U49658 ( .A(n52856), .Y(n52857) );
+  sky130_fd_sc_hd__clkinv_1 U49659 ( .A(n85651), .Y(n72800) );
+  sky130_fd_sc_hd__clkinv_1 U49660 ( .A(n39823), .Y(n39824) );
+  sky130_fd_sc_hd__clkinv_1 U49661 ( .A(n66648), .Y(n43223) );
+  sky130_fd_sc_hd__clkinv_1 U49662 ( .A(n39748), .Y(n39805) );
+  sky130_fd_sc_hd__clkinv_1 U49663 ( .A(n85752), .Y(n76218) );
+  sky130_fd_sc_hd__clkinv_1 U49664 ( .A(n39780), .Y(n39816) );
+  sky130_fd_sc_hd__clkinv_1 U49665 ( .A(n79603), .Y(n79616) );
+  sky130_fd_sc_hd__clkinv_1 U49666 ( .A(n39766), .Y(n39798) );
+  sky130_fd_sc_hd__clkinv_1 U49667 ( .A(n79588), .Y(n79629) );
+  sky130_fd_sc_hd__clkinv_1 U49668 ( .A(n79793), .Y(n78086) );
+  sky130_fd_sc_hd__clkinv_1 U49669 ( .A(n39785), .Y(n39833) );
+  sky130_fd_sc_hd__clkinv_1 U49671 ( .A(n39732), .Y(n39572) );
+  sky130_fd_sc_hd__clkinv_1 U49672 ( .A(n79223), .Y(n36623) );
+  sky130_fd_sc_hd__clkinv_1 U49673 ( .A(n39616), .Y(n39794) );
+  sky130_fd_sc_hd__clkinv_1 U49674 ( .A(n39793), .Y(n39612) );
+  sky130_fd_sc_hd__clkinv_1 U49675 ( .A(n39617), .Y(n39613) );
+  sky130_fd_sc_hd__clkinv_1 U49676 ( .A(n72788), .Y(n39531) );
+  sky130_fd_sc_hd__clkinv_1 U49677 ( .A(n85548), .Y(n84524) );
+  sky130_fd_sc_hd__clkinv_1 U49678 ( .A(n85515), .Y(n76194) );
+  sky130_fd_sc_hd__clkinv_1 U49679 ( .A(n85498), .Y(n76216) );
+  sky130_fd_sc_hd__clkinv_1 U49680 ( .A(n84475), .Y(n65135) );
+  sky130_fd_sc_hd__and2_0 U49681 ( .A(n85510), .B(n85505), .X(n37139) );
+  sky130_fd_sc_hd__clkinv_1 U49682 ( .A(n83266), .Y(n85787) );
+  sky130_fd_sc_hd__clkinv_1 U49683 ( .A(n43528), .Y(n44598) );
+  sky130_fd_sc_hd__clkinv_1 U49684 ( .A(n69920), .Y(n41111) );
+  sky130_fd_sc_hd__clkinv_1 U49685 ( .A(n85496), .Y(n75780) );
+  sky130_fd_sc_hd__clkinv_1 U49686 ( .A(n80666), .Y(n75842) );
+  sky130_fd_sc_hd__clkinv_1 U49688 ( .A(n85490), .Y(n76196) );
+  sky130_fd_sc_hd__clkinv_1 U49689 ( .A(n44021), .Y(n64210) );
+  sky130_fd_sc_hd__clkinv_1 U49690 ( .A(n83471), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_N8) );
+  sky130_fd_sc_hd__clkinv_1 U49691 ( .A(n61448), .Y(n83465) );
+  sky130_fd_sc_hd__clkinv_1 U49692 ( .A(n53584), .Y(n53585) );
+  sky130_fd_sc_hd__clkinv_1 U49693 ( .A(n83814), .Y(n84035) );
+  sky130_fd_sc_hd__clkinv_1 U49694 ( .A(n59801), .Y(n43192) );
+  sky130_fd_sc_hd__clkinv_1 U49695 ( .A(n80256), .Y(n80278) );
+  sky130_fd_sc_hd__clkinv_1 U49696 ( .A(n40720), .Y(n40721) );
+  sky130_fd_sc_hd__clkinv_1 U49697 ( .A(n40748), .Y(n40688) );
+  sky130_fd_sc_hd__clkinv_1 U49698 ( .A(n70723), .Y(n74701) );
+  sky130_fd_sc_hd__clkinv_1 U49699 ( .A(n63155), .Y(n45965) );
+  sky130_fd_sc_hd__clkinv_1 U49700 ( .A(n81141), .Y(n77810) );
+  sky130_fd_sc_hd__clkinv_1 U49701 ( .A(n53212), .Y(n53229) );
+  sky130_fd_sc_hd__clkinv_1 U49702 ( .A(n85428), .Y(n59948) );
+  sky130_fd_sc_hd__clkinv_1 U49703 ( .A(n73738), .Y(n73743) );
+  sky130_fd_sc_hd__clkinv_1 U49704 ( .A(n72566), .Y(n72571) );
+  sky130_fd_sc_hd__clkinv_1 U49705 ( .A(n60443), .Y(n60444) );
+  sky130_fd_sc_hd__clkinv_1 U49706 ( .A(n41384), .Y(n41385) );
+  sky130_fd_sc_hd__clkinv_1 U49707 ( .A(n83004), .Y(n82602) );
+  sky130_fd_sc_hd__clkinv_1 U49708 ( .A(n64176), .Y(n56032) );
+  sky130_fd_sc_hd__clkinv_1 U49709 ( .A(n64150), .Y(n68883) );
+  sky130_fd_sc_hd__clkinv_1 U49710 ( .A(n49135), .Y(n47481) );
+  sky130_fd_sc_hd__clkinv_1 U49711 ( .A(n60548), .Y(n60549) );
+  sky130_fd_sc_hd__clkinv_1 U49712 ( .A(n55038), .Y(n59756) );
+  sky130_fd_sc_hd__clkinv_1 U49713 ( .A(n69595), .Y(n40815) );
+  sky130_fd_sc_hd__o21ai_1 U49714 ( .A1(n39518), .A2(n85517), .B1(n37981), .Y(
+        n63746) );
+  sky130_fd_sc_hd__a21boi_1 U49715 ( .A1(n85506), .A2(n57376), .B1_N(n37840), 
+        .Y(n72786) );
+  sky130_fd_sc_hd__a21boi_1 U49716 ( .A1(n85505), .A2(n37899), .B1_N(n37529), 
+        .Y(n73343) );
+  sky130_fd_sc_hd__clkinv_1 U49717 ( .A(n60552), .Y(n45285) );
+  sky130_fd_sc_hd__clkinv_1 U49718 ( .A(n79133), .Y(n36618) );
+  sky130_fd_sc_hd__clkinv_1 U49719 ( .A(n41028), .Y(n41098) );
+  sky130_fd_sc_hd__clkinv_1 U49720 ( .A(n66302), .Y(n66304) );
+  sky130_fd_sc_hd__clkinv_1 U49721 ( .A(n64145), .Y(n68876) );
+  sky130_fd_sc_hd__clkinv_1 U49722 ( .A(n60546), .Y(n60547) );
+  sky130_fd_sc_hd__mux2i_1 U49723 ( .A0(n59325), .A1(n59324), .S(n67148), .Y(
+        n62858) );
+  sky130_fd_sc_hd__mux2i_1 U49724 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_mask[7]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_mask[3]), .S(n67148), .Y(n60348) );
+  sky130_fd_sc_hd__clkinv_1 U49725 ( .A(n59466), .Y(n38694) );
+  sky130_fd_sc_hd__clkinv_1 U49726 ( .A(n84741), .Y(n41589) );
+  sky130_fd_sc_hd__clkinv_1 U49727 ( .A(n77449), .Y(n77443) );
+  sky130_fd_sc_hd__clkinv_1 U49728 ( .A(n82606), .Y(n82608) );
+  sky130_fd_sc_hd__clkinv_1 U49729 ( .A(n74046), .Y(n74047) );
+  sky130_fd_sc_hd__clkinv_1 U49730 ( .A(n77474), .Y(n59962) );
+  sky130_fd_sc_hd__clkinv_1 U49731 ( .A(n85753), .Y(n76230) );
+  sky130_fd_sc_hd__clkinv_1 U49732 ( .A(n54947), .Y(n54948) );
+  sky130_fd_sc_hd__clkinv_1 U49733 ( .A(n69773), .Y(n69787) );
+  sky130_fd_sc_hd__clkinv_1 U49734 ( .A(n63954), .Y(n63955) );
+  sky130_fd_sc_hd__clkinv_1 U49735 ( .A(n79042), .Y(n36613) );
+  sky130_fd_sc_hd__clkinv_1 U49736 ( .A(n40690), .Y(n40689) );
+  sky130_fd_sc_hd__clkinv_1 U49737 ( .A(n65498), .Y(n65501) );
+  sky130_fd_sc_hd__clkinv_1 U49738 ( .A(n38964), .Y(n38966) );
+  sky130_fd_sc_hd__and2_0 U49739 ( .A(n79911), .B(n79910), .X(n80096) );
+  sky130_fd_sc_hd__clkinv_1 U49740 ( .A(n39123), .Y(n39125) );
+  sky130_fd_sc_hd__clkinv_1 U49741 ( .A(n69786), .Y(n69790) );
+  sky130_fd_sc_hd__clkinv_1 U49742 ( .A(n36981), .Y(n60053) );
+  sky130_fd_sc_hd__clkinv_1 U49743 ( .A(n48744), .Y(n48746) );
+  sky130_fd_sc_hd__clkinv_1 U49744 ( .A(n65677), .Y(n69771) );
+  sky130_fd_sc_hd__clkinv_1 U49745 ( .A(n83457), .Y(n84871) );
+  sky130_fd_sc_hd__clkinv_1 U49746 ( .A(n85474), .Y(n60020) );
+  sky130_fd_sc_hd__clkinv_1 U49747 ( .A(n85398), .Y(n72137) );
+  sky130_fd_sc_hd__clkinv_1 U49748 ( .A(n84982), .Y(n47736) );
+  sky130_fd_sc_hd__clkinv_1 U49749 ( .A(n76286), .Y(n76268) );
+  sky130_fd_sc_hd__clkinv_1 U49750 ( .A(n47682), .Y(n47684) );
+  sky130_fd_sc_hd__nor2_1 U49751 ( .A(n83341), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[4]), .Y(n83340) );
+  sky130_fd_sc_hd__clkinv_1 U49752 ( .A(n67709), .Y(n67805) );
+  sky130_fd_sc_hd__clkinv_1 U49753 ( .A(n55364), .Y(n47686) );
+  sky130_fd_sc_hd__clkinv_1 U49754 ( .A(n81287), .Y(n77791) );
+  sky130_fd_sc_hd__clkinv_1 U49755 ( .A(n68031), .Y(n61464) );
+  sky130_fd_sc_hd__clkinv_1 U49756 ( .A(n47592), .Y(n47779) );
+  sky130_fd_sc_hd__clkinv_1 U49757 ( .A(n47510), .Y(n47507) );
+  sky130_fd_sc_hd__clkinv_1 U49758 ( .A(n68030), .Y(n61462) );
+  sky130_fd_sc_hd__clkinv_1 U49759 ( .A(n74302), .Y(n74274) );
+  sky130_fd_sc_hd__clkinv_1 U49760 ( .A(n48766), .Y(n48767) );
+  sky130_fd_sc_hd__clkinv_1 U49762 ( .A(n75854), .Y(n75853) );
+  sky130_fd_sc_hd__clkinv_1 U49763 ( .A(n57832), .Y(n57813) );
+  sky130_fd_sc_hd__clkinv_1 U49764 ( .A(n83520), .Y(n83524) );
+  sky130_fd_sc_hd__clkinv_1 U49765 ( .A(n84239), .Y(n84237) );
+  sky130_fd_sc_hd__clkinv_1 U49766 ( .A(n85567), .Y(n85568) );
+  sky130_fd_sc_hd__clkinv_1 U49767 ( .A(n85566), .Y(n66867) );
+  sky130_fd_sc_hd__clkinv_1 U49768 ( .A(n79551), .Y(n79555) );
+  sky130_fd_sc_hd__clkinv_1 U49769 ( .A(n59009), .Y(n59007) );
+  sky130_fd_sc_hd__clkinv_1 U49770 ( .A(n63928), .Y(n64022) );
+  sky130_fd_sc_hd__clkinv_1 U49771 ( .A(n36646), .Y(n78130) );
+  sky130_fd_sc_hd__clkinv_1 U49773 ( .A(n75815), .Y(n53105) );
+  sky130_fd_sc_hd__clkinv_1 U49774 ( .A(n79303), .Y(n79304) );
+  sky130_fd_sc_hd__clkinv_1 U49775 ( .A(n52946), .Y(n50134) );
+  sky130_fd_sc_hd__clkinv_1 U49776 ( .A(n59326), .Y(n63701) );
+  sky130_fd_sc_hd__clkinv_1 U49777 ( .A(n83036), .Y(n83041) );
+  sky130_fd_sc_hd__clkinv_1 U49778 ( .A(n83049), .Y(n83050) );
+  sky130_fd_sc_hd__clkinv_1 U49779 ( .A(n66550), .Y(n66184) );
+  sky130_fd_sc_hd__clkinv_1 U49780 ( .A(n65265), .Y(n65276) );
+  sky130_fd_sc_hd__clkinv_1 U49781 ( .A(n74150), .Y(n74149) );
+  sky130_fd_sc_hd__clkinv_1 U49782 ( .A(n75613), .Y(n77610) );
+  sky130_fd_sc_hd__clkinv_1 U49783 ( .A(n77560), .Y(n77562) );
+  sky130_fd_sc_hd__clkinv_1 U49784 ( .A(n81058), .Y(n77819) );
+  sky130_fd_sc_hd__clkinv_1 U49785 ( .A(n54884), .Y(n54890) );
+  sky130_fd_sc_hd__clkinv_1 U49786 ( .A(n82523), .Y(n54891) );
+  sky130_fd_sc_hd__clkinv_1 U49787 ( .A(n82605), .Y(n55647) );
+  sky130_fd_sc_hd__clkinv_1 U49788 ( .A(n76282), .Y(n72693) );
+  sky130_fd_sc_hd__clkinv_1 U49789 ( .A(n73155), .Y(n53227) );
+  sky130_fd_sc_hd__clkinv_1 U49790 ( .A(n61422), .Y(n61372) );
+  sky130_fd_sc_hd__clkinv_1 U49791 ( .A(n83005), .Y(n76569) );
+  sky130_fd_sc_hd__clkinv_1 U49792 ( .A(n63540), .Y(n67228) );
+  sky130_fd_sc_hd__clkinv_1 U49793 ( .A(n41320), .Y(n78239) );
+  sky130_fd_sc_hd__clkinv_1 U49794 ( .A(n58335), .Y(n40936) );
+  sky130_fd_sc_hd__clkinv_1 U49795 ( .A(n62924), .Y(n77602) );
+  sky130_fd_sc_hd__clkinv_1 U49796 ( .A(n59734), .Y(n59717) );
+  sky130_fd_sc_hd__clkinv_1 U49797 ( .A(n55958), .Y(n44136) );
+  sky130_fd_sc_hd__clkinv_1 U49798 ( .A(n64250), .Y(n43485) );
+  sky130_fd_sc_hd__clkinv_1 U49799 ( .A(n62965), .Y(n67680) );
+  sky130_fd_sc_hd__clkinv_1 U49800 ( .A(n62942), .Y(n67801) );
+  sky130_fd_sc_hd__clkinv_1 U49801 ( .A(n46375), .Y(n68029) );
+  sky130_fd_sc_hd__clkinv_1 U49802 ( .A(n83508), .Y(n83526) );
+  sky130_fd_sc_hd__clkinv_1 U49803 ( .A(n70424), .Y(n70425) );
+  sky130_fd_sc_hd__clkinv_1 U49804 ( .A(n80360), .Y(n80367) );
+  sky130_fd_sc_hd__clkinv_1 U49805 ( .A(n63189), .Y(n63190) );
+  sky130_fd_sc_hd__clkinv_1 U49806 ( .A(n83482), .Y(n83486) );
+  sky130_fd_sc_hd__clkinv_1 U49807 ( .A(n62925), .Y(n41103) );
+  sky130_fd_sc_hd__clkinv_1 U49808 ( .A(n83497), .Y(n83502) );
+  sky130_fd_sc_hd__clkinv_1 U49809 ( .A(n70318), .Y(n70319) );
+  sky130_fd_sc_hd__clkinv_1 U49810 ( .A(n83236), .Y(n84617) );
+  sky130_fd_sc_hd__clkinv_1 U49811 ( .A(n83235), .Y(n84614) );
+  sky130_fd_sc_hd__clkinv_1 U49812 ( .A(n83234), .Y(n84611) );
+  sky130_fd_sc_hd__clkinv_1 U49813 ( .A(n41572), .Y(n84596) );
+  sky130_fd_sc_hd__clkinv_1 U49814 ( .A(n83229), .Y(n84593) );
+  sky130_fd_sc_hd__clkinv_1 U49815 ( .A(n83228), .Y(n84590) );
+  sky130_fd_sc_hd__clkinv_1 U49816 ( .A(n83227), .Y(n84587) );
+  sky130_fd_sc_hd__clkinv_1 U49817 ( .A(n83226), .Y(n84584) );
+  sky130_fd_sc_hd__clkinv_1 U49818 ( .A(n83225), .Y(n84581) );
+  sky130_fd_sc_hd__clkinv_1 U49819 ( .A(n83223), .Y(n84578) );
+  sky130_fd_sc_hd__clkinv_1 U49820 ( .A(n83016), .Y(n83018) );
+  sky130_fd_sc_hd__clkinv_1 U49821 ( .A(n62151), .Y(n62152) );
+  sky130_fd_sc_hd__clkinv_1 U49822 ( .A(n62159), .Y(n62161) );
+  sky130_fd_sc_hd__clkinv_1 U49823 ( .A(n67270), .Y(n67271) );
+  sky130_fd_sc_hd__clkinv_1 U49824 ( .A(n62132), .Y(n62133) );
+  sky130_fd_sc_hd__clkinv_1 U49825 ( .A(n73733), .Y(n73714) );
+  sky130_fd_sc_hd__clkinv_1 U49826 ( .A(n79671), .Y(n79676) );
+  sky130_fd_sc_hd__clkinv_1 U49827 ( .A(n76462), .Y(n76776) );
+  sky130_fd_sc_hd__clkinv_1 U49828 ( .A(n75951), .Y(n75955) );
+  sky130_fd_sc_hd__clkinv_1 U49829 ( .A(n79794), .Y(n79738) );
+  sky130_fd_sc_hd__clkinv_1 U49830 ( .A(n39735), .Y(n36847) );
+  sky130_fd_sc_hd__clkinv_1 U49831 ( .A(n83221), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_coherent_jbar_auto_out_a_bits_address[4]) );
+  sky130_fd_sc_hd__clkinv_1 U49832 ( .A(n83219), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_coherent_jbar_auto_out_a_bits_address[2]) );
+  sky130_fd_sc_hd__clkinv_1 U49833 ( .A(n83248), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_coherent_jbar_auto_out_a_bits_address[1]) );
+  sky130_fd_sc_hd__clkinv_1 U49834 ( .A(n83247), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_coherent_jbar_auto_out_a_bits_address[0]) );
+  sky130_fd_sc_hd__clkinv_1 U49835 ( .A(n79832), .Y(n79837) );
+  sky130_fd_sc_hd__nand2_2 U49836 ( .A(n39185), .B(n39186), .Y(n85510) );
+  sky130_fd_sc_hd__clkinv_1 U49837 ( .A(n83793), .Y(n83791) );
+  sky130_fd_sc_hd__clkinv_1 U49838 ( .A(n77927), .Y(n73874) );
+  sky130_fd_sc_hd__nand2_2 U49839 ( .A(n39175), .B(n39176), .Y(n85517) );
+  sky130_fd_sc_hd__nand2_2 U49840 ( .A(n39188), .B(n39187), .Y(n85514) );
+  sky130_fd_sc_hd__clkinv_1 U49841 ( .A(n59771), .Y(n42935) );
+  sky130_fd_sc_hd__inv_1 U49842 ( .A(n83242), .Y(n84635) );
+  sky130_fd_sc_hd__clkinv_1 U49843 ( .A(n76284), .Y(n39546) );
+  sky130_fd_sc_hd__clkinv_1 U49844 ( .A(n53664), .Y(n53640) );
+  sky130_fd_sc_hd__inv_1 U49845 ( .A(n83243), .Y(n84638) );
+  sky130_fd_sc_hd__clkinv_1 U49846 ( .A(n69928), .Y(n66647) );
+  sky130_fd_sc_hd__clkinv_1 U49847 ( .A(n53474), .Y(n40680) );
+  sky130_fd_sc_hd__clkinv_1 U49848 ( .A(n54348), .Y(n85786) );
+  sky130_fd_sc_hd__clkinv_1 U49849 ( .A(n74126), .Y(n74124) );
+  sky130_fd_sc_hd__clkinv_1 U49850 ( .A(n41399), .Y(n41374) );
+  sky130_fd_sc_hd__clkinv_1 U49851 ( .A(n70717), .Y(n74681) );
+  sky130_fd_sc_hd__clkinv_1 U49852 ( .A(n74012), .Y(n74039) );
+  sky130_fd_sc_hd__and2_0 U49853 ( .A(n78240), .B(n53213), .X(n53228) );
+  sky130_fd_sc_hd__clkinv_1 U49854 ( .A(n83224), .Y(n84522) );
+  sky130_fd_sc_hd__clkinv_1 U49855 ( .A(n83222), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_coherent_jbar_auto_out_a_bits_address[5]) );
+  sky130_fd_sc_hd__clkinv_1 U49856 ( .A(n77901), .Y(n80497) );
+  sky130_fd_sc_hd__clkinv_1 U49857 ( .A(n61631), .Y(n61630) );
+  sky130_fd_sc_hd__clkinv_1 U49858 ( .A(n78310), .Y(
+        MarmotCaravelChip_dut_sys_N4) );
+  sky130_fd_sc_hd__clkinv_1 U49859 ( .A(n56035), .Y(n56036) );
+  sky130_fd_sc_hd__clkinv_1 U49860 ( .A(n41328), .Y(n41330) );
+  sky130_fd_sc_hd__clkinv_1 U49861 ( .A(n81163), .Y(n60422) );
+  sky130_fd_sc_hd__clkinv_1 U49862 ( .A(n78393), .Y(n78397) );
+  sky130_fd_sc_hd__clkinv_1 U49863 ( .A(n78403), .Y(n85817) );
+  sky130_fd_sc_hd__clkinv_1 U49864 ( .A(n55098), .Y(n55088) );
+  sky130_fd_sc_hd__clkinv_1 U49865 ( .A(n81237), .Y(n60404) );
+  sky130_fd_sc_hd__clkinv_1 U49866 ( .A(n47301), .Y(n47285) );
+  sky130_fd_sc_hd__clkinv_1 U49867 ( .A(n64902), .Y(n70367) );
+  sky130_fd_sc_hd__clkinv_1 U49868 ( .A(n64110), .Y(n70456) );
+  sky130_fd_sc_hd__clkinv_1 U49869 ( .A(n66310), .Y(n66311) );
+  sky130_fd_sc_hd__clkinv_1 U49870 ( .A(n55733), .Y(n55667) );
+  sky130_fd_sc_hd__clkinv_1 U49871 ( .A(n81317), .Y(n81321) );
+  sky130_fd_sc_hd__clkinv_1 U49872 ( .A(n78282), .Y(n78295) );
+  sky130_fd_sc_hd__clkinv_1 U49873 ( .A(n78524), .Y(n72162) );
+  sky130_fd_sc_hd__clkinv_1 U49874 ( .A(n48398), .Y(n51609) );
+  sky130_fd_sc_hd__nor2_2 U49875 ( .A(n60094), .B(n83821), .Y(n86332) );
+  sky130_fd_sc_hd__clkinv_1 U49876 ( .A(n47999), .Y(n48003) );
+  sky130_fd_sc_hd__clkinv_1 U49877 ( .A(n53705), .Y(n53607) );
+  sky130_fd_sc_hd__clkinv_1 U49878 ( .A(n85639), .Y(n72136) );
+  sky130_fd_sc_hd__clkinv_1 U49879 ( .A(n55756), .Y(n55772) );
+  sky130_fd_sc_hd__clkinv_1 U49880 ( .A(n79034), .Y(n79035) );
+  sky130_fd_sc_hd__clkinv_1 U49881 ( .A(n81403), .Y(n60387) );
+  sky130_fd_sc_hd__a2bb2oi_1 U49882 ( .B1(n38811), .B2(n38810), .A1_N(n57942), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_20[2]), 
+        .Y(n57934) );
+  sky130_fd_sc_hd__clkinv_1 U49883 ( .A(n38972), .Y(n38313) );
+  sky130_fd_sc_hd__clkinv_1 U49884 ( .A(n55901), .Y(n55841) );
+  sky130_fd_sc_hd__clkinv_1 U49885 ( .A(n82454), .Y(n77594) );
+  sky130_fd_sc_hd__clkinv_1 U49886 ( .A(n71356), .Y(n74914) );
+  sky130_fd_sc_hd__clkinv_1 U49887 ( .A(n55877), .Y(n55882) );
+  sky130_fd_sc_hd__clkinv_1 U49888 ( .A(n54850), .Y(n59831) );
+  sky130_fd_sc_hd__clkinv_1 U49889 ( .A(n66539), .Y(n66540) );
+  sky130_fd_sc_hd__clkinv_1 U49890 ( .A(n83778), .Y(n59827) );
+  sky130_fd_sc_hd__clkinv_1 U49891 ( .A(n41742), .Y(n59823) );
+  sky130_fd_sc_hd__clkinv_1 U49892 ( .A(n41752), .Y(n59825) );
+  sky130_fd_sc_hd__clkinv_1 U49893 ( .A(n62088), .Y(n49177) );
+  sky130_fd_sc_hd__clkinv_1 U49894 ( .A(n39873), .Y(n39556) );
+  sky130_fd_sc_hd__clkinv_1 U49895 ( .A(n80739), .Y(n80744) );
+  sky130_fd_sc_hd__clkinv_1 U49896 ( .A(n54241), .Y(n41322) );
+  sky130_fd_sc_hd__clkinv_1 U49897 ( .A(n48739), .Y(n48740) );
+  sky130_fd_sc_hd__clkinv_1 U49898 ( .A(n83826), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_auto_out_a_bits_opcode_2_) );
+  sky130_fd_sc_hd__clkinv_1 U49899 ( .A(n83443), .Y(n83444) );
+  sky130_fd_sc_hd__clkinv_1 U49900 ( .A(n67719), .Y(n67890) );
+  sky130_fd_sc_hd__clkinv_1 U49901 ( .A(n67750), .Y(n67728) );
+  sky130_fd_sc_hd__inv_2 U49902 ( .A(n83336), .Y(n83341) );
+  sky130_fd_sc_hd__clkinv_1 U49903 ( .A(n47692), .Y(n47694) );
+  sky130_fd_sc_hd__clkinv_1 U49904 ( .A(n68039), .Y(n68027) );
+  sky130_fd_sc_hd__clkinv_1 U49905 ( .A(n47766), .Y(n47587) );
+  sky130_fd_sc_hd__clkinv_1 U49906 ( .A(n77545), .Y(n77547) );
+  sky130_fd_sc_hd__clkinv_1 U49907 ( .A(n47591), .Y(n47595) );
+  sky130_fd_sc_hd__clkinv_1 U49908 ( .A(n47506), .Y(n47513) );
+  sky130_fd_sc_hd__clkinv_1 U49909 ( .A(n47756), .Y(n47573) );
+  sky130_fd_sc_hd__clkinv_1 U49910 ( .A(n81208), .Y(n77801) );
+  sky130_fd_sc_hd__clkinv_1 U49911 ( .A(n48771), .Y(n48773) );
+  sky130_fd_sc_hd__clkinv_1 U49912 ( .A(n65426), .Y(n65438) );
+  sky130_fd_sc_hd__clkinv_1 U49913 ( .A(n74606), .Y(n74265) );
+  sky130_fd_sc_hd__clkinv_1 U49914 ( .A(n73530), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_auto_in_d_bits_data[31]) );
+  sky130_fd_sc_hd__clkinv_1 U49915 ( .A(n57817), .Y(n57818) );
+  sky130_fd_sc_hd__clkinv_1 U49916 ( .A(n65401), .Y(n64514) );
+  sky130_fd_sc_hd__clkinv_1 U49917 ( .A(n65402), .Y(n64515) );
+  sky130_fd_sc_hd__clkinv_1 U49918 ( .A(n63173), .Y(n78955) );
+  sky130_fd_sc_hd__clkinv_1 U49919 ( .A(n65404), .Y(n65389) );
+  sky130_fd_sc_hd__and2_0 U49920 ( .A(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .B(
+        n62909), .X(n86273) );
+  sky130_fd_sc_hd__clkinv_1 U49921 ( .A(n65286), .Y(n64506) );
+  sky130_fd_sc_hd__clkinv_1 U49922 ( .A(n84261), .Y(n38518) );
+  sky130_fd_sc_hd__clkinv_1 U49923 ( .A(n65274), .Y(n65283) );
+  sky130_fd_sc_hd__clkinv_1 U49924 ( .A(n49603), .Y(n49610) );
+  sky130_fd_sc_hd__clkinv_1 U49925 ( .A(n57810), .Y(n57811) );
+  sky130_fd_sc_hd__clkinv_1 U49926 ( .A(n67586), .Y(n67587) );
+  sky130_fd_sc_hd__clkinv_1 U49927 ( .A(n84241), .Y(n79575) );
+  sky130_fd_sc_hd__clkinv_1 U49929 ( .A(n76736), .Y(n76750) );
+  sky130_fd_sc_hd__clkinv_1 U49930 ( .A(n83792), .Y(n55520) );
+  sky130_fd_sc_hd__clkinv_1 U49931 ( .A(n78322), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_auto_in_d_bits_corrupt) );
+  sky130_fd_sc_hd__clkinv_1 U49932 ( .A(n75060), .Y(n74987) );
+  sky130_fd_sc_hd__clkinv_1 U49933 ( .A(n75051), .Y(n74980) );
+  sky130_fd_sc_hd__clkinv_1 U49934 ( .A(n58231), .Y(n58232) );
+  sky130_fd_sc_hd__clkinv_1 U49935 ( .A(n58230), .Y(n58233) );
+  sky130_fd_sc_hd__clkinv_1 U49936 ( .A(n75131), .Y(n75229) );
+  sky130_fd_sc_hd__clkinv_1 U49937 ( .A(n57984), .Y(n57985) );
+  sky130_fd_sc_hd__clkinv_1 U49938 ( .A(n79580), .Y(n79512) );
+  sky130_fd_sc_hd__clkinv_1 U49939 ( .A(n78116), .Y(n79623) );
+  sky130_fd_sc_hd__clkinv_1 U49940 ( .A(n57988), .Y(n59421) );
+  sky130_fd_sc_hd__clkinv_1 U49941 ( .A(n57983), .Y(n57986) );
+  sky130_fd_sc_hd__clkinv_1 U49942 ( .A(n83775), .Y(n62017) );
+  sky130_fd_sc_hd__clkinv_1 U49943 ( .A(n58080), .Y(n58033) );
+  sky130_fd_sc_hd__clkinv_1 U49944 ( .A(n76669), .Y(n76673) );
+  sky130_fd_sc_hd__clkinv_1 U49945 ( .A(n59725), .Y(n59726) );
+  sky130_fd_sc_hd__clkinv_1 U49946 ( .A(n38357), .Y(n38330) );
+  sky130_fd_sc_hd__clkinv_1 U49947 ( .A(n61826), .Y(n73741) );
+  sky130_fd_sc_hd__clkinv_1 U49948 ( .A(n79356), .Y(n77869) );
+  sky130_fd_sc_hd__clkinv_1 U49949 ( .A(n77511), .Y(n60170) );
+  sky130_fd_sc_hd__clkinv_1 U49950 ( .A(n76325), .Y(n76332) );
+  sky130_fd_sc_hd__clkinv_1 U49951 ( .A(n67089), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_auto_in_d_bits_data[23]) );
+  sky130_fd_sc_hd__clkinv_1 U49952 ( .A(n77514), .Y(n60166) );
+  sky130_fd_sc_hd__clkinv_1 U49953 ( .A(n75389), .Y(n75277) );
+  sky130_fd_sc_hd__clkinv_1 U49954 ( .A(n67701), .Y(n67702) );
+  sky130_fd_sc_hd__clkinv_1 U49955 ( .A(n77538), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_in_xbar_auto_out_a_bits_address_0_) );
+  sky130_fd_sc_hd__a31o_2 U49958 ( .A1(n61200), .A2(wbs_we_i), .A3(
+        wbs_sel_i[2]), .B1(wb_rst_i), .X(n22) );
+  sky130_fd_sc_hd__clkinv_1 U49960 ( .A(n67670), .Y(n66986) );
+  sky130_fd_sc_hd__clkinv_1 U49961 ( .A(n73365), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_auto_in_d_bits_data[22]) );
+  sky130_fd_sc_hd__clkinv_1 U49962 ( .A(n77517), .Y(n60192) );
+  sky130_fd_sc_hd__clkinv_1 U49963 ( .A(n77360), .Y(n79312) );
+  sky130_fd_sc_hd__clkinv_1 U49964 ( .A(n76670), .Y(n76671) );
+  sky130_fd_sc_hd__clkinv_1 U49965 ( .A(n83158), .Y(n83159) );
+  sky130_fd_sc_hd__clkinv_1 U49966 ( .A(n66812), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_auto_in_d_bits_data[26]) );
+  sky130_fd_sc_hd__clkinv_1 U49967 ( .A(n78216), .Y(n41333) );
+  sky130_fd_sc_hd__clkinv_1 U49968 ( .A(n59160), .Y(n59161) );
+  sky130_fd_sc_hd__clkinv_1 U49969 ( .A(n75730), .Y(n55562) );
+  sky130_fd_sc_hd__clkinv_1 U49970 ( .A(n69606), .Y(n69608) );
+  sky130_fd_sc_hd__clkinv_1 U49971 ( .A(n77520), .Y(n60197) );
+  sky130_fd_sc_hd__clkinv_1 U49972 ( .A(n59683), .Y(n59675) );
+  sky130_fd_sc_hd__clkinv_1 U49973 ( .A(n59679), .Y(n59678) );
+  sky130_fd_sc_hd__clkinv_1 U49974 ( .A(n83510), .Y(n59764) );
+  sky130_fd_sc_hd__clkinv_1 U49975 ( .A(n67420), .Y(n66968) );
+  sky130_fd_sc_hd__clkinv_1 U49976 ( .A(n60163), .Y(n77523) );
+  sky130_fd_sc_hd__clkinv_1 U49977 ( .A(n67208), .Y(n67325) );
+  sky130_fd_sc_hd__clkinv_1 U49978 ( .A(n60157), .Y(n77524) );
+  sky130_fd_sc_hd__clkinv_1 U49979 ( .A(n41403), .Y(n41450) );
+  sky130_fd_sc_hd__clkinv_1 U49980 ( .A(n79462), .Y(n80355) );
+  sky130_fd_sc_hd__clkinv_1 U49981 ( .A(n43034), .Y(n58498) );
+  sky130_fd_sc_hd__clkinv_1 U49982 ( .A(n75236), .Y(n60098) );
+  sky130_fd_sc_hd__clkinv_1 U49983 ( .A(n80193), .Y(n80241) );
+  sky130_fd_sc_hd__clkinv_1 U49984 ( .A(n68882), .Y(n68884) );
+  sky130_fd_sc_hd__clkinv_1 U49985 ( .A(n68875), .Y(n68877) );
+  sky130_fd_sc_hd__clkinv_1 U49986 ( .A(n45585), .Y(n45619) );
+  sky130_fd_sc_hd__clkinv_1 U49987 ( .A(n45532), .Y(n45621) );
+  sky130_fd_sc_hd__clkinv_1 U49988 ( .A(n64251), .Y(n43515) );
+  sky130_fd_sc_hd__clkinv_1 U49989 ( .A(n83076), .Y(n83081) );
+  sky130_fd_sc_hd__clkinv_1 U49990 ( .A(n53304), .Y(n58497) );
+  sky130_fd_sc_hd__clkinv_1 U49991 ( .A(n83507), .Y(n83512) );
+  sky130_fd_sc_hd__clkinv_1 U49992 ( .A(n68013), .Y(n68014) );
+  sky130_fd_sc_hd__clkinv_1 U49993 ( .A(n63958), .Y(n42995) );
+  sky130_fd_sc_hd__clkinv_1 U49994 ( .A(n63966), .Y(n63959) );
+  sky130_fd_sc_hd__clkinv_1 U49995 ( .A(n66741), .Y(n66737) );
+  sky130_fd_sc_hd__clkinv_1 U49996 ( .A(n67192), .Y(n67312) );
+  sky130_fd_sc_hd__clkinv_1 U49997 ( .A(n83762), .Y(n83764) );
+  sky130_fd_sc_hd__inv_2 U49998 ( .A(n36850), .Y(n54996) );
+  sky130_fd_sc_hd__clkinv_1 U49999 ( .A(n55058), .Y(n85904) );
+  sky130_fd_sc_hd__clkinv_1 U50000 ( .A(n83201), .Y(n83205) );
+  sky130_fd_sc_hd__clkinv_1 U50001 ( .A(n67725), .Y(n67726) );
+  sky130_fd_sc_hd__clkinv_1 U50002 ( .A(n67039), .Y(n67040) );
+  sky130_fd_sc_hd__clkinv_1 U50003 ( .A(n67706), .Y(n67707) );
+  sky130_fd_sc_hd__clkinv_1 U50004 ( .A(n42428), .Y(n42429) );
+  sky130_fd_sc_hd__clkinv_1 U50005 ( .A(n76487), .Y(n79354) );
+  sky130_fd_sc_hd__clkinv_1 U50006 ( .A(n79735), .Y(n78077) );
+  sky130_fd_sc_hd__clkinv_1 U50007 ( .A(n79487), .Y(n79789) );
+  sky130_fd_sc_hd__clkinv_1 U50008 ( .A(n67062), .Y(n67063) );
+  sky130_fd_sc_hd__clkinv_1 U50009 ( .A(n80955), .Y(n79360) );
+  sky130_fd_sc_hd__clkinv_1 U50010 ( .A(n70797), .Y(n61234) );
+  sky130_fd_sc_hd__clkinv_1 U50011 ( .A(n83213), .Y(n83211) );
+  sky130_fd_sc_hd__clkinv_1 U50012 ( .A(n77607), .Y(n77609) );
+  sky130_fd_sc_hd__clkinv_1 U50013 ( .A(n77327), .Y(n79224) );
+  sky130_fd_sc_hd__inv_2 U50015 ( .A(n39522), .Y(n37899) );
+  sky130_fd_sc_hd__clkinv_1 U50016 ( .A(n72919), .Y(n72904) );
+  sky130_fd_sc_hd__clkinv_1 U50017 ( .A(n65386), .Y(n65387) );
+  sky130_fd_sc_hd__clkinv_1 U50018 ( .A(n65299), .Y(n65388) );
+  sky130_fd_sc_hd__clkinv_1 U50019 ( .A(n54835), .Y(n54833) );
+  sky130_fd_sc_hd__clkinv_1 U50020 ( .A(n45607), .Y(n45581) );
+  sky130_fd_sc_hd__clkinv_1 U50021 ( .A(n65277), .Y(n65266) );
+  sky130_fd_sc_hd__clkinv_1 U50022 ( .A(n65249), .Y(n65251) );
+  sky130_fd_sc_hd__clkinv_1 U50023 ( .A(n69708), .Y(n69711) );
+  sky130_fd_sc_hd__clkinv_1 U50024 ( .A(n43897), .Y(n40261) );
+  sky130_fd_sc_hd__clkinv_1 U50025 ( .A(n67779), .Y(n67780) );
+  sky130_fd_sc_hd__clkinv_1 U50026 ( .A(n79597), .Y(n79621) );
+  sky130_fd_sc_hd__clkinv_1 U50027 ( .A(n67688), .Y(n67689) );
+  sky130_fd_sc_hd__inv_6 U50028 ( .A(n57376), .Y(n39518) );
+  sky130_fd_sc_hd__clkinv_1 U50029 ( .A(n79756), .Y(n78084) );
+  sky130_fd_sc_hd__inv_4 U50030 ( .A(n39591), .Y(n39593) );
+  sky130_fd_sc_hd__clkinv_1 U50031 ( .A(n59932), .Y(n83265) );
+  sky130_fd_sc_hd__clkinv_1 U50032 ( .A(n76298), .Y(n76311) );
+  sky130_fd_sc_hd__clkinv_1 U50033 ( .A(n80639), .Y(n79417) );
+  sky130_fd_sc_hd__clkinv_1 U50034 ( .A(n78144), .Y(n80662) );
+  sky130_fd_sc_hd__clkinv_1 U50035 ( .A(n60556), .Y(n40670) );
+  sky130_fd_sc_hd__clkinv_1 U50036 ( .A(n67573), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_auto_in_d_bits_data[27]) );
+  sky130_fd_sc_hd__clkinv_1 U50037 ( .A(n83626), .Y(n83627) );
+  sky130_fd_sc_hd__clkinv_1 U50038 ( .A(n42423), .Y(n42424) );
+  sky130_fd_sc_hd__clkinv_1 U50039 ( .A(n63962), .Y(n42939) );
+  sky130_fd_sc_hd__clkinv_1 U50040 ( .A(n67673), .Y(n67674) );
+  sky130_fd_sc_hd__clkinv_1 U50041 ( .A(n38570), .Y(n38572) );
+  sky130_fd_sc_hd__clkinv_1 U50042 ( .A(n45608), .Y(n45582) );
+  sky130_fd_sc_hd__clkinv_1 U50043 ( .A(n67683), .Y(n67684) );
+  sky130_fd_sc_hd__clkinv_1 U50044 ( .A(n64875), .Y(n64876) );
+  sky130_fd_sc_hd__clkinv_1 U50045 ( .A(n64496), .Y(n40675) );
+  sky130_fd_sc_hd__clkinv_1 U50046 ( .A(n84054), .Y(n84060) );
+  sky130_fd_sc_hd__clkinv_1 U50047 ( .A(n40773), .Y(n40912) );
+  sky130_fd_sc_hd__clkinv_1 U50048 ( .A(n40729), .Y(n40716) );
+  sky130_fd_sc_hd__clkinv_1 U50049 ( .A(n70490), .Y(n40153) );
+  sky130_fd_sc_hd__clkinv_1 U50050 ( .A(n40733), .Y(n40753) );
+  sky130_fd_sc_hd__clkinv_1 U50051 ( .A(n67022), .Y(n67023) );
+  sky130_fd_sc_hd__clkinv_1 U50052 ( .A(n73985), .Y(n73990) );
+  sky130_fd_sc_hd__clkinv_1 U50053 ( .A(n64083), .Y(n40736) );
+  sky130_fd_sc_hd__clkinv_1 U50054 ( .A(n44126), .Y(n40687) );
+  sky130_fd_sc_hd__clkinv_1 U50055 ( .A(n79413), .Y(n80668) );
+  sky130_fd_sc_hd__clkinv_1 U50056 ( .A(n73970), .Y(n73965) );
+  sky130_fd_sc_hd__clkinv_1 U50057 ( .A(n83368), .Y(n83356) );
+  sky130_fd_sc_hd__clkinv_1 U50058 ( .A(n67576), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_auto_in_d_bits_data[25]) );
+  sky130_fd_sc_hd__clkinv_1 U50059 ( .A(n68286), .Y(n68287) );
+  sky130_fd_sc_hd__clkinv_1 U50060 ( .A(n68068), .Y(n68069) );
+  sky130_fd_sc_hd__clkinv_1 U50061 ( .A(n53751), .Y(n53769) );
+  sky130_fd_sc_hd__clkinv_1 U50062 ( .A(n67026), .Y(n67029) );
+  sky130_fd_sc_hd__clkinv_1 U50063 ( .A(n47305), .Y(n47351) );
+  sky130_fd_sc_hd__clkinv_1 U50064 ( .A(n69980), .Y(n69981) );
+  sky130_fd_sc_hd__clkinv_1 U50066 ( .A(n59730), .Y(n59738) );
+  sky130_fd_sc_hd__clkinv_1 U50067 ( .A(n78317), .Y(n59758) );
+  sky130_fd_sc_hd__clkinv_1 U50068 ( .A(n77293), .Y(n79134) );
+  sky130_fd_sc_hd__clkinv_1 U50069 ( .A(n47297), .Y(n47299) );
+  sky130_fd_sc_hd__clkinv_1 U50070 ( .A(n78531), .Y(n70142) );
+  sky130_fd_sc_hd__clkinv_1 U50071 ( .A(n78316), .Y(n59757) );
+  sky130_fd_sc_hd__clkinv_1 U50072 ( .A(n65983), .Y(n70018) );
+  sky130_fd_sc_hd__and2_0 U50073 ( .A(n39522), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[14]), .X(n37149) );
+  sky130_fd_sc_hd__clkinv_1 U50074 ( .A(n76626), .Y(n76629) );
+  sky130_fd_sc_hd__clkinv_1 U50075 ( .A(n76627), .Y(n76628) );
+  sky130_fd_sc_hd__clkinv_1 U50076 ( .A(n38863), .Y(n38859) );
+  sky130_fd_sc_hd__clkinv_1 U50077 ( .A(n65975), .Y(n70003) );
+  sky130_fd_sc_hd__clkinv_1 U50078 ( .A(n55704), .Y(n55711) );
+  sky130_fd_sc_hd__clkinv_1 U50079 ( .A(n41394), .Y(n41397) );
+  sky130_fd_sc_hd__clkinv_1 U50080 ( .A(n76018), .Y(n76016) );
+  sky130_fd_sc_hd__clkinv_1 U50081 ( .A(n55666), .Y(n55671) );
+  sky130_fd_sc_hd__clkinv_1 U50082 ( .A(n69986), .Y(n69987) );
+  sky130_fd_sc_hd__clkinv_1 U50083 ( .A(n67069), .Y(n67070) );
+  sky130_fd_sc_hd__clkinv_1 U50084 ( .A(n55752), .Y(n55697) );
+  sky130_fd_sc_hd__clkinv_1 U50085 ( .A(n47842), .Y(n47844) );
+  sky130_fd_sc_hd__clkinv_1 U50086 ( .A(n75803), .Y(n44760) );
+  sky130_fd_sc_hd__clkinv_1 U50087 ( .A(n47849), .Y(n47851) );
+  sky130_fd_sc_hd__clkinv_1 U50088 ( .A(n55723), .Y(n55726) );
+  sky130_fd_sc_hd__clkinv_1 U50089 ( .A(n55724), .Y(n55725) );
+  sky130_fd_sc_hd__clkinv_1 U50090 ( .A(n68643), .Y(n75805) );
+  sky130_fd_sc_hd__clkinv_1 U50091 ( .A(n40730), .Y(n40888) );
+  sky130_fd_sc_hd__clkinv_1 U50092 ( .A(n47880), .Y(n47881) );
+  sky130_fd_sc_hd__clkinv_1 U50093 ( .A(n47870), .Y(n47872) );
+  sky130_fd_sc_hd__clkinv_1 U50094 ( .A(n48004), .Y(n48005) );
+  sky130_fd_sc_hd__clkinv_1 U50095 ( .A(n55718), .Y(n55712) );
+  sky130_fd_sc_hd__clkinv_1 U50096 ( .A(n40702), .Y(n40703) );
+  sky130_fd_sc_hd__clkinv_1 U50097 ( .A(n47973), .Y(n47975) );
+  sky130_fd_sc_hd__clkinv_1 U50098 ( .A(n77580), .Y(n77592) );
+  sky130_fd_sc_hd__clkinv_1 U50099 ( .A(n77588), .Y(n77593) );
+  sky130_fd_sc_hd__clkinv_1 U50100 ( .A(n47931), .Y(n47932) );
+  sky130_fd_sc_hd__clkinv_1 U50101 ( .A(n38573), .Y(n38557) );
+  sky130_fd_sc_hd__clkinv_1 U50102 ( .A(n47625), .Y(n47626) );
+  sky130_fd_sc_hd__clkinv_1 U50103 ( .A(n47836), .Y(n47838) );
+  sky130_fd_sc_hd__clkinv_1 U50104 ( .A(n55757), .Y(n55760) );
+  sky130_fd_sc_hd__clkinv_1 U50105 ( .A(n55758), .Y(n55759) );
+  sky130_fd_sc_hd__clkinv_1 U50106 ( .A(n38668), .Y(n38648) );
+  sky130_fd_sc_hd__clkinv_1 U50107 ( .A(n40693), .Y(n40694) );
+  sky130_fd_sc_hd__clkinv_1 U50108 ( .A(n68250), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_auto_in_d_bits_data[29]) );
+  sky130_fd_sc_hd__clkinv_1 U50109 ( .A(n60160), .Y(n77493) );
+  sky130_fd_sc_hd__clkinv_1 U50110 ( .A(n55790), .Y(n55867) );
+  sky130_fd_sc_hd__clkinv_1 U50111 ( .A(n55852), .Y(n55800) );
+  sky130_fd_sc_hd__clkinv_1 U50112 ( .A(n55850), .Y(n55798) );
+  sky130_fd_sc_hd__clkinv_1 U50113 ( .A(n67345), .Y(n67346) );
+  sky130_fd_sc_hd__nand3_1 U50114 ( .A(n38204), .B(n38203), .C(n38202), .Y(
+        n38201) );
+  sky130_fd_sc_hd__clkinv_1 U50115 ( .A(n54968), .Y(n54969) );
+  sky130_fd_sc_hd__clkinv_1 U50116 ( .A(n54958), .Y(n54960) );
+  sky130_fd_sc_hd__clkinv_1 U50117 ( .A(n54951), .Y(n54952) );
+  sky130_fd_sc_hd__clkinv_1 U50118 ( .A(n65878), .Y(n65880) );
+  sky130_fd_sc_hd__clkinv_1 U50119 ( .A(n65857), .Y(n65859) );
+  sky130_fd_sc_hd__clkinv_1 U50120 ( .A(n65830), .Y(n65832) );
+  sky130_fd_sc_hd__clkinv_1 U50121 ( .A(n65805), .Y(n65807) );
+  sky130_fd_sc_hd__clkinv_1 U50122 ( .A(n38875), .Y(n38833) );
+  sky130_fd_sc_hd__clkinv_1 U50123 ( .A(n38876), .Y(n38837) );
+  sky130_fd_sc_hd__clkinv_1 U50124 ( .A(n65770), .Y(n65772) );
+  sky130_fd_sc_hd__and2_0 U50125 ( .A(n38843), .B(n38354), .X(n37185) );
+  sky130_fd_sc_hd__clkinv_1 U50126 ( .A(n65746), .Y(n65748) );
+  sky130_fd_sc_hd__clkinv_1 U50127 ( .A(n53466), .Y(n53456) );
+  sky130_fd_sc_hd__clkinv_1 U50128 ( .A(n55872), .Y(n55876) );
+  sky130_fd_sc_hd__clkinv_1 U50129 ( .A(n73462), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_auto_in_d_bits_data[30]) );
+  sky130_fd_sc_hd__clkinv_1 U50130 ( .A(n59837), .Y(n59840) );
+  sky130_fd_sc_hd__clkinv_1 U50131 ( .A(n65688), .Y(n65689) );
+  sky130_fd_sc_hd__clkinv_1 U50132 ( .A(n65497), .Y(n65690) );
+  sky130_fd_sc_hd__clkinv_1 U50133 ( .A(n74189), .Y(n74193) );
+  sky130_fd_sc_hd__clkinv_1 U50134 ( .A(n38953), .Y(n38956) );
+  sky130_fd_sc_hd__clkinv_1 U50135 ( .A(n38954), .Y(n38955) );
+  sky130_fd_sc_hd__clkinv_1 U50136 ( .A(n65468), .Y(n65459) );
+  sky130_fd_sc_hd__clkinv_1 U50137 ( .A(n55878), .Y(n55881) );
+  sky130_fd_sc_hd__clkinv_1 U50138 ( .A(n65429), .Y(n65415) );
+  sky130_fd_sc_hd__clkinv_1 U50139 ( .A(n39101), .Y(n39087) );
+  sky130_fd_sc_hd__clkinv_1 U50140 ( .A(n67453), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_auto_in_d_bits_data[28]) );
+  sky130_fd_sc_hd__clkinv_1 U50141 ( .A(n45609), .Y(n45583) );
+  sky130_fd_sc_hd__clkinv_1 U50142 ( .A(n39103), .Y(n59554) );
+  sky130_fd_sc_hd__clkinv_1 U50143 ( .A(n55889), .Y(n55893) );
+  sky130_fd_sc_hd__clkinv_1 U50144 ( .A(n39032), .Y(n59512) );
+  sky130_fd_sc_hd__clkinv_1 U50145 ( .A(n38995), .Y(n38996) );
+  sky130_fd_sc_hd__clkinv_1 U50146 ( .A(n38777), .Y(n38780) );
+  sky130_fd_sc_hd__clkinv_1 U50147 ( .A(n38778), .Y(n38779) );
+  sky130_fd_sc_hd__clkinv_1 U50148 ( .A(n55904), .Y(n55905) );
+  sky130_fd_sc_hd__clkinv_1 U50149 ( .A(n74341), .Y(n74252) );
+  sky130_fd_sc_hd__clkinv_1 U50150 ( .A(n74340), .Y(n74249) );
+  sky130_fd_sc_hd__clkinv_1 U50151 ( .A(n41602), .Y(n41640) );
+  sky130_fd_sc_hd__clkinv_1 U50152 ( .A(n74380), .Y(n74235) );
+  sky130_fd_sc_hd__a22o_1 U50153 ( .A1(n55037), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[26]), .B1(n36850), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[56]), .X(n85438) );
+  sky130_fd_sc_hd__clkinv_1 U50154 ( .A(n49553), .Y(n62089) );
+  sky130_fd_sc_hd__clkinv_1 U50155 ( .A(n77253), .Y(n79043) );
+  sky130_fd_sc_hd__clkinv_1 U50156 ( .A(n73719), .Y(n73734) );
+  sky130_fd_sc_hd__clkinv_1 U50157 ( .A(n62156), .Y(n62124) );
+  sky130_fd_sc_hd__clkinv_1 U50158 ( .A(n82493), .Y(n55952) );
+  sky130_fd_sc_hd__clkinv_1 U50160 ( .A(n67129), .Y(n67130) );
+  sky130_fd_sc_hd__clkinv_1 U50161 ( .A(n74618), .Y(n74494) );
+  sky130_fd_sc_hd__clkinv_1 U50162 ( .A(n79118), .Y(n79121) );
+  sky130_fd_sc_hd__clkinv_1 U50163 ( .A(n74621), .Y(n74486) );
+  sky130_fd_sc_hd__clkinv_1 U50164 ( .A(n75832), .Y(n73875) );
+  sky130_fd_sc_hd__clkinv_1 U50165 ( .A(n48725), .Y(n48726) );
+  sky130_fd_sc_hd__clkinv_1 U50166 ( .A(n48720), .Y(n48721) );
+  sky130_fd_sc_hd__clkinv_1 U50167 ( .A(n74497), .Y(n71001) );
+  sky130_fd_sc_hd__clkinv_1 U50168 ( .A(n47545), .Y(n47728) );
+  sky130_fd_sc_hd__clkinv_1 U50169 ( .A(n47552), .Y(n47734) );
+  sky130_fd_sc_hd__clkinv_1 U50170 ( .A(n47609), .Y(n47808) );
+  sky130_fd_sc_hd__clkinv_1 U50171 ( .A(n76278), .Y(n39547) );
+  sky130_fd_sc_hd__clkinv_1 U50172 ( .A(n47599), .Y(n47791) );
+  sky130_fd_sc_hd__clkinv_1 U50173 ( .A(n76283), .Y(n39549) );
+  sky130_fd_sc_hd__clkinv_1 U50174 ( .A(n69613), .Y(n64567) );
+  sky130_fd_sc_hd__clkinv_1 U50175 ( .A(n65868), .Y(n64564) );
+  sky130_fd_sc_hd__clkinv_1 U50176 ( .A(n67694), .Y(n67695) );
+  sky130_fd_sc_hd__clkinv_1 U50177 ( .A(n65839), .Y(n64561) );
+  sky130_fd_sc_hd__clkinv_1 U50178 ( .A(n74615), .Y(n74617) );
+  sky130_fd_sc_hd__clkinv_1 U50179 ( .A(n65817), .Y(n64558) );
+  sky130_fd_sc_hd__clkinv_1 U50180 ( .A(n74604), .Y(n74607) );
+  sky130_fd_sc_hd__clkinv_1 U50181 ( .A(n67100), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_auto_in_d_bits_data[24]) );
+  sky130_fd_sc_hd__clkinv_1 U50182 ( .A(n65782), .Y(n64555) );
+  sky130_fd_sc_hd__clkinv_1 U50183 ( .A(n65728), .Y(n64545) );
+  sky130_fd_sc_hd__clkinv_1 U50184 ( .A(n65713), .Y(n65725) );
+  sky130_fd_sc_hd__clkinv_1 U50185 ( .A(n62184), .Y(n62186) );
+  sky130_fd_sc_hd__clkinv_1 U50186 ( .A(n65698), .Y(n64537) );
+  sky130_fd_sc_hd__clkinv_1 U50187 ( .A(n76691), .Y(n75920) );
+  sky130_fd_sc_hd__clkinv_1 U50188 ( .A(n65699), .Y(n64538) );
+  sky130_fd_sc_hd__mux2i_2 U50189 ( .A0(n47516), .A1(n47515), .S(n73814), .Y(
+        n84970) );
+  sky130_fd_sc_hd__clkinv_1 U50190 ( .A(n65701), .Y(n65692) );
+  sky130_fd_sc_hd__clkinv_1 U50191 ( .A(n66747), .Y(n66758) );
+  sky130_fd_sc_hd__clkinv_1 U50192 ( .A(n65487), .Y(n64531) );
+  sky130_fd_sc_hd__clkinv_1 U50193 ( .A(n74570), .Y(n74271) );
+  sky130_fd_sc_hd__clkinv_1 U50194 ( .A(n83169), .Y(n83167) );
+  sky130_fd_sc_hd__clkinv_1 U50195 ( .A(n74537), .Y(n74272) );
+  sky130_fd_sc_hd__clkinv_1 U50196 ( .A(n65466), .Y(n65484) );
+  sky130_fd_sc_hd__clkinv_1 U50197 ( .A(n74507), .Y(n74273) );
+  sky130_fd_sc_hd__clkinv_1 U50198 ( .A(n74534), .Y(n74301) );
+  sky130_fd_sc_hd__clkinv_1 U50199 ( .A(n49930), .Y(n49935) );
+  sky130_fd_sc_hd__clkinv_1 U50200 ( .A(n49396), .Y(n49400) );
+  sky130_fd_sc_hd__clkinv_1 U50201 ( .A(n74535), .Y(n74298) );
+  sky130_fd_sc_hd__clkinv_1 U50202 ( .A(n67677), .Y(n61461) );
+  sky130_fd_sc_hd__clkinv_1 U50203 ( .A(n60445), .Y(n49182) );
+  sky130_fd_sc_hd__clkinv_1 U50204 ( .A(n54860), .Y(n54858) );
+  sky130_fd_sc_hd__clkinv_1 U50205 ( .A(n57741), .Y(n57742) );
+  sky130_fd_sc_hd__mux2i_1 U50206 ( .A0(n47623), .A1(n47622), .S(n73814), .Y(
+        n47690) );
+  sky130_fd_sc_hd__clkinv_1 U50207 ( .A(n74569), .Y(n74276) );
+  sky130_fd_sc_hd__clkinv_1 U50208 ( .A(n49996), .Y(n50001) );
+  sky130_fd_sc_hd__clkinv_1 U50209 ( .A(n65441), .Y(n64521) );
+  sky130_fd_sc_hd__or2_1 U50211 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[40]), .B(n64519), .X(n65439) );
+  sky130_fd_sc_hd__clkinv_1 U50212 ( .A(n83978), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_dFirst_size_2_) );
+  sky130_fd_sc_hd__clkinv_1 U50213 ( .A(n57789), .Y(n57780) );
+  sky130_fd_sc_hd__clkinv_1 U50214 ( .A(n54856), .Y(n54857) );
+  sky130_fd_sc_hd__or2_1 U50215 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[38]), .B(n64513), .X(n38372) );
+  sky130_fd_sc_hd__clkinv_1 U50216 ( .A(n57792), .Y(n57774) );
+  sky130_fd_sc_hd__clkinv_1 U50217 ( .A(n57766), .Y(n38125) );
+  sky130_fd_sc_hd__or2_1 U50218 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[35]), .B(n64505), .X(n38379) );
+  sky130_fd_sc_hd__clkinv_1 U50219 ( .A(n79723), .Y(n79483) );
+  sky130_fd_sc_hd__clkinv_1 U50220 ( .A(n76819), .Y(n76803) );
+  sky130_fd_sc_hd__clkinv_1 U50221 ( .A(n73993), .Y(n74002) );
+  sky130_fd_sc_hd__clkinv_1 U50222 ( .A(n57883), .Y(n57857) );
+  sky130_fd_sc_hd__clkinv_1 U50223 ( .A(n57882), .Y(n57855) );
+  sky130_fd_sc_hd__clkinv_1 U50224 ( .A(n67317), .Y(n67364) );
+  sky130_fd_sc_hd__clkinv_1 U50225 ( .A(n57893), .Y(n57836) );
+  sky130_fd_sc_hd__clkinv_1 U50226 ( .A(n76028), .Y(n76014) );
+  sky130_fd_sc_hd__clkinv_1 U50227 ( .A(n83517), .Y(n83521) );
+  sky130_fd_sc_hd__clkinv_1 U50228 ( .A(n66746), .Y(n66757) );
+  sky130_fd_sc_hd__clkinv_1 U50229 ( .A(n55503), .Y(n85120) );
+  sky130_fd_sc_hd__clkinv_1 U50230 ( .A(n58196), .Y(n58178) );
+  sky130_fd_sc_hd__clkinv_1 U50231 ( .A(n64491), .Y(n64492) );
+  sky130_fd_sc_hd__clkinv_1 U50232 ( .A(n58220), .Y(n58206) );
+  sky130_fd_sc_hd__clkinv_1 U50233 ( .A(n58221), .Y(n58201) );
+  sky130_fd_sc_hd__clkinv_1 U50234 ( .A(n54186), .Y(n78348) );
+  sky130_fd_sc_hd__clkinv_1 U50235 ( .A(n58140), .Y(n58128) );
+  sky130_fd_sc_hd__clkinv_1 U50236 ( .A(n58123), .Y(n58101) );
+  sky130_fd_sc_hd__clkinv_1 U50237 ( .A(n58115), .Y(n58104) );
+  sky130_fd_sc_hd__clkinv_1 U50238 ( .A(n76052), .Y(n76040) );
+  sky130_fd_sc_hd__clkinv_1 U50239 ( .A(n58062), .Y(n58043) );
+  sky130_fd_sc_hd__clkinv_1 U50240 ( .A(n58064), .Y(n58042) );
+  sky130_fd_sc_hd__clkinv_1 U50241 ( .A(n43107), .Y(n64078) );
+  sky130_fd_sc_hd__clkinv_1 U50242 ( .A(n58019), .Y(n58008) );
+  sky130_fd_sc_hd__clkinv_1 U50243 ( .A(n58031), .Y(n58011) );
+  sky130_fd_sc_hd__inv_2 U50244 ( .A(n82298), .Y(n82372) );
+  sky130_fd_sc_hd__clkinv_1 U50245 ( .A(n57954), .Y(n57937) );
+  sky130_fd_sc_hd__clkinv_1 U50246 ( .A(n57973), .Y(n57962) );
+  sky130_fd_sc_hd__clkinv_1 U50247 ( .A(n57974), .Y(n38328) );
+  sky130_fd_sc_hd__clkinv_1 U50248 ( .A(n57977), .Y(n57960) );
+  sky130_fd_sc_hd__clkinv_1 U50249 ( .A(n77817), .Y(n79310) );
+  sky130_fd_sc_hd__clkinv_1 U50250 ( .A(n73995), .Y(n75847) );
+  sky130_fd_sc_hd__clkinv_1 U50251 ( .A(n61615), .Y(n74026) );
+  sky130_fd_sc_hd__clkinv_1 U50252 ( .A(n80897), .Y(n80893) );
+  sky130_fd_sc_hd__clkinv_1 U50253 ( .A(n79637), .Y(n79641) );
+  sky130_fd_sc_hd__clkinv_1 U50256 ( .A(n63062), .Y(n61745) );
+  sky130_fd_sc_hd__clkinv_1 U50257 ( .A(n58992), .Y(n55762) );
+  sky130_fd_sc_hd__clkinv_1 U50258 ( .A(n41417), .Y(n41438) );
+  sky130_fd_sc_hd__clkinv_1 U50259 ( .A(n83038), .Y(n83046) );
+  sky130_fd_sc_hd__clkinv_1 U50260 ( .A(n76461), .Y(n76763) );
+  sky130_fd_sc_hd__clkinv_1 U50261 ( .A(n55991), .Y(n83375) );
+  sky130_fd_sc_hd__clkinv_1 U50262 ( .A(n53484), .Y(n56029) );
+  sky130_fd_sc_hd__and2_0 U50263 ( .A(n43915), .B(n43914), .X(n38414) );
+  sky130_fd_sc_hd__clkinv_1 U50264 ( .A(n61650), .Y(n80583) );
+  sky130_fd_sc_hd__clkinv_1 U50265 ( .A(n76567), .Y(n76568) );
+  sky130_fd_sc_hd__clkinv_1 U50266 ( .A(n81159), .Y(n81164) );
+  sky130_fd_sc_hd__and2_0 U50267 ( .A(n53424), .B(n53423), .X(n53436) );
+  sky130_fd_sc_hd__clkinv_1 U50268 ( .A(n76699), .Y(n76701) );
+  sky130_fd_sc_hd__and2_0 U50269 ( .A(n53429), .B(n53428), .X(n53434) );
+  sky130_fd_sc_hd__clkinv_1 U50270 ( .A(n76366), .Y(n76367) );
+  sky130_fd_sc_hd__clkinv_1 U50271 ( .A(n55345), .Y(n85019) );
+  sky130_fd_sc_hd__clkinv_1 U50272 ( .A(n67175), .Y(n67176) );
+  sky130_fd_sc_hd__clkinv_1 U50273 ( .A(n76668), .Y(n76683) );
+  sky130_fd_sc_hd__clkinv_1 U50274 ( .A(n80269), .Y(n80266) );
+  sky130_fd_sc_hd__clkinv_1 U50275 ( .A(n54831), .Y(n54832) );
+  sky130_fd_sc_hd__clkinv_1 U50276 ( .A(n76681), .Y(n76682) );
+  sky130_fd_sc_hd__clkinv_1 U50277 ( .A(n45534), .Y(n45535) );
+  sky130_fd_sc_hd__clkinv_1 U50278 ( .A(n76794), .Y(n76777) );
+  sky130_fd_sc_hd__clkinv_1 U50279 ( .A(n76814), .Y(n76816) );
+  sky130_fd_sc_hd__clkinv_1 U50280 ( .A(n43125), .Y(n63956) );
+  sky130_fd_sc_hd__clkinv_1 U50281 ( .A(n73724), .Y(n73561) );
+  sky130_fd_sc_hd__clkinv_1 U50282 ( .A(n83123), .Y(n83119) );
+  sky130_fd_sc_hd__clkinv_1 U50283 ( .A(n44171), .Y(n58399) );
+  sky130_fd_sc_hd__clkinv_1 U50284 ( .A(n83361), .Y(n83364) );
+  sky130_fd_sc_hd__clkinv_1 U50285 ( .A(n56031), .Y(n41340) );
+  sky130_fd_sc_hd__clkinv_1 U50286 ( .A(n68324), .Y(n68325) );
+  sky130_fd_sc_hd__clkinv_1 U50287 ( .A(n59892), .Y(n59887) );
+  sky130_fd_sc_hd__clkinv_1 U50288 ( .A(n44124), .Y(n44125) );
+  sky130_fd_sc_hd__clkinv_1 U50289 ( .A(n58192), .Y(n58198) );
+  sky130_fd_sc_hd__clkinv_1 U50290 ( .A(n78145), .Y(n73559) );
+  sky130_fd_sc_hd__clkinv_1 U50291 ( .A(n79721), .Y(n79495) );
+  sky130_fd_sc_hd__clkinv_1 U50292 ( .A(n70795), .Y(n61235) );
+  sky130_fd_sc_hd__clkinv_1 U50293 ( .A(n58061), .Y(n58045) );
+  sky130_fd_sc_hd__clkinv_1 U50294 ( .A(n83546), .Y(n83549) );
+  sky130_fd_sc_hd__clkinv_1 U50295 ( .A(n40073), .Y(n40079) );
+  sky130_fd_sc_hd__clkinv_1 U50296 ( .A(n53587), .Y(n84781) );
+  sky130_fd_sc_hd__nor2_2 U50297 ( .A(n62284), .B(n62285), .Y(n62389) );
+  sky130_fd_sc_hd__clkinv_1 U50298 ( .A(n79667), .Y(n79672) );
+  sky130_fd_sc_hd__clkinv_1 U50299 ( .A(n82988), .Y(n82989) );
+  sky130_fd_sc_hd__clkinv_1 U50300 ( .A(n79798), .Y(n79802) );
+  sky130_fd_sc_hd__clkinv_1 U50301 ( .A(n67272), .Y(n67273) );
+  sky130_fd_sc_hd__clkinv_1 U50302 ( .A(n79578), .Y(n79519) );
+  sky130_fd_sc_hd__clkinv_1 U50303 ( .A(n79740), .Y(n79758) );
+  sky130_fd_sc_hd__clkinv_1 U50304 ( .A(n39496), .Y(n39497) );
+  sky130_fd_sc_hd__clkinv_1 U50305 ( .A(n83805), .Y(n85897) );
+  sky130_fd_sc_hd__clkinv_1 U50306 ( .A(n80673), .Y(n73824) );
+  sky130_fd_sc_hd__clkinv_1 U50307 ( .A(n66850), .Y(n66831) );
+  sky130_fd_sc_hd__clkinv_1 U50308 ( .A(n38993), .Y(n38986) );
+  sky130_fd_sc_hd__clkinv_1 U50309 ( .A(n66871), .Y(n66872) );
+  sky130_fd_sc_hd__clkinv_1 U50310 ( .A(n39013), .Y(n39002) );
+  sky130_fd_sc_hd__clkinv_1 U50311 ( .A(n39004), .Y(n38999) );
+  sky130_fd_sc_hd__buf_4 U50312 ( .A(n43308), .X(n54312) );
+  sky130_fd_sc_hd__clkinv_1 U50313 ( .A(n76103), .Y(n76093) );
+  sky130_fd_sc_hd__clkinv_1 U50314 ( .A(n76353), .Y(n76355) );
+  sky130_fd_sc_hd__clkinv_1 U50316 ( .A(n81158), .Y(n81160) );
+  sky130_fd_sc_hd__clkinv_1 U50317 ( .A(n39956), .Y(n39997) );
+  sky130_fd_sc_hd__clkinv_1 U50318 ( .A(n79828), .Y(n79833) );
+  sky130_fd_sc_hd__clkinv_1 U50319 ( .A(n39495), .Y(n39500) );
+  sky130_fd_sc_hd__clkinv_1 U50320 ( .A(n53605), .Y(n53750) );
+  sky130_fd_sc_hd__clkinv_1 U50321 ( .A(n76351), .Y(n76655) );
+  sky130_fd_sc_hd__clkinv_1 U50322 ( .A(n76579), .Y(n76580) );
+  sky130_fd_sc_hd__clkinv_1 U50323 ( .A(n41312), .Y(n41298) );
+  sky130_fd_sc_hd__clkinv_1 U50324 ( .A(n77601), .Y(n60061) );
+  sky130_fd_sc_hd__inv_4 U50325 ( .A(n43308), .Y(n54307) );
+  sky130_fd_sc_hd__clkinv_1 U50326 ( .A(n47317), .Y(n47339) );
+  sky130_fd_sc_hd__clkinv_1 U50327 ( .A(n59876), .Y(n59877) );
+  sky130_fd_sc_hd__clkinv_1 U50328 ( .A(n79739), .Y(n79771) );
+  sky130_fd_sc_hd__clkinv_1 U50329 ( .A(n83493), .Y(n83498) );
+  sky130_fd_sc_hd__clkinv_1 U50330 ( .A(n40757), .Y(n40731) );
+  sky130_fd_sc_hd__clkinv_1 U50331 ( .A(n40718), .Y(n40867) );
+  sky130_fd_sc_hd__clkinv_1 U50332 ( .A(n81232), .Y(n81234) );
+  sky130_fd_sc_hd__clkinv_1 U50333 ( .A(n68556), .Y(n68557) );
+  sky130_fd_sc_hd__clkinv_1 U50334 ( .A(n59885), .Y(n59871) );
+  sky130_fd_sc_hd__clkinv_1 U50335 ( .A(n64026), .Y(n64027) );
+  sky130_fd_sc_hd__clkinv_1 U50336 ( .A(n69054), .Y(n69055) );
+  sky130_fd_sc_hd__clkinv_1 U50337 ( .A(n66351), .Y(n66352) );
+  sky130_fd_sc_hd__clkinv_1 U50338 ( .A(n68547), .Y(n68624) );
+  sky130_fd_sc_hd__clkinv_1 U50339 ( .A(n68551), .Y(n68552) );
+  sky130_fd_sc_hd__clkinv_1 U50340 ( .A(n67466), .Y(n61590) );
+  sky130_fd_sc_hd__clkinv_1 U50341 ( .A(n76625), .Y(n76639) );
+  sky130_fd_sc_hd__clkinv_1 U50342 ( .A(n69045), .Y(n69046) );
+  sky130_fd_sc_hd__clkinv_1 U50343 ( .A(n66341), .Y(n66342) );
+  sky130_fd_sc_hd__clkinv_1 U50344 ( .A(n68543), .Y(n68636) );
+  sky130_fd_sc_hd__clkinv_1 U50345 ( .A(n60392), .Y(n81318) );
+  sky130_fd_sc_hd__clkinv_1 U50346 ( .A(n67304), .Y(n67371) );
+  sky130_fd_sc_hd__and2_1 U50347 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics__GEN_39[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_param[2]), .X(n41546) );
+  sky130_fd_sc_hd__clkinv_1 U50348 ( .A(n38536), .Y(n38525) );
+  sky130_fd_sc_hd__clkinv_1 U50349 ( .A(n38831), .Y(n38812) );
+  sky130_fd_sc_hd__a2bb2oi_1 U50350 ( .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_34[1]), 
+        .B2(n37510), .A1_N(n37509), .A2_N(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_33[2]), 
+        .Y(n57777) );
+  sky130_fd_sc_hd__and2_1 U50351 ( .A(n37509), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_33[2]), 
+        .X(n37166) );
+  sky130_fd_sc_hd__clkinv_1 U50352 ( .A(n38533), .Y(n38528) );
+  sky130_fd_sc_hd__clkinv_1 U50353 ( .A(n38549), .Y(n38539) );
+  sky130_fd_sc_hd__clkinv_1 U50354 ( .A(n38548), .Y(n38540) );
+  sky130_fd_sc_hd__clkinv_1 U50355 ( .A(n80740), .Y(n73720) );
+  sky130_fd_sc_hd__clkinv_1 U50357 ( .A(n38645), .Y(n38620) );
+  sky130_fd_sc_hd__clkinv_1 U50358 ( .A(n38644), .Y(n38621) );
+  sky130_fd_sc_hd__clkinv_1 U50359 ( .A(n38647), .Y(n38633) );
+  sky130_fd_sc_hd__clkinv_1 U50360 ( .A(n38646), .Y(n38634) );
+  sky130_fd_sc_hd__clkinv_1 U50361 ( .A(n76124), .Y(n76115) );
+  sky130_fd_sc_hd__clkinv_1 U50362 ( .A(n68385), .Y(n68386) );
+  sky130_fd_sc_hd__clkinv_1 U50363 ( .A(n83796), .Y(n83801) );
+  sky130_fd_sc_hd__clkinv_1 U50364 ( .A(n76036), .Y(n76037) );
+  sky130_fd_sc_hd__clkinv_1 U50365 ( .A(n76089), .Y(n76090) );
+  sky130_fd_sc_hd__clkinv_1 U50366 ( .A(n76111), .Y(n76112) );
+  sky130_fd_sc_hd__clkinv_1 U50367 ( .A(n38718), .Y(n38703) );
+  sky130_fd_sc_hd__clkinv_1 U50368 ( .A(n38719), .Y(n38704) );
+  sky130_fd_sc_hd__clkinv_1 U50369 ( .A(n76159), .Y(n76160) );
+  sky130_fd_sc_hd__clkinv_1 U50370 ( .A(n77789), .Y(n79041) );
+  sky130_fd_sc_hd__clkinv_1 U50371 ( .A(n38750), .Y(n38727) );
+  sky130_fd_sc_hd__clkinv_1 U50372 ( .A(n38751), .Y(n38728) );
+  sky130_fd_sc_hd__clkinv_1 U50373 ( .A(n38742), .Y(n38739) );
+  sky130_fd_sc_hd__clkinv_1 U50374 ( .A(n76654), .Y(n76352) );
+  sky130_fd_sc_hd__clkinv_1 U50375 ( .A(n69110), .Y(n69111) );
+  sky130_fd_sc_hd__clkinv_1 U50376 ( .A(n38832), .Y(n38813) );
+  sky130_fd_sc_hd__clkinv_1 U50377 ( .A(n38836), .Y(n38820) );
+  sky130_fd_sc_hd__clkinv_1 U50378 ( .A(n38855), .Y(n38843) );
+  sky130_fd_sc_hd__clkinv_1 U50379 ( .A(n38856), .Y(n38844) );
+  sky130_fd_sc_hd__clkinv_1 U50380 ( .A(n38857), .Y(n38848) );
+  sky130_fd_sc_hd__clkinv_1 U50381 ( .A(n38858), .Y(n38849) );
+  sky130_fd_sc_hd__clkinv_1 U50382 ( .A(n38930), .Y(n38914) );
+  sky130_fd_sc_hd__clkinv_1 U50383 ( .A(n38894), .Y(n38883) );
+  sky130_fd_sc_hd__clkinv_1 U50384 ( .A(n38895), .Y(n38884) );
+  sky130_fd_sc_hd__clkinv_1 U50385 ( .A(n38903), .Y(n38893) );
+  sky130_fd_sc_hd__clkinv_1 U50386 ( .A(n76132), .Y(n76133) );
+  sky130_fd_sc_hd__or2_0 U50387 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_io_link_rx_valid), .B(n74033), .X(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_N12) );
+  sky130_fd_sc_hd__clkinv_1 U50388 ( .A(n39056), .Y(n39045) );
+  sky130_fd_sc_hd__clkinv_1 U50389 ( .A(n39060), .Y(n39053) );
+  sky130_fd_sc_hd__clkinv_1 U50390 ( .A(n76062), .Y(n76063) );
+  sky130_fd_sc_hd__clkinv_1 U50391 ( .A(n39082), .Y(n39075) );
+  sky130_fd_sc_hd__clkinv_1 U50392 ( .A(n39085), .Y(n39079) );
+  sky130_fd_sc_hd__clkinv_1 U50393 ( .A(n76712), .Y(n76013) );
+  sky130_fd_sc_hd__or2_1 U50394 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_4[1]), 
+        .B(n45524), .X(n37165) );
+  sky130_fd_sc_hd__clkinv_1 U50395 ( .A(n39012), .Y(n39000) );
+  sky130_fd_sc_hd__clkinv_1 U50396 ( .A(n79492), .Y(n62907) );
+  sky130_fd_sc_hd__clkinv_1 U50397 ( .A(n39021), .Y(n38987) );
+  sky130_fd_sc_hd__and3_1 U50398 ( .A(n58242), .B(n58199), .C(n58228), .X(
+        n38989) );
+  sky130_fd_sc_hd__clkinv_1 U50399 ( .A(n81233), .Y(n81238) );
+  sky130_fd_sc_hd__clkinv_1 U50400 ( .A(n66558), .Y(n66559) );
+  sky130_fd_sc_hd__clkinv_1 U50401 ( .A(n60384), .Y(n81404) );
+  sky130_fd_sc_hd__clkinv_1 U50402 ( .A(n76147), .Y(n76136) );
+  sky130_fd_sc_hd__clkinv_1 U50403 ( .A(
+        MarmotCaravelChip_dut_io_pins_jtag_TDO_o_oe), .Y(io_oeb[1]) );
+  sky130_fd_sc_hd__clkinv_1 U50404 ( .A(n77808), .Y(n79222) );
+  sky130_fd_sc_hd__clkinv_1 U50405 ( .A(n60918), .Y(n47816) );
+  sky130_fd_sc_hd__clkinv_1 U50406 ( .A(n67281), .Y(n67282) );
+  sky130_fd_sc_hd__clkinv_1 U50407 ( .A(n60891), .Y(n47729) );
+  sky130_fd_sc_hd__clkinv_1 U50408 ( .A(n57946), .Y(n57933) );
+  sky130_fd_sc_hd__clkinv_1 U50409 ( .A(n41822), .Y(n84313) );
+  sky130_fd_sc_hd__clkinv_1 U50410 ( .A(n48856), .Y(n48858) );
+  sky130_fd_sc_hd__clkinv_1 U50411 ( .A(n70799), .Y(n70800) );
+  sky130_fd_sc_hd__a21o_1 U50412 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_gennum[1]), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_gennum[0]), .B1(n41610), .X(n41611) );
+  sky130_fd_sc_hd__clkinv_1 U50413 ( .A(n44761), .Y(n44748) );
+  sky130_fd_sc_hd__clkinv_1 U50414 ( .A(n48796), .Y(n48797) );
+  sky130_fd_sc_hd__clkinv_1 U50415 ( .A(n47529), .Y(n47535) );
+  sky130_fd_sc_hd__clkinv_1 U50416 ( .A(n47581), .Y(n47585) );
+  sky130_fd_sc_hd__inv_2 U50417 ( .A(n56277), .Y(n36849) );
+  sky130_fd_sc_hd__clkinv_1 U50418 ( .A(n47665), .Y(n47666) );
+  sky130_fd_sc_hd__clkinv_1 U50419 ( .A(n79797), .Y(n79799) );
+  sky130_fd_sc_hd__clkinv_1 U50420 ( .A(n40255), .Y(n40256) );
+  sky130_fd_sc_hd__clkinv_1 U50421 ( .A(n57749), .Y(n57707) );
+  sky130_fd_sc_hd__clkinv_1 U50422 ( .A(n57747), .Y(n57705) );
+  sky130_fd_sc_hd__inv_4 U50423 ( .A(n47496), .Y(n47520) );
+  sky130_fd_sc_hd__clkinv_1 U50425 ( .A(n47570), .Y(n47576) );
+  sky130_fd_sc_hd__clkinv_1 U50426 ( .A(n57697), .Y(n57684) );
+  sky130_fd_sc_hd__clkinv_1 U50427 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_io_deq_bits_MPORT_addr[1]), .Y(n78956) );
+  sky130_fd_sc_hd__clkinv_1 U50428 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_tmatch[0]), .Y(n58960) );
+  sky130_fd_sc_hd__clkinv_1 U50429 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[12]), .Y(n75910) );
+  sky130_fd_sc_hd__clkinv_1 U50430 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[11]), .Y(n64172) );
+  sky130_fd_sc_hd__clkinv_1 U50431 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[13]), .Y(n74202) );
+  sky130_fd_sc_hd__clkinv_1 U50432 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[11]), .Y(n81339) );
+  sky130_fd_sc_hd__clkinv_1 U50433 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[12]), .Y(n79701) );
+  sky130_fd_sc_hd__clkinv_1 U50434 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[7]), .Y(n59803) );
+  sky130_fd_sc_hd__clkinv_1 U50435 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[9]), .Y(n64519) );
+  sky130_fd_sc_hd__clkinv_1 U50436 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_44[0]), 
+        .Y(n57699) );
+  sky130_fd_sc_hd__clkinv_1 U50437 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[23]), .Y(n73644) );
+  sky130_fd_sc_hd__clkinv_1 U50438 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[14]), .Y(n58901) );
+  sky130_fd_sc_hd__clkinv_1 U50439 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_opcode[2]), .Y(n47670) );
+  sky130_fd_sc_hd__clkinv_1 U50440 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_MPORT_addr[2]), .Y(n81281) );
+  sky130_fd_sc_hd__clkinv_1 U50441 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[1]), .Y(n68338) );
+  sky130_fd_sc_hd__clkinv_1 U50442 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_26[0]), 
+        .Y(n58051) );
+  sky130_fd_sc_hd__clkinv_1 U50443 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[35]), .Y(n70443) );
+  sky130_fd_sc_hd__clkinv_1 U50444 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_receivedAck), 
+        .Y(n79728) );
+  sky130_fd_sc_hd__clkinv_1 U50445 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_state), 
+        .Y(n78947) );
+  sky130_fd_sc_hd__clkinv_1 U50446 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_io_deq_bits_MPORT_addr[0]), .Y(n79136) );
+  sky130_fd_sc_hd__clkinv_1 U50447 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_maybe_full), .Y(n60329) );
+  sky130_fd_sc_hd__clkinv_1 U50448 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_auto_in_d_bits_source[2]), .Y(n83384) );
+  sky130_fd_sc_hd__clkinv_1 U50449 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pos[2]), .Y(n43124) );
+  sky130_fd_sc_hd__clkinv_1 U50450 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[42]), .Y(n69997) );
+  sky130_fd_sc_hd__clkinv_1 U50451 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_opcode[4]), .Y(n47501) );
+  sky130_fd_sc_hd__clkinv_1 U50452 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[13]), .Y(n81260) );
+  sky130_fd_sc_hd__clkinv_1 U50453 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_opcode_0_), .Y(n83918) );
+  sky130_fd_sc_hd__clkinv_1 U50454 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_opcode[0]), .Y(n47503) );
+  sky130_fd_sc_hd__clkinv_1 U50455 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history[1]), .Y(n72864) );
+  sky130_fd_sc_hd__clkinv_1 U50456 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[10]), .Y(n73966) );
+  sky130_fd_sc_hd__clkinv_1 U50457 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_io_deq_bits_mask[2]), .Y(n67016) );
+  sky130_fd_sc_hd__inv_2 U50458 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_27[0]), 
+        .Y(n38897) );
+  sky130_fd_sc_hd__clkinv_1 U50459 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[6]), .Y(n43266) );
+  sky130_fd_sc_hd__clkinv_1 U50460 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_written_once), .Y(n83300) );
+  sky130_fd_sc_hd__clkinv_1 U50461 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[21]), .Y(n69654) );
+  sky130_fd_sc_hd__clkinv_1 U50462 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[22]), .Y(n76060) );
+  sky130_fd_sc_hd__clkinv_1 U50463 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[1]), .Y(n81401) );
+  sky130_fd_sc_hd__clkinv_1 U50464 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_MPORT_addr[2]), .Y(n81000) );
+  sky130_fd_sc_hd__clkinv_1 U50465 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg[24]), 
+        .Y(n80128) );
+  sky130_fd_sc_hd__clkinv_1 U50466 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[3]), .Y(n40697) );
+  sky130_fd_sc_hd__clkinv_1 U50467 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[20]), .Y(n75240) );
+  sky130_fd_sc_hd__clkinv_1 U50468 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_io_deq_bits_MPORT_addr[1]), .Y(n49728) );
+  sky130_fd_sc_hd__clkinv_1 U50469 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_MPORT_addr[1]), .Y(n80999) );
+  sky130_fd_sc_hd__clkinv_1 U50470 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_20[2]), 
+        .Y(n57941) );
+  sky130_fd_sc_hd__clkinv_1 U50471 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[738]), .Y(n64459) );
+  sky130_fd_sc_hd__clkinv_1 U50472 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[10]), .Y(n58904) );
+  sky130_fd_sc_hd__clkinv_1 U50473 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[5]), .Y(n60732) );
+  sky130_fd_sc_hd__clkinv_1 U50474 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[3]), .Y(n56243) );
+  sky130_fd_sc_hd__clkinv_1 U50475 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_30), .Y(n62068) );
+  sky130_fd_sc_hd__clkinv_1 U50476 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_io_deq_bits_MPORT_addr[0]), .Y(n49726) );
+  sky130_fd_sc_hd__clkinv_1 U50477 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[2]), .Y(n81008) );
+  sky130_fd_sc_hd__clkinv_1 U50478 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_MPORT_addr[0]), .Y(n81378) );
+  sky130_fd_sc_hd__clkinv_1 U50479 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[2]), .Y(n43536) );
+  sky130_fd_sc_hd__clkinv_1 U50480 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_io_deq_bits_MPORT_addr[2]), .Y(n81365) );
+  sky130_fd_sc_hd__clkinv_1 U50481 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[27]), .Y(n70389) );
+  sky130_fd_sc_hd__clkinv_1 U50482 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[10]), 
+        .Y(n67292) );
+  sky130_fd_sc_hd__clkinv_1 U50483 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_16[17]), .Y(n67986) );
+  sky130_fd_sc_hd__clkinv_1 U50484 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_MPORT_addr[2]), .Y(n81376) );
+  sky130_fd_sc_hd__clkinv_1 U50485 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[3]), .Y(n83665) );
+  sky130_fd_sc_hd__clkinv_1 U50486 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[0]), .Y(n76954) );
+  sky130_fd_sc_hd__clkinv_1 U50487 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[22]), .Y(n74319) );
+  sky130_fd_sc_hd__clkinv_1 U50488 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[1]), .Y(n61833) );
+  sky130_fd_sc_hd__clkinv_1 U50489 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[5]), 
+        .Y(n60167) );
+  sky130_fd_sc_hd__clkinv_1 U50490 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_MPORT_addr[1]), .Y(n59502) );
+  sky130_fd_sc_hd__clkinv_1 U50491 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[2]), 
+        .Y(n79755) );
+  sky130_fd_sc_hd__clkinv_1 U50492 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[12]), .Y(n79862) );
+  sky130_fd_sc_hd__clkinv_1 U50493 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[9]), 
+        .Y(n79850) );
+  sky130_fd_sc_hd__clkinv_1 U50494 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N38), .Y(n83470) );
+  sky130_fd_sc_hd__clkinv_1 U50495 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[1]), .Y(n72578) );
+  sky130_fd_sc_hd__clkinv_1 U50496 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[7]), .Y(n76881) );
+  sky130_fd_sc_hd__clkinv_1 U50497 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[2]), .Y(n79663) );
+  sky130_fd_sc_hd__clkinv_1 U50498 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[4]), 
+        .Y(n79830) );
+  sky130_fd_sc_hd__clkinv_1 U50499 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[23]), .Y(n67084) );
+  sky130_fd_sc_hd__clkinv_1 U50500 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_amoalu_io_cmd[1]), .Y(n75992) );
+  sky130_fd_sc_hd__clkinv_1 U50501 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_MPORT_addr[1]), .Y(n81135) );
+  sky130_fd_sc_hd__clkinv_1 U50502 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[28]), .Y(n72456) );
+  sky130_fd_sc_hd__clkinv_1 U50503 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[26]), .Y(n40129) );
+  sky130_fd_sc_hd__clkinv_1 U50504 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[27]), .Y(n78388) );
+  sky130_fd_sc_hd__clkinv_1 U50505 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_tlb_xcpt_ae_ld), .Y(n43067) );
+  sky130_fd_sc_hd__clkinv_1 U50506 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[11]), .Y(n74001) );
+  sky130_fd_sc_hd__clkinv_1 U50507 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_io_deq_bits_MPORT_addr[0]), .Y(n44364) );
+  sky130_fd_sc_hd__clkinv_1 U50508 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[5]), .Y(n47492) );
+  sky130_fd_sc_hd__clkinv_1 U50509 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_MPORT_addr[2]), .Y(n83087) );
+  sky130_fd_sc_hd__clkinv_1 U50510 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[35]), .Y(n47493) );
+  sky130_fd_sc_hd__clkinv_1 U50511 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_MPORT_addr[0]), .Y(n56162) );
+  sky130_fd_sc_hd__clkinv_1 U50512 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[18]), .Y(n56165) );
+  sky130_fd_sc_hd__clkinv_1 U50513 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[5]), .Y(n73980) );
+  sky130_fd_sc_hd__clkinv_1 U50514 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[20]), .Y(n75037) );
+  sky130_fd_sc_hd__clkinv_1 U50515 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__fSDA_T[2]), .Y(
+        n79476) );
+  sky130_fd_sc_hd__clkinv_1 U50516 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[26]), .Y(n70878) );
+  sky130_fd_sc_hd__clkinv_1 U50517 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[36]), .Y(n51194) );
+  sky130_fd_sc_hd__clkinv_1 U50518 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[7]), .Y(n47499) );
+  sky130_fd_sc_hd__clkinv_1 U50519 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[24]), 
+        .Y(n79972) );
+  sky130_fd_sc_hd__clkinv_1 U50520 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[19]), .Y(n75033) );
+  sky130_fd_sc_hd__clkinv_1 U50521 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[23]), .Y(n68856) );
+  sky130_fd_sc_hd__clkinv_1 U50522 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[41]), .Y(n68633) );
+  sky130_fd_sc_hd__clkinv_1 U50523 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[28]), .Y(n68879) );
+  sky130_fd_sc_hd__clkinv_1 U50524 ( .A(
+        MarmotCaravelChip_dut_sys_iof_0_iof_0_15_i_ival), .Y(n80123) );
+  sky130_fd_sc_hd__clkinv_1 U50525 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[10]), .Y(n74205) );
+  sky130_fd_sc_hd__clkinv_1 U50526 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[11]), .Y(n70021) );
+  sky130_fd_sc_hd__clkinv_1 U50527 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[9]), .Y(n44769) );
+  sky130_fd_sc_hd__clkinv_1 U50528 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[3]), .Y(n60336) );
+  sky130_fd_sc_hd__clkinv_1 U50529 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_io_deq_bits_MPORT_addr[2]), .Y(n81216) );
+  sky130_fd_sc_hd__clkinv_1 U50530 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__fSCL_T[2]), .Y(
+        n79478) );
+  sky130_fd_sc_hd__clkinv_1 U50531 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal__GEN_2), .Y(
+        n84257) );
+  sky130_fd_sc_hd__clkinv_1 U50532 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[27]), .Y(
+        n43819) );
+  sky130_fd_sc_hd__clkinv_1 U50533 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_io_deq_bits_MPORT_addr[1]), .Y(n79044) );
+  sky130_fd_sc_hd__clkinv_1 U50534 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[3]), .Y(n79664) );
+  sky130_fd_sc_hd__clkinv_1 U50535 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[2]), .Y(n61242) );
+  sky130_fd_sc_hd__clkinv_1 U50536 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_MPORT_addr[1]), .Y(n81219) );
+  sky130_fd_sc_hd__clkinv_1 U50537 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[20]), .Y(n74315) );
+  sky130_fd_sc_hd__clkinv_1 U50538 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[12]), .Y(n74270) );
+  sky130_fd_sc_hd__clkinv_1 U50539 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[8]), 
+        .Y(n67300) );
+  sky130_fd_sc_hd__clkinv_1 U50540 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[8]), .Y(n47497) );
+  sky130_fd_sc_hd__clkinv_1 U50541 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_435[5]), .Y(n72425) );
+  sky130_fd_sc_hd__clkinv_1 U50542 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[5]), .Y(n55815) );
+  sky130_fd_sc_hd__clkinv_1 U50543 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_25[1]), .Y(
+        n41529) );
+  sky130_fd_sc_hd__clkinv_1 U50544 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[26]), 
+        .Y(n79976) );
+  sky130_fd_sc_hd__clkinv_1 U50545 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[1]), 
+        .Y(n68257) );
+  sky130_fd_sc_hd__clkinv_1 U50546 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[8]), .Y(
+        n60535) );
+  sky130_fd_sc_hd__clkinv_1 U50547 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_requestor_0_resp_bits_replay), .Y(n40672) );
+  sky130_fd_sc_hd__clkinv_1 U50548 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[17]), .Y(n74238) );
+  sky130_fd_sc_hd__clkinv_1 U50549 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[6]), .Y(n47494) );
+  sky130_fd_sc_hd__clkinv_1 U50550 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[45]), .Y(n55480) );
+  sky130_fd_sc_hd__clkinv_1 U50551 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[36]), .Y(n47495) );
+  sky130_fd_sc_hd__clkinv_1 U50552 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[13]), .Y(n39520) );
+  sky130_fd_sc_hd__clkinv_1 U50553 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_load), .Y(
+        n63161) );
+  sky130_fd_sc_hd__clkinv_1 U50554 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[13]), .Y(n55479) );
+  sky130_fd_sc_hd__clkinv_1 U50555 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_binder_auto_in_d_bits_source[3]), .Y(n83095) );
+  sky130_fd_sc_hd__clkinv_1 U50556 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_23[28]), .Y(n60222) );
+  sky130_fd_sc_hd__clkinv_1 U50557 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__metaArb_io_in_5_bits_addr_T_1[9]), .Y(n54268) );
+  sky130_fd_sc_hd__clkinv_1 U50558 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[14]), .Y(n79869) );
+  sky130_fd_sc_hd__clkinv_1 U50559 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_MPORT_addr[1]), .Y(n76258) );
+  sky130_fd_sc_hd__clkinv_1 U50560 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_opcode[2]), .Y(n47671) );
+  sky130_fd_sc_hd__clkinv_1 U50561 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[8]), .Y(n76809) );
+  sky130_fd_sc_hd__clkinv_1 U50562 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[13]), .Y(n64530) );
+  sky130_fd_sc_hd__clkinv_1 U50563 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_io_link_fmt_proto[0]), .Y(n77828) );
+  sky130_fd_sc_hd__clkinv_1 U50564 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_waddr[4]), .Y(n72630) );
+  sky130_fd_sc_hd__clkinv_1 U50565 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_mideleg_1), .Y(n43077) );
+  sky130_fd_sc_hd__clkinv_1 U50566 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_beatsLeft[2]), .Y(
+        n47641) );
+  sky130_fd_sc_hd__inv_2 U50567 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_28[2]), 
+        .Y(n58016) );
+  sky130_fd_sc_hd__clkinv_1 U50568 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[28]), .Y(n74200) );
+  sky130_fd_sc_hd__clkinv_1 U50569 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[27]), .Y(n70359) );
+  sky130_fd_sc_hd__clkinv_1 U50570 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_beatsLeft[1]), .Y(
+        n47642) );
+  sky130_fd_sc_hd__inv_2 U50571 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .Y(n41845) );
+  sky130_fd_sc_hd__clkinv_1 U50572 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[30]), .Y(n39455) );
+  sky130_fd_sc_hd__clkinv_1 U50573 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[12]), .Y(n47519) );
+  sky130_fd_sc_hd__clkinv_1 U50574 ( .A(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_dmOuter_out_prepend_15_31_), 
+        .Y(n84245) );
+  sky130_fd_sc_hd__clkinv_1 U50575 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[8]), .Y(n79685) );
+  sky130_fd_sc_hd__clkinv_1 U50576 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[9]), .Y(n68611) );
+  sky130_fd_sc_hd__clkinv_1 U50577 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[2]), .Y(n64004) );
+  sky130_fd_sc_hd__clkinv_1 U50578 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_stickyBusyReg), .Y(n79527) );
+  sky130_fd_sc_hd__clkinv_1 U50579 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[5]), .Y(n71132) );
+  sky130_fd_sc_hd__clkinv_1 U50580 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[8]), .Y(n64020) );
+  sky130_fd_sc_hd__clkinv_1 U50581 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count[0]), .Y(n64574) );
+  sky130_fd_sc_hd__clkinv_1 U50582 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy__io_rx_bits_T_9[2]), .Y(n80560) );
+  sky130_fd_sc_hd__clkinv_1 U50583 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[14]), .Y(n64534) );
+  sky130_fd_sc_hd__clkinv_1 U50584 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_22[2]), 
+        .Y(n57943) );
+  sky130_fd_sc_hd__clkinv_1 U50585 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[19]), .Y(n53821) );
+  sky130_fd_sc_hd__clkinv_1 U50586 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_30[1]), .Y(
+        n41382) );
+  sky130_fd_sc_hd__clkinv_1 U50587 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[8]), .Y(n60333) );
+  sky130_fd_sc_hd__clkinv_1 U50588 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[43]), .Y(n47524) );
+  sky130_fd_sc_hd__clkinv_1 U50589 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_io_chainOut_data), .Y(
+        n76342) );
+  sky130_fd_sc_hd__clkinv_1 U50590 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[1]), .Y(n76987) );
+  sky130_fd_sc_hd__clkinv_1 U50591 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[2]), .Y(n47622) );
+  sky130_fd_sc_hd__clkinv_1 U50592 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[6]), .Y(n51352) );
+  sky130_fd_sc_hd__clkinv_1 U50593 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[0]), .Y(n59000) );
+  sky130_fd_sc_hd__clkinv_1 U50594 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[13]), .Y(n47523) );
+  sky130_fd_sc_hd__clkinv_1 U50595 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[22]), .Y(n39454) );
+  sky130_fd_sc_hd__clkinv_1 U50596 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[59]), .Y(n37551) );
+  sky130_fd_sc_hd__clkinv_1 U50597 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[7]), .Y(n58908) );
+  sky130_fd_sc_hd__clkinv_1 U50598 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[18]), .Y(n47517) );
+  sky130_fd_sc_hd__clkinv_1 U50599 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_MPORT_addr[2]), .Y(n81304) );
+  sky130_fd_sc_hd__clkinv_1 U50600 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_sSDA), .Y(n79473) );
+  sky130_fd_sc_hd__clkinv_1 U50601 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_acknum[1]), .Y(n83584) );
+  sky130_fd_sc_hd__clkinv_1 U50602 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[6]), .Y(n73582) );
+  sky130_fd_sc_hd__clkinv_1 U50603 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[32]), .Y(n51349) );
+  sky130_fd_sc_hd__clkinv_1 U50604 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_7[18]), .Y(n52994) );
+  sky130_fd_sc_hd__clkinv_1 U50605 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_16[0]), .Y(
+        n56404) );
+  sky130_fd_sc_hd__clkinv_1 U50606 ( .A(
+        MarmotCaravelChip_dut_sys_uart_0_rxd_uart_rxd_sync_io_q), .Y(n81385)
+         );
+  sky130_fd_sc_hd__clkinv_1 U50607 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[9]), .Y(n68625) );
+  sky130_fd_sc_hd__clkinv_1 U50608 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[23]), .Y(n74322) );
+  sky130_fd_sc_hd__clkinv_1 U50609 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[16]), .Y(n47515) );
+  sky130_fd_sc_hd__clkinv_1 U50610 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[48]), .Y(n68474) );
+  sky130_fd_sc_hd__clkinv_1 U50611 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_29[1]), .Y(
+        n41544) );
+  sky130_fd_sc_hd__clkinv_1 U50612 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[46]), .Y(n47516) );
+  sky130_fd_sc_hd__clkinv_1 U50613 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_8[1]), .Y(
+        n41448) );
+  sky130_fd_sc_hd__clkinv_1 U50614 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_maybe_full), .Y(n47679) );
+  sky130_fd_sc_hd__clkinv_1 U50615 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[5]), .Y(n75937) );
+  sky130_fd_sc_hd__clkinv_1 U50616 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_6[0]), .Y(
+        n56949) );
+  sky130_fd_sc_hd__clkinv_1 U50617 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_io_deq_bits_MPORT_addr[2]), .Y(n81297) );
+  sky130_fd_sc_hd__clkinv_1 U50618 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_MPORT_addr[1]), .Y(n59902) );
+  sky130_fd_sc_hd__clkinv_1 U50619 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_io_deq_bits_MPORT_addr[1]), .Y(n79135) );
+  sky130_fd_sc_hd__clkinv_1 U50620 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[4]), .Y(n64023) );
+  sky130_fd_sc_hd__clkinv_1 U50621 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[3]), .Y(n64006) );
+  sky130_fd_sc_hd__clkinv_1 U50622 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[10]), .Y(n78387) );
+  sky130_fd_sc_hd__clkinv_1 U50623 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[6]), .Y(n40774) );
+  sky130_fd_sc_hd__clkinv_1 U50624 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[22]), .Y(n78386) );
+  sky130_fd_sc_hd__clkinv_1 U50625 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[44]), .Y(n47522) );
+  sky130_fd_sc_hd__clkinv_1 U50626 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_clkEn), .Y(
+        n83820) );
+  sky130_fd_sc_hd__clkinv_1 U50627 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[746]), .Y(n66035) );
+  sky130_fd_sc_hd__clkinv_1 U50628 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[7]), .Y(n79681) );
+  sky130_fd_sc_hd__clkinv_1 U50629 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[0]), .Y(n76465) );
+  sky130_fd_sc_hd__clkinv_1 U50630 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[15]), .Y(n72364) );
+  sky130_fd_sc_hd__clkinv_1 U50631 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_16[19]), .Y(n67656) );
+  sky130_fd_sc_hd__clkinv_1 U50632 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_435[0]), .Y(n72369) );
+  sky130_fd_sc_hd__clkinv_1 U50633 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[15]), .Y(n64535) );
+  sky130_fd_sc_hd__clkinv_1 U50634 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[39]), .Y(n51351) );
+  sky130_fd_sc_hd__clkinv_1 U50635 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_gennum[0]), .Y(n47677) );
+  sky130_fd_sc_hd__clkinv_1 U50636 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[4]), .Y(n76877) );
+  sky130_fd_sc_hd__clkinv_1 U50637 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_34), .Y(n67797) );
+  sky130_fd_sc_hd__clkinv_1 U50638 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[27]), .Y(n71107) );
+  sky130_fd_sc_hd__clkinv_1 U50639 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_sclOen), .Y(
+        n85792) );
+  sky130_fd_sc_hd__clkinv_1 U50640 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[9]), .Y(n39471) );
+  sky130_fd_sc_hd__clkinv_1 U50641 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[3]), .Y(n67284) );
+  sky130_fd_sc_hd__clkinv_1 U50642 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_10[1]), .Y(
+        n47345) );
+  sky130_fd_sc_hd__clkinv_1 U50643 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_cfg_a[0]), .Y(n60017) );
+  sky130_fd_sc_hd__clkinv_1 U50644 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[15]), .Y(n39460) );
+  sky130_fd_sc_hd__clkinv_1 U50645 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[27]), .Y(n39457) );
+  sky130_fd_sc_hd__clkinv_1 U50646 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_currState[0]), 
+        .Y(n60349) );
+  sky130_fd_sc_hd__clkinv_1 U50647 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_3[2]), 
+        .Y(n38076) );
+  sky130_fd_sc_hd__clkinv_1 U50648 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_gennum[3]), .Y(n47505) );
+  sky130_fd_sc_hd__clkinv_1 U50649 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[18]), .Y(n65632) );
+  sky130_fd_sc_hd__clkinv_1 U50650 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_MPORT_addr[0]), .Y(n56625) );
+  sky130_fd_sc_hd__clkinv_1 U50651 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history[2]), .Y(n72855) );
+  sky130_fd_sc_hd__clkinv_1 U50652 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_16[1]), .Y(
+        n47303) );
+  sky130_fd_sc_hd__clkinv_1 U50653 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_gennum[4]), .Y(n55089) );
+  sky130_fd_sc_hd__clkinv_1 U50654 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[2]), .Y(n59008) );
+  sky130_fd_sc_hd__clkinv_1 U50655 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[7]), .Y(n80310) );
+  sky130_fd_sc_hd__clkinv_1 U50656 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_N43), 
+        .Y(n61003) );
+  sky130_fd_sc_hd__clkinv_1 U50657 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_1[0]), .Y(
+        n56639) );
+  sky130_fd_sc_hd__clkinv_1 U50658 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[5]), .Y(n66882) );
+  sky130_fd_sc_hd__clkinv_1 U50659 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_io_deq_bits_MPORT_addr[1]), .Y(n44421) );
+  sky130_fd_sc_hd__clkinv_1 U50660 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_s_0_state[0]), 
+        .Y(n83808) );
+  sky130_fd_sc_hd__clkinv_1 U50661 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[4]), .Y(n75934) );
+  sky130_fd_sc_hd__clkinv_1 U50662 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_slave_in_d_bits_source[1]), .Y(n82509) );
+  sky130_fd_sc_hd__clkinv_1 U50663 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_io_deq_bits_MPORT_addr[2]), .Y(n81149) );
+  sky130_fd_sc_hd__clkinv_1 U50664 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_tlb_xcpt_ae_st), .Y(n43068) );
+  sky130_fd_sc_hd__clkinv_1 U50665 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_io_link_fmt_proto[1]), .Y(n77953) );
+  sky130_fd_sc_hd__inv_2 U50666 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .Y(n72748) );
+  sky130_fd_sc_hd__clkinv_1 U50667 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_io_deq_bits_MPORT_addr[2]), .Y(n81068) );
+  sky130_fd_sc_hd__clkinv_1 U50668 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_cfg_a[1]), .Y(n44610) );
+  sky130_fd_sc_hd__clkinv_1 U50669 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[6]), .Y(n70508) );
+  sky130_fd_sc_hd__clkinv_1 U50670 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_io_deq_bits_MPORT_addr[1]), .Y(n49189) );
+  sky130_fd_sc_hd__clkinv_1 U50671 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_maybe_full), .Y(n59931) );
+  sky130_fd_sc_hd__clkinv_1 U50672 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[1]), 
+        .Y(n78104) );
+  sky130_fd_sc_hd__clkinv_1 U50673 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[35]), .Y(n70340) );
+  sky130_fd_sc_hd__clkinv_1 U50674 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg[29]), 
+        .Y(n80133) );
+  sky130_fd_sc_hd__clkinv_1 U50675 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b19_12_T_4[7]), .Y(n53822) );
+  sky130_fd_sc_hd__clkinv_1 U50676 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[17]), .Y(n64543) );
+  sky130_fd_sc_hd__clkinv_1 U50677 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[2]), .Y(n74282) );
+  sky130_fd_sc_hd__clkinv_1 U50678 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_mask[0]), .Y(n47644) );
+  sky130_fd_sc_hd__clkinv_1 U50679 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[27]), .Y(
+        n58010) );
+  sky130_fd_sc_hd__clkinv_1 U50680 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[26]), .Y(n71172) );
+  sky130_fd_sc_hd__clkinv_1 U50681 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_io_deq_bits_MPORT_addr[2]), .Y(n81128) );
+  sky130_fd_sc_hd__inv_2 U50682 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_currState[1]), 
+        .Y(n79543) );
+  sky130_fd_sc_hd__clkinv_1 U50683 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[28]), .Y(n57396) );
+  sky130_fd_sc_hd__clkinv_1 U50684 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_mask[4]), .Y(n47645) );
+  sky130_fd_sc_hd__clkinv_1 U50685 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[4]), .Y(n76919) );
+  sky130_fd_sc_hd__clkinv_1 U50686 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[6]), .Y(n79677) );
+  sky130_fd_sc_hd__clkinv_1 U50687 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_size[0]), .Y(n78360) );
+  sky130_fd_sc_hd__clkinv_1 U50688 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_23[30]), .Y(n60216) );
+  sky130_fd_sc_hd__clkinv_1 U50689 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_maybe_full), .Y(n59498) );
+  sky130_fd_sc_hd__clkinv_1 U50690 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_io_deq_bits_MPORT_addr[0]), .Y(n49934) );
+  sky130_fd_sc_hd__clkinv_1 U50691 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__newBPC_T_24_27_), .Y(n72567) );
+  sky130_fd_sc_hd__clkinv_1 U50692 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[0]), .Y(n77026) );
+  sky130_fd_sc_hd__clkinv_1 U50693 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[9]), .Y(n68642) );
+  sky130_fd_sc_hd__clkinv_1 U50694 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_16[23]), .Y(n79357) );
+  sky130_fd_sc_hd__clkinv_1 U50695 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_11[1]), .Y(
+        n41446) );
+  sky130_fd_sc_hd__clkinv_1 U50696 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_io_link_fmt_proto[1]), .Y(n77882) );
+  sky130_fd_sc_hd__clkinv_1 U50697 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[11]), .Y(n60343) );
+  sky130_fd_sc_hd__clkinv_1 U50698 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[25]), .Y(n71169) );
+  sky130_fd_sc_hd__clkinv_1 U50699 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__waddr_T_39_4_), .Y(n57374) );
+  sky130_fd_sc_hd__clkinv_1 U50700 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[8]), 
+        .Y(n79846) );
+  sky130_fd_sc_hd__clkinv_1 U50701 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data[4]), .Y(n39275) );
+  sky130_fd_sc_hd__inv_1 U50702 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[1]), .Y(n41205) );
+  sky130_fd_sc_hd__clkinv_1 U50703 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[9]), .Y(n79689) );
+  sky130_fd_sc_hd__clkinv_1 U50704 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[10]), .Y(n79693) );
+  sky130_fd_sc_hd__clkinv_1 U50705 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_mask[5]), .Y(n59325) );
+  sky130_fd_sc_hd__clkinv_1 U50706 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[22]), .Y(n69650) );
+  sky130_fd_sc_hd__clkinv_1 U50707 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_mask[1]), .Y(n59324) );
+  sky130_fd_sc_hd__clkinv_1 U50708 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[1]), .Y(n73263) );
+  sky130_fd_sc_hd__clkinv_1 U50709 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[7]), 
+        .Y(n79842) );
+  sky130_fd_sc_hd__clkinv_1 U50710 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_28[1]), .Y(
+        n47290) );
+  sky130_fd_sc_hd__clkinv_1 U50711 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[26]), .Y(n54314) );
+  sky130_fd_sc_hd__clkinv_1 U50712 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[56]), .Y(n56158) );
+  sky130_fd_sc_hd__clkinv_1 U50713 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_17[1]), .Y(
+        n41393) );
+  sky130_fd_sc_hd__clkinv_1 U50714 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_15[0]), .Y(
+        n44095) );
+  sky130_fd_sc_hd__clkinv_1 U50715 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[11]), .Y(n79697) );
+  sky130_fd_sc_hd__clkinv_1 U50716 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[38]), .Y(
+        n66930) );
+  sky130_fd_sc_hd__clkinv_1 U50717 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[14]), .Y(
+        n60439) );
+  sky130_fd_sc_hd__clkinv_1 U50718 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[19]), .Y(n64550) );
+  sky130_fd_sc_hd__clkinv_1 U50719 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_tsr), .Y(n59249) );
+  sky130_fd_sc_hd__clkinv_1 U50720 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_opcode[2]), .Y(n47783) );
+  sky130_fd_sc_hd__clkinv_1 U50721 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[18]), .Y(n68082) );
+  sky130_fd_sc_hd__clkinv_1 U50722 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[5]), .Y(n67788) );
+  sky130_fd_sc_hd__clkinv_1 U50723 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[16]), .Y(n78382) );
+  sky130_fd_sc_hd__clkinv_1 U50724 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[14]), .Y(n55481) );
+  sky130_fd_sc_hd__clkinv_1 U50725 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_auto_qspi_ram_0_mem_xing_in_d_bits_source[2]), .Y(n83334) );
+  sky130_fd_sc_hd__clkinv_1 U50726 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[27]), .Y(n69630) );
+  sky130_fd_sc_hd__clkinv_1 U50727 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[16]), .Y(n39462) );
+  sky130_fd_sc_hd__clkinv_1 U50728 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_slave_in_d_bits_source[2]), .Y(n82514) );
+  sky130_fd_sc_hd__clkinv_1 U50729 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_24[1]), .Y(
+        n47294) );
+  sky130_fd_sc_hd__clkinv_1 U50730 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cnt[1]), .Y(n80428) );
+  sky130_fd_sc_hd__clkinv_1 U50731 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[30]), .Y(n40878) );
+  sky130_fd_sc_hd__clkinv_1 U50732 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[58]), .Y(n57621) );
+  sky130_fd_sc_hd__clkinv_1 U50733 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_cs_assert), 
+        .Y(n84122) );
+  sky130_fd_sc_hd__clkinv_1 U50734 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[13]), .Y(n79705) );
+  sky130_fd_sc_hd__clkinv_1 U50735 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_beatsLeft[6]), .Y(n84143) );
+  sky130_fd_sc_hd__clkinv_1 U50736 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[14]), .Y(n79708) );
+  sky130_fd_sc_hd__clkinv_1 U50737 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[28]), .Y(n73704) );
+  sky130_fd_sc_hd__clkinv_1 U50738 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter[1]), .Y(n60002) );
+  sky130_fd_sc_hd__clkinv_1 U50739 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[33]), .Y(n51357) );
+  sky130_fd_sc_hd__clkinv_1 U50740 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[4]), .Y(n78383) );
+  sky130_fd_sc_hd__clkinv_1 U50741 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_io_deq_bits_opcode[2]), .Y(n60457) );
+  sky130_fd_sc_hd__clkinv_1 U50742 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_MPORT_addr[0]), .Y(n81074) );
+  sky130_fd_sc_hd__clkinv_1 U50743 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[4]), 
+        .Y(n79633) );
+  sky130_fd_sc_hd__clkinv_1 U50744 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[31]), .Y(n53943) );
+  sky130_fd_sc_hd__clkinv_1 U50745 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_size[1]), .Y(n37564) );
+  sky130_fd_sc_hd__clkinv_1 U50746 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[10]), .Y(n39519) );
+  sky130_fd_sc_hd__clkinv_1 U50747 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_MPORT_addr[3]), .Y(n83173) );
+  sky130_fd_sc_hd__clkinv_1 U50748 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_wrong_path), .Y(n73134) );
+  sky130_fd_sc_hd__clkinv_1 U50749 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_15[0]), .Y(
+        n56197) );
+  sky130_fd_sc_hd__clkinv_1 U50750 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[0]), .Y(n68319) );
+  sky130_fd_sc_hd__clkinv_1 U50751 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxen), .Y(n73826) );
+  sky130_fd_sc_hd__clkinv_1 U50752 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[41]), .Y(n55458) );
+  sky130_fd_sc_hd__clkinv_1 U50753 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[5]), .Y(n75887) );
+  sky130_fd_sc_hd__clkinv_1 U50754 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[7]), .Y(n82625) );
+  sky130_fd_sc_hd__clkinv_1 U50755 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[5]), .Y(n76876) );
+  sky130_fd_sc_hd__clkinv_1 U50756 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[20]), .Y(n73671) );
+  sky130_fd_sc_hd__clkinv_1 U50757 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[8]), .Y(n39517) );
+  sky130_fd_sc_hd__clkinv_1 U50758 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[12]), .Y(n81111) );
+  sky130_fd_sc_hd__clkinv_1 U50759 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_55[0]), .Y(n41302) );
+  sky130_fd_sc_hd__clkinv_1 U50760 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy__io_rx_bits_T_9[3]), .Y(n80861) );
+  sky130_fd_sc_hd__clkinv_1 U50761 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[43]), .Y(n69999) );
+  sky130_fd_sc_hd__clkinv_1 U50762 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n64019) );
+  sky130_fd_sc_hd__clkinv_1 U50763 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_opcode_0_), .Y(n41585) );
+  sky130_fd_sc_hd__clkinv_1 U50764 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_io_deq_bits_opcode[1]), .Y(n54321) );
+  sky130_fd_sc_hd__clkinv_1 U50765 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[9]), .Y(n60332) );
+  sky130_fd_sc_hd__clkinv_1 U50766 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[9]), .Y(n70953) );
+  sky130_fd_sc_hd__clkinv_1 U50767 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[5]), .Y(n53419) );
+  sky130_fd_sc_hd__clkinv_1 U50768 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[20]), .Y(n59036) );
+  sky130_fd_sc_hd__clkinv_1 U50769 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[16]), .Y(n74443) );
+  sky130_fd_sc_hd__clkinv_1 U50770 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_beatsLeft[2]), .Y(n84135) );
+  sky130_fd_sc_hd__clkinv_1 U50771 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[9]), .Y(n73600) );
+  sky130_fd_sc_hd__clkinv_1 U50772 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[4]), .Y(n40127) );
+  sky130_fd_sc_hd__clkinv_1 U50773 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[33]), .Y(n65270) );
+  sky130_fd_sc_hd__clkinv_1 U50774 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[13]), .Y(n69106) );
+  sky130_fd_sc_hd__clkinv_1 U50775 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_action), .Y(n41232) );
+  sky130_fd_sc_hd__clkinv_1 U50776 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[7]), .Y(n73583) );
+  sky130_fd_sc_hd__clkinv_1 U50777 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_23[29]), .Y(n63130) );
+  sky130_fd_sc_hd__clkinv_1 U50778 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[2]), .Y(n77413) );
+  sky130_fd_sc_hd__clkinv_1 U50779 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[21]), .Y(n73668) );
+  sky130_fd_sc_hd__clkinv_1 U50780 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[20]), .Y(n76046) );
+  sky130_fd_sc_hd__clkinv_1 U50781 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_16[21]), .Y(n60242) );
+  sky130_fd_sc_hd__clkinv_1 U50782 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[14]), .Y(n74275) );
+  sky130_fd_sc_hd__clkinv_1 U50783 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_opcode[2]), .Y(n47769) );
+  sky130_fd_sc_hd__clkinv_1 U50784 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[29]), .Y(n69622) );
+  sky130_fd_sc_hd__clkinv_1 U50785 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_gennum[0]), .Y(n77541) );
+  sky130_fd_sc_hd__clkinv_1 U50786 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[25]), 
+        .Y(n79974) );
+  sky130_fd_sc_hd__clkinv_1 U50787 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_gennum[2]), .Y(n47579) );
+  sky130_fd_sc_hd__clkinv_1 U50788 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[27]), .Y(n43427) );
+  sky130_fd_sc_hd__clkinv_1 U50789 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_gennum[0]), .Y(n48738) );
+  sky130_fd_sc_hd__clkinv_1 U50790 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[15]), .Y(n78389) );
+  sky130_fd_sc_hd__clkinv_1 U50791 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[0]), .Y(n54100) );
+  sky130_fd_sc_hd__clkinv_1 U50792 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[4]), .Y(n44644) );
+  sky130_fd_sc_hd__clkinv_1 U50793 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[2]), .Y(n80992) );
+  sky130_fd_sc_hd__clkinv_1 U50794 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_nextPageRepl[1]), .Y(n82596) );
+  sky130_fd_sc_hd__clkinv_1 U50795 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[23]), .Y(n78433) );
+  sky130_fd_sc_hd__clkinv_1 U50796 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23[28]), .Y(n60228) );
+  sky130_fd_sc_hd__clkinv_1 U50797 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[23]), .Y(n64556) );
+  sky130_fd_sc_hd__clkinv_1 U50798 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[18]), .Y(n74240) );
+  sky130_fd_sc_hd__clkinv_1 U50799 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_io_deq_bits_mask[0]), .Y(n66839) );
+  sky130_fd_sc_hd__clkinv_1 U50800 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[2]), 
+        .Y(n60194) );
+  sky130_fd_sc_hd__clkinv_1 U50801 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_size[0]), .Y(n47508) );
+  sky130_fd_sc_hd__clkinv_1 U50802 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[22]), .Y(n73879) );
+  sky130_fd_sc_hd__clkinv_1 U50803 ( .A(
+        MarmotCaravelChip_dut_sys_iof_0_iof_0_14_i_ival), .Y(n80122) );
+  sky130_fd_sc_hd__clkinv_1 U50804 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_io_update_bits_dmireset), 
+        .Y(n79522) );
+  sky130_fd_sc_hd__clkinv_1 U50805 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[23]), 
+        .Y(n79970) );
+  sky130_fd_sc_hd__clkinv_1 U50806 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[20]), .Y(n71023) );
+  sky130_fd_sc_hd__clkinv_1 U50807 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[28]), 
+        .Y(n79981) );
+  sky130_fd_sc_hd__clkinv_1 U50808 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[13]), .Y(n56063) );
+  sky130_fd_sc_hd__clkinv_1 U50809 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[16]), .Y(n74277) );
+  sky130_fd_sc_hd__clkinv_1 U50810 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[11]), .Y(n75909) );
+  sky130_fd_sc_hd__clkinv_1 U50811 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[1]), .Y(n56046) );
+  sky130_fd_sc_hd__clkinv_1 U50812 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_opcode[2]), .Y(n47696) );
+  sky130_fd_sc_hd__clkinv_1 U50813 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_amoalu_io_cmd[3]), .Y(n75906) );
+  sky130_fd_sc_hd__clkinv_1 U50814 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_sample_d), .Y(n80208) );
+  sky130_fd_sc_hd__clkinv_1 U50815 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_gennum[3]), .Y(n41617) );
+  sky130_fd_sc_hd__clkinv_1 U50816 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_cfg_r), .Y(n43201) );
+  sky130_fd_sc_hd__clkinv_1 U50817 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_MPORT_addr[0]), .Y(n81303) );
+  sky130_fd_sc_hd__clkinv_1 U50818 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[0]), .Y(n60344) );
+  sky130_fd_sc_hd__clkinv_1 U50819 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_MPORT_addr[1]), .Y(n81052) );
+  sky130_fd_sc_hd__clkinv_1 U50820 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[3]), 
+        .Y(n60190) );
+  sky130_fd_sc_hd__clkinv_1 U50821 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_auto_plic_in_d_bits_size[1]), .Y(n83438) );
+  sky130_fd_sc_hd__clkinv_1 U50822 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[2]), .Y(n79029) );
+  sky130_fd_sc_hd__clkinv_1 U50823 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_19[1]), .Y(
+        n41495) );
+  sky130_fd_sc_hd__clkinv_1 U50824 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[6]), .Y(n80197) );
+  sky130_fd_sc_hd__clkinv_1 U50825 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_nextPageRepl[0]), .Y(n40578) );
+  sky130_fd_sc_hd__clkinv_1 U50826 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[11]), .Y(n74296) );
+  sky130_fd_sc_hd__clkinv_1 U50827 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[55]), .Y(
+        n67005) );
+  sky130_fd_sc_hd__clkinv_1 U50828 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[25]), .Y(n64559) );
+  sky130_fd_sc_hd__clkinv_1 U50829 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[16]), 
+        .Y(n60227) );
+  sky130_fd_sc_hd__inv_2 U50830 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_10[1]), 
+        .Y(n58133) );
+  sky130_fd_sc_hd__clkinv_1 U50831 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[25]), .Y(n69179) );
+  sky130_fd_sc_hd__clkinv_1 U50832 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[2]), .Y(n60337) );
+  sky130_fd_sc_hd__clkinv_1 U50833 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[11]), .Y(
+        n58103) );
+  sky130_fd_sc_hd__clkinv_1 U50834 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter[2]), .Y(n60003) );
+  sky130_fd_sc_hd__clkinv_1 U50835 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[14]), .Y(n45359) );
+  sky130_fd_sc_hd__clkinv_1 U50836 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_23[29]), .Y(n60198) );
+  sky130_fd_sc_hd__clkinv_1 U50837 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_29[0]), .Y(
+        n56743) );
+  sky130_fd_sc_hd__clkinv_1 U50838 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[21]), .Y(n74201) );
+  sky130_fd_sc_hd__clkinv_1 U50839 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[3]), .Y(n79030) );
+  sky130_fd_sc_hd__clkinv_1 U50840 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_29[2]), 
+        .Y(n58015) );
+  sky130_fd_sc_hd__clkinv_1 U50841 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_19), .Y(n62035) );
+  sky130_fd_sc_hd__clkinv_1 U50842 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_slaveWait), 
+        .Y(n79496) );
+  sky130_fd_sc_hd__clkinv_1 U50843 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__newBPC_T_27_), .Y(n60045) );
+  sky130_fd_sc_hd__clkinv_1 U50844 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[29]), .Y(n55788) );
+  sky130_fd_sc_hd__clkinv_1 U50845 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_valid), .Y(n59843) );
+  sky130_fd_sc_hd__clkinv_1 U50846 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[0]), .Y(n43195) );
+  sky130_fd_sc_hd__clkinv_1 U50847 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history[7]), .Y(n72798) );
+  sky130_fd_sc_hd__clkinv_1 U50848 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[23]), .Y(n39523) );
+  sky130_fd_sc_hd__clkinv_1 U50849 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[4]), .Y(n69973) );
+  sky130_fd_sc_hd__clkinv_1 U50850 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_MPORT_addr[0]), .Y(n49229) );
+  sky130_fd_sc_hd__clkinv_1 U50851 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[19]), .Y(n58887) );
+  sky130_fd_sc_hd__clkinv_1 U50852 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[38]), .Y(n47498) );
+  sky130_fd_sc_hd__clkinv_1 U50853 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[0]), .Y(n76909) );
+  sky130_fd_sc_hd__clkinv_1 U50854 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__fSDA_T[2]), 
+        .Y(n79500) );
+  sky130_fd_sc_hd__clkinv_1 U50855 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[22]), .Y(n74248) );
+  sky130_fd_sc_hd__clkinv_1 U50856 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_beatsLeft[4]), .Y(
+        n84157) );
+  sky130_fd_sc_hd__clkinv_1 U50857 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[12]), .Y(n82652) );
+  sky130_fd_sc_hd__clkinv_1 U50858 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[27]), .Y(n64562) );
+  sky130_fd_sc_hd__clkinv_1 U50859 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[26]), .Y(n57643) );
+  sky130_fd_sc_hd__clkinv_1 U50860 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address[11]), .Y(n53221) );
+  sky130_fd_sc_hd__clkinv_1 U50861 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[9]), .Y(n80319) );
+  sky130_fd_sc_hd__clkinv_1 U50862 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23[30]), .Y(n60234) );
+  sky130_fd_sc_hd__clkinv_1 U50863 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[26]), .Y(n55440) );
+  sky130_fd_sc_hd__clkinv_1 U50864 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy__io_rx_bits_T_9[0]), .Y(n80874) );
+  sky130_fd_sc_hd__clkinv_1 U50865 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[11]), .Y(n78162) );
+  sky130_fd_sc_hd__clkinv_1 U50866 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[12]), .Y(n60342) );
+  sky130_fd_sc_hd__clkinv_1 U50867 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[2]), .Y(n79298) );
+  sky130_fd_sc_hd__clkinv_1 U50868 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_cfg_a[0]), .Y(n43436) );
+  sky130_fd_sc_hd__clkinv_1 U50869 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[26]), .Y(n73696) );
+  sky130_fd_sc_hd__clkinv_1 U50870 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_auto_plic_in_d_bits_source[1]), .Y(n83445) );
+  sky130_fd_sc_hd__clkinv_1 U50871 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[9]), .Y(n76610) );
+  sky130_fd_sc_hd__clkinv_1 U50872 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_MPORT_addr[0]), .Y(n56009) );
+  sky130_fd_sc_hd__clkinv_1 U50873 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_inhibit_cycle), .Y(n72704) );
+  sky130_fd_sc_hd__clkinv_1 U50874 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[14]), .Y(n75915) );
+  sky130_fd_sc_hd__clkinv_1 U50875 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_opcode[2]), .Y(n47747) );
+  sky130_fd_sc_hd__clkinv_1 U50876 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_18), .Y(n62031) );
+  sky130_fd_sc_hd__clkinv_1 U50877 ( .A(n86600), .Y(n84206) );
+  sky130_fd_sc_hd__clkinv_1 U50878 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_MPORT_addr[1]), .Y(n80395) );
+  sky130_fd_sc_hd__clkinv_1 U50879 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_cmd[3]), .Y(n74198) );
+  sky130_fd_sc_hd__clkinv_1 U50880 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[24]), .Y(n72213) );
+  sky130_fd_sc_hd__clkinv_1 U50881 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[22]), .Y(n54309) );
+  sky130_fd_sc_hd__clkinv_1 U50882 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N43), .Y(n60063) );
+  sky130_fd_sc_hd__clkinv_1 U50883 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23[29]), .Y(n60240) );
+  sky130_fd_sc_hd__clkinv_1 U50884 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[3]), .Y(n75926) );
+  sky130_fd_sc_hd__clkinv_1 U50885 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[25]), .Y(n55793) );
+  sky130_fd_sc_hd__clkinv_1 U50886 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_10[20]), .Y(n79438) );
+  sky130_fd_sc_hd__clkinv_1 U50887 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[11]), .Y(n55475) );
+  sky130_fd_sc_hd__clkinv_1 U50888 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_rvc), .Y(n72714) );
+  sky130_fd_sc_hd__clkinv_1 U50889 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[14]), .Y(n47521) );
+  sky130_fd_sc_hd__clkinv_1 U50890 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__fSDA_T[1]), 
+        .Y(n79499) );
+  sky130_fd_sc_hd__clkinv_1 U50891 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__metaArb_io_in_5_bits_addr_T_1[11]), .Y(n54288) );
+  sky130_fd_sc_hd__clkinv_1 U50892 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb__T_1_1_), .Y(n77931) );
+  sky130_fd_sc_hd__clkinv_1 U50893 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[28]), .Y(n64563) );
+  sky130_fd_sc_hd__clkinv_1 U50894 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_count[2]), .Y(n42937) );
+  sky130_fd_sc_hd__clkinv_1 U50895 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_44), .Y(n68167) );
+  sky130_fd_sc_hd__clkinv_1 U50896 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_count[1]), .Y(n42938) );
+  sky130_fd_sc_hd__clkinv_1 U50897 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt[0]), .Y(n79320) );
+  sky130_fd_sc_hd__clkinv_1 U50898 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_count[0]), .Y(n42936) );
+  sky130_fd_sc_hd__clkinv_1 U50899 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[1]), .Y(n49826) );
+  sky130_fd_sc_hd__clkinv_1 U50900 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[11]), .Y(n53371) );
+  sky130_fd_sc_hd__clkinv_1 U50901 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_gennum[5]), .Y(n55086) );
+  sky130_fd_sc_hd__clkinv_1 U50902 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[15]), .Y(n71150) );
+  sky130_fd_sc_hd__clkinv_1 U50903 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_11[0]), .Y(
+        n57253) );
+  sky130_fd_sc_hd__clkinv_1 U50904 ( .A(
+        MarmotCaravelChip_dut_sys_iof_0_iof_0_12_i_ival), .Y(n80120) );
+  sky130_fd_sc_hd__clkinv_1 U50905 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_valid), .Y(n73157) );
+  sky130_fd_sc_hd__clkinv_1 U50906 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[5]), .Y(n82579) );
+  sky130_fd_sc_hd__clkinv_1 U50907 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_gennum[1]), .Y(n47504) );
+  sky130_fd_sc_hd__clkinv_1 U50908 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cnt[2]), .Y(n61617) );
+  sky130_fd_sc_hd__clkinv_1 U50909 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pma_checker_io_req_bits_size[1]), .Y(n78362) );
+  sky130_fd_sc_hd__clkinv_1 U50910 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmdAck), 
+        .Y(n79591) );
+  sky130_fd_sc_hd__clkinv_1 U50911 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[13]), .Y(n81343) );
+  sky130_fd_sc_hd__clkinv_1 U50912 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[24]), 
+        .Y(n60158) );
+  sky130_fd_sc_hd__clkinv_1 U50913 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_size[4]), .Y(n47489) );
+  sky130_fd_sc_hd__clkinv_1 U50914 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg[23]), 
+        .Y(n80127) );
+  sky130_fd_sc_hd__clkinv_1 U50915 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_hi_lo_hi_1[4]), .Y(n39586) );
+  sky130_fd_sc_hd__clkinv_1 U50916 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[16]), .Y(n55464) );
+  sky130_fd_sc_hd__clkinv_1 U50917 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeat_count[0]), .Y(n83308) );
+  sky130_fd_sc_hd__clkinv_1 U50918 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_8[0]), .Y(
+        n56741) );
+  sky130_fd_sc_hd__clkinv_1 U50919 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[4]), .Y(n69977) );
+  sky130_fd_sc_hd__clkinv_1 U50920 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_sSCL), .Y(
+        n79498) );
+  sky130_fd_sc_hd__clkinv_1 U50921 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_gennum[0]), .Y(n48732) );
+  sky130_fd_sc_hd__clkinv_1 U50922 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[1]), .Y(n76927) );
+  sky130_fd_sc_hd__clkinv_1 U50923 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[8]), .Y(n44009) );
+  sky130_fd_sc_hd__clkinv_1 U50924 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_prediction_entry[2]), .Y(n59729) );
+  sky130_fd_sc_hd__clkinv_1 U50925 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[1]), .Y(n55885) );
+  sky130_fd_sc_hd__clkinv_1 U50926 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_cfg_l), .Y(n70792) );
+  sky130_fd_sc_hd__clkinv_1 U50927 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[10]), .Y(n73601) );
+  sky130_fd_sc_hd__clkinv_1 U50928 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[24]), .Y(n44675) );
+  sky130_fd_sc_hd__clkinv_1 U50929 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_8[2]), 
+        .Y(n58131) );
+  sky130_fd_sc_hd__clkinv_1 U50930 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[21]), .Y(n54308) );
+  sky130_fd_sc_hd__clkinv_1 U50931 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_io_deq_bits_MPORT_addr[0]), .Y(n49782) );
+  sky130_fd_sc_hd__inv_1 U50932 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_24[2]), 
+        .Y(n58049) );
+  sky130_fd_sc_hd__clkinv_1 U50933 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[24]), .Y(n56157) );
+  sky130_fd_sc_hd__clkinv_1 U50934 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[40]), .Y(n68631) );
+  sky130_fd_sc_hd__clkinv_1 U50935 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_23[28]), .Y(n67418) );
+  sky130_fd_sc_hd__clkinv_1 U50936 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_valid), .Y(n53223) );
+  sky130_fd_sc_hd__clkinv_1 U50937 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[17]), 
+        .Y(n79958) );
+  sky130_fd_sc_hd__clkinv_1 U50938 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[1]), .Y(n41214) );
+  sky130_fd_sc_hd__clkinv_1 U50939 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[38]), .Y(n70328) );
+  sky130_fd_sc_hd__clkinv_1 U50940 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_io_deq_bits_MPORT_addr[0]), .Y(n61624) );
+  sky130_fd_sc_hd__buf_2 U50941 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[1]), .X(n37032) );
+  sky130_fd_sc_hd__clkinv_1 U50942 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_tmatch[1]), .Y(n43997) );
+  sky130_fd_sc_hd__clkinv_1 U50943 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_sample_d), .Y(n80834) );
+  sky130_fd_sc_hd__clkinv_1 U50944 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[30]), .Y(n78455) );
+  sky130_fd_sc_hd__clkinv_1 U50945 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_acknum[1]), .Y(n83618) );
+  sky130_fd_sc_hd__clkinv_1 U50946 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[6]), .Y(n55819) );
+  sky130_fd_sc_hd__clkinv_1 U50947 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[37]), .Y(n52870) );
+  sky130_fd_sc_hd__clkinv_1 U50948 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[5]), .Y(n52869) );
+  sky130_fd_sc_hd__clkinv_1 U50949 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[13]), .Y(n74269) );
+  sky130_fd_sc_hd__clkinv_1 U50950 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[4]), .Y(n78944) );
+  sky130_fd_sc_hd__clkinv_1 U50951 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_addr[6]), .Y(n79547) );
+  sky130_fd_sc_hd__clkinv_1 U50952 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[38]), .Y(n70439) );
+  sky130_fd_sc_hd__clkinv_1 U50953 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_refill_valid), .Y(n78199) );
+  sky130_fd_sc_hd__clkinv_1 U50954 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[29]), .Y(n72520) );
+  sky130_fd_sc_hd__clkinv_1 U50955 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_shifter_0_), .Y(n77798) );
+  sky130_fd_sc_hd__clkinv_1 U50956 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_sSCL), .Y(n79474) );
+  sky130_fd_sc_hd__clkinv_1 U50957 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[22]), .Y(n64554) );
+  sky130_fd_sc_hd__clkinv_1 U50958 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[1]), .Y(n60469) );
+  sky130_fd_sc_hd__clkinv_1 U50959 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[5]), .Y(n53654) );
+  sky130_fd_sc_hd__clkinv_1 U50960 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[15]), .Y(n74791) );
+  sky130_fd_sc_hd__clkinv_1 U50961 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[4]), 
+        .Y(n78137) );
+  sky130_fd_sc_hd__clkinv_1 U50962 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[24]), .Y(n70904) );
+  sky130_fd_sc_hd__clkinv_1 U50963 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_state[1]), .Y(n80679) );
+  sky130_fd_sc_hd__clkinv_1 U50964 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[6]), .Y(n76968) );
+  sky130_fd_sc_hd__clkinv_1 U50965 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_2), .Y(n43510) );
+  sky130_fd_sc_hd__clkinv_1 U50966 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_cfg_w), .Y(n75650) );
+  sky130_fd_sc_hd__clkinv_1 U50967 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_waddr[0]), .Y(n72602) );
+  sky130_fd_sc_hd__clkinv_1 U50968 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_gennum[0]), .Y(n47711) );
+  sky130_fd_sc_hd__clkinv_1 U50969 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_requestor_0_resp_bits_tag[5]), .Y(n64245) );
+  sky130_fd_sc_hd__clkinv_1 U50970 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_auto_plic_in_d_bits_source[2]), .Y(n54865) );
+  sky130_fd_sc_hd__clkinv_1 U50971 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_6), .Y(n59822)
+         );
+  sky130_fd_sc_hd__clkinv_1 U50972 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[0]), .Y(n76550) );
+  sky130_fd_sc_hd__clkinv_1 U50973 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[7]), .Y(n54103) );
+  sky130_fd_sc_hd__clkinv_1 U50974 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[8]), .Y(n68548) );
+  sky130_fd_sc_hd__clkinv_1 U50975 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[27]), .Y(n55792) );
+  sky130_fd_sc_hd__clkinv_1 U50976 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_io_deq_bits_MPORT_addr[2]), .Y(n80711) );
+  sky130_fd_sc_hd__clkinv_1 U50977 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[9]), .Y(n55457) );
+  sky130_fd_sc_hd__clkinv_1 U50978 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[2]), .Y(n83121) );
+  sky130_fd_sc_hd__clkinv_1 U50979 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[21]), .Y(n76048) );
+  sky130_fd_sc_hd__clkinv_1 U50980 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_cfg_l), .Y(n71554) );
+  sky130_fd_sc_hd__clkinv_1 U50981 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[12]), .Y(n74203) );
+  sky130_fd_sc_hd__clkinv_1 U50982 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[3]), .Y(n61247) );
+  sky130_fd_sc_hd__clkinv_1 U50983 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy__io_rx_bits_T_9[2]), .Y(n80870) );
+  sky130_fd_sc_hd__clkinv_1 U50984 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[25]), .Y(n69255) );
+  sky130_fd_sc_hd__clkinv_1 U50985 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[30]), .Y(n64566) );
+  sky130_fd_sc_hd__clkinv_1 U50986 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[9]), .Y(n75944) );
+  sky130_fd_sc_hd__clkinv_1 U50987 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_26), .Y(n61983) );
+  sky130_fd_sc_hd__clkinv_1 U50988 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[10]), .Y(n40907) );
+  sky130_fd_sc_hd__clkinv_1 U50989 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[7]), .Y(n76967) );
+  sky130_fd_sc_hd__clkinv_1 U50990 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_27), .Y(n61991) );
+  sky130_fd_sc_hd__clkinv_1 U50991 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[0]), .Y(n51348) );
+  sky130_fd_sc_hd__clkinv_1 U50992 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_gennum[0]), .Y(n48015) );
+  sky130_fd_sc_hd__clkinv_1 U50993 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[5]), 
+        .Y(n79931) );
+  sky130_fd_sc_hd__clkinv_1 U50994 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_lo[0]), .Y(n78462) );
+  sky130_fd_sc_hd__clkinv_1 U50995 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[1]), .Y(n49753) );
+  sky130_fd_sc_hd__clkinv_1 U50996 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_1), 
+        .Y(n80113) );
+  sky130_fd_sc_hd__clkinv_1 U50997 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[10]), .Y(n82710) );
+  sky130_fd_sc_hd__clkinv_1 U50998 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmd[2]), .Y(
+        n79750) );
+  sky130_fd_sc_hd__clkinv_1 U50999 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[8]), .Y(n82628) );
+  sky130_fd_sc_hd__clkinv_1 U51000 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[2]), .Y(n80385) );
+  sky130_fd_sc_hd__clkinv_1 U51001 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[1]), .Y(n68417) );
+  sky130_fd_sc_hd__clkinv_1 U51002 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[17]), .Y(n76721) );
+  sky130_fd_sc_hd__clkinv_1 U51003 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[8]), 
+        .Y(n79938) );
+  sky130_fd_sc_hd__clkinv_1 U51004 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_beatsLeft[2]), .Y(
+        n77476) );
+  sky130_fd_sc_hd__clkinv_1 U51005 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[1]), .Y(n61241) );
+  sky130_fd_sc_hd__clkinv_1 U51006 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[15]), .Y(n61210) );
+  sky130_fd_sc_hd__clkinv_1 U51007 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_MPORT_addr[0]), .Y(n80704) );
+  sky130_fd_sc_hd__clkinv_1 U51008 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_x), .Y(n43496) );
+  sky130_fd_sc_hd__clkinv_1 U51009 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[13]), .Y(n63919) );
+  sky130_fd_sc_hd__clkinv_1 U51010 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[12]), .Y(n73592) );
+  sky130_fd_sc_hd__clkinv_1 U51011 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_probe), .Y(n83032) );
+  sky130_fd_sc_hd__clkinv_1 U51012 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data[11]), .Y(n39253) );
+  sky130_fd_sc_hd__clkinv_1 U51013 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[7]), 
+        .Y(n78049) );
+  sky130_fd_sc_hd__clkinv_1 U51014 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[18]), .Y(n54304) );
+  sky130_fd_sc_hd__clkinv_1 U51015 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[4]), .Y(n61249) );
+  sky130_fd_sc_hd__clkinv_1 U51016 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[3]), .Y(n39466) );
+  sky130_fd_sc_hd__clkinv_1 U51017 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_lo[0]), .Y(n78379) );
+  sky130_fd_sc_hd__clkinv_1 U51018 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[49]), .Y(n55466) );
+  sky130_fd_sc_hd__clkinv_1 U51019 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[7]), .Y(n76931) );
+  sky130_fd_sc_hd__clkinv_1 U51020 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[31]), .Y(n44648) );
+  sky130_fd_sc_hd__clkinv_1 U51021 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_size[3]), .Y(n47509) );
+  sky130_fd_sc_hd__clkinv_1 U51022 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[2]), .Y(n68414) );
+  sky130_fd_sc_hd__clkinv_1 U51023 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_tselect), .Y(n40710) );
+  sky130_fd_sc_hd__clkinv_1 U51024 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[4]), .Y(n76938) );
+  sky130_fd_sc_hd__clkinv_1 U51025 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_sdaOen), .Y(
+        n60121) );
+  sky130_fd_sc_hd__clkinv_1 U51026 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[46]), .Y(
+        n66985) );
+  sky130_fd_sc_hd__clkinv_1 U51027 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[5]), .Y(n68010) );
+  sky130_fd_sc_hd__clkinv_1 U51028 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[13]), .Y(n60341) );
+  sky130_fd_sc_hd__clkinv_1 U51029 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[27]), .Y(n43428) );
+  sky130_fd_sc_hd__clkinv_1 U51030 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_slave_in_d_bits_source[3]), .Y(n54995) );
+  sky130_fd_sc_hd__clkinv_1 U51031 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_address[6]), .Y(n49019) );
+  sky130_fd_sc_hd__clkinv_1 U51032 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter[4]), .Y(n55648) );
+  sky130_fd_sc_hd__clkinv_1 U51033 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[14]), .Y(n53860) );
+  sky130_fd_sc_hd__clkinv_1 U51034 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[34]), .Y(
+        n66967) );
+  sky130_fd_sc_hd__clkinv_1 U51035 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[23]), .Y(n67093) );
+  sky130_fd_sc_hd__clkinv_1 U51036 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_waddr[3]), .Y(n72605) );
+  sky130_fd_sc_hd__buf_2 U51037 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[5]), .X(n37027) );
+  sky130_fd_sc_hd__clkinv_1 U51038 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__nextCmd_T[5]), 
+        .Y(n75860) );
+  sky130_fd_sc_hd__clkinv_1 U51039 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[30]), .Y(
+        n66960) );
+  sky130_fd_sc_hd__clkinv_1 U51040 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[4]), .Y(n79669) );
+  sky130_fd_sc_hd__clkinv_1 U51041 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_22[1]), .Y(
+        n41493) );
+  sky130_fd_sc_hd__clkinv_1 U51042 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_43), .Y(n67896) );
+  sky130_fd_sc_hd__clkinv_1 U51043 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[0]), .Y(n60470) );
+  sky130_fd_sc_hd__clkinv_1 U51044 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[0]), .Y(n63174) );
+  sky130_fd_sc_hd__clkinv_1 U51045 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[19]), .Y(n70917) );
+  sky130_fd_sc_hd__clkinv_1 U51046 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[9]), .Y(n81103) );
+  sky130_fd_sc_hd__clkinv_1 U51047 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[5]), .Y(n67681) );
+  sky130_fd_sc_hd__clkinv_1 U51048 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[11]), .Y(n70017) );
+  sky130_fd_sc_hd__clkinv_1 U51049 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[3]), .Y(n44417) );
+  sky130_fd_sc_hd__clkinv_1 U51050 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[17]), .Y(n54303) );
+  sky130_fd_sc_hd__clkinv_1 U51051 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_21), .Y(n62041) );
+  sky130_fd_sc_hd__clkinv_1 U51052 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[11]), .Y(n70006) );
+  sky130_fd_sc_hd__clkinv_1 U51053 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[11]), .Y(n81106) );
+  sky130_fd_sc_hd__clkinv_1 U51054 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mcountinhibit_2_), .Y(n43503) );
+  sky130_fd_sc_hd__clkinv_1 U51055 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[34]), .Y(n51789) );
+  sky130_fd_sc_hd__clkinv_1 U51056 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[33]), .Y(n70132) );
+  sky130_fd_sc_hd__clkinv_1 U51057 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[12]), .Y(n74927) );
+  sky130_fd_sc_hd__clkinv_1 U51058 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[0]), .Y(n43171) );
+  sky130_fd_sc_hd__clkinv_1 U51059 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[11]), .Y(n82789) );
+  sky130_fd_sc_hd__clkinv_1 U51060 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[55]), .Y(
+        n66913) );
+  sky130_fd_sc_hd__clkinv_1 U51061 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[27]), .Y(n73703) );
+  sky130_fd_sc_hd__clkinv_1 U51062 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_17[0]), .Y(
+        n56202) );
+  sky130_fd_sc_hd__clkinv_1 U51063 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count[4]), .Y(n82475) );
+  sky130_fd_sc_hd__inv_2 U51064 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_20[1]), 
+        .Y(n57935) );
+  sky130_fd_sc_hd__clkinv_1 U51065 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[2]), .Y(n74756) );
+  sky130_fd_sc_hd__clkinv_1 U51066 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cmdAck), .Y(
+        n75857) );
+  sky130_fd_sc_hd__clkinv_1 U51067 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[1]), .Y(n55678) );
+  sky130_fd_sc_hd__clkinv_1 U51068 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[45]), .Y(n69120) );
+  sky130_fd_sc_hd__clkinv_1 U51069 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[6]), 
+        .Y(n67375) );
+  sky130_fd_sc_hd__clkinv_1 U51070 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_11[0]), .Y(
+        n51458) );
+  sky130_fd_sc_hd__clkinv_1 U51071 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_blockProbeAfterGrantCount[2]), .Y(n78235) );
+  sky130_fd_sc_hd__clkinv_1 U51072 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_cfg_l), .Y(n71188) );
+  sky130_fd_sc_hd__clkinv_1 U51073 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[34]), .Y(n70447) );
+  sky130_fd_sc_hd__clkinv_1 U51074 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[9]), .Y(n76829) );
+  sky130_fd_sc_hd__clkinv_1 U51075 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[21]), .Y(n39456) );
+  sky130_fd_sc_hd__clkinv_1 U51076 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[6]), .Y(n39470) );
+  sky130_fd_sc_hd__clkinv_1 U51077 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_10[22]), .Y(n79454) );
+  sky130_fd_sc_hd__clkinv_1 U51078 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[5]), .Y(n43229) );
+  sky130_fd_sc_hd__clkinv_1 U51079 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[14]), .Y(n76679) );
+  sky130_fd_sc_hd__clkinv_1 U51080 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_gennum[2]), .Y(n47568) );
+  sky130_fd_sc_hd__clkinv_1 U51081 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_MPORT_addr[1]), .Y(n59506) );
+  sky130_fd_sc_hd__clkinv_1 U51082 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_state_1), .Y(n41583)
+         );
+  sky130_fd_sc_hd__clkinv_1 U51083 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[11]), .Y(n39461) );
+  sky130_fd_sc_hd__clkinv_1 U51084 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[3]), .Y(n76885) );
+  sky130_fd_sc_hd__clkinv_1 U51085 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[1]), .Y(n72892) );
+  sky130_fd_sc_hd__clkinv_1 U51086 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[0]), .Y(n79207) );
+  sky130_fd_sc_hd__clkinv_1 U51087 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_gennum[0]), .Y(n54888) );
+  sky130_fd_sc_hd__clkinv_1 U51088 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[11]), 
+        .Y(n61807) );
+  sky130_fd_sc_hd__clkinv_1 U51089 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[2]), .Y(n43509) );
+  sky130_fd_sc_hd__clkinv_1 U51090 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[17]), .Y(n66344) );
+  sky130_fd_sc_hd__clkinv_1 U51091 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[1]), .Y(n81230) );
+  sky130_fd_sc_hd__clkinv_1 U51092 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_19[0]), .Y(
+        n56075) );
+  sky130_fd_sc_hd__clkinv_1 U51093 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[20]), .Y(n69040) );
+  sky130_fd_sc_hd__clkinv_1 U51094 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[28]), .Y(n70882) );
+  sky130_fd_sc_hd__clkinv_1 U51095 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[12]), .Y(n61203) );
+  sky130_fd_sc_hd__clkinv_1 U51096 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_6[0]), .Y(n81014) );
+  sky130_fd_sc_hd__clkinv_1 U51097 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[12]), .Y(n66568) );
+  sky130_fd_sc_hd__clkinv_1 U51098 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[22]), 
+        .Y(n67671) );
+  sky130_fd_sc_hd__clkinv_1 U51099 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[16]), .Y(n60339) );
+  sky130_fd_sc_hd__clkinv_1 U51100 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[20]), .Y(n70029) );
+  sky130_fd_sc_hd__clkinv_1 U51101 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[28]), .Y(n70680) );
+  sky130_fd_sc_hd__clkinv_1 U51102 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[4]), .Y(n80219) );
+  sky130_fd_sc_hd__clkinv_1 U51103 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[0]), .Y(n68378) );
+  sky130_fd_sc_hd__clkinv_1 U51104 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_21[1]), .Y(
+        n41499) );
+  sky130_fd_sc_hd__clkinv_1 U51105 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[14]), .Y(n82561) );
+  sky130_fd_sc_hd__clkinv_1 U51106 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[1]), 
+        .Y(n60161) );
+  sky130_fd_sc_hd__clkinv_1 U51107 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[26]), .Y(n74434) );
+  sky130_fd_sc_hd__clkinv_1 U51108 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_io_deq_bits_MPORT_addr[1]), .Y(n49158) );
+  sky130_fd_sc_hd__clkinv_1 U51109 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_state[0]), .Y(n78208) );
+  sky130_fd_sc_hd__clkinv_1 U51110 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[0]), .Y(n43169) );
+  sky130_fd_sc_hd__clkinv_1 U51111 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_ack_wait), .Y(n60376) );
+  sky130_fd_sc_hd__clkinv_1 U51112 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_21), 
+        .Y(n80126) );
+  sky130_fd_sc_hd__clkinv_1 U51113 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[31]), .Y(n72593) );
+  sky130_fd_sc_hd__clkinv_1 U51114 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[9]), .Y(n55824) );
+  sky130_fd_sc_hd__clkinv_1 U51115 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[25]), .Y(n70674) );
+  sky130_fd_sc_hd__clkinv_1 U51116 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[13]), .Y(n81115) );
+  sky130_fd_sc_hd__clkinv_1 U51117 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[28]), .Y(n68886) );
+  sky130_fd_sc_hd__clkinv_1 U51118 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[4]), .Y(n64505) );
+  sky130_fd_sc_hd__clkinv_1 U51119 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_40), .Y(n68054) );
+  sky130_fd_sc_hd__clkinv_1 U51120 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_auto_qspi_0_mem_xing_in_d_bits_source[2]), .Y(n83702) );
+  sky130_fd_sc_hd__clkinv_1 U51121 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[6]), .Y(n61252) );
+  sky130_fd_sc_hd__clkinv_1 U51122 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[10]), .Y(n75948) );
+  sky130_fd_sc_hd__clkinv_1 U51123 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_io_deq_bits_MPORT_addr[0]), .Y(n50000) );
+  sky130_fd_sc_hd__clkinv_1 U51124 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_MPORT_addr[1]), .Y(n59907) );
+  sky130_fd_sc_hd__clkinv_1 U51125 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[2]), .Y(n55616) );
+  sky130_fd_sc_hd__clkinv_1 U51126 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[1]), .Y(n74210) );
+  sky130_fd_sc_hd__clkinv_1 U51127 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_size[5]), .Y(n40212) );
+  sky130_fd_sc_hd__clkinv_1 U51128 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_gennum[0]), .Y(n77555) );
+  sky130_fd_sc_hd__clkinv_1 U51129 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[30]), .Y(n64152) );
+  sky130_fd_sc_hd__clkinv_1 U51130 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_valid), .Y(n40259) );
+  sky130_fd_sc_hd__clkinv_1 U51131 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg[26]), 
+        .Y(n80130) );
+  sky130_fd_sc_hd__clkinv_1 U51132 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[24]), 
+        .Y(n61722) );
+  sky130_fd_sc_hd__clkinv_1 U51133 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[5]), .Y(n72934) );
+  sky130_fd_sc_hd__clkinv_1 U51134 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[26]), .Y(n69634) );
+  sky130_fd_sc_hd__clkinv_1 U51135 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter[1]), 
+        .Y(n77568) );
+  sky130_fd_sc_hd__clkinv_1 U51136 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_scounteren[0]), .Y(n43179) );
+  sky130_fd_sc_hd__clkinv_1 U51137 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_0[0]), 
+        .Y(n58242) );
+  sky130_fd_sc_hd__clkinv_1 U51138 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[15]), .Y(n75916) );
+  sky130_fd_sc_hd__clkinv_1 U51139 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_state), 
+        .Y(n79305) );
+  sky130_fd_sc_hd__clkinv_1 U51140 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[1]), .Y(n76962) );
+  sky130_fd_sc_hd__clkinv_1 U51141 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[9]), .Y(n60738) );
+  sky130_fd_sc_hd__clkinv_1 U51142 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .Y(n69035) );
+  sky130_fd_sc_hd__clkinv_1 U51143 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[76]), .Y(n66595) );
+  sky130_fd_sc_hd__clkinv_1 U51144 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[29]), 
+        .Y(n61708) );
+  sky130_fd_sc_hd__clkinv_1 U51145 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[28]), .Y(n73876) );
+  sky130_fd_sc_hd__clkinv_1 U51146 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[28]), 
+        .Y(n61714) );
+  sky130_fd_sc_hd__clkinv_1 U51147 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_mem), .Y(n70256) );
+  sky130_fd_sc_hd__clkinv_1 U51148 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[30]), 
+        .Y(n61702) );
+  sky130_fd_sc_hd__clkinv_1 U51149 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[27]), 
+        .Y(n52920) );
+  sky130_fd_sc_hd__clkinv_1 U51150 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_20[2]), .Y(n49407) );
+  sky130_fd_sc_hd__clkinv_1 U51151 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[5]), .Y(n53187) );
+  sky130_fd_sc_hd__clkinv_1 U51152 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[21]), .Y(n74475) );
+  sky130_fd_sc_hd__clkinv_1 U51153 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxen), 
+        .Y(n51347) );
+  sky130_fd_sc_hd__clkinv_1 U51154 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[26]), 
+        .Y(n61751) );
+  sky130_fd_sc_hd__clkinv_1 U51155 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[25]), 
+        .Y(n51414) );
+  sky130_fd_sc_hd__clkinv_1 U51156 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[1]), .Y(n51356) );
+  sky130_fd_sc_hd__clkinv_1 U51157 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[29]), .Y(n64565) );
+  sky130_fd_sc_hd__clkinv_1 U51158 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[22]), .Y(n73639) );
+  sky130_fd_sc_hd__clkinv_1 U51159 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_9[1]), .Y(
+        n41451) );
+  sky130_fd_sc_hd__clkinv_1 U51160 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[22]), .Y(n78430) );
+  sky130_fd_sc_hd__clkinv_1 U51161 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[0]), 
+        .Y(n79598) );
+  sky130_fd_sc_hd__clkinv_1 U51162 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[2]), 
+        .Y(n67401) );
+  sky130_fd_sc_hd__clkinv_1 U51163 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[30]), 
+        .Y(n79985) );
+  sky130_fd_sc_hd__clkinv_1 U51164 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[20]), .Y(n74477) );
+  sky130_fd_sc_hd__clkinv_1 U51165 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_opcode[2]), .Y(n47825) );
+  sky130_fd_sc_hd__clkinv_1 U51166 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_io_deq_bits_MPORT_addr[0]), .Y(n49150) );
+  sky130_fd_sc_hd__clkinv_1 U51167 ( .A(
+        MarmotCaravelChip_dut_sys_iof_0_iof_0_22_i_ival), .Y(n81062) );
+  sky130_fd_sc_hd__clkinv_1 U51168 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[12]), .Y(n74436) );
+  sky130_fd_sc_hd__clkinv_1 U51169 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft[2]), .Y(
+        n83934) );
+  sky130_fd_sc_hd__clkinv_1 U51170 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_tmatch[1]), .Y(n43994) );
+  sky130_fd_sc_hd__clkinv_1 U51171 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_cmd[0]), .Y(n72684) );
+  sky130_fd_sc_hd__clkinv_1 U51172 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[2]), .Y(n76891) );
+  sky130_fd_sc_hd__clkinv_1 U51173 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_io_deq_bits_MPORT_addr[1]), .Y(n49661) );
+  sky130_fd_sc_hd__clkinv_1 U51174 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[2]), .Y(n39469) );
+  sky130_fd_sc_hd__clkinv_1 U51175 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy__io_rx_bits_T_9[1]), .Y(n80872) );
+  sky130_fd_sc_hd__clkinv_1 U51176 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[4]), .Y(n76889) );
+  sky130_fd_sc_hd__clkinv_1 U51177 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[9]), 
+        .Y(n80343) );
+  sky130_fd_sc_hd__clkinv_1 U51178 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[34]), .Y(n47491) );
+  sky130_fd_sc_hd__clkinv_1 U51179 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_28[0]), .Y(
+        n56655) );
+  sky130_fd_sc_hd__clkinv_1 U51180 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[8]), .Y(n76603) );
+  sky130_fd_sc_hd__clkinv_1 U51181 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_tlb_xcpt_ma_st), .Y(n43071) );
+  sky130_fd_sc_hd__clkinv_1 U51182 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[1]), .Y(n73627) );
+  sky130_fd_sc_hd__clkinv_1 U51183 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[7]), .Y(
+        n45496) );
+  sky130_fd_sc_hd__clkinv_1 U51184 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[27]), .Y(n75982) );
+  sky130_fd_sc_hd__clkinv_1 U51185 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_N38), .Y(n59760) );
+  sky130_fd_sc_hd__clkinv_1 U51186 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_addr[2]), .Y(n79548) );
+  sky130_fd_sc_hd__clkinv_1 U51187 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[23]), .Y(n68872) );
+  sky130_fd_sc_hd__clkinv_1 U51188 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count[2]), .Y(n64489) );
+  sky130_fd_sc_hd__clkinv_1 U51189 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[22]), .Y(n71272) );
+  sky130_fd_sc_hd__clkinv_1 U51190 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[10]), .Y(n70019) );
+  sky130_fd_sc_hd__clkinv_1 U51191 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft[6]), .Y(
+        n77457) );
+  sky130_fd_sc_hd__clkinv_1 U51192 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[7]), .Y(n64009) );
+  sky130_fd_sc_hd__clkinv_1 U51193 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_slaveValid), .Y(n82981) );
+  sky130_fd_sc_hd__clkinv_1 U51194 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[0]), 
+        .Y(n79569) );
+  sky130_fd_sc_hd__clkinv_1 U51195 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[19]), .Y(n67874) );
+  sky130_fd_sc_hd__clkinv_1 U51196 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_cmd[3]), .Y(n76511) );
+  sky130_fd_sc_hd__clkinv_1 U51197 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_xcpt), .Y(n62865) );
+  sky130_fd_sc_hd__clkinv_1 U51198 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_op[0]), .Y(n78305) );
+  sky130_fd_sc_hd__clkinv_1 U51199 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount[3]), .Y(n83051) );
+  sky130_fd_sc_hd__clkinv_1 U51200 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend[0]), 
+        .Y(n77934) );
+  sky130_fd_sc_hd__clkinv_1 U51201 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[95]), .Y(n70121) );
+  sky130_fd_sc_hd__clkinv_1 U51202 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_6[1]), .Y(n80411) );
+  sky130_fd_sc_hd__clkinv_1 U51203 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[10]), .Y(n65971) );
+  sky130_fd_sc_hd__clkinv_1 U51204 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[10]), .Y(n59658) );
+  sky130_fd_sc_hd__clkinv_1 U51205 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[25]), .Y(n78439) );
+  sky130_fd_sc_hd__clkinv_1 U51206 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[2]), .Y(n80691) );
+  sky130_fd_sc_hd__clkinv_1 U51207 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_MPORT_addr[2]), .Y(n80393) );
+  sky130_fd_sc_hd__clkinv_1 U51208 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_shared), .Y(n77584) );
+  sky130_fd_sc_hd__clkinv_1 U51209 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[1]), .Y(n49677) );
+  sky130_fd_sc_hd__clkinv_1 U51210 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_22[0]), 
+        .Y(n45789) );
+  sky130_fd_sc_hd__clkinv_1 U51211 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[24]), .Y(n75290) );
+  sky130_fd_sc_hd__clkinv_1 U51212 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_auto_qspi_0_mem_xing_in_d_bits_source[1]), .Y(n83698) );
+  sky130_fd_sc_hd__clkinv_1 U51213 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[1]), .Y(n76892) );
+  sky130_fd_sc_hd__clkinv_1 U51214 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[1]), 
+        .Y(n79570) );
+  sky130_fd_sc_hd__clkinv_1 U51215 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_auto_qspi_0_mem_xing_in_d_bits_source[4]), .Y(n47605) );
+  sky130_fd_sc_hd__clkinv_1 U51217 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[23]), 
+        .Y(n77494) );
+  sky130_fd_sc_hd__clkinv_1 U51218 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[40]), .Y(n68619) );
+  sky130_fd_sc_hd__clkinv_1 U51219 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_acknum[4]), .Y(n83708) );
+  sky130_fd_sc_hd__clkinv_1 U51220 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[2]), .Y(n59159) );
+  sky130_fd_sc_hd__clkinv_1 U51221 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_inst_31_), .Y(n53952) );
+  sky130_fd_sc_hd__clkinv_1 U51222 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[3]), .Y(n73622) );
+  sky130_fd_sc_hd__clkinv_1 U51223 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[19]), .Y(n56072) );
+  sky130_fd_sc_hd__clkinv_1 U51224 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[22]), .Y(n63693) );
+  sky130_fd_sc_hd__clkinv_1 U51225 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_auto_in_d_bits_source[1]), .Y(n83380) );
+  sky130_fd_sc_hd__clkinv_1 U51226 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[26]), .Y(n75293) );
+  sky130_fd_sc_hd__clkinv_1 U51227 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__nextCmd_T[6]), 
+        .Y(n79729) );
+  sky130_fd_sc_hd__clkinv_1 U51228 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[63]), .Y(n70421) );
+  sky130_fd_sc_hd__inv_2 U51229 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_44[1]), 
+        .Y(n57688) );
+  sky130_fd_sc_hd__clkinv_1 U51230 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[94]), .Y(n69770) );
+  sky130_fd_sc_hd__clkinv_1 U51231 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft[4]), .Y(
+        n83983) );
+  sky130_fd_sc_hd__clkinv_1 U51232 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[15]), .Y(n73840) );
+  sky130_fd_sc_hd__clkinv_1 U51233 ( .A(MarmotCaravelChip_dut_sys_dtm_busyReg), 
+        .Y(n78301) );
+  sky130_fd_sc_hd__clkinv_1 U51234 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_MPORT_addr[1]), .Y(n81203) );
+  sky130_fd_sc_hd__clkinv_1 U51235 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_fmt_proto[1]), .Y(n61857) );
+  sky130_fd_sc_hd__clkinv_1 U51236 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[8]), .Y(n74223) );
+  sky130_fd_sc_hd__clkinv_1 U51237 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget__enable_T_6_0_), .Y(n83678) );
+  sky130_fd_sc_hd__clkinv_1 U51238 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[26]), .Y(n66786) );
+  sky130_fd_sc_hd__clkinv_1 U51239 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_22), .Y(n62039) );
+  sky130_fd_sc_hd__clkinv_1 U51240 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[7]), .Y(n55611) );
+  sky130_fd_sc_hd__clkinv_1 U51241 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_replay), .Y(n39652) );
+  sky130_fd_sc_hd__clkinv_1 U51242 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[10]), .Y(n73858) );
+  sky130_fd_sc_hd__clkinv_1 U51243 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_14[1]), .Y(
+        n41404) );
+  sky130_fd_sc_hd__clkinv_1 U51244 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[2]), .Y(n76928) );
+  sky130_fd_sc_hd__clkinv_1 U51245 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[17]), .Y(n74472) );
+  sky130_fd_sc_hd__clkinv_1 U51246 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[47]), .Y(n70380) );
+  sky130_fd_sc_hd__clkinv_1 U51247 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_6[0]), .Y(n80410) );
+  sky130_fd_sc_hd__clkinv_1 U51248 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[24]), .Y(n67103) );
+  sky130_fd_sc_hd__clkinv_1 U51249 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_state[0]), .Y(n73729) );
+  sky130_fd_sc_hd__clkinv_1 U51250 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[1]), .Y(n67894) );
+  sky130_fd_sc_hd__clkinv_1 U51251 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_acknum[3]), .Y(n83362) );
+  sky130_fd_sc_hd__clkinv_1 U51252 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_state[1]), .Y(n47798) );
+  sky130_fd_sc_hd__clkinv_1 U51253 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[24]), .Y(n66085) );
+  sky130_fd_sc_hd__clkinv_1 U51254 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft[5]), .Y(
+        n41632) );
+  sky130_fd_sc_hd__clkinv_1 U51255 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[9]), .Y(n76611) );
+  sky130_fd_sc_hd__clkinv_1 U51256 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_beatsLeft[0]), .Y(
+        n84000) );
+  sky130_fd_sc_hd__clkinv_1 U51257 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_state[2]), .Y(n77823) );
+  sky130_fd_sc_hd__clkinv_1 U51258 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[29]), .Y(n61494) );
+  sky130_fd_sc_hd__clkinv_1 U51259 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[29]), .Y(n76156) );
+  sky130_fd_sc_hd__clkinv_1 U51260 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[18]), 
+        .Y(n79960) );
+  sky130_fd_sc_hd__clkinv_1 U51261 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[21]), 
+        .Y(n77497) );
+  sky130_fd_sc_hd__clkinv_1 U51262 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__fSCL_T[1]), .Y(
+        n79477) );
+  sky130_fd_sc_hd__clkinv_1 U51263 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_r), .Y(n43185) );
+  sky130_fd_sc_hd__clkinv_1 U51264 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1[0]), .Y(n76552) );
+  sky130_fd_sc_hd__clkinv_1 U51265 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[17]), .Y(n55465) );
+  sky130_fd_sc_hd__clkinv_1 U51266 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[0]), .Y(n73626) );
+  sky130_fd_sc_hd__clkinv_1 U51267 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[19]), .Y(n75276) );
+  sky130_fd_sc_hd__clkinv_1 U51268 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_size[0]), .Y(n44040) );
+  sky130_fd_sc_hd__clkinv_1 U51269 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[21]), .Y(n48030) );
+  sky130_fd_sc_hd__clkinv_1 U51270 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_48), .Y(n67553) );
+  sky130_fd_sc_hd__clkinv_1 U51271 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_action), .Y(n59307) );
+  sky130_fd_sc_hd__clkinv_1 U51272 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[5]), .Y(n80927) );
+  sky130_fd_sc_hd__clkinv_1 U51273 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_shift), .Y(
+        n63164) );
+  sky130_fd_sc_hd__clkinv_1 U51274 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_cnt[1]), 
+        .Y(n80747) );
+  sky130_fd_sc_hd__clkinv_1 U51275 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_l), .Y(n60042) );
+  sky130_fd_sc_hd__clkinv_1 U51276 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history[0]), .Y(n72873) );
+  sky130_fd_sc_hd__clkinv_1 U51277 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[18]), .Y(n74471) );
+  sky130_fd_sc_hd__clkinv_1 U51278 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[24]), .Y(n67096) );
+  sky130_fd_sc_hd__clkinv_1 U51279 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_op_1_), 
+        .Y(n79568) );
+  sky130_fd_sc_hd__clkinv_1 U51280 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_gennum[1]), .Y(n54867) );
+  sky130_fd_sc_hd__clkinv_1 U51281 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[5]), .Y(n39463) );
+  sky130_fd_sc_hd__clkinv_1 U51282 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[24]), .Y(n47893) );
+  sky130_fd_sc_hd__clkinv_1 U51283 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_size[1]), .Y(n65139) );
+  sky130_fd_sc_hd__clkinv_1 U51284 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[4]), .Y(n81323) );
+  sky130_fd_sc_hd__clkinv_1 U51285 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_gennum[2]), .Y(n54868) );
+  sky130_fd_sc_hd__clkinv_1 U51286 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_MPORT_addr[1]), .Y(n60952) );
+  sky130_fd_sc_hd__clkinv_1 U51287 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[27]), .Y(n47858) );
+  sky130_fd_sc_hd__clkinv_1 U51288 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[21]), .Y(n74901) );
+  sky130_fd_sc_hd__clkinv_1 U51289 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_17[1]), .Y(
+        n47296) );
+  sky130_fd_sc_hd__clkinv_1 U51290 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_acknum[0]), .Y(n75716) );
+  sky130_fd_sc_hd__clkinv_1 U51291 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1[1]), .Y(n41326) );
+  sky130_fd_sc_hd__clkinv_1 U51292 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[20]), .Y(n55851) );
+  sky130_fd_sc_hd__clkinv_1 U51293 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_24_0_), .Y(n75825) );
+  sky130_fd_sc_hd__clkinv_1 U51294 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_50), .Y(n68005) );
+  sky130_fd_sc_hd__clkinv_1 U51295 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_15), .Y(n62051) );
+  sky130_fd_sc_hd__clkinv_1 U51296 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[2]), .Y(n42413) );
+  sky130_fd_sc_hd__clkinv_1 U51297 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[16]), .Y(n75917) );
+  sky130_fd_sc_hd__clkinv_1 U51298 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[8]), .Y(n44019) );
+  sky130_fd_sc_hd__clkinv_1 U51299 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[41]), .Y(n68621) );
+  sky130_fd_sc_hd__clkinv_1 U51300 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[16]), .Y(n47786) );
+  sky130_fd_sc_hd__clkinv_1 U51301 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_mask[4]), .Y(n54877) );
+  sky130_fd_sc_hd__clkinv_1 U51302 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[28]), .Y(n54317) );
+  sky130_fd_sc_hd__clkinv_1 U51303 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[7]), .Y(n64513) );
+  sky130_fd_sc_hd__clkinv_1 U51304 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[17]), .Y(n47773) );
+  sky130_fd_sc_hd__clkinv_1 U51305 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[16]), .Y(n71450) );
+  sky130_fd_sc_hd__clkinv_1 U51306 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_29), .Y(n62065) );
+  sky130_fd_sc_hd__clkinv_1 U51307 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_isa[8]), .Y(n44022) );
+  sky130_fd_sc_hd__clkinv_1 U51308 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[15]), .Y(n79873) );
+  sky130_fd_sc_hd__clkinv_1 U51309 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[19]), .Y(n65634) );
+  sky130_fd_sc_hd__clkinv_1 U51310 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[12]), .Y(n41222) );
+  sky130_fd_sc_hd__clkinv_1 U51311 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[1]), .Y(
+        n58180) );
+  sky130_fd_sc_hd__clkinv_1 U51312 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_byteState[2]), 
+        .Y(n79626) );
+  sky130_fd_sc_hd__clkinv_1 U51313 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[54]), .Y(
+        n67900) );
+  sky130_fd_sc_hd__clkinv_1 U51314 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[5]), .Y(n79673) );
+  sky130_fd_sc_hd__clkinv_1 U51315 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[46]), .Y(n55482) );
+  sky130_fd_sc_hd__clkinv_1 U51316 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[6]), .Y(n42458) );
+  sky130_fd_sc_hd__clkinv_1 U51317 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[30]), .Y(n69619) );
+  sky130_fd_sc_hd__clkinv_1 U51318 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[5]), .Y(n67071) );
+  sky130_fd_sc_hd__clkinv_1 U51319 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[4]), .Y(n79122) );
+  sky130_fd_sc_hd__clkinv_1 U51320 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[22]), .Y(n70622) );
+  sky130_fd_sc_hd__clkinv_1 U51321 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_8), .Y(n44020) );
+  sky130_fd_sc_hd__clkinv_1 U51322 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[7]), .Y(n76597) );
+  sky130_fd_sc_hd__clkinv_1 U51323 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_MPORT_addr[2]), .Y(n81202) );
+  sky130_fd_sc_hd__clkinv_1 U51324 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[9]), .Y(n80653) );
+  sky130_fd_sc_hd__clkinv_1 U51325 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_3[0]), 
+        .Y(n45797) );
+  sky130_fd_sc_hd__clkinv_1 U51326 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[6]), .Y(n40109) );
+  sky130_fd_sc_hd__clkinv_1 U51327 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[5]), .Y(n81170) );
+  sky130_fd_sc_hd__clkinv_1 U51328 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_probe), .Y(n78217) );
+  sky130_fd_sc_hd__clkinv_1 U51329 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[19]), .Y(n54305) );
+  sky130_fd_sc_hd__clkinv_1 U51330 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[8]), .Y(n44034) );
+  sky130_fd_sc_hd__clkinv_1 U51331 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_46), .Y(n68273) );
+  sky130_fd_sc_hd__clkinv_1 U51332 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[19]), .Y(n71814) );
+  sky130_fd_sc_hd__clkinv_1 U51333 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[4]), .Y(n74834) );
+  sky130_fd_sc_hd__clkinv_1 U51334 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[15]), .Y(n74444) );
+  sky130_fd_sc_hd__clkinv_1 U51335 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[3]), .Y(n51354) );
+  sky130_fd_sc_hd__clkinv_1 U51336 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[60]), .Y(n41157) );
+  sky130_fd_sc_hd__clkinv_1 U51337 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[22]), 
+        .Y(n60239) );
+  sky130_fd_sc_hd__clkinv_1 U51338 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[13]), .Y(n81426) );
+  sky130_fd_sc_hd__clkinv_1 U51339 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[26]), .Y(n63632) );
+  sky130_fd_sc_hd__clkinv_1 U51340 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[0]), .Y(n70474) );
+  sky130_fd_sc_hd__clkinv_1 U51341 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_MPORT_addr[2]), .Y(n81053) );
+  sky130_fd_sc_hd__clkinv_1 U51342 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[12]), .Y(n64038) );
+  sky130_fd_sc_hd__clkinv_1 U51343 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[31]), .Y(n78460) );
+  sky130_fd_sc_hd__clkinv_1 U51344 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[1]), .Y(n42414) );
+  sky130_fd_sc_hd__clkinv_1 U51345 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[0]), .Y(n44130) );
+  sky130_fd_sc_hd__clkinv_1 U51346 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[17]), .Y(n74746) );
+  sky130_fd_sc_hd__clkinv_1 U51347 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[10]), .Y(n40905) );
+  sky130_fd_sc_hd__clkinv_1 U51348 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[11]), 
+        .Y(n79944) );
+  sky130_fd_sc_hd__clkinv_1 U51349 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_MPORT_addr[0]), .Y(n56648) );
+  sky130_fd_sc_hd__clkinv_1 U51350 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_io_deq_bits_MPORT_addr[2]), .Y(n81389) );
+  sky130_fd_sc_hd__clkinv_1 U51351 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy__io_rx_bits_T_9[4]), .Y(n80868) );
+  sky130_fd_sc_hd__clkinv_1 U51352 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy__io_rx_bits_T_9[7]), .Y(n80850) );
+  sky130_fd_sc_hd__clkinv_1 U51353 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[5]), .Y(n58373) );
+  sky130_fd_sc_hd__clkinv_1 U51354 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_fmt_proto[0]), .Y(n80185) );
+  sky130_fd_sc_hd__clkinv_1 U51355 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[11]), .Y(
+        n39054) );
+  sky130_fd_sc_hd__clkinv_1 U51356 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_hi_lo_hi_1[7]), .Y(n39587) );
+  sky130_fd_sc_hd__clkinv_1 U51357 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[5]), .Y(n77411) );
+  sky130_fd_sc_hd__clkinv_1 U51358 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address[6]), .Y(n54985) );
+  sky130_fd_sc_hd__clkinv_1 U51359 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_MPORT_addr[2]), .Y(n83212) );
+  sky130_fd_sc_hd__clkinv_1 U51360 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[9]), .Y(n82635) );
+  sky130_fd_sc_hd__clkinv_1 U51361 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[23]), .Y(n70706) );
+  sky130_fd_sc_hd__clkinv_1 U51362 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[24]), .Y(n71425) );
+  sky130_fd_sc_hd__clkinv_1 U51363 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[22]), .Y(n73861) );
+  sky130_fd_sc_hd__clkinv_1 U51364 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_size[1]), .Y(n40854) );
+  sky130_fd_sc_hd__clkinv_1 U51365 ( .A(
+        MarmotCaravelChip_dut_sys_iof_0_iof_0_4_i_ival), .Y(n80209) );
+  sky130_fd_sc_hd__clkinv_1 U51366 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_16[21]), .Y(n79340) );
+  sky130_fd_sc_hd__clkinv_1 U51367 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[15]), .Y(n55837) );
+  sky130_fd_sc_hd__clkinv_1 U51368 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[19]), .Y(n72242) );
+  sky130_fd_sc_hd__clkinv_1 U51369 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[7]), .Y(n80620) );
+  sky130_fd_sc_hd__clkinv_1 U51370 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[9]), .Y(n82590) );
+  sky130_fd_sc_hd__clkinv_1 U51371 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[4]), .Y(
+        n60541) );
+  sky130_fd_sc_hd__clkinv_1 U51372 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[3]), .Y(
+        n61458) );
+  sky130_fd_sc_hd__clkinv_1 U51373 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[7]), .Y(n66833) );
+  sky130_fd_sc_hd__clkinv_1 U51374 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[24]), .Y(n78436) );
+  sky130_fd_sc_hd__clkinv_1 U51375 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[30]), .Y(n76158) );
+  sky130_fd_sc_hd__clkinv_1 U51376 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[5]), .Y(
+        n61460) );
+  sky130_fd_sc_hd__clkinv_1 U51377 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_cfg_r), .Y(n75619) );
+  sky130_fd_sc_hd__clkinv_1 U51378 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_io_deq_bits_mask[1]), .Y(n67017) );
+  sky130_fd_sc_hd__clkinv_1 U51379 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[6]), .Y(
+        n45557) );
+  sky130_fd_sc_hd__clkinv_1 U51380 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_a[0]), .Y(n71194) );
+  sky130_fd_sc_hd__clkinv_1 U51381 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[1]), .Y(n40786) );
+  sky130_fd_sc_hd__clkinv_1 U51382 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[11]), .Y(n53773) );
+  sky130_fd_sc_hd__clkinv_1 U51383 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[1]), .Y(n81086) );
+  sky130_fd_sc_hd__clkinv_1 U51384 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[26]), .Y(n69347) );
+  sky130_fd_sc_hd__clkinv_1 U51385 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[18]), .Y(n76023) );
+  sky130_fd_sc_hd__clkinv_1 U51386 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_maybe_full), 
+        .Y(n61452) );
+  sky130_fd_sc_hd__clkinv_1 U51387 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[0]), .Y(n54179) );
+  sky130_fd_sc_hd__clkinv_1 U51389 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_io_deq_bits_MPORT_addr[1]), .Y(n44378) );
+  sky130_fd_sc_hd__inv_2 U51390 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_48[2]), 
+        .Y(n46398) );
+  sky130_fd_sc_hd__clkinv_1 U51391 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[9]), .Y(n80969) );
+  sky130_fd_sc_hd__clkinv_1 U51392 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_auto_tl_in_d_bits_opcode_0_), .Y(n83900) );
+  sky130_fd_sc_hd__clkinv_1 U51393 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[19]), .Y(n44712) );
+  sky130_fd_sc_hd__clkinv_1 U51394 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_auto_plic_in_d_bits_source[0]), .Y(n83441) );
+  sky130_fd_sc_hd__clkinv_1 U51395 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[10]), .Y(n56203) );
+  sky130_fd_sc_hd__clkinv_1 U51396 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_state[1]), .Y(n56017) );
+  sky130_fd_sc_hd__clkinv_1 U51397 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[19]), .Y(n75962) );
+  sky130_fd_sc_hd__clkinv_1 U51398 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__receivedData_T[2]), .Y(n60429) );
+  sky130_fd_sc_hd__clkinv_1 U51399 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[5]), .Y(n61251) );
+  sky130_fd_sc_hd__clkinv_1 U51400 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_25[0]), .Y(
+        n56233) );
+  sky130_fd_sc_hd__clkinv_1 U51401 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[30]), 
+        .Y(n79571) );
+  sky130_fd_sc_hd__clkinv_1 U51402 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[11]), .Y(n64036) );
+  sky130_fd_sc_hd__clkinv_1 U51403 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[27]), 
+        .Y(n79979) );
+  sky130_fd_sc_hd__clkinv_1 U51404 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_cmd[1]), .Y(n75642) );
+  sky130_fd_sc_hd__clkinv_1 U51405 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_load), .Y(n60428) );
+  sky130_fd_sc_hd__clkinv_1 U51406 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[5]), .Y(n80611) );
+  sky130_fd_sc_hd__clkinv_1 U51407 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[13]), .Y(n76362) );
+  sky130_fd_sc_hd__clkinv_1 U51408 ( .A(
+        MarmotCaravelChip_dut_sys_clint_io_rtcTick), .Y(n60876) );
+  sky130_fd_sc_hd__clkinv_1 U51409 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[3]), .Y(n59061) );
+  sky130_fd_sc_hd__clkinv_1 U51410 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[2]), 
+        .Y(n79925) );
+  sky130_fd_sc_hd__and2_0 U51411 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_size[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_io_full), .X(n38428) );
+  sky130_fd_sc_hd__clkinv_1 U51412 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[3]), .Y(n79211) );
+  sky130_fd_sc_hd__clkinv_1 U51413 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[14]), .Y(n63892) );
+  sky130_fd_sc_hd__clkinv_1 U51414 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[18]), .Y(n78418) );
+  sky130_fd_sc_hd__clkinv_1 U51415 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N43), 
+        .Y(n59965) );
+  sky130_fd_sc_hd__clkinv_1 U51416 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[15]), .Y(n44100) );
+  sky130_fd_sc_hd__clkinv_1 U51417 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_435[4]), .Y(n72428) );
+  sky130_fd_sc_hd__clkinv_1 U51418 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[14]), 
+        .Y(n78026) );
+  sky130_fd_sc_hd__clkinv_1 U51419 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[4]), .Y(n73614) );
+  sky130_fd_sc_hd__clkinv_1 U51420 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_pma_cacheable), .Y(n54098) );
+  sky130_fd_sc_hd__clkinv_1 U51421 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[3]), .Y(n74833) );
+  sky130_fd_sc_hd__clkinv_1 U51422 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[25]), .Y(n40116) );
+  sky130_fd_sc_hd__clkinv_1 U51423 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[12]), .Y(n53269) );
+  sky130_fd_sc_hd__clkinv_1 U51424 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[26]), .Y(n69424) );
+  sky130_fd_sc_hd__clkinv_1 U51425 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[6]), .Y(n56052) );
+  sky130_fd_sc_hd__clkinv_1 U51426 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data[1]), .Y(n41100) );
+  sky130_fd_sc_hd__clkinv_1 U51427 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[19]), .Y(n59624) );
+  sky130_fd_sc_hd__clkinv_1 U51428 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[16]), .Y(n74953) );
+  sky130_fd_sc_hd__clkinv_1 U51429 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[37]), .Y(n70405) );
+  sky130_fd_sc_hd__clkinv_1 U51430 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .Y(n83468) );
+  sky130_fd_sc_hd__clkinv_1 U51431 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_14[0]), .Y(
+        n56235) );
+  sky130_fd_sc_hd__clkinv_1 U51432 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_1_status_prv[0]), .Y(n64074) );
+  sky130_fd_sc_hd__clkinv_1 U51433 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[14]), .Y(n55836) );
+  sky130_fd_sc_hd__clkinv_1 U51434 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[7]), .Y(n74278) );
+  sky130_fd_sc_hd__clkinv_1 U51435 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_18[1]), .Y(
+        n41497) );
+  sky130_fd_sc_hd__clkinv_1 U51436 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[3]), .Y(n55677) );
+  sky130_fd_sc_hd__clkinv_1 U51437 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[14]), 
+        .Y(n60116) );
+  sky130_fd_sc_hd__clkinv_1 U51438 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[2]), .Y(n75927) );
+  sky130_fd_sc_hd__clkinv_1 U51439 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[13]), .Y(n69101) );
+  sky130_fd_sc_hd__clkinv_1 U51440 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[27]), .Y(n40115) );
+  sky130_fd_sc_hd__clkinv_1 U51441 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_state), 
+        .Y(n79217) );
+  sky130_fd_sc_hd__clkinv_1 U51442 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_cnt[2]), 
+        .Y(n61827) );
+  sky130_fd_sc_hd__clkinv_1 U51443 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[20]), .Y(n70040) );
+  sky130_fd_sc_hd__clkinv_1 U51444 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[25]), .Y(n39459) );
+  sky130_fd_sc_hd__clkinv_1 U51445 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[29]), .Y(n55785) );
+  sky130_fd_sc_hd__clkinv_1 U51446 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[1]), .Y(n72929) );
+  sky130_fd_sc_hd__clkinv_1 U51447 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_cached_grant_wait), .Y(n72678) );
+  sky130_fd_sc_hd__clkinv_1 U51448 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[2]), .Y(n72582) );
+  sky130_fd_sc_hd__clkinv_1 U51449 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[21]), .Y(n71711) );
+  sky130_fd_sc_hd__clkinv_1 U51450 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_byteState[2]), 
+        .Y(n79486) );
+  sky130_fd_sc_hd__clkinv_1 U51451 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[18]), .Y(n59118) );
+  sky130_fd_sc_hd__clkinv_1 U51452 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[19]), .Y(n73676) );
+  sky130_fd_sc_hd__clkinv_1 U51453 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[4]), .Y(n76998) );
+  sky130_fd_sc_hd__clkinv_1 U51454 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_hi_lo_hi_1[3]), .Y(n39592) );
+  sky130_fd_sc_hd__clkinv_1 U51455 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_state[0]), 
+        .Y(n60555) );
+  sky130_fd_sc_hd__clkinv_1 U51456 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[4]), .Y(n81092) );
+  sky130_fd_sc_hd__clkinv_1 U51457 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_8), 
+        .Y(n80116) );
+  sky130_fd_sc_hd__clkinv_1 U51458 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[4]), .Y(n72930) );
+  sky130_fd_sc_hd__clkinv_1 U51459 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[25]), .Y(n57611) );
+  sky130_fd_sc_hd__clkinv_1 U51460 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_l), .Y(n75631) );
+  sky130_fd_sc_hd__clkinv_1 U51461 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[6]), .Y(n82623) );
+  sky130_fd_sc_hd__clkinv_1 U51462 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[3]), .Y(n75922) );
+  sky130_fd_sc_hd__clkinv_1 U51463 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[4]), .Y(
+        n66914) );
+  sky130_fd_sc_hd__clkinv_1 U51464 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_maybe_full), .Y(n44365) );
+  sky130_fd_sc_hd__clkinv_1 U51465 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy__io_rx_bits_T_9[3]), .Y(n80553) );
+  sky130_fd_sc_hd__clkinv_1 U51466 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_opcode_hold[2]), .Y(n41802) );
+  sky130_fd_sc_hd__clkinv_1 U51467 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[1]), .Y(n60500) );
+  sky130_fd_sc_hd__clkinv_1 U51468 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_7), 
+        .Y(n80115) );
+  sky130_fd_sc_hd__clkinv_1 U51469 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[26]), .Y(n39511) );
+  sky130_fd_sc_hd__clkinv_1 U51470 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[18]), .Y(n55672) );
+  sky130_fd_sc_hd__clkinv_1 U51471 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_mask[6]), .Y(n54875) );
+  sky130_fd_sc_hd__clkinv_1 U51472 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[7]), .Y(n80936) );
+  sky130_fd_sc_hd__clkinv_1 U51473 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_mask_0_), 
+        .Y(n47298) );
+  sky130_fd_sc_hd__clkinv_1 U51474 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_maybe_full), .Y(n44439) );
+  sky130_fd_sc_hd__clkinv_1 U51475 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[1]), .Y(n83689) );
+  sky130_fd_sc_hd__clkinv_1 U51476 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[16]), .Y(n68485) );
+  sky130_fd_sc_hd__clkinv_1 U51477 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[58]), .Y(n55441) );
+  sky130_fd_sc_hd__clkinv_1 U51478 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[4]), .Y(n78182) );
+  sky130_fd_sc_hd__clkinv_1 U51479 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[31]), .Y(n70452) );
+  sky130_fd_sc_hd__clkinv_1 U51480 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[2]), 
+        .Y(n79824) );
+  sky130_fd_sc_hd__clkinv_1 U51481 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[17]), .Y(n39513) );
+  sky130_fd_sc_hd__clkinv_1 U51482 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[13]), .Y(n75267) );
+  sky130_fd_sc_hd__clkinv_1 U51483 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_12[2]), 
+        .Y(n39046) );
+  sky130_fd_sc_hd__clkinv_1 U51484 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[32]), .Y(n64502) );
+  sky130_fd_sc_hd__clkinv_1 U51485 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[3]), .Y(n72905) );
+  sky130_fd_sc_hd__clkinv_1 U51486 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_2), .Y(n59824)
+         );
+  sky130_fd_sc_hd__clkinv_1 U51487 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[1]), .Y(n40081) );
+  sky130_fd_sc_hd__clkinv_1 U51488 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pma_checker_io_req_bits_cmd[0]), .Y(n78370) );
+  sky130_fd_sc_hd__clkinv_1 U51489 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[18]), .Y(n73680) );
+  sky130_fd_sc_hd__clkinv_1 U51490 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_maybe_full), .Y(n44398) );
+  sky130_fd_sc_hd__clkinv_1 U51491 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[5]), .Y(n76932) );
+  sky130_fd_sc_hd__clkinv_1 U51492 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[21]), .Y(n78427) );
+  sky130_fd_sc_hd__clkinv_1 U51493 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter[5]), .Y(n77429) );
+  sky130_fd_sc_hd__clkinv_1 U51494 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[14]), .Y(n53412) );
+  sky130_fd_sc_hd__clkinv_1 U51495 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[44]), .Y(n55478) );
+  sky130_fd_sc_hd__clkinv_1 U51497 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[3]), 
+        .Y(n61490) );
+  sky130_fd_sc_hd__clkinv_1 U51498 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[48]), .Y(
+        n66997) );
+  sky130_fd_sc_hd__clkinv_1 U51499 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_19), 
+        .Y(n80125) );
+  sky130_fd_sc_hd__clkinv_1 U51500 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[12]), .Y(n55477) );
+  sky130_fd_sc_hd__clkinv_1 U51501 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[6]), .Y(n82583) );
+  sky130_fd_sc_hd__clkinv_1 U51502 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[14]), .Y(n70502) );
+  sky130_fd_sc_hd__clkinv_1 U51503 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[1]), 
+        .Y(n61489) );
+  sky130_fd_sc_hd__clkinv_1 U51504 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[10]), .Y(n56056) );
+  sky130_fd_sc_hd__clkinv_1 U51505 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[15]), .Y(n81431) );
+  sky130_fd_sc_hd__clkinv_1 U51506 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_7[0]), .Y(
+        n48459) );
+  sky130_fd_sc_hd__clkinv_1 U51507 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[26]), .Y(n78442) );
+  sky130_fd_sc_hd__clkinv_1 U51508 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_24[0]), .Y(
+        n56160) );
+  sky130_fd_sc_hd__clkinv_1 U51509 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[28]), .Y(n78448) );
+  sky130_fd_sc_hd__clkinv_1 U51510 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_7_12), .Y(n61487) );
+  sky130_fd_sc_hd__clkinv_1 U51511 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_slow_bypass), .Y(n40007) );
+  sky130_fd_sc_hd__clkinv_1 U51512 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[14]), .Y(n70368) );
+  sky130_fd_sc_hd__clkinv_1 U51513 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[15]), 
+        .Y(n78022) );
+  sky130_fd_sc_hd__clkinv_1 U51514 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[32]), .Y(n70349) );
+  sky130_fd_sc_hd__clkinv_1 U51515 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[31]), .Y(n39521) );
+  sky130_fd_sc_hd__clkinv_1 U51516 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cmdAck), .Y(
+        n75852) );
+  sky130_fd_sc_hd__clkinv_1 U51517 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_10[0]), .Y(
+        n52373) );
+  sky130_fd_sc_hd__clkinv_1 U51518 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_N8), .Y(n82456) );
+  sky130_fd_sc_hd__clkinv_1 U51519 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_0), 
+        .Y(n80112) );
+  sky130_fd_sc_hd__clkinv_1 U51520 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[14]), .Y(n39509) );
+  sky130_fd_sc_hd__clkinv_1 U51521 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[2]), 
+        .Y(n78112) );
+  sky130_fd_sc_hd__clkinv_1 U51522 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .Y(n78323) );
+  sky130_fd_sc_hd__clkinv_1 U51523 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[5]), .Y(n75921) );
+  sky130_fd_sc_hd__clkinv_1 U51524 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[21]), .Y(n55796) );
+  sky130_fd_sc_hd__clkinv_1 U51525 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy__io_rx_bits_T_9[5]), .Y(n80554) );
+  sky130_fd_sc_hd__clkinv_1 U51527 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_state_1), .Y(n59753)
+         );
+  sky130_fd_sc_hd__clkinv_1 U51528 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[3]), .Y(n76939) );
+  sky130_fd_sc_hd__clkinv_1 U51529 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[2]), .Y(n77322) );
+  sky130_fd_sc_hd__clkinv_1 U51530 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[9]), 
+        .Y(n79940) );
+  sky130_fd_sc_hd__clkinv_1 U51531 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_48[1]), 
+        .Y(n57839) );
+  sky130_fd_sc_hd__clkinv_1 U51532 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[29]), 
+        .Y(n79983) );
+  sky130_fd_sc_hd__clkinv_1 U51533 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[3]), 
+        .Y(n78078) );
+  sky130_fd_sc_hd__clkinv_1 U51534 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmdAck), .Y(
+        n79731) );
+  sky130_fd_sc_hd__clkinv_1 U51535 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_10), 
+        .Y(n80118) );
+  sky130_fd_sc_hd__clkinv_1 U51536 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[31]), .Y(n73120) );
+  sky130_fd_sc_hd__clkinv_1 U51537 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[13]), .Y(n71478) );
+  sky130_fd_sc_hd__clkinv_1 U51538 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[6]), .Y(n43291) );
+  sky130_fd_sc_hd__clkinv_1 U51539 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b19_12_T_4[2]), .Y(n84792) );
+  sky130_fd_sc_hd__clkinv_1 U51540 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[8]), .Y(n55823) );
+  sky130_fd_sc_hd__clkinv_1 U51541 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_24), .Y(n62046) );
+  sky130_fd_sc_hd__clkinv_1 U51542 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_MPORT_addr[2]), .Y(n80702) );
+  sky130_fd_sc_hd__clkinv_1 U51543 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[7]), .Y(n75941) );
+  sky130_fd_sc_hd__clkinv_1 U51544 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[20]), .Y(n58882) );
+  sky130_fd_sc_hd__clkinv_1 U51545 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[11]), .Y(n73597) );
+  sky130_fd_sc_hd__clkinv_1 U51546 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[18]), .Y(n78384) );
+  sky130_fd_sc_hd__clkinv_1 U51547 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[10]), 
+        .Y(n79942) );
+  sky130_fd_sc_hd__clkinv_1 U51548 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[5]), .Y(
+        n66942) );
+  sky130_fd_sc_hd__clkinv_1 U51549 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_acknum[2]), .Y(n76331) );
+  sky130_fd_sc_hd__clkinv_1 U51550 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_38), .Y(n68174) );
+  sky130_fd_sc_hd__clkinv_1 U51551 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg[25]), 
+        .Y(n80129) );
+  sky130_fd_sc_hd__clkinv_1 U51552 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_gennum[0]), .Y(n48792) );
+  sky130_fd_sc_hd__clkinv_1 U51553 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[24]), .Y(n76087) );
+  sky130_fd_sc_hd__clkinv_1 U51554 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_35), .Y(n67767) );
+  sky130_fd_sc_hd__clkinv_1 U51555 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_11), 
+        .Y(n80119) );
+  sky130_fd_sc_hd__clkinv_1 U51556 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[22]), 
+        .Y(n79968) );
+  sky130_fd_sc_hd__clkinv_1 U51557 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[12]), .Y(
+        n60531) );
+  sky130_fd_sc_hd__clkinv_1 U51558 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[27]), .Y(n63644) );
+  sky130_fd_sc_hd__clkinv_1 U51559 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[0]), 
+        .Y(n79919) );
+  sky130_fd_sc_hd__clkinv_1 U51560 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pma_checker_io_req_bits_cmd[1]), .Y(n78372) );
+  sky130_fd_sc_hd__clkinv_1 U51561 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_imm[2]), .Y(n53624) );
+  sky130_fd_sc_hd__clkinv_1 U51562 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_40[0]), 
+        .Y(n38635) );
+  sky130_fd_sc_hd__clkinv_1 U51563 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_state[1]), 
+        .Y(n59699) );
+  sky130_fd_sc_hd__clkinv_1 U51564 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[11]), .Y(n74204) );
+  sky130_fd_sc_hd__clkinv_1 U51565 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[12]), 
+        .Y(n79946) );
+  sky130_fd_sc_hd__clkinv_1 U51566 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[14]), .Y(n56060) );
+  sky130_fd_sc_hd__clkinv_1 U51567 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[93]), .Y(n69323) );
+  sky130_fd_sc_hd__clkinv_1 U51568 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_fmt_proto[1]), .Y(n80207) );
+  sky130_fd_sc_hd__clkinv_1 U51569 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_beatsLeft[4]), .Y(n84139) );
+  sky130_fd_sc_hd__clkinv_1 U51570 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_fmt_endian), .Y(n48170) );
+  sky130_fd_sc_hd__clkinv_1 U51571 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__metaArb_io_in_5_bits_addr_T_1[8]), .Y(n54260) );
+  sky130_fd_sc_hd__clkinv_1 U51572 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[25]), .Y(n73957) );
+  sky130_fd_sc_hd__clkinv_1 U51573 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_acknum[0]), .Y(n53562) );
+  sky130_fd_sc_hd__clkinv_1 U51574 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pos[0]), .Y(n42990) );
+  sky130_fd_sc_hd__clkinv_1 U51575 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[30]), .Y(n64147) );
+  sky130_fd_sc_hd__clkinv_1 U51576 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[27]), .Y(n58874) );
+  sky130_fd_sc_hd__clkinv_1 U51577 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[8]), .Y(n74171) );
+  sky130_fd_sc_hd__clkinv_1 U51578 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_size[1]), 
+        .Y(n55050) );
+  sky130_fd_sc_hd__clkinv_1 U51579 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_singleStepped), .Y(n82483) );
+  sky130_fd_sc_hd__clkinv_1 U51580 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history[6]), .Y(n72818) );
+  sky130_fd_sc_hd__clkinv_1 U51581 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[750]), .Y(n66301) );
+  sky130_fd_sc_hd__clkinv_1 U51582 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[18]), .Y(n39514) );
+  sky130_fd_sc_hd__clkinv_1 U51583 ( .A(
+        MarmotCaravelChip_dut_sys_iof_0_iof_0_13_i_ival), .Y(n80121) );
+  sky130_fd_sc_hd__clkinv_1 U51584 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[9]), .Y(n74168) );
+  sky130_fd_sc_hd__clkinv_1 U51585 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_beatsLeft[0]), .Y(
+        n41367) );
+  sky130_fd_sc_hd__clkinv_1 U51586 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_slave_in_d_bits_source[0]), .Y(n82504) );
+  sky130_fd_sc_hd__clkinv_1 U51587 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[7]), .Y(n76861) );
+  sky130_fd_sc_hd__clkinv_1 U51588 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_receivedAck), 
+        .Y(n79586) );
+  sky130_fd_sc_hd__clkinv_1 U51589 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy__io_rx_bits_T_9[7]), .Y(n80539) );
+  sky130_fd_sc_hd__clkinv_1 U51590 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[25]), .Y(n71456) );
+  sky130_fd_sc_hd__clkinv_1 U51591 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[28]), .Y(n39510) );
+  sky130_fd_sc_hd__clkinv_1 U51592 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[16]), .Y(n73652) );
+  sky130_fd_sc_hd__clkinv_1 U51593 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[21]), .Y(n64553) );
+  sky130_fd_sc_hd__clkinv_1 U51594 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[20]), .Y(n67155) );
+  sky130_fd_sc_hd__or2_1 U51595 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[2]), .X(n38488) );
+  sky130_fd_sc_hd__clkinv_1 U51596 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_28[0]), .Y(
+        n43832) );
+  sky130_fd_sc_hd__clkinv_1 U51597 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[21]), .Y(n67048) );
+  sky130_fd_sc_hd__clkinv_1 U51598 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_cs_mode[1]), 
+        .Y(n80363) );
+  sky130_fd_sc_hd__clkinv_1 U51599 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_respPipe_bits_entry[2]), .Y(n60355) );
+  sky130_fd_sc_hd__clkinv_1 U51600 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_49), .Y(n67138) );
+  sky130_fd_sc_hd__clkinv_1 U51601 ( .A(
+        MarmotCaravelChip_dut_sys_iof_0_iof_0_16_i_ival), .Y(n81291) );
+  sky130_fd_sc_hd__clkinv_1 U51602 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[25]), .Y(n55438) );
+  sky130_fd_sc_hd__clkinv_1 U51603 ( .A(
+        MarmotCaravelChip_dut_sys_iof_0_iof_0_3_i_ival), .Y(n80198) );
+  sky130_fd_sc_hd__clkinv_1 U51604 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_25[0]), .Y(
+        n56230) );
+  sky130_fd_sc_hd__clkinv_1 U51605 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[4]), .Y(n42456) );
+  sky130_fd_sc_hd__clkinv_1 U51606 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[31]), .Y(n55786) );
+  sky130_fd_sc_hd__clkinv_1 U51607 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[4]), .Y(n39465) );
+  sky130_fd_sc_hd__clkinv_1 U51608 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[0]), .Y(n74826) );
+  sky130_fd_sc_hd__clkinv_1 U51609 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history[5]), .Y(n72828) );
+  sky130_fd_sc_hd__clkinv_1 U51610 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[9]), .Y(n60675) );
+  sky130_fd_sc_hd__clkinv_1 U51611 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[4]), .Y(n40213) );
+  sky130_fd_sc_hd__clkinv_1 U51612 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter[6]), 
+        .Y(n77401) );
+  sky130_fd_sc_hd__clkinv_1 U51613 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[2]), .Y(n83692) );
+  sky130_fd_sc_hd__clkinv_1 U51614 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__nextCmd_T[7]), 
+        .Y(n76260) );
+  sky130_fd_sc_hd__clkinv_1 U51615 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[28]), .Y(n63550) );
+  sky130_fd_sc_hd__clkinv_1 U51616 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[13]), .Y(n68239) );
+  sky130_fd_sc_hd__clkinv_1 U51617 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_47), .Y(n61986) );
+  sky130_fd_sc_hd__clkinv_1 U51618 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_size[2]), 
+        .Y(n55048) );
+  sky130_fd_sc_hd__clkinv_1 U51619 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_beatsLeft[2]), .Y(
+        n47270) );
+  sky130_fd_sc_hd__clkinv_1 U51620 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[31]), .Y(n75990) );
+  sky130_fd_sc_hd__clkinv_1 U51621 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[50]), .Y(n56166) );
+  sky130_fd_sc_hd__clkinv_1 U51622 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy__io_rx_bits_T_9[5]), .Y(n80862) );
+  sky130_fd_sc_hd__clkinv_1 U51623 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_io_deq_bits_MPORT_addr[2]), .Y(n49897) );
+  sky130_fd_sc_hd__clkinv_1 U51624 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[0]), .Y(n74281) );
+  sky130_fd_sc_hd__clkinv_1 U51625 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[10]), .Y(n40917) );
+  sky130_fd_sc_hd__clkinv_1 U51626 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[2]), .Y(n81161) );
+  sky130_fd_sc_hd__clkinv_1 U51627 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[26]), .Y(n75980) );
+  sky130_fd_sc_hd__clkinv_1 U51628 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[1]), .Y(n63715) );
+  sky130_fd_sc_hd__clkinv_1 U51629 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[28]), .Y(n74820) );
+  sky130_fd_sc_hd__clkinv_1 U51630 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[11]), .Y(n70202) );
+  sky130_fd_sc_hd__clkinv_1 U51631 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_9), 
+        .Y(n80117) );
+  sky130_fd_sc_hd__clkinv_1 U51632 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[3]), 
+        .Y(n68263) );
+  sky130_fd_sc_hd__clkinv_1 U51633 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[22]), .Y(n55797) );
+  sky130_fd_sc_hd__clkinv_1 U51634 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg[30]), 
+        .Y(n80134) );
+  sky130_fd_sc_hd__clkinv_1 U51635 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[22]), .Y(n57392) );
+  sky130_fd_sc_hd__clkinv_1 U51636 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[8]), .Y(n55821) );
+  sky130_fd_sc_hd__clkinv_1 U51637 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[7]), .Y(n59772) );
+  sky130_fd_sc_hd__clkinv_1 U51638 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg[31]), 
+        .Y(n80135) );
+  sky130_fd_sc_hd__clkinv_1 U51639 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_r), .Y(n43186) );
+  sky130_fd_sc_hd__clkinv_1 U51640 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_auto_in_d_bits_source[0]), .Y(n83376) );
+  sky130_fd_sc_hd__clkinv_1 U51641 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[10]), .Y(
+        n60537) );
+  sky130_fd_sc_hd__clkinv_1 U51642 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[4]), 
+        .Y(n79929) );
+  sky130_fd_sc_hd__clkinv_1 U51643 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[749]), .Y(n69148) );
+  sky130_fd_sc_hd__clkinv_1 U51644 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[23]), 
+        .Y(n50096) );
+  sky130_fd_sc_hd__clkinv_1 U51645 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_requestor_0_resp_bits_tag[1]), .Y(n64249) );
+  sky130_fd_sc_hd__clkinv_1 U51646 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[6]), .Y(n76783) );
+  sky130_fd_sc_hd__clkinv_1 U51647 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_4_0_), .Y(n56181) );
+  sky130_fd_sc_hd__clkinv_1 U51648 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_7_13), .Y(n61488) );
+  sky130_fd_sc_hd__clkinv_1 U51649 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[51]), .Y(n56073) );
+  sky130_fd_sc_hd__clkinv_1 U51650 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_26[0]), .Y(
+        n43696) );
+  sky130_fd_sc_hd__clkinv_1 U51651 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_MPORT_addr[0]), .Y(n81205) );
+  sky130_fd_sc_hd__clkinv_1 U51652 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy__io_rx_bits_T_9[0]), .Y(n80562) );
+  sky130_fd_sc_hd__clkinv_1 U51653 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[0]), .Y(n73872) );
+  sky130_fd_sc_hd__clkinv_1 U51654 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[3]), .Y(n43919) );
+  sky130_fd_sc_hd__clkinv_1 U51655 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[27]), .Y(n78445) );
+  sky130_fd_sc_hd__clkinv_1 U51656 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_31), .Y(n61989) );
+  sky130_fd_sc_hd__clkinv_1 U51657 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[29]), .Y(n69295) );
+  sky130_fd_sc_hd__clkinv_1 U51658 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[13]), .Y(n78380) );
+  sky130_fd_sc_hd__clkinv_1 U51659 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_waddr[2]), .Y(n72580) );
+  sky130_fd_sc_hd__clkinv_1 U51660 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_9[0]), .Y(
+        n56343) );
+  sky130_fd_sc_hd__clkinv_1 U51661 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[11]), .Y(n55831) );
+  sky130_fd_sc_hd__clkinv_1 U51662 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_sclOen), .Y(
+        n85791) );
+  sky130_fd_sc_hd__clkinv_1 U51663 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[15]), .Y(n61205) );
+  sky130_fd_sc_hd__clkinv_1 U51664 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_requestor_0_resp_bits_tag[3]), .Y(n64247) );
+  sky130_fd_sc_hd__clkinv_1 U51665 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[2]), .Y(n76988) );
+  sky130_fd_sc_hd__clkinv_1 U51666 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[13]), .Y(n54299) );
+  sky130_fd_sc_hd__clkinv_1 U51667 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .Y(n69419) );
+  sky130_fd_sc_hd__clkinv_1 U51668 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_io_deq_bits_mask[3]), .Y(n68041) );
+  sky130_fd_sc_hd__clkinv_1 U51669 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[9]), .Y(n63992) );
+  sky130_fd_sc_hd__clkinv_1 U51670 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[1]), .Y(n69603) );
+  sky130_fd_sc_hd__clkinv_1 U51671 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[4]), 
+        .Y(n60164) );
+  sky130_fd_sc_hd__clkinv_1 U51672 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[25]), .Y(n75908) );
+  sky130_fd_sc_hd__clkinv_1 U51673 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[27]), .Y(n70698) );
+  sky130_fd_sc_hd__clkinv_1 U51674 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_auto_in_d_bits_size[1]), .Y(n83374) );
+  sky130_fd_sc_hd__clkinv_1 U51675 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_beatsLeft[0]), 
+        .Y(n84031) );
+  sky130_fd_sc_hd__clkinv_1 U51676 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[4]), .Y(n53201) );
+  sky130_fd_sc_hd__clkinv_1 U51677 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_arb__T_1_1_), 
+        .Y(n77865) );
+  sky130_fd_sc_hd__clkinv_1 U51678 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[5]), .Y(n73611) );
+  sky130_fd_sc_hd__clkinv_1 U51679 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[20]), .Y(n73853) );
+  sky130_fd_sc_hd__clkinv_1 U51680 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[18]), .Y(n68979) );
+  sky130_fd_sc_hd__clkinv_1 U51681 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[1]), .Y(n78366) );
+  sky130_fd_sc_hd__clkinv_1 U51682 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[29]), .Y(n69283) );
+  sky130_fd_sc_hd__clkinv_1 U51683 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[44]), .Y(n69118) );
+  sky130_fd_sc_hd__clkinv_1 U51684 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[0]), .Y(n70124) );
+  sky130_fd_sc_hd__clkinv_1 U51685 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[1]), .Y(n41250) );
+  sky130_fd_sc_hd__clkinv_1 U51686 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[0]), .Y(n78364) );
+  sky130_fd_sc_hd__clkinv_1 U51687 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[14]), .Y(n54300) );
+  sky130_fd_sc_hd__clkinv_1 U51688 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[743]), .Y(n69590) );
+  sky130_fd_sc_hd__clkinv_1 U51689 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[2]), .Y(n43498) );
+  sky130_fd_sc_hd__clkinv_1 U51690 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[22]), .Y(n41186) );
+  sky130_fd_sc_hd__clkinv_1 U51691 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_2[0]), 
+        .Y(n58181) );
+  sky130_fd_sc_hd__clkinv_1 U51692 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_mpp[0]), .Y(n64076) );
+  sky130_fd_sc_hd__clkinv_1 U51693 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_gennum[2]), .Y(n47527) );
+  sky130_fd_sc_hd__clkinv_1 U51694 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter[3]), .Y(n60001) );
+  sky130_fd_sc_hd__clkinv_1 U51695 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie[11]), .Y(n70026) );
+  sky130_fd_sc_hd__clkinv_1 U51696 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[25]), .Y(n71622) );
+  sky130_fd_sc_hd__clkinv_1 U51697 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[9]), .Y(n59713) );
+  sky130_fd_sc_hd__clkinv_1 U51698 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[29]), .Y(n57399) );
+  sky130_fd_sc_hd__clkinv_1 U51699 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_MPORT_addr[0]), .Y(n59908) );
+  sky130_fd_sc_hd__clkinv_1 U51700 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[10]), .Y(n73968) );
+  sky130_fd_sc_hd__clkinv_1 U51701 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_20), .Y(n62059) );
+  sky130_fd_sc_hd__clkinv_1 U51702 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[15]), .Y(n54301) );
+  sky130_fd_sc_hd__clkinv_1 U51703 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__fSCL_T[2]), 
+        .Y(n79502) );
+  sky130_fd_sc_hd__clkinv_1 U51704 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[28]), .Y(n59024) );
+  sky130_fd_sc_hd__clkinv_1 U51705 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[29]), .Y(n62003) );
+  sky130_fd_sc_hd__clkinv_1 U51706 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_beatsLeft[2]), 
+        .Y(n84045) );
+  sky130_fd_sc_hd__clkinv_1 U51707 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[28]), .Y(n76144) );
+  sky130_fd_sc_hd__clkinv_1 U51708 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_io_deq_bits_MPORT_addr[1]), .Y(n44366) );
+  sky130_fd_sc_hd__clkinv_1 U51709 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_MPORT_addr[2]), .Y(n76255) );
+  sky130_fd_sc_hd__clkinv_1 U51710 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_valid), .Y(n40151) );
+  sky130_fd_sc_hd__clkinv_1 U51711 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[30]), .Y(n54115) );
+  sky130_fd_sc_hd__clkinv_1 U51712 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_lo_6_1), .Y(n78270) );
+  sky130_fd_sc_hd__clkinv_1 U51713 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[7]), .Y(n73834) );
+  sky130_fd_sc_hd__clkinv_1 U51714 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_16[1]), .Y(
+        n41401) );
+  sky130_fd_sc_hd__clkinv_1 U51715 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[16]), .Y(n54302) );
+  sky130_fd_sc_hd__clkinv_1 U51716 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[38]), .Y(n51353) );
+  sky130_fd_sc_hd__clkinv_1 U51717 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_acknum[2]), .Y(n53564) );
+  sky130_fd_sc_hd__clkinv_1 U51718 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[17]), 
+        .Y(n77503) );
+  sky130_fd_sc_hd__clkinv_1 U51719 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_20[0]), .Y(
+        n56164) );
+  sky130_fd_sc_hd__clkinv_1 U51720 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_16_inFlight), .Y(n67543) );
+  sky130_fd_sc_hd__clkinv_1 U51721 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_imm[1]), .Y(n53775) );
+  sky130_fd_sc_hd__inv_2 U51722 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[0]), .Y(n43911) );
+  sky130_fd_sc_hd__clkinv_1 U51723 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data[10]), .Y(n39257) );
+  sky130_fd_sc_hd__clkinv_1 U51724 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[9]), .Y(n80629) );
+  sky130_fd_sc_hd__clkinv_1 U51725 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history[4]), .Y(n72837) );
+  sky130_fd_sc_hd__clkinv_1 U51726 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[19]), .Y(n67239) );
+  sky130_fd_sc_hd__clkinv_1 U51727 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[5]), .Y(n76918) );
+  sky130_fd_sc_hd__clkinv_1 U51728 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[25]), .Y(n70875) );
+  sky130_fd_sc_hd__clkinv_1 U51729 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[23]), .Y(n54310) );
+  sky130_fd_sc_hd__clkinv_1 U51730 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_23), .Y(n62047) );
+  sky130_fd_sc_hd__clkinv_1 U51731 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[23]), .Y(n69646) );
+  sky130_fd_sc_hd__clkinv_1 U51732 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[5]), .Y(n70402) );
+  sky130_fd_sc_hd__clkinv_1 U51733 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_state[0]), .Y(n72574) );
+  sky130_fd_sc_hd__clkinv_1 U51734 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_shift), .Y(
+        n63162) );
+  sky130_fd_sc_hd__clkinv_1 U51735 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_cfg_w), .Y(n75656) );
+  sky130_fd_sc_hd__clkinv_1 U51736 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_state[1]), .Y(n65310) );
+  sky130_fd_sc_hd__clkinv_1 U51737 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[33]), .Y(n70146) );
+  sky130_fd_sc_hd__clkinv_1 U51738 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_binder_auto_in_d_bits_source[0]), .Y(n55359) );
+  sky130_fd_sc_hd__clkinv_1 U51739 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[6]), .Y(n83024) );
+  sky130_fd_sc_hd__clkinv_1 U51740 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[7]), .Y(n81099) );
+  sky130_fd_sc_hd__clkinv_1 U51741 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_MPORT_addr[2]), .Y(n83131) );
+  sky130_fd_sc_hd__clkinv_1 U51742 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_state[2]), .Y(n64498) );
+  sky130_fd_sc_hd__clkinv_1 U51743 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[31]), .Y(n64577) );
+  sky130_fd_sc_hd__clkinv_1 U51744 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_6[1]), .Y(n80716) );
+  sky130_fd_sc_hd__clkinv_1 U51745 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[14]), .Y(
+        n60539) );
+  sky130_fd_sc_hd__clkinv_1 U51746 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_isa[12]), .Y(n59252) );
+  sky130_fd_sc_hd__clkinv_1 U51747 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_20[1]), .Y(
+        n41502) );
+  sky130_fd_sc_hd__clkinv_1 U51748 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_slaveWait), .Y(
+        n79472) );
+  sky130_fd_sc_hd__clkinv_1 U51749 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[30]), .Y(n55789) );
+  sky130_fd_sc_hd__clkinv_1 U51750 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_32), .Y(n67784) );
+  sky130_fd_sc_hd__clkinv_1 U51751 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[7]), .Y(n81331) );
+  sky130_fd_sc_hd__clkinv_1 U51752 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[27]), .Y(n70553) );
+  sky130_fd_sc_hd__clkinv_1 U51753 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_27[1]), .Y(
+        n41536) );
+  sky130_fd_sc_hd__clkinv_1 U51754 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[21]), .Y(n71467) );
+  sky130_fd_sc_hd__clkinv_1 U51755 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[9]), .Y(n81335) );
+  sky130_fd_sc_hd__clkinv_1 U51756 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[4]), .Y(n55814) );
+  sky130_fd_sc_hd__clkinv_1 U51757 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_mask[5]), .Y(n54873) );
+  sky130_fd_sc_hd__clkinv_1 U51758 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[20]), .Y(n54306) );
+  sky130_fd_sc_hd__clkinv_1 U51759 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[6]), 
+        .Y(n78053) );
+  sky130_fd_sc_hd__clkinv_1 U51760 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[6]), .Y(n76933) );
+  sky130_fd_sc_hd__clkinv_1 U51761 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[5]), .Y(n81095) );
+  sky130_fd_sc_hd__clkinv_1 U51762 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[6]), .Y(n43273) );
+  sky130_fd_sc_hd__clkinv_1 U51763 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_maybe_full), .Y(n44418) );
+  sky130_fd_sc_hd__clkinv_1 U51764 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_MPORT_addr[1]), .Y(n61966) );
+  sky130_fd_sc_hd__clkinv_1 U51765 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[4]), .Y(n75885) );
+  sky130_fd_sc_hd__clkinv_1 U51766 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[8]), .Y(n73998) );
+  sky130_fd_sc_hd__clkinv_1 U51767 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[19]), .Y(n55848) );
+  sky130_fd_sc_hd__clkinv_1 U51768 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_replay_REG), .Y(n41844) );
+  sky130_fd_sc_hd__clkinv_1 U51769 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[3]), .Y(
+        n66973) );
+  sky130_fd_sc_hd__clkinv_1 U51770 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[8]), .Y(n74775) );
+  sky130_fd_sc_hd__clkinv_1 U51771 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[26]), .Y(n73877) );
+  sky130_fd_sc_hd__clkinv_1 U51772 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_state[0]), .Y(n56018) );
+  sky130_fd_sc_hd__clkinv_1 U51773 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[7]), .Y(n39516) );
+  sky130_fd_sc_hd__clkinv_1 U51774 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_29[0]), .Y(
+        n46844) );
+  sky130_fd_sc_hd__clkinv_1 U51775 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_io_deq_bits_MPORT_addr[1]), .Y(n61625) );
+  sky130_fd_sc_hd__clkinv_1 U51776 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[83]), .Y(n66250) );
+  sky130_fd_sc_hd__clkinv_1 U51777 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[4]), .Y(n76470) );
+  sky130_fd_sc_hd__clkinv_1 U51778 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[3]), .Y(n81088) );
+  sky130_fd_sc_hd__clkinv_1 U51779 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[11]), .Y(n81182) );
+  sky130_fd_sc_hd__clkinv_1 U51780 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_nextPageRepl[2]), .Y(n40626) );
+  sky130_fd_sc_hd__clkinv_1 U51781 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_mideleg_5), .Y(n76396) );
+  sky130_fd_sc_hd__clkinv_1 U51782 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[23]), .Y(n73878) );
+  sky130_fd_sc_hd__clkinv_1 U51783 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[11]), .Y(n53389) );
+  sky130_fd_sc_hd__clkinv_1 U51784 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cnt[0]), .Y(n61616) );
+  sky130_fd_sc_hd__clkinv_1 U51785 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__nextCmd_T[7]), 
+        .Y(n79503) );
+  sky130_fd_sc_hd__clkinv_1 U51786 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_6), .Y(n43292) );
+  sky130_fd_sc_hd__clkinv_1 U51787 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_18[0]), .Y(
+        n56169) );
+  sky130_fd_sc_hd__clkinv_1 U51788 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_sample_d), .Y(n80518) );
+  sky130_fd_sc_hd__clkinv_1 U51789 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[32]), .Y(n65256) );
+  sky130_fd_sc_hd__clkinv_1 U51790 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_isa[0]), .Y(n59253) );
+  sky130_fd_sc_hd__clkinv_1 U51791 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[18]), .Y(n65623) );
+  sky130_fd_sc_hd__clkinv_1 U51792 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_gennum[3]), .Y(n54836) );
+  sky130_fd_sc_hd__clkinv_1 U51794 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[15]), .Y(n53867) );
+  sky130_fd_sc_hd__clkinv_1 U51795 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_cfg_w), .Y(n40784) );
+  sky130_fd_sc_hd__clkinv_1 U51796 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[24]), .Y(n54311) );
+  sky130_fd_sc_hd__clkinv_1 U51797 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[22]), .Y(n68861) );
+  sky130_fd_sc_hd__clkinv_1 U51798 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[17]), .Y(n75029) );
+  sky130_fd_sc_hd__clkinv_1 U51799 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_prediction_entry[3]), .Y(n57373) );
+  sky130_fd_sc_hd__clkinv_1 U51800 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history[3]), .Y(n72846) );
+  sky130_fd_sc_hd__clkinv_1 U51801 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[9]), .Y(n80945) );
+  sky130_fd_sc_hd__clkinv_1 U51802 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[13]), .Y(n55840) );
+  sky130_fd_sc_hd__clkinv_1 U51803 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[7]), .Y(n80186) );
+  sky130_fd_sc_hd__clkinv_1 U51804 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[55]), .Y(
+        n78150) );
+  sky130_fd_sc_hd__clkinv_1 U51805 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_a[1]), .Y(n75496) );
+  sky130_fd_sc_hd__clkinv_1 U51806 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[34]), .Y(n65282) );
+  sky130_fd_sc_hd__clkinv_1 U51807 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_isa[2]), .Y(n73264) );
+  sky130_fd_sc_hd__clkinv_1 U51808 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_io_link_fmt_proto[0]), .Y(n77954) );
+  sky130_fd_sc_hd__clkinv_1 U51809 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[29]), .Y(n39458) );
+  sky130_fd_sc_hd__clkinv_1 U51810 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[5]), .Y(n80301) );
+  sky130_fd_sc_hd__clkinv_1 U51811 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[6]), .Y(n64512) );
+  sky130_fd_sc_hd__clkinv_1 U51812 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[55]), .Y(n44724) );
+  sky130_fd_sc_hd__clkinv_1 U51813 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[25]), .Y(n75292) );
+  sky130_fd_sc_hd__clkinv_1 U51814 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_30[0]), .Y(
+        n48229) );
+  sky130_fd_sc_hd__clkinv_1 U51815 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[25]), .Y(n54313) );
+  sky130_fd_sc_hd__clkinv_1 U51816 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[19]), .Y(n47743) );
+  sky130_fd_sc_hd__clkinv_1 U51817 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N43), .Y(n61192) );
+  sky130_fd_sc_hd__clkinv_1 U51818 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_io_deq_bits_MPORT_addr[3]), .Y(n83082) );
+  sky130_fd_sc_hd__clkinv_1 U51819 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[15]), .Y(n44122) );
+  sky130_fd_sc_hd__clkinv_1 U51820 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_22[0]), .Y(
+        n56239) );
+  sky130_fd_sc_hd__clkinv_1 U51821 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[14]), .Y(n59050) );
+  sky130_fd_sc_hd__clkinv_1 U51822 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_acknum[1]), .Y(n83596) );
+  sky130_fd_sc_hd__clkinv_1 U51823 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data[13]), .Y(n39241) );
+  sky130_fd_sc_hd__clkinv_1 U51824 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_shifter_0_), .Y(n77815) );
+  sky130_fd_sc_hd__clkinv_1 U51825 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_addr[3]), 
+        .Y(n79574) );
+  sky130_fd_sc_hd__clkinv_1 U51826 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_waddr[1]), .Y(n72601) );
+  sky130_fd_sc_hd__clkinv_1 U51827 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[23]), .Y(n44741) );
+  sky130_fd_sc_hd__clkinv_1 U51828 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_io_deq_bits_MPORT_addr[0]), .Y(n44397) );
+  sky130_fd_sc_hd__clkinv_1 U51829 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[25]), .Y(n73695) );
+  sky130_fd_sc_hd__clkinv_1 U51830 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[29]), .Y(n54114) );
+  sky130_fd_sc_hd__and2_0 U51831 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics__GEN_39[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_param[2]), .X(n47404) );
+  sky130_fd_sc_hd__clkinv_1 U51832 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_16[21]), .Y(n79397) );
+  sky130_fd_sc_hd__clkinv_1 U51833 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_bht_advance_bits_bht_value), .Y(n72813) );
+  sky130_fd_sc_hd__clkinv_1 U51834 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[5]), 
+        .Y(n79834) );
+  sky130_fd_sc_hd__clkinv_1 U51835 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N43), 
+        .Y(n60059) );
+  sky130_fd_sc_hd__inv_2 U51836 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[0]), .Y(n61568) );
+  sky130_fd_sc_hd__clkinv_1 U51837 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[18]), .Y(n75032) );
+  sky130_fd_sc_hd__clkinv_1 U51838 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_14[2]), 
+        .Y(n58117) );
+  sky130_fd_sc_hd__clkinv_1 U51839 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_MPORT_addr[2]), .Y(n61964) );
+  sky130_fd_sc_hd__clkinv_1 U51840 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[24]), .Y(n72656) );
+  sky130_fd_sc_hd__inv_2 U51841 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_14[1]), 
+        .Y(n58106) );
+  sky130_fd_sc_hd__clkinv_1 U51842 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[6]), 
+        .Y(n79838) );
+  sky130_fd_sc_hd__clkinv_1 U51843 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_16[0]), .Y(
+        n50800) );
+  sky130_fd_sc_hd__clkinv_1 U51844 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[19]), 
+        .Y(n79962) );
+  sky130_fd_sc_hd__clkinv_1 U51845 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[13]), .Y(n75911) );
+  sky130_fd_sc_hd__clkinv_1 U51846 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[18]), .Y(n74267) );
+  sky130_fd_sc_hd__clkinv_1 U51847 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[29]), .Y(n40132) );
+  sky130_fd_sc_hd__clkinv_1 U51848 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_io_deq_bits_MPORT_addr[0]), .Y(n44438) );
+  sky130_fd_sc_hd__clkinv_1 U51849 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_32[0]), 
+        .Y(n45772) );
+  sky130_fd_sc_hd__clkinv_1 U51850 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[0]), .Y(n63184) );
+  sky130_fd_sc_hd__clkinv_1 U51851 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_acknum[1]), .Y(n53571) );
+  sky130_fd_sc_hd__clkinv_1 U51852 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_25), .Y(n62061) );
+  sky130_fd_sc_hd__clkinv_1 U51853 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[1]), .Y(n53610) );
+  sky130_fd_sc_hd__clkinv_1 U51854 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[79]), .Y(n68713) );
+  sky130_fd_sc_hd__clkinv_1 U51855 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[8]), .Y(n73575) );
+  sky130_fd_sc_hd__clkinv_1 U51856 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[3]), .Y(n80754) );
+  sky130_fd_sc_hd__clkinv_1 U51857 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[5]), .Y(n60335) );
+  sky130_fd_sc_hd__clkinv_1 U51858 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_27[0]), .Y(
+        n56115) );
+  sky130_fd_sc_hd__clkinv_1 U51859 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[16]), 
+        .Y(n78018) );
+  sky130_fd_sc_hd__clkinv_1 U51860 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[24]), .Y(n73892) );
+  sky130_fd_sc_hd__clkinv_1 U51861 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[15]), .Y(n74906) );
+  sky130_fd_sc_hd__clkinv_1 U51862 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[30]), .Y(n40133) );
+  sky130_fd_sc_hd__clkinv_1 U51863 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pos[1]), .Y(n42991) );
+  sky130_fd_sc_hd__clkinv_1 U51864 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[18]), 
+        .Y(n60221) );
+  sky130_fd_sc_hd__clkinv_1 U51865 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_beatsLeft[6]), 
+        .Y(n77404) );
+  sky130_fd_sc_hd__clkinv_1 U51866 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[12]), .Y(n75265) );
+  sky130_fd_sc_hd__clkinv_1 U51867 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[21]), .Y(n70823) );
+  sky130_fd_sc_hd__clkinv_1 U51868 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1[4]), .Y(n77435) );
+  sky130_fd_sc_hd__clkinv_1 U51869 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[10]), .Y(n79854) );
+  sky130_fd_sc_hd__clkinv_1 U51870 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[1]), 
+        .Y(n79923) );
+  sky130_fd_sc_hd__clkinv_1 U51871 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[25]), .Y(n69638) );
+  sky130_fd_sc_hd__clkinv_1 U51872 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[27]), .Y(n54315) );
+  sky130_fd_sc_hd__clkinv_1 U51873 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_requestor_0_resp_bits_tag[4]), .Y(n64243) );
+  sky130_fd_sc_hd__clkinv_1 U51874 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_io_deq_bits_MPORT_addr[2]), .Y(n83078) );
+  sky130_fd_sc_hd__clkinv_1 U51875 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[10]), .Y(n64034) );
+  sky130_fd_sc_hd__clkinv_1 U51876 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[11]), .Y(n79858) );
+  sky130_fd_sc_hd__clkinv_1 U51877 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_opcode_0_), .Y(n47483) );
+  sky130_fd_sc_hd__clkinv_1 U51878 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[2]), .Y(n76961) );
+  sky130_fd_sc_hd__clkinv_1 U51879 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[9]), .Y(n81178) );
+  sky130_fd_sc_hd__clkinv_1 U51880 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[6]), .Y(n72927) );
+  sky130_fd_sc_hd__clkinv_1 U51881 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt[0]), 
+        .Y(n80250) );
+  sky130_fd_sc_hd__clkinv_1 U51882 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__fSDA_T[1]), .Y(
+        n79475) );
+  sky130_fd_sc_hd__clkinv_1 U51883 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[13]), .Y(n82559) );
+  sky130_fd_sc_hd__clkinv_1 U51884 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg[28]), 
+        .Y(n80132) );
+  sky130_fd_sc_hd__clkinv_1 U51885 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[2]), .Y(n73619) );
+  sky130_fd_sc_hd__clkinv_1 U51886 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[14]), .Y(n70457) );
+  sky130_fd_sc_hd__clkinv_1 U51887 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[0]), .Y(n78156) );
+  sky130_fd_sc_hd__clkinv_1 U51888 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1[7]), .Y(n77423) );
+  sky130_fd_sc_hd__clkinv_1 U51889 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[13]), .Y(n79866) );
+  sky130_fd_sc_hd__clkinv_1 U51890 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[3]), .Y(n76920) );
+  sky130_fd_sc_hd__clkinv_1 U51891 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__nextCmd_T[6]), 
+        .Y(n79587) );
+  sky130_fd_sc_hd__clkinv_1 U51892 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[3]), 
+        .Y(n79927) );
+  sky130_fd_sc_hd__clkinv_1 U51893 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[6]), .Y(n73979) );
+  sky130_fd_sc_hd__clkinv_1 U51894 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_26[1]), .Y(
+        n47292) );
+  sky130_fd_sc_hd__clkinv_1 U51895 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[2]), .Y(n64503) );
+  sky130_fd_sc_hd__clkinv_1 U51896 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[20]), 
+        .Y(n79964) );
+  sky130_fd_sc_hd__clkinv_1 U51897 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_ram_sink_io_deq_bits_MPORT_addr), .Y(n77576) );
+  sky130_fd_sc_hd__clkinv_1 U51898 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[6]), .Y(n67795) );
+  sky130_fd_sc_hd__clkinv_1 U51899 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_6), 
+        .Y(n80114) );
+  sky130_fd_sc_hd__clkinv_1 U51900 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[28]), .Y(n69626) );
+  sky130_fd_sc_hd__clkinv_1 U51901 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_23[31]), .Y(n60204) );
+  sky130_fd_sc_hd__clkinv_1 U51902 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[3]), .Y(n64504) );
+  sky130_fd_sc_hd__clkinv_1 U51903 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[5]), .Y(n80210) );
+  sky130_fd_sc_hd__clkinv_1 U51904 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[27]), .Y(n44135) );
+  sky130_fd_sc_hd__clkinv_1 U51905 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_2[0]), .Y(
+        n56237) );
+  sky130_fd_sc_hd__clkinv_1 U51906 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[19]), .Y(n78421) );
+  sky130_fd_sc_hd__clkinv_1 U51907 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[7]), .Y(n73975) );
+  sky130_fd_sc_hd__clkinv_1 U51908 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_tw), .Y(n59250) );
+  sky130_fd_sc_hd__clkinv_1 U51909 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_36), .Y(n67761) );
+  sky130_fd_sc_hd__clkinv_1 U51910 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[64]), .Y(n62378) );
+  sky130_fd_sc_hd__clkinv_1 U51911 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[2]), .Y(n83666) );
+  sky130_fd_sc_hd__clkinv_1 U51912 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[10]), .Y(n40902) );
+  sky130_fd_sc_hd__clkinv_1 U51913 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[6]), .Y(n43282) );
+  sky130_fd_sc_hd__clkinv_1 U51914 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N43), .Y(n60136) );
+  sky130_fd_sc_hd__clkinv_1 U51915 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[8]), .Y(n73974) );
+  sky130_fd_sc_hd__clkinv_1 U51916 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rvc), .Y(n53627) );
+  sky130_fd_sc_hd__clkinv_1 U51917 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address[10]), .Y(n54991) );
+  sky130_fd_sc_hd__clkinv_1 U51918 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[15]), .Y(n74268) );
+  sky130_fd_sc_hd__clkinv_1 U51919 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_opcode[2]), .Y(n54087) );
+  sky130_fd_sc_hd__clkinv_1 U51920 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_state_0), .Y(n40669) );
+  sky130_fd_sc_hd__clkinv_1 U51921 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_ctrl_csr[0]), .Y(n39904) );
+  sky130_fd_sc_hd__clkinv_1 U51922 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[1]), .Y(n70137) );
+  sky130_fd_sc_hd__clkinv_1 U51923 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_MPORT_addr[0]), .Y(n80396) );
+  sky130_fd_sc_hd__clkinv_1 U51924 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_23[31]), .Y(n60123) );
+  sky130_fd_sc_hd__clkinv_1 U51925 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[4]), .Y(n44623) );
+  sky130_fd_sc_hd__clkinv_1 U51926 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[59]), .Y(n56113) );
+  sky130_fd_sc_hd__clkinv_1 U51927 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[19]), .Y(n39472) );
+  sky130_fd_sc_hd__clkinv_1 U51928 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_ctrl_csr[2]), .Y(n40686) );
+  sky130_fd_sc_hd__clkinv_1 U51929 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[42]), .Y(n56204) );
+  sky130_fd_sc_hd__clkinv_1 U51930 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data[8]), .Y(n39328) );
+  sky130_fd_sc_hd__clkinv_1 U51931 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_io_deq_bits_MPORT_addr[3]), .Y(n44362) );
+  sky130_fd_sc_hd__clkinv_1 U51932 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_31[0]), .Y(
+        n41594) );
+  sky130_fd_sc_hd__clkinv_1 U51933 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[24]), .Y(n66089) );
+  sky130_fd_sc_hd__clkinv_1 U51934 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[28]), .Y(n40130) );
+  sky130_fd_sc_hd__clkinv_1 U51935 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_19[1]), .Y(
+        n47395) );
+  sky130_fd_sc_hd__clkinv_1 U51936 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_24[1]), .Y(
+        n41391) );
+  sky130_fd_sc_hd__clkinv_1 U51937 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[14]), .Y(n75266) );
+  sky130_fd_sc_hd__clkinv_1 U51938 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_MPORT_addr[0]), .Y(n59815) );
+  sky130_fd_sc_hd__clkinv_1 U51939 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[4]), .Y(n73984) );
+  sky130_fd_sc_hd__clkinv_1 U51940 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[21]), .Y(n70599) );
+  sky130_fd_sc_hd__clkinv_1 U51941 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_state[0]), .Y(n60465) );
+  sky130_fd_sc_hd__clkinv_1 U51942 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_tlb_resp_ae_inst), .Y(n55621) );
+  sky130_fd_sc_hd__clkinv_1 U51943 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[17]), .Y(n73679) );
+  sky130_fd_sc_hd__clkinv_1 U51944 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[2]), .Y(n75881) );
+  sky130_fd_sc_hd__clkinv_1 U51945 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_51), .Y(n67998) );
+  sky130_fd_sc_hd__clkinv_1 U51946 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_12[0]), .Y(
+        n56338) );
+  sky130_fd_sc_hd__clkinv_1 U51947 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[11]), .Y(n40711) );
+  sky130_fd_sc_hd__clkinv_1 U51948 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_fmt_endian), .Y(n56242) );
+  sky130_fd_sc_hd__clkinv_1 U51949 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_valid), .Y(n39529) );
+  sky130_fd_sc_hd__clkinv_1 U51950 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[24]), .Y(n69642) );
+  sky130_fd_sc_hd__clkinv_1 U51951 ( .A(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_dmOuter_debugIntRegs_0), .Y(
+        n84235) );
+  sky130_fd_sc_hd__clkinv_1 U51952 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[24]), .Y(n55855) );
+  sky130_fd_sc_hd__clkinv_1 U51953 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar__readys_unready_T_4_2_), .Y(n41828) );
+  sky130_fd_sc_hd__clkinv_1 U51954 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[46]), .Y(n70426) );
+  sky130_fd_sc_hd__clkinv_1 U51955 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_45), .Y(n67884) );
+  sky130_fd_sc_hd__clkinv_1 U51956 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[7]), .Y(n60334) );
+  sky130_fd_sc_hd__clkinv_1 U51957 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_io_deq_bits_MPORT_addr[3]), .Y(n44395) );
+  sky130_fd_sc_hd__clkinv_1 U51958 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[1]), .Y(n60345) );
+  sky130_fd_sc_hd__clkinv_1 U51959 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pma_checker_io_req_bits_cmd[2]), .Y(n78375) );
+  sky130_fd_sc_hd__clkinv_1 U51960 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[2]), .Y(n71128) );
+  sky130_fd_sc_hd__clkinv_1 U51961 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_clear), 
+        .Y(n75830) );
+  sky130_fd_sc_hd__clkinv_1 U51962 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_cnt[0]), 
+        .Y(n73721) );
+  sky130_fd_sc_hd__clkinv_1 U51963 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b19_12_T_4[6]), .Y(n53812) );
+  sky130_fd_sc_hd__clkinv_1 U51964 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[12]), .Y(n55839) );
+  sky130_fd_sc_hd__clkinv_1 U51965 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_maybe_full), .Y(n60057) );
+  sky130_fd_sc_hd__clkinv_1 U51966 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_52), .Y(n67533) );
+  sky130_fd_sc_hd__clkinv_1 U51967 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[15]), .Y(n73657) );
+  sky130_fd_sc_hd__clkinv_1 U51968 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[5]), .Y(n41113) );
+  sky130_fd_sc_hd__clkinv_1 U51969 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[0]), .Y(n63178) );
+  sky130_fd_sc_hd__clkinv_1 U51970 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[3]), .Y(n73992) );
+  sky130_fd_sc_hd__clkinv_1 U51971 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[3]), .Y(n75883) );
+  sky130_fd_sc_hd__clkinv_1 U51972 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_byteState[1]), 
+        .Y(n79784) );
+  sky130_fd_sc_hd__clkinv_1 U51973 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_MPORT_addr[0]), .Y(n81284) );
+  sky130_fd_sc_hd__clkinv_1 U51974 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[20]), 
+        .Y(n60233) );
+  sky130_fd_sc_hd__clkinv_1 U51975 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_valid), .Y(n72789) );
+  sky130_fd_sc_hd__clkinv_1 U51976 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[6]), .Y(
+        n60533) );
+  sky130_fd_sc_hd__clkinv_1 U51977 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[6]), .Y(n77468) );
+  sky130_fd_sc_hd__clkinv_1 U51978 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[7]), .Y(n70500) );
+  sky130_fd_sc_hd__clkinv_1 U51979 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[11]), .Y(n81256) );
+  sky130_fd_sc_hd__clkinv_1 U51980 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[9]), .Y(n81252) );
+  sky130_fd_sc_hd__clkinv_1 U51981 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[5]), .Y(n70398) );
+  sky130_fd_sc_hd__clkinv_1 U51982 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[13]), .Y(n74435) );
+  sky130_fd_sc_hd__clkinv_1 U51983 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[0]), .Y(n60346) );
+  sky130_fd_sc_hd__clkinv_1 U51984 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[36]), .Y(n69983) );
+  sky130_fd_sc_hd__clkinv_1 U51985 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_42), .Y(n67423) );
+  sky130_fd_sc_hd__clkinv_1 U51986 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[20]), .Y(n45944) );
+  sky130_fd_sc_hd__clkinv_1 U51987 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[7]), .Y(n81248) );
+  sky130_fd_sc_hd__clkinv_1 U51988 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_8[1]), .Y(
+        n47349) );
+  sky130_fd_sc_hd__clkinv_1 U51989 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[44]), .Y(n41244) );
+  sky130_fd_sc_hd__clkinv_1 U51990 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_addr[0]), 
+        .Y(n79573) );
+  sky130_fd_sc_hd__clkinv_1 U51991 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[24]), .Y(n44657) );
+  sky130_fd_sc_hd__clkinv_1 U51992 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[2]), .Y(n55807) );
+  sky130_fd_sc_hd__clkinv_1 U51993 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[13]), 
+        .Y(n78030) );
+  sky130_fd_sc_hd__clkinv_1 U51994 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[1]), .Y(n79208) );
+  sky130_fd_sc_hd__inv_2 U51995 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_23[2]), 
+        .Y(n58048) );
+  sky130_fd_sc_hd__clkinv_1 U51996 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_MPORT_addr[0]), .Y(n61891) );
+  sky130_fd_sc_hd__clkinv_1 U51997 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[3]), .Y(n76986) );
+  sky130_fd_sc_hd__clkinv_1 U51998 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_0_valid), .Y(n78412) );
+  sky130_fd_sc_hd__clkinv_1 U51999 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[34]), .Y(n70344) );
+  sky130_fd_sc_hd__clkinv_1 U52000 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[13]), .Y(n73660) );
+  sky130_fd_sc_hd__clkinv_1 U52001 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_written_once), .Y(n83675) );
+  sky130_fd_sc_hd__clkinv_1 U52002 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jal), .Y(n59983) );
+  sky130_fd_sc_hd__clkinv_1 U52003 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[10]), .Y(n43924) );
+  sky130_fd_sc_hd__clkinv_1 U52004 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[15]), .Y(n61209) );
+  sky130_fd_sc_hd__clkinv_1 U52005 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_20[1]), .Y(
+        n47402) );
+  sky130_fd_sc_hd__clkinv_1 U52006 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[19]), .Y(n65625) );
+  sky130_fd_sc_hd__clkinv_1 U52007 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[3]), .Y(n53637) );
+  sky130_fd_sc_hd__clkinv_1 U52008 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[57]), .Y(n55439) );
+  sky130_fd_sc_hd__clkinv_1 U52009 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[6]), .Y(n43283) );
+  sky130_fd_sc_hd__clkinv_1 U52010 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[28]), .Y(n61594) );
+  sky130_fd_sc_hd__clkinv_1 U52011 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_13[1]), .Y(
+        n47313) );
+  sky130_fd_sc_hd__clkinv_1 U52012 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[23]), .Y(n75285) );
+  sky130_fd_sc_hd__clkinv_1 U52013 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[11]), .Y(n40727) );
+  sky130_fd_sc_hd__clkinv_1 U52014 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_MPORT_addr[1]), .Y(n81282) );
+  sky130_fd_sc_hd__clkinv_1 U52015 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[14]), .Y(n74734) );
+  sky130_fd_sc_hd__clkinv_1 U52016 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_MPORT_addr[0]), .Y(n60951) );
+  sky130_fd_sc_hd__clkinv_1 U52017 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[1]), .Y(n72589) );
+  sky130_fd_sc_hd__clkinv_1 U52018 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_N43), .Y(n59900) );
+  sky130_fd_sc_hd__clkinv_1 U52019 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_18[1]), .Y(
+        n47397) );
+  sky130_fd_sc_hd__clkinv_1 U52020 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_23[0]), .Y(
+        n56613) );
+  sky130_fd_sc_hd__clkinv_1 U52021 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_29[1]), .Y(
+        n47444) );
+  sky130_fd_sc_hd__clkinv_1 U52022 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_mask[7]), .Y(n54998) );
+  sky130_fd_sc_hd__clkinv_1 U52023 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_ctrl_wxd), .Y(n63167) );
+  sky130_fd_sc_hd__clkinv_1 U52024 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[12]), .Y(n66564) );
+  sky130_fd_sc_hd__clkinv_1 U52025 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[6]), .Y(n78385) );
+  sky130_fd_sc_hd__clkinv_1 U52026 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_s_0_state[1]), 
+        .Y(n47475) );
+  sky130_fd_sc_hd__clkinv_1 U52027 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_state), 
+        .Y(n79127) );
+  sky130_fd_sc_hd__clkinv_1 U52028 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[26]), .Y(n75491) );
+  sky130_fd_sc_hd__and2_0 U52029 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics__GEN_39[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_param[2]), .X(n47446) );
+  sky130_fd_sc_hd__clkinv_1 U52030 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[2]), .Y(n79116) );
+  sky130_fd_sc_hd__clkinv_1 U52031 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_0[0]), .Y(
+        n56650) );
+  sky130_fd_sc_hd__clkinv_1 U52032 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[17]), .Y(n75274) );
+  sky130_fd_sc_hd__clkinv_1 U52033 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_size[2]), .Y(n84106) );
+  sky130_fd_sc_hd__clkinv_1 U52034 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_22[1]), .Y(
+        n47393) );
+  sky130_fd_sc_hd__clkinv_1 U52035 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[3]), 
+        .Y(n79825) );
+  sky130_fd_sc_hd__clkinv_1 U52036 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_clear), .Y(n55469) );
+  sky130_fd_sc_hd__clkinv_1 U52037 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[3]), .Y(n78536) );
+  sky130_fd_sc_hd__clkinv_1 U52038 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_28[1]), .Y(
+        n41387) );
+  sky130_fd_sc_hd__clkinv_1 U52039 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[28]), .Y(n55791) );
+  sky130_fd_sc_hd__clkinv_1 U52040 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_3[0]), .Y(
+        n56241) );
+  sky130_fd_sc_hd__clkinv_1 U52041 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_27[1]), .Y(
+        n47436) );
+  sky130_fd_sc_hd__clkinv_1 U52042 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[43]), .Y(n70013) );
+  sky130_fd_sc_hd__clkinv_1 U52043 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[1]), .Y(n62863) );
+  sky130_fd_sc_hd__clkinv_1 U52044 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[10]), .Y(n74174) );
+  sky130_fd_sc_hd__clkinv_1 U52045 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[25]), .Y(n63539) );
+  sky130_fd_sc_hd__clkinv_1 U52046 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[4]), .Y(n53666) );
+  sky130_fd_sc_hd__clkinv_1 U52047 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_5[0]), .Y(
+        n52688) );
+  sky130_fd_sc_hd__clkinv_1 U52048 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[3]), .Y(n81240) );
+  sky130_fd_sc_hd__clkinv_1 U52049 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[42]), .Y(n70011) );
+  sky130_fd_sc_hd__clkinv_1 U52050 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[31]), 
+        .Y(n79572) );
+  sky130_fd_sc_hd__clkinv_1 U52051 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[13]), 
+        .Y(n60109) );
+  sky130_fd_sc_hd__clkinv_1 U52052 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_25[1]), .Y(
+        n47429) );
+  sky130_fd_sc_hd__clkinv_1 U52053 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[10]), .Y(n55828) );
+  sky130_fd_sc_hd__clkinv_1 U52054 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_a[1]), .Y(n75416) );
+  sky130_fd_sc_hd__clkinv_1 U52055 ( .A(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_dmi2tl_auto_out_a_valid), 
+        .Y(n78132) );
+  sky130_fd_sc_hd__clkinv_1 U52056 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_sSDA), .Y(
+        n79497) );
+  sky130_fd_sc_hd__clkinv_1 U52057 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[4]), .Y(n40709) );
+  sky130_fd_sc_hd__clkinv_1 U52058 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_MPORT_addr[3]), .Y(n59934) );
+  sky130_fd_sc_hd__clkinv_1 U52059 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[2]), .Y(n53626) );
+  sky130_fd_sc_hd__clkinv_1 U52060 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_10[1]), .Y(
+        n41444) );
+  sky130_fd_sc_hd__clkinv_1 U52061 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[21]), .Y(n55795) );
+  sky130_fd_sc_hd__clkinv_1 U52062 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[9]), .Y(n72915) );
+  sky130_fd_sc_hd__clkinv_1 U52063 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_13[1]), .Y(
+        n41412) );
+  sky130_fd_sc_hd__clkinv_1 U52064 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_30[1]), .Y(
+        n47284) );
+  sky130_fd_sc_hd__clkinv_1 U52065 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_MPORT_addr[0]), .Y(n59905) );
+  sky130_fd_sc_hd__clkinv_1 U52066 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[3]), .Y(n45941) );
+  sky130_fd_sc_hd__clkinv_1 U52067 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[18]), .Y(n43861) );
+  sky130_fd_sc_hd__clkinv_1 U52068 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[15]), 
+        .Y(n60128) );
+  sky130_fd_sc_hd__clkinv_1 U52069 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[18]), .Y(n75273) );
+  sky130_fd_sc_hd__clkinv_1 U52070 ( .A(
+        MarmotCaravelChip_dut_sys_iof_0_iof_0_5_i_ival), .Y(n80218) );
+  sky130_fd_sc_hd__clkinv_1 U52071 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data[2]), .Y(n39283) );
+  sky130_fd_sc_hd__clkinv_1 U52072 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[31]), .Y(n53937) );
+  sky130_fd_sc_hd__clkinv_1 U52073 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_cmd[1]), .Y(n41337) );
+  sky130_fd_sc_hd__clkinv_1 U52074 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_28), .Y(n62063) );
+  sky130_fd_sc_hd__clkinv_1 U52075 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[6]), .Y(n76897) );
+  sky130_fd_sc_hd__clkinv_1 U52076 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[9]), .Y(n60621) );
+  sky130_fd_sc_hd__clkinv_1 U52077 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_14[1]), .Y(
+        n47306) );
+  sky130_fd_sc_hd__clkinv_1 U52078 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[0]), .Y(n68361) );
+  sky130_fd_sc_hd__clkinv_1 U52079 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_requestor_0_resp_bits_tag[2]), .Y(n64241) );
+  sky130_fd_sc_hd__clkinv_1 U52080 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[30]), .Y(n57402) );
+  sky130_fd_sc_hd__clkinv_1 U52081 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[30]), .Y(n61486) );
+  sky130_fd_sc_hd__clkinv_1 U52082 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[35]), .Y(n51355) );
+  sky130_fd_sc_hd__clkinv_1 U52083 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[8]), .Y(n76604) );
+  sky130_fd_sc_hd__clkinv_1 U52084 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0_sel_0_), .Y(n64182) );
+  sky130_fd_sc_hd__clkinv_1 U52085 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_io_link_active), .Y(n73558) );
+  sky130_fd_sc_hd__clkinv_1 U52086 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_held), .Y(n59845) );
+  sky130_fd_sc_hd__clkinv_1 U52087 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_hi_lo_hi_1[6]), .Y(n39588) );
+  sky130_fd_sc_hd__clkinv_1 U52088 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23[31]), .Y(n60210) );
+  sky130_fd_sc_hd__clkinv_1 U52089 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[25]), .Y(n55858) );
+  sky130_fd_sc_hd__clkinv_1 U52090 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[23]), .Y(n44714) );
+  sky130_fd_sc_hd__clkinv_1 U52091 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[20]), .Y(n45972) );
+  sky130_fd_sc_hd__clkinv_1 U52092 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_41), .Y(n67568) );
+  sky130_fd_sc_hd__clkinv_1 U52093 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[2]), .Y(n64795) );
+  sky130_fd_sc_hd__clkinv_1 U52094 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[3]), .Y(n79120) );
+  sky130_fd_sc_hd__clkinv_1 U52095 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[21]), 
+        .Y(n79966) );
+  sky130_fd_sc_hd__clkinv_1 U52096 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy__io_rx_bits_T_9[6]), .Y(n80856) );
+  sky130_fd_sc_hd__clkinv_1 U52097 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[23]), .Y(n76085) );
+  sky130_fd_sc_hd__clkinv_1 U52098 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_16[18]), .Y(n67463) );
+  sky130_fd_sc_hd__clkinv_1 U52099 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[22]), .Y(
+        n66978) );
+  sky130_fd_sc_hd__clkinv_1 U52100 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[16]), .Y(n68477) );
+  sky130_fd_sc_hd__clkinv_1 U52101 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[46]), .Y(n70320) );
+  sky130_fd_sc_hd__clkinv_1 U52102 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[25]), .Y(n71347) );
+  sky130_fd_sc_hd__clkinv_1 U52103 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[10]), .Y(n70004) );
+  sky130_fd_sc_hd__clkinv_1 U52104 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__fSCL_T[1]), 
+        .Y(n79501) );
+  sky130_fd_sc_hd__clkinv_1 U52105 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_18[0]), 
+        .Y(n45778) );
+  sky130_fd_sc_hd__clkinv_1 U52106 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[29]), .Y(n40858) );
+  sky130_fd_sc_hd__clkinv_1 U52107 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[7]), .Y(n70460) );
+  sky130_fd_sc_hd__clkinv_1 U52108 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[7]), .Y(n81174) );
+  sky130_fd_sc_hd__clkinv_1 U52109 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[32]), .Y(n70468) );
+  sky130_fd_sc_hd__clkinv_1 U52110 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_20[1]), .Y(n49706) );
+  sky130_fd_sc_hd__clkinv_1 U52111 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[36]), .Y(n69989) );
+  sky130_fd_sc_hd__clkinv_1 U52112 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[5]), .Y(n81327) );
+  sky130_fd_sc_hd__clkinv_1 U52113 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmd[3]), .Y(
+        n79752) );
+  sky130_fd_sc_hd__clkinv_1 U52114 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_17), 
+        .Y(n80124) );
+  sky130_fd_sc_hd__clkinv_1 U52115 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[39]), .Y(n70434) );
+  sky130_fd_sc_hd__clkinv_1 U52116 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[26]), .Y(n75052) );
+  sky130_fd_sc_hd__clkinv_1 U52117 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[7]), 
+        .Y(n59650) );
+  sky130_fd_sc_hd__clkinv_1 U52118 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[9]), .Y(n73967) );
+  sky130_fd_sc_hd__clkinv_1 U52119 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_33), .Y(n67790) );
+  sky130_fd_sc_hd__clkinv_1 U52120 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[15]), .Y(n56007) );
+  sky130_fd_sc_hd__clkinv_1 U52121 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_21[0]), .Y(
+        n51818) );
+  sky130_fd_sc_hd__clkinv_1 U52122 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_state_0), .Y(n47272)
+         );
+  sky130_fd_sc_hd__clkinv_1 U52123 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[7]), .Y(n74735) );
+  sky130_fd_sc_hd__clkinv_1 U52124 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_chainOut_data), .Y(
+        n79567) );
+  sky130_fd_sc_hd__clkinv_1 U52125 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[12]), .Y(n71603) );
+  sky130_fd_sc_hd__clkinv_1 U52126 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy__io_rx_bits_T_9[4]), .Y(n80564) );
+  sky130_fd_sc_hd__clkinv_1 U52127 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[7]), .Y(n60594) );
+  sky130_fd_sc_hd__clkinv_1 U52128 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[10]), .Y(n76635) );
+  sky130_fd_sc_hd__clkinv_1 U52129 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_ptw_customCSRs_csrs_0_value_9_), .Y(n44771) );
+  sky130_fd_sc_hd__clkinv_1 U52130 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_31[0]), .Y(
+        n56652) );
+  sky130_fd_sc_hd__clkinv_1 U52131 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_cfg_w), .Y(n44764) );
+  sky130_fd_sc_hd__clkinv_1 U52132 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[23]), .Y(n55794) );
+  sky130_fd_sc_hd__clkinv_1 U52133 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_mprv), .Y(n78249) );
+  sky130_fd_sc_hd__clkinv_1 U52134 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[21]), .Y(n71924) );
+  sky130_fd_sc_hd__clkinv_1 U52135 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[7]), .Y(n40831) );
+  sky130_fd_sc_hd__clkinv_1 U52136 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_clkEn), .Y(
+        n83819) );
+  sky130_fd_sc_hd__clkinv_1 U52137 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_s_0_state[0]), 
+        .Y(n41581) );
+  sky130_fd_sc_hd__clkinv_1 U52138 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[4]), .Y(n51193) );
+  sky130_fd_sc_hd__clkinv_1 U52139 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[15]), .Y(n75270) );
+  sky130_fd_sc_hd__clkinv_1 U52140 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[61]), .Y(n62004) );
+  sky130_fd_sc_hd__clkinv_1 U52141 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[24]), .Y(n73645) );
+  sky130_fd_sc_hd__clkinv_1 U52142 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[47]), .Y(n64104) );
+  sky130_fd_sc_hd__clkinv_1 U52143 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[22]), .Y(n41187) );
+  sky130_fd_sc_hd__clkinv_1 U52144 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b19_12_T_4[3]), .Y(n53870) );
+  sky130_fd_sc_hd__clkinv_1 U52145 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_N43), 
+        .Y(n59759) );
+  sky130_fd_sc_hd__clkinv_1 U52146 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_m), .Y(n43294) );
+  sky130_fd_sc_hd__clkinv_1 U52147 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[11]), .Y(n73969) );
+  sky130_fd_sc_hd__clkinv_1 U52148 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_address[6]), .Y(n47877) );
+  sky130_fd_sc_hd__clkinv_1 U52149 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_byteState[0]), 
+        .Y(n79786) );
+  sky130_fd_sc_hd__clkinv_1 U52150 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_invalidated), .Y(n82614) );
+  sky130_fd_sc_hd__clkinv_1 U52151 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[17]), .Y(n73846) );
+  sky130_fd_sc_hd__clkinv_1 U52152 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[1]), .Y(n78381) );
+  sky130_fd_sc_hd__clkinv_1 U52153 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[20]), .Y(n40652) );
+  sky130_fd_sc_hd__clkinv_1 U52154 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[45]), .Y(n69114) );
+  sky130_fd_sc_hd__clkinv_1 U52155 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_fmt_endian), .Y(n51608) );
+  sky130_fd_sc_hd__clkinv_1 U52156 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[2]), .Y(n51788) );
+  sky130_fd_sc_hd__clkinv_1 U52157 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[20]), .Y(n48019) );
+  sky130_fd_sc_hd__clkinv_1 U52158 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[13]), .Y(n81186) );
+  sky130_fd_sc_hd__clkinv_1 U52159 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_req_bits_vaddr_31_), .Y(n70810) );
+  sky130_fd_sc_hd__clkinv_1 U52160 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget__enable_T_3_1_), .Y(n83677) );
+  sky130_fd_sc_hd__clkinv_1 U52161 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[8]), .Y(
+        n60441) );
+  sky130_fd_sc_hd__clkinv_1 U52162 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_17), .Y(n62033) );
+  sky130_fd_sc_hd__clkinv_1 U52163 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_cause[1]), .Y(n43072) );
+  sky130_fd_sc_hd__clkinv_1 U52164 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[6]), .Y(n76880) );
+  sky130_fd_sc_hd__clkinv_1 U52165 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[12]), 
+        .Y(n60122) );
+  sky130_fd_sc_hd__clkinv_1 U52166 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[0]), .Y(n73987) );
+  sky130_fd_sc_hd__inv_2 U52167 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_38[1]), 
+        .Y(n57755) );
+  sky130_fd_sc_hd__clkinv_1 U52168 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_37[0]), 
+        .Y(n45759) );
+  sky130_fd_sc_hd__clkinv_1 U52169 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[27]), .Y(n56112) );
+  sky130_fd_sc_hd__clkinv_1 U52170 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[17]), .Y(n43967) );
+  sky130_fd_sc_hd__clkinv_1 U52171 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[7]), .Y(n60681) );
+  sky130_fd_sc_hd__clkinv_1 U52172 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[15]), .Y(n75104) );
+  sky130_fd_sc_hd__clkinv_1 U52173 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_21[1]), .Y(
+        n47399) );
+  sky130_fd_sc_hd__clkinv_1 U52174 ( .A(
+        MarmotCaravelChip_dut_sys_debug_1_io_ctrl_ndreset), .Y(n84238) );
+  sky130_fd_sc_hd__clkinv_1 U52175 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_37), .Y(n67773) );
+  sky130_fd_sc_hd__clkinv_1 U52176 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[12]), .Y(n68052) );
+  sky130_fd_sc_hd__clkinv_1 U52177 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_xcpt_interrupt), .Y(n55951) );
+  sky130_fd_sc_hd__inv_1 U52178 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_24[0]), 
+        .Y(n58047) );
+  sky130_fd_sc_hd__clkinv_1 U52179 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[5]), .Y(n64511) );
+  sky130_fd_sc_hd__clkinv_1 U52180 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[8]), .Y(n39907) );
+  sky130_fd_sc_hd__clkinv_1 U52181 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_53), .Y(n67666) );
+  sky130_fd_sc_hd__clkinv_1 U52182 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_0), .Y(n43203) );
+  sky130_fd_sc_hd__clkinv_1 U52183 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend[1]), 
+        .Y(n77933) );
+  sky130_fd_sc_hd__clkinv_1 U52184 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_byteState[0]), 
+        .Y(n79583) );
+  sky130_fd_sc_hd__clkinv_1 U52185 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[11]), .Y(n36972) );
+  sky130_fd_sc_hd__clkinv_1 U52186 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[19]), .Y(n65611) );
+  sky130_fd_sc_hd__clkinv_1 U52187 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[9]), .Y(n68637) );
+  sky130_fd_sc_hd__clkinv_1 U52188 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_49[1]), 
+        .Y(n38706) );
+  sky130_fd_sc_hd__clkinv_1 U52189 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_54[2]), 
+        .Y(n57872) );
+  sky130_fd_sc_hd__clkinv_1 U52190 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount[4]), .Y(n83054) );
+  sky130_fd_sc_hd__clkinv_1 U52191 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_54), .Y(n67902) );
+  sky130_fd_sc_hd__clkinv_1 U52192 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[16]), .Y(n55801) );
+  sky130_fd_sc_hd__clkinv_1 U52193 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[2]), .Y(n82573) );
+  sky130_fd_sc_hd__clkinv_1 U52194 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[6]), .Y(n76989) );
+  sky130_fd_sc_hd__clkinv_1 U52195 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[9]), .Y(n81418) );
+  sky130_fd_sc_hd__clkinv_1 U52196 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[28]), .Y(n58868) );
+  sky130_fd_sc_hd__clkinv_1 U52197 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[0]), .Y(n60485) );
+  sky130_fd_sc_hd__clkinv_1 U52198 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[0]), .Y(n55803) );
+  sky130_fd_sc_hd__clkinv_1 U52199 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[8]), .Y(n75943) );
+  sky130_fd_sc_hd__clkinv_1 U52201 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_beatsLeft[1]), .Y(
+        n47269) );
+  sky130_fd_sc_hd__clkinv_1 U52202 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_fmt_proto[1]), .Y(n80541) );
+  sky130_fd_sc_hd__clkinv_1 U52204 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_state[1]), 
+        .Y(n80987) );
+  sky130_fd_sc_hd__clkinv_1 U52205 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[11]), .Y(n75262) );
+  sky130_fd_sc_hd__clkinv_1 U52206 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[7]), 
+        .Y(n78170) );
+  sky130_fd_sc_hd__clkinv_1 U52207 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[752]), .Y(n68514) );
+  sky130_fd_sc_hd__clkinv_1 U52208 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[19]), 
+        .Y(n52003) );
+  sky130_fd_sc_hd__clkinv_1 U52210 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[2]), .Y(n78941) );
+  sky130_fd_sc_hd__inv_2 U52211 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_52[1]), 
+        .Y(n38730) );
+  sky130_fd_sc_hd__clkinv_1 U52212 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_speculative), .Y(n73164) );
+  sky130_fd_sc_hd__clkinv_1 U52213 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_17[1]), 
+        .Y(n57963) );
+  sky130_fd_sc_hd__clkinv_1 U52214 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[6]), .Y(
+        n60433) );
+  sky130_fd_sc_hd__clkinv_1 U52215 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_15[0]), 
+        .Y(n57968) );
+  sky130_fd_sc_hd__clkinv_1 U52216 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_io_deq_bits_MPORT_addr[2]), .Y(n63497) );
+  sky130_fd_sc_hd__clkinv_1 U52217 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[4]), .Y(n47490) );
+  sky130_fd_sc_hd__clkinv_1 U52218 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__metaArb_io_in_5_bits_addr_T_1[10]), .Y(n54278) );
+  sky130_fd_sc_hd__clkinv_1 U52219 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[20]), .Y(n64551) );
+  sky130_fd_sc_hd__clkinv_1 U52220 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[24]), .Y(n39512) );
+  sky130_fd_sc_hd__clkinv_1 U52221 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[24]), .Y(n44654) );
+  sky130_fd_sc_hd__clkinv_1 U52222 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[4]), .Y(
+        n60435) );
+  sky130_fd_sc_hd__clkinv_1 U52223 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[3]), .Y(n76088) );
+  sky130_fd_sc_hd__clkinv_1 U52224 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeat_count[1]), .Y(n83309) );
+  sky130_fd_sc_hd__clkinv_1 U52225 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[2]), .Y(n76081) );
+  sky130_fd_sc_hd__clkinv_1 U52226 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[2]), .Y(n39468) );
+  sky130_fd_sc_hd__clkinv_1 U52227 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[48]), .Y(n68482) );
+  sky130_fd_sc_hd__clkinv_1 U52228 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_mem), .Y(n76517) );
+  sky130_fd_sc_hd__clkinv_1 U52229 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget__enable_T_6_0_), .Y(n83303) );
+  sky130_fd_sc_hd__clkinv_1 U52230 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[11]), .Y(n74919) );
+  sky130_fd_sc_hd__clkinv_1 U52231 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_28[0]), 
+        .Y(n38896) );
+  sky130_fd_sc_hd__clkinv_1 U52232 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_io_link_fmt_endian), .Y(n80222) );
+  sky130_fd_sc_hd__clkinv_1 U52233 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[18]), .Y(n73847) );
+  sky130_fd_sc_hd__clkinv_1 U52234 ( .A(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_39), .Y(n62050) );
+  sky130_fd_sc_hd__clkinv_1 U52235 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[46]), .Y(
+        n66937) );
+  sky130_fd_sc_hd__clkinv_1 U52236 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[23]), .Y(n68863) );
+  sky130_fd_sc_hd__inv_1 U52237 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_47[2]), 
+        .Y(n46436) );
+  sky130_fd_sc_hd__clkinv_1 U52238 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[11]), .Y(n74437) );
+  sky130_fd_sc_hd__clkinv_1 U52239 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[2]), .Y(n73838) );
+  sky130_fd_sc_hd__clkinv_1 U52240 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_50[1]), 
+        .Y(n57838) );
+  sky130_fd_sc_hd__clkinv_1 U52241 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[17]), .Y(n55846) );
+  sky130_fd_sc_hd__clkinv_1 U52242 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_16[23]), .Y(n79414) );
+  sky130_fd_sc_hd__clkinv_1 U52243 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[16]), .Y(n76708) );
+  sky130_fd_sc_hd__clkinv_1 U52244 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_io_deq_bits_MPORT_addr[1]), .Y(n78870) );
+  sky130_fd_sc_hd__clkinv_1 U52245 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_maybe_full), .Y(n59838) );
+  sky130_fd_sc_hd__clkinv_1 U52246 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_12[1]), .Y(
+        n41410) );
+  sky130_fd_sc_hd__clkinv_1 U52247 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[1]), .Y(
+        n46415) );
+  sky130_fd_sc_hd__clkinv_1 U52248 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[5]), .Y(n68395) );
+  sky130_fd_sc_hd__clkinv_1 U52249 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[5]), .Y(n70412) );
+  sky130_fd_sc_hd__clkinv_1 U52250 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[44]), .Y(n70430) );
+  sky130_fd_sc_hd__clkinv_1 U52251 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_6[2]), 
+        .Y(n58217) );
+  sky130_fd_sc_hd__clkinv_1 U52252 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[17]), .Y(n74310) );
+  sky130_fd_sc_hd__clkinv_1 U52253 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_MPORT_addr[1]), .Y(n81076) );
+  sky130_fd_sc_hd__clkinv_1 U52254 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[4]), 
+        .Y(n61703) );
+  sky130_fd_sc_hd__clkinv_1 U52255 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[15]), .Y(n70363) );
+  sky130_fd_sc_hd__clkinv_1 U52256 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[5]), .Y(n72992) );
+  sky130_fd_sc_hd__clkinv_1 U52257 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_io_link_fmt_proto[1]), .Y(n77827) );
+  sky130_fd_sc_hd__clkinv_1 U52258 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_auto_in_d_bits_size[1]), .Y(n83499) );
+  sky130_fd_sc_hd__clkinv_1 U52259 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_gennum[3]), .Y(n47590) );
+  sky130_fd_sc_hd__clkinv_1 U52260 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_5[1]), .Y(n81391) );
+  sky130_fd_sc_hd__clkinv_1 U52261 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[29]), .Y(n78451) );
+  sky130_fd_sc_hd__clkinv_1 U52262 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_26[1]), .Y(
+        n41389) );
+  sky130_fd_sc_hd__clkinv_1 U52263 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_3), .Y(n60253)
+         );
+  sky130_fd_sc_hd__clkinv_1 U52264 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[11]), .Y(n81422) );
+  sky130_fd_sc_hd__clkinv_1 U52265 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_13), .Y(n60264) );
+  sky130_fd_sc_hd__clkinv_1 U52266 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[1]), .Y(n76661) );
+  sky130_fd_sc_hd__clkinv_1 U52267 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[23]), .Y(n73863) );
+  sky130_fd_sc_hd__clkinv_1 U52268 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_40[2]), 
+        .Y(n38639) );
+  sky130_fd_sc_hd__clkinv_1 U52269 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_8), .Y(n60257)
+         );
+  sky130_fd_sc_hd__clkinv_1 U52270 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[20]), .Y(n74878) );
+  sky130_fd_sc_hd__clkinv_1 U52271 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[7]), .Y(n81414) );
+  sky130_fd_sc_hd__clkinv_1 U52272 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[21]), .Y(n73857) );
+  sky130_fd_sc_hd__clkinv_1 U52273 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_41[2]), 
+        .Y(n38618) );
+  sky130_fd_sc_hd__clkinv_1 U52274 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[3]), .Y(n81166) );
+  sky130_fd_sc_hd__clkinv_1 U52275 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[5]), .Y(n81410) );
+  sky130_fd_sc_hd__clkinv_1 U52276 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_435[2]), .Y(n72310) );
+  sky130_fd_sc_hd__clkinv_1 U52277 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[26]), .Y(n74823) );
+  sky130_fd_sc_hd__inv_2 U52278 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_42[0]), 
+        .Y(n38615) );
+  sky130_fd_sc_hd__clkinv_1 U52279 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_cfg_a[1]), .Y(n60033) );
+  sky130_fd_sc_hd__clkinv_1 U52280 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N38), .Y(n60131) );
+  sky130_fd_sc_hd__clkinv_1 U52281 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_valid), .Y(n40150) );
+  sky130_fd_sc_hd__clkinv_1 U52282 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[27]), .Y(n73961) );
+  sky130_fd_sc_hd__clkinv_1 U52283 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[3]), .Y(n81406) );
+  sky130_fd_sc_hd__clkinv_1 U52284 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[25]), .Y(n69171) );
+  sky130_fd_sc_hd__clkinv_1 U52285 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[19]), .Y(n74245) );
+  sky130_fd_sc_hd__clkinv_1 U52286 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[29]), .Y(n68254) );
+  sky130_fd_sc_hd__clkinv_1 U52287 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[0]), .Y(n75923) );
+  sky130_fd_sc_hd__clkinv_1 U52288 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[23]), .Y(n74824) );
+  sky130_fd_sc_hd__clkinv_1 U52289 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[4]), .Y(n76963) );
+  sky130_fd_sc_hd__clkinv_1 U52290 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[16]), .Y(n74236) );
+  sky130_fd_sc_hd__clkinv_1 U52291 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[16]), .Y(n61761) );
+  sky130_fd_sc_hd__clkinv_1 U52292 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_12[1]), .Y(
+        n47311) );
+  sky130_fd_sc_hd__clkinv_1 U52293 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[3]), .Y(n72157) );
+  sky130_fd_sc_hd__clkinv_1 U52294 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_gennum[0]), .Y(n41604) );
+  sky130_fd_sc_hd__clkinv_1 U52295 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[10]), .Y(n65968) );
+  sky130_fd_sc_hd__clkinv_1 U52296 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_43[0]), 
+        .Y(n57700) );
+  sky130_fd_sc_hd__clkinv_1 U52297 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[7]), .Y(n60749) );
+  sky130_fd_sc_hd__clkinv_1 U52298 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N43), .Y(n60129) );
+  sky130_fd_sc_hd__clkinv_1 U52299 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[18]), .Y(n71489) );
+  sky130_fd_sc_hd__clkinv_1 U52300 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[21]), .Y(n70031) );
+  sky130_fd_sc_hd__clkinv_1 U52301 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_24_0_), .Y(n74036) );
+  sky130_fd_sc_hd__clkinv_1 U52302 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[22]), .Y(n65028) );
+  sky130_fd_sc_hd__clkinv_1 U52303 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[9]), .Y(n71491) );
+  sky130_fd_sc_hd__inv_2 U52304 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_46[1]), 
+        .Y(n57690) );
+  sky130_fd_sc_hd__clkinv_1 U52305 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_34[1]), 
+        .Y(n37386) );
+  sky130_fd_sc_hd__clkinv_1 U52306 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_slave_in_d_bits_size[0]), .Y(n82502) );
+  sky130_fd_sc_hd__clkinv_1 U52307 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_opcode_hold[1]), .Y(n74007) );
+  sky130_fd_sc_hd__clkinv_1 U52308 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_io_link_rx_valid), .Y(n56015) );
+  sky130_fd_sc_hd__clkinv_1 U52309 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[7]), .Y(n55689) );
+  sky130_fd_sc_hd__clkinv_1 U52310 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_gennum[0]), .Y(n47876) );
+  sky130_fd_sc_hd__clkinv_1 U52311 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_opcode_hold[0]), .Y(n41803) );
+  sky130_fd_sc_hd__clkinv_1 U52312 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[27]), .Y(n71435) );
+  sky130_fd_sc_hd__clkinv_1 U52313 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_xcpt_interrupt), .Y(n39653) );
+  sky130_fd_sc_hd__clkinv_1 U52314 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_state[2]), .Y(n55962) );
+  sky130_fd_sc_hd__clkinv_1 U52315 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_4[0]), .Y(
+        n56245) );
+  sky130_fd_sc_hd__clkinv_1 U52316 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[4]), .Y(
+        n61456) );
+  sky130_fd_sc_hd__clkinv_1 U52317 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N43), 
+        .Y(n60347) );
+  sky130_fd_sc_hd__clkinv_1 U52318 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_signed), .Y(n78358) );
+  sky130_fd_sc_hd__clkinv_1 U52319 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_cfg_a[0]), .Y(n70687) );
+  sky130_fd_sc_hd__clkinv_1 U52320 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_io_deq_bits_MPORT_addr[0]), .Y(n49175) );
+  sky130_fd_sc_hd__clkinv_1 U52321 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[5]), .Y(n60697) );
+  sky130_fd_sc_hd__clkinv_1 U52322 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[17]), .Y(n75069) );
+  sky130_fd_sc_hd__clkinv_1 U52323 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[2]), .Y(n81235) );
+  sky130_fd_sc_hd__clkinv_1 U52324 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[6]), .Y(n47939) );
+  sky130_fd_sc_hd__clkinv_1 U52325 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[5]), .Y(n81244) );
+  sky130_fd_sc_hd__clkinv_1 U52326 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[14]), .Y(n73661) );
+  sky130_fd_sc_hd__clkinv_1 U52327 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_118[1]), .Y(n54236) );
+  sky130_fd_sc_hd__clkinv_1 U52328 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[17]), .Y(n43966) );
+  sky130_fd_sc_hd__clkinv_1 U52329 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[26]), .Y(n47830) );
+  sky130_fd_sc_hd__clkinv_1 U52330 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_state[1]), .Y(n41331) );
+  sky130_fd_sc_hd__clkinv_1 U52331 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[23]), .Y(n49049) );
+  sky130_fd_sc_hd__clkinv_1 U52332 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[18]), .Y(n48801) );
+  sky130_fd_sc_hd__clkinv_1 U52333 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg[27]), 
+        .Y(n80131) );
+  sky130_fd_sc_hd__clkinv_1 U52334 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt[0]), .Y(n79376) );
+  sky130_fd_sc_hd__clkinv_1 U52335 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_23[30]), .Y(n60110) );
+  sky130_fd_sc_hd__clkinv_1 U52336 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[49]), .Y(n43972) );
+  sky130_fd_sc_hd__clkinv_1 U52337 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_6[1]), .Y(n81015) );
+  sky130_fd_sc_hd__clkinv_1 U52338 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[5]), .Y(n74291) );
+  sky130_fd_sc_hd__clkinv_1 U52339 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[5]), .Y(n82541) );
+  sky130_fd_sc_hd__clkinv_1 U52340 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[15]), .Y(n64111) );
+  sky130_fd_sc_hd__clkinv_1 U52341 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[0]), .Y(n49201) );
+  sky130_fd_sc_hd__clkinv_1 U52342 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[31]), .Y(n70354) );
+  sky130_fd_sc_hd__clkinv_1 U52343 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[22]), .Y(n68870) );
+  sky130_fd_sc_hd__clkinv_1 U52344 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[18]), .Y(n60338) );
+  sky130_fd_sc_hd__clkinv_1 U52345 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget__enable_T_3_1_), .Y(n83302) );
+  sky130_fd_sc_hd__clkinv_1 U52346 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_gennum[0]), .Y(n47848) );
+  sky130_fd_sc_hd__clkinv_1 U52347 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[6]), .Y(n59733) );
+  sky130_fd_sc_hd__clkinv_1 U52348 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[17]), .Y(n66354) );
+  sky130_fd_sc_hd__clkinv_1 U52349 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[9]), .Y(n74222) );
+  sky130_fd_sc_hd__clkinv_1 U52350 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[15]), .Y(n61204) );
+  sky130_fd_sc_hd__clkinv_1 U52351 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy__io_rx_bits_T_9[6]), .Y(n80548) );
+  sky130_fd_sc_hd__clkinv_1 U52352 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy__io_rx_bits_T_9[1]), .Y(n80566) );
+  sky130_fd_sc_hd__clkinv_1 U52353 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_4[0]), 
+        .Y(n45798) );
+  sky130_fd_sc_hd__clkinv_1 U52354 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_7[18]), .Y(n53011) );
+  sky130_fd_sc_hd__clkinv_1 U52355 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[17]), .Y(n78392) );
+  sky130_fd_sc_hd__clkinv_1 U52356 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[9]), .Y(n82486) );
+  sky130_fd_sc_hd__clkinv_1 U52357 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_cfg_a[0]), .Y(n60028) );
+  sky130_fd_sc_hd__clkinv_1 U52358 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[17]), .Y(n44133) );
+  sky130_fd_sc_hd__clkinv_1 U52359 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[5]), .Y(n60615) );
+  sky130_fd_sc_hd__clkinv_1 U52360 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__nextCmd_T[4]), 
+        .Y(n75858) );
+  sky130_fd_sc_hd__clkinv_1 U52361 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_br_target_T_3_11_), .Y(n63977) );
+  sky130_fd_sc_hd__clkinv_1 U52362 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_cease), .Y(n40145) );
+  sky130_fd_sc_hd__clkinv_1 U52363 ( .A(
+        MarmotCaravelChip_dut_sys_iof_0_iof_0_18_i_ival), .Y(n81212) );
+  sky130_fd_sc_hd__clkinv_1 U52364 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[10]), .Y(n64520) );
+  sky130_fd_sc_hd__clkinv_1 U52365 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_gennum[3]), .Y(n47546) );
+  sky130_fd_sc_hd__clkinv_1 U52366 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[10]), .Y(n76619) );
+  sky130_fd_sc_hd__clkinv_1 U52367 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_gennum[0]), .Y(n47972) );
+  sky130_fd_sc_hd__clkinv_1 U52368 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_auto_qspi_ram_0_mem_xing_in_d_bits_source[0]), .Y(n83328) );
+  sky130_fd_sc_hd__clkinv_1 U52369 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_m), .Y(n43293) );
+  sky130_fd_sc_hd__clkinv_1 U52370 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[29]), .Y(n78390) );
+  sky130_fd_sc_hd__clkinv_1 U52371 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[45]), .Y(n45315) );
+  sky130_fd_sc_hd__clkinv_1 U52372 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[2]), .Y(n82530) );
+  sky130_fd_sc_hd__clkinv_1 U52373 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_io_link_fmt_proto[0]), .Y(n77883) );
+  sky130_fd_sc_hd__clkinv_1 U52374 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[29]), .Y(n48841) );
+  sky130_fd_sc_hd__clkinv_1 U52375 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[2]), .Y(n56200) );
+  sky130_fd_sc_hd__clkinv_1 U52376 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_gennum[3]), .Y(n47606) );
+  sky130_fd_sc_hd__clkinv_1 U52377 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_9[1]), .Y(
+        n47352) );
+  sky130_fd_sc_hd__clkinv_1 U52378 ( .A(
+        MarmotCaravelChip_dut_sys_iof_0_iof_0_2_i_ival), .Y(n80188) );
+  sky130_fd_sc_hd__clkinv_1 U52380 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[0]), 
+        .Y(n79792) );
+  sky130_fd_sc_hd__clkinv_1 U52381 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count[3]), .Y(n64570) );
+  sky130_fd_sc_hd__clkinv_1 U52382 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[0]), .Y(n49151) );
+  sky130_fd_sc_hd__clkinv_1 U52383 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[17]), .Y(n75478) );
+  sky130_fd_sc_hd__clkinv_1 U52385 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_io_link_active), .Y(n60466) );
+  sky130_fd_sc_hd__clkinv_1 U52386 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_cfg_l), .Y(n70815) );
+  sky130_fd_sc_hd__clkinv_1 U52387 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_26[0]), .Y(
+        n56199) );
+  sky130_fd_sc_hd__clkinv_1 U52388 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_gennum[3]), .Y(n47600) );
+  sky130_fd_sc_hd__clkinv_1 U52389 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_acknum[1]), .Y(n62014) );
+  sky130_fd_sc_hd__clkinv_1 U52390 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[20]), .Y(n78424) );
+  sky130_fd_sc_hd__clkinv_1 U52391 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft_1[1]), .Y(n84092) );
+  sky130_fd_sc_hd__clkinv_1 U52392 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[3]), .Y(n81319) );
+  sky130_fd_sc_hd__clkinv_1 U52393 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[1]), .Y(n81315) );
+  sky130_fd_sc_hd__clkinv_1 U52394 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[1]), .Y(n78191) );
+  sky130_fd_sc_hd__clkinv_1 U52395 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_blocked), .Y(n72673) );
+  sky130_fd_sc_hd__clkinv_1 U52396 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[6]), .Y(n75940) );
+  sky130_fd_sc_hd__clkinv_1 U52397 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_io_deq_bits_MPORT_addr[1]), .Y(n49784) );
+  sky130_fd_sc_hd__clkinv_1 U52398 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[0]), .Y(n62902) );
+  sky130_fd_sc_hd__clkinv_1 U52399 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[12]), .Y(n39515) );
+  sky130_fd_sc_hd__clkinv_1 U52400 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_valid), .Y(n55953) );
+  sky130_fd_sc_hd__clkinv_1 U52401 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_MPORT_addr[2]), .Y(n83168) );
+  sky130_fd_sc_hd__clkinv_1 U52402 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[15]), .Y(n79713) );
+  sky130_fd_sc_hd__clkinv_1 U52403 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[10]), .Y(
+        n60431) );
+  sky130_fd_sc_hd__clkinv_1 U52404 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[0]), .Y(n53770) );
+  sky130_fd_sc_hd__clkinv_1 U52405 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[0]), .Y(n49185) );
+  sky130_fd_sc_hd__clkinv_1 U52406 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_imm[0]), .Y(n53620) );
+  sky130_fd_sc_hd__clkinv_1 U52407 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[7]), .Y(n83026) );
+  sky130_fd_sc_hd__clkinv_1 U52408 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[26]), .Y(n55859) );
+  sky130_fd_sc_hd__clkinv_1 U52409 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_size[1]), .Y(n47488) );
+  sky130_fd_sc_hd__clkinv_1 U52410 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[69]), .Y(n66645) );
+  sky130_fd_sc_hd__clkinv_1 U52411 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[26]), .Y(n64560) );
+  sky130_fd_sc_hd__clkinv_1 U52412 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[5]), .Y(n74835) );
+  sky130_fd_sc_hd__clkinv_1 U52413 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_shifter_0_), .Y(n77806) );
+  sky130_fd_sc_hd__clkinv_1 U52414 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[19]), 
+        .Y(n77500) );
+  sky130_fd_sc_hd__clkinv_1 U52415 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_acknum[0]), .Y(n53563) );
+  sky130_fd_sc_hd__clkinv_1 U52416 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[24]), .Y(n64557) );
+  sky130_fd_sc_hd__clkinv_1 U52417 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[12]), .Y(
+        n60437) );
+  sky130_fd_sc_hd__clkinv_1 U52418 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[21]), .Y(n70042) );
+  sky130_fd_sc_hd__clkinv_1 U52419 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[48]), .Y(
+        n66906) );
+  sky130_fd_sc_hd__clkinv_1 U52420 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[26]), .Y(n69340) );
+  sky130_fd_sc_hd__inv_1 U52421 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_30[1]), 
+        .Y(n58021) );
+  sky130_fd_sc_hd__clkinv_1 U52422 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_binder_auto_in_d_bits_source[1]), .Y(n54390) );
+  sky130_fd_sc_hd__clkinv_1 U52423 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[37]), .Y(n70332) );
+  sky130_fd_sc_hd__clkinv_1 U52424 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_state), 
+        .Y(n79036) );
+  sky130_fd_sc_hd__clkinv_1 U52425 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_sdaOen), .Y(
+        n60115) );
+  sky130_fd_sc_hd__clkinv_1 U52426 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data[12]), .Y(n39261) );
+  sky130_fd_sc_hd__clkinv_1 U52427 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_auto_qspi_ram_0_mem_xing_in_d_bits_source[5]), .Y(n83348) );
+  sky130_fd_sc_hd__clkinv_1 U52428 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[1]), .Y(n75924) );
+  sky130_fd_sc_hd__clkinv_1 U52429 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[2]), .Y(n73915) );
+  sky130_fd_sc_hd__clkinv_1 U52430 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3__GEN_15_0_), .Y(n83105) );
+  sky130_fd_sc_hd__clkinv_1 U52431 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_10), .Y(n40914) );
+  sky130_fd_sc_hd__clkinv_1 U52432 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[3]), .Y(n47624) );
+  sky130_fd_sc_hd__clkinv_1 U52433 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_gennum[1]), .Y(n47589) );
+  sky130_fd_sc_hd__clkinv_1 U52434 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[31]), 
+        .Y(n79987) );
+  sky130_fd_sc_hd__clkinv_1 U52435 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_gennum[0]), .Y(n47780) );
+  sky130_fd_sc_hd__clkinv_1 U52436 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[31]), .Y(n63899) );
+  sky130_fd_sc_hd__clkinv_1 U52437 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[7]), .Y(n77025) );
+  sky130_fd_sc_hd__clkinv_1 U52438 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_address[11]), .Y(n47902) );
+  sky130_fd_sc_hd__clkinv_1 U52439 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[18]), .Y(n53811) );
+  sky130_fd_sc_hd__clkinv_1 U52440 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[8]), .Y(n55459) );
+  sky130_fd_sc_hd__clkinv_1 U52441 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data[3]), .Y(n39290) );
+  sky130_fd_sc_hd__clkinv_1 U52442 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[43]), .Y(n55476) );
+  sky130_fd_sc_hd__clkinv_1 U52443 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[14]), .Y(n60340) );
+  sky130_fd_sc_hd__clkinv_1 U52444 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_435[3]), .Y(n72313) );
+  sky130_fd_sc_hd__clkinv_1 U52445 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[11]), .Y(n74851) );
+  sky130_fd_sc_hd__clkinv_1 U52446 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[16]), .Y(n64536) );
+  sky130_fd_sc_hd__clkinv_1 U52447 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_valid), .Y(n77483) );
+  sky130_fd_sc_hd__clkinv_1 U52448 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[7]), .Y(n51350) );
+  sky130_fd_sc_hd__clkinv_1 U52449 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[2]), .Y(n76913) );
+  sky130_fd_sc_hd__clkinv_1 U52450 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[40]), .Y(n55460) );
+  sky130_fd_sc_hd__clkinv_1 U52451 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_54[0]), 
+        .Y(n57860) );
+  sky130_fd_sc_hd__clkinv_1 U52452 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[761]), .Y(n69209) );
+  sky130_fd_sc_hd__clkinv_1 U52453 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[7]), 
+        .Y(n67349) );
+  sky130_fd_sc_hd__clkinv_1 U52454 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_11[1]), .Y(
+        n47347) );
+  sky130_fd_sc_hd__clkinv_1 U52455 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[48]), .Y(n47518) );
+  sky130_fd_sc_hd__inv_2 U52456 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[0]), .Y(n39558) );
+  sky130_fd_sc_hd__clkinv_1 U52457 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_beatsLeft[4]), 
+        .Y(n60944) );
+  sky130_fd_sc_hd__clkinv_1 U52458 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_currState[3]), 
+        .Y(n76335) );
+  sky130_fd_sc_hd__clkinv_1 U52459 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie[3]), .Y(n72161) );
+  sky130_fd_sc_hd__clkinv_1 U52460 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[17]), .Y(n75959) );
+  sky130_fd_sc_hd__clkinv_1 U52461 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_MPORT_addr[1]), .Y(n81306) );
+  sky130_fd_sc_hd__clkinv_1 U52462 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[20]), .Y(n39508) );
+  sky130_fd_sc_hd__clkinv_1 U52463 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_cfg_a[1]), .Y(n60097) );
+  sky130_fd_sc_hd__clkinv_1 U52464 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[3]), .Y(n76875) );
+  sky130_fd_sc_hd__clkinv_1 U52465 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[12]), .Y(n64529) );
+  sky130_fd_sc_hd__clkinv_1 U52466 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_taken), .Y(n57675) );
+  sky130_fd_sc_hd__clkinv_1 U52467 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_MPORT_addr[2]), .Y(n81136) );
+  sky130_fd_sc_hd__clkinv_1 U52468 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[39]), .Y(n70324) );
+  sky130_fd_sc_hd__clkinv_1 U52469 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[10]), .Y(n76617) );
+  sky130_fd_sc_hd__clkinv_1 U52470 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_MPORT_addr[2]), .Y(n81075) );
+  sky130_fd_sc_hd__clkinv_1 U52471 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_ctrl_div), .Y(n40254) );
+  sky130_fd_sc_hd__clkinv_1 U52472 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie[7]), .Y(n70494) );
+  sky130_fd_sc_hd__clkinv_1 U52473 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[4]), .Y(n74279) );
+  sky130_fd_sc_hd__clkinv_1 U52474 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_gennum[0]), .Y(n48765) );
+  sky130_fd_sc_hd__clkinv_1 U52475 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[5]), .Y(n43236) );
+  sky130_fd_sc_hd__clkinv_1 U52476 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[32]), .Y(n47623) );
+  sky130_fd_sc_hd__clkinv_1 U52477 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_gennum[3]), .Y(n54861) );
+  sky130_fd_sc_hd__clkinv_1 U52478 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[3]), .Y(n79299) );
+  sky130_fd_sc_hd__clkinv_1 U52479 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[7]), .Y(n77010) );
+  sky130_fd_sc_hd__clkinv_1 U52480 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[6]), .Y(n77022) );
+  sky130_fd_sc_hd__clkinv_1 U52481 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_io_deq_bits_MPORT_addr[1]), .Y(n79225) );
+  sky130_fd_sc_hd__clkinv_1 U52482 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[5]), 
+        .Y(n49340) );
+  sky130_fd_sc_hd__clkinv_1 U52483 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_chain), .Y(n59175) );
+  sky130_fd_sc_hd__clkinv_1 U52484 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_opcode[2]), .Y(n47758) );
+  sky130_fd_sc_hd__clkinv_1 U52485 ( .A(
+        MarmotCaravelChip_dut_sys_iof_0_iof_0_20_i_ival), .Y(n81145) );
+  sky130_fd_sc_hd__clkinv_1 U52486 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_13[0]), .Y(
+        n50157) );
+  sky130_fd_sc_hd__clkinv_1 U52487 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[0]), 
+        .Y(n60155) );
+  sky130_fd_sc_hd__clkinv_1 U52488 ( .A(io_in[4]), .Y(n36352) );
+  sky130_fd_sc_hd__inv_1 U52603 ( .A(n36967), .Y(n76494) );
+  sky130_fd_sc_hd__nor2_1 U52604 ( .A(n37175), .B(n36967), .Y(n68046) );
+  sky130_fd_sc_hd__nor2_1 U52605 ( .A(n68044), .B(n36967), .Y(n38269) );
+  sky130_fd_sc_hd__o22ai_1 U52606 ( .A1(n68062), .A2(n36967), .B1(n68061), 
+        .B2(n68060), .Y(n68065) );
+  sky130_fd_sc_hd__o22ai_1 U52607 ( .A1(n59406), .A2(n36967), .B1(n59405), 
+        .B2(n59404), .Y(n59407) );
+  sky130_fd_sc_hd__nand2_2 U52608 ( .A(n76497), .B(n58830), .Y(n36967) );
+  sky130_fd_sc_hd__nand2_1 U52609 ( .A(n37396), .B(n37395), .Y(n58311) );
+  sky130_fd_sc_hd__nand3_1 U52610 ( .A(n37382), .B(n36968), .C(n57788), .Y(
+        n37398) );
+  sky130_fd_sc_hd__nand2_1 U52611 ( .A(n37380), .B(n36969), .Y(n36968) );
+  sky130_fd_sc_hd__nand2_1 U52612 ( .A(n57787), .B(n57795), .Y(n37380) );
+  sky130_fd_sc_hd__nand2_1 U52613 ( .A(n57796), .B(n36970), .Y(n37382) );
+  sky130_fd_sc_hd__inv_1 U52614 ( .A(n57795), .Y(n36970) );
+  sky130_fd_sc_hd__inv_1 U52615 ( .A(n57787), .Y(n57796) );
+  sky130_fd_sc_hd__a21boi_1 U52616 ( .A1(n65798), .A2(n65763), .B1_N(n65762), 
+        .Y(n65764) );
+  sky130_fd_sc_hd__nand2_1 U52617 ( .A(n57827), .B(n37844), .Y(n57912) );
+  sky130_fd_sc_hd__xnor2_1 U52618 ( .A(n36972), .B(n60715), .Y(n60741) );
+  sky130_fd_sc_hd__inv_2 U52620 ( .A(n68932), .Y(n61937) );
+  sky130_fd_sc_hd__nand2_2 U52621 ( .A(n48781), .B(n48780), .Y(n55542) );
+  sky130_fd_sc_hd__inv_2 U52622 ( .A(n85519), .Y(n37894) );
+  sky130_fd_sc_hd__inv_1 U52623 ( .A(n37904), .Y(n38043) );
+  sky130_fd_sc_hd__nor2_1 U52624 ( .A(n62801), .B(n62802), .Y(n65751) );
+  sky130_fd_sc_hd__fah_1 U52625 ( .A(n62278), .B(n62277), .CI(n62276), .COUT(
+        n62803), .SUM(n62802) );
+  sky130_fd_sc_hd__a21boi_1 U52626 ( .A1(n37867), .A2(n37866), .B1_N(n37873), 
+        .Y(n76519) );
+  sky130_fd_sc_hd__inv_2 U52627 ( .A(n48422), .Y(n51594) );
+  sky130_fd_sc_hd__inv_2 U52628 ( .A(n48421), .Y(n48422) );
+  sky130_fd_sc_hd__nor2_2 U52629 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N43), 
+        .B(n83782), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N16)
+         );
+  sky130_fd_sc_hd__nand2_2 U52631 ( .A(n38314), .B(n38312), .Y(n59474) );
+  sky130_fd_sc_hd__nor2_1 U52632 ( .A(n83533), .B(n59964), .Y(n84148) );
+  sky130_fd_sc_hd__buf_4 U52633 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[20]), .X(n62651) );
+  sky130_fd_sc_hd__nand2_1 U52634 ( .A(n37586), .B(n38819), .Y(n57938) );
+  sky130_fd_sc_hd__nand2_2 U52635 ( .A(n76390), .B(n76388), .Y(n81350) );
+  sky130_fd_sc_hd__inv_1 U52636 ( .A(n38071), .Y(n36976) );
+  sky130_fd_sc_hd__inv_2 U52638 ( .A(n76518), .Y(n38071) );
+  sky130_fd_sc_hd__nand2_1 U52640 ( .A(n37508), .B(n37507), .Y(n76499) );
+  sky130_fd_sc_hd__nand2_2 U52642 ( .A(n72712), .B(n73156), .Y(n68959) );
+  sky130_fd_sc_hd__o211ai_1 U52643 ( .A1(n61158), .A2(n39910), .B1(n39901), 
+        .C1(n37464), .Y(n39902) );
+  sky130_fd_sc_hd__o22ai_4 U52644 ( .A1(n37899), .A2(n37958), .B1(n37957), 
+        .B2(n85645), .Y(n62928) );
+  sky130_fd_sc_hd__nand3_2 U52645 ( .A(n38043), .B(n62940), .C(n62939), .Y(
+        n37824) );
+  sky130_fd_sc_hd__nand4_1 U52646 ( .A(n38252), .B(n38253), .C(n38254), .D(
+        n57929), .Y(n37930) );
+  sky130_fd_sc_hd__nand2_2 U52647 ( .A(n59332), .B(n57721), .Y(n59331) );
+  sky130_fd_sc_hd__nand2_1 U52648 ( .A(n37686), .B(n39783), .Y(n39817) );
+  sky130_fd_sc_hd__nand3_1 U52650 ( .A(n38054), .B(n38061), .C(n38786), .Y(
+        n38060) );
+  sky130_fd_sc_hd__buf_2 U52651 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15), 
+        .X(n86464) );
+  sky130_fd_sc_hd__inv_4 U52652 ( .A(n41596), .Y(n63711) );
+  sky130_fd_sc_hd__mux2_2 U52654 ( .A0(n58015), .A1(n58014), .S(n59369), .X(
+        n59372) );
+  sky130_fd_sc_hd__and3_1 U52655 ( .A(n73163), .B(n83116), .C(n72809), .X(
+        n72879) );
+  sky130_fd_sc_hd__a21boi_1 U52658 ( .A1(n58770), .A2(n58769), .B1_N(n58768), 
+        .Y(n58771) );
+  sky130_fd_sc_hd__a21oi_1 U52659 ( .A1(n70541), .A2(n70540), .B1(n58663), .Y(
+        n72445) );
+  sky130_fd_sc_hd__or2_1 U52660 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[10]), .B(n53289), .X(n38472) );
+  sky130_fd_sc_hd__a21oi_1 U52661 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[15]), .A2(n68244), .B1(n68243), .Y(n68245) );
+  sky130_fd_sc_hd__a21oi_1 U52662 ( .A1(n68228), .A2(n68227), .B1(n68226), .Y(
+        n68229) );
+  sky130_fd_sc_hd__inv_2 U52664 ( .A(n41625), .Y(n56498) );
+  sky130_fd_sc_hd__or2_0 U52665 ( .A(n62373), .B(n62657), .X(n36980) );
+  sky130_fd_sc_hd__nand2_1 U52666 ( .A(n36980), .B(n62170), .Y(n62171) );
+  sky130_fd_sc_hd__xnor2_1 U52667 ( .A(n62120), .B(n62145), .Y(n62657) );
+  sky130_fd_sc_hd__o21ai_1 U52668 ( .A1(n65707), .A2(n65704), .B1(n65705), .Y(
+        n65739) );
+  sky130_fd_sc_hd__inv_1 U52669 ( .A(n72712), .Y(n37955) );
+  sky130_fd_sc_hd__inv_2 U52670 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .Y(n72363) );
+  sky130_fd_sc_hd__a21oi_1 U52671 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[11]), .A2(n57562), .B1(n48139), .Y(n48140) );
+  sky130_fd_sc_hd__nor2_2 U52672 ( .A(n60873), .B(n59807), .Y(n59828) );
+  sky130_fd_sc_hd__nand2_2 U52673 ( .A(n49285), .B(n63665), .Y(n56787) );
+  sky130_fd_sc_hd__mux2i_4 U52674 ( .A0(n47524), .A1(n47523), .S(n49226), .Y(
+        n84982) );
+  sky130_fd_sc_hd__ha_2 U52675 ( .A(n72177), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .COUT(n69223), .SUM(n72184) );
+  sky130_fd_sc_hd__nand3_1 U52676 ( .A(n62940), .B(n37903), .C(n38043), .Y(
+        n37488) );
+  sky130_fd_sc_hd__inv_2 U52677 ( .A(n69738), .Y(n65811) );
+  sky130_fd_sc_hd__inv_2 U52678 ( .A(n43065), .Y(n72458) );
+  sky130_fd_sc_hd__inv_2 U52679 ( .A(n53257), .Y(n43065) );
+  sky130_fd_sc_hd__xor2_1 U52680 ( .A(n39857), .B(n72349), .X(n39870) );
+  sky130_fd_sc_hd__o21a_1 U52681 ( .A1(n38808), .A2(n59444), .B1(n37469), .X(
+        n76523) );
+  sky130_fd_sc_hd__inv_1 U52682 ( .A(n38568), .Y(n38257) );
+  sky130_fd_sc_hd__inv_2 U52683 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .Y(n43308) );
+  sky130_fd_sc_hd__inv_2 U52684 ( .A(n83092), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N26) );
+  sky130_fd_sc_hd__nand2_1 U52686 ( .A(n55037), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[9]), .Y(n37283) );
+  sky130_fd_sc_hd__inv_1 U52687 ( .A(n59452), .Y(n38279) );
+  sky130_fd_sc_hd__o2bb2ai_1 U52688 ( .B1(n38807), .B2(n38806), .A1_N(n37801), 
+        .A2_N(n37436), .Y(n37443) );
+  sky130_fd_sc_hd__inv_1 U52689 ( .A(n37388), .Y(n62975) );
+  sky130_fd_sc_hd__nand2b_1 U52690 ( .A_N(n37388), .B(n58290), .Y(n37387) );
+  sky130_fd_sc_hd__nor4_1 U52692 ( .A(n75971), .B(n75970), .C(n75969), .D(
+        n75968), .Y(n75975) );
+  sky130_fd_sc_hd__o2bb2ai_1 U52693 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[6]), .B2(n75940), .A1_N(n75939), .A2_N(n75938), .Y(n75942) );
+  sky130_fd_sc_hd__o22ai_1 U52694 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[5]), .A2(n75937), .B1(n75936), .B2(n75935), .Y(n75938) );
+  sky130_fd_sc_hd__inv_2 U52695 ( .A(n76659), .Y(n76822) );
+  sky130_fd_sc_hd__o21ai_2 U52696 ( .A1(n50082), .A2(n47804), .B1(n50084), .Y(
+        n73563) );
+  sky130_fd_sc_hd__nor2_2 U52697 ( .A(n48912), .B(n48911), .Y(n51665) );
+  sky130_fd_sc_hd__a22oi_2 U52699 ( .A1(n83711), .A2(n85008), .B1(n83705), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_dOrig[2]), .Y(n63346) );
+  sky130_fd_sc_hd__nor2_2 U52700 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_acknum[5]), .B(n83712), .Y(n83711) );
+  sky130_fd_sc_hd__buf_6 U52701 ( .A(n62790), .X(n69740) );
+  sky130_fd_sc_hd__a21oi_2 U52702 ( .A1(n65407), .A2(n62789), .B1(n62788), .Y(
+        n62790) );
+  sky130_fd_sc_hd__nor2_1 U52703 ( .A(n62778), .B(n62779), .Y(n65470) );
+  sky130_fd_sc_hd__inv_2 U52704 ( .A(n56283), .Y(n56279) );
+  sky130_fd_sc_hd__nand2_1 U52705 ( .A(n39141), .B(n39143), .Y(n37673) );
+  sky130_fd_sc_hd__inv_1 U52706 ( .A(n38976), .Y(n38016) );
+  sky130_fd_sc_hd__fah_1 U52707 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[53]), .B(n62635), .CI(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[23]), .COUT(n62166), .SUM(n62616) );
+  sky130_fd_sc_hd__inv_2 U52708 ( .A(n69729), .Y(n65812) );
+  sky130_fd_sc_hd__nand2_1 U52709 ( .A(n76497), .B(n58829), .Y(n37388) );
+  sky130_fd_sc_hd__mux2i_1 U52710 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_34[1]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_33[1]), 
+        .S(n59586), .Y(n38531) );
+  sky130_fd_sc_hd__o21a_1 U52711 ( .A1(n37386), .A2(n59410), .B1(n37385), .X(
+        n57795) );
+  sky130_fd_sc_hd__buf_2 U52712 ( .A(n55985), .X(n54746) );
+  sky130_fd_sc_hd__nand2_1 U52713 ( .A(n69745), .B(n69656), .Y(n36982) );
+  sky130_fd_sc_hd__nand2_1 U52714 ( .A(n69743), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[52]), .Y(n36983) );
+  sky130_fd_sc_hd__nand2_1 U52715 ( .A(n65780), .B(n69796), .Y(n36984) );
+  sky130_fd_sc_hd__nor2_2 U52716 ( .A(n56027), .B(n56026), .Y(n85535) );
+  sky130_fd_sc_hd__nor4_1 U52717 ( .A(n53440), .B(n53439), .C(n53438), .D(
+        n53437), .Y(n53441) );
+  sky130_fd_sc_hd__nor2_2 U52718 ( .A(n62137), .B(n62138), .Y(n62726) );
+  sky130_fd_sc_hd__nor4_2 U52720 ( .A(n43936), .B(n43935), .C(n43934), .D(
+        n43933), .Y(n53445) );
+  sky130_fd_sc_hd__nand2_2 U52721 ( .A(n37281), .B(n37280), .Y(n84860) );
+  sky130_fd_sc_hd__nand2_1 U52722 ( .A(n57136), .B(n36774), .Y(n57544) );
+  sky130_fd_sc_hd__nand2_2 U52723 ( .A(n55227), .B(n55229), .Y(n47894) );
+  sky130_fd_sc_hd__nor2_2 U52724 ( .A(n63297), .B(n61044), .Y(n63521) );
+  sky130_fd_sc_hd__o21bai_2 U52726 ( .A1(n69275), .A2(n69279), .B1_N(n36987), 
+        .Y(n70266) );
+  sky130_fd_sc_hd__clkinv_1 U52727 ( .A(n69276), .Y(n36987) );
+  sky130_fd_sc_hd__o211ai_1 U52729 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[7]), 
+        .A2(n63103), .B1(n63102), .C1(n63101), .Y(n63104) );
+  sky130_fd_sc_hd__a211oi_1 U52730 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[15]), .A2(n73110), .B1(n72508), .C1(n72507), .Y(n72515) );
+  sky130_fd_sc_hd__nand3_2 U52732 ( .A(n63052), .B(n38501), .C(n63051), .Y(
+        n62976) );
+  sky130_fd_sc_hd__nand2_2 U52733 ( .A(n37652), .B(n37188), .Y(n63052) );
+  sky130_fd_sc_hd__a21o_1 U52734 ( .A1(n83111), .A2(n84085), .B1(n83151), .X(
+        n54357) );
+  sky130_fd_sc_hd__nor2_2 U52735 ( .A(n42390), .B(n42380), .Y(n42803) );
+  sky130_fd_sc_hd__nand2_1 U52737 ( .A(n38028), .B(n38027), .Y(n38026) );
+  sky130_fd_sc_hd__nand3_1 U52738 ( .A(n38030), .B(n38999), .C(n38029), .Y(
+        n38028) );
+  sky130_fd_sc_hd__nor2_1 U52739 ( .A(n38691), .B(n59489), .Y(n59549) );
+  sky130_fd_sc_hd__nand2_4 U52740 ( .A(n37279), .B(n37278), .Y(n54320) );
+  sky130_fd_sc_hd__nor2_1 U52741 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[3]), .B(n39568), .Y(n39669) );
+  sky130_fd_sc_hd__nand2_4 U52742 ( .A(n72802), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[2]), .Y(n54974) );
+  sky130_fd_sc_hd__xor2_2 U52743 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[31]), .B(n54928), .X(n84793) );
+  sky130_fd_sc_hd__nor3_1 U52745 ( .A(n59884), .B(n59874), .C(n59870), .Y(
+        n59868) );
+  sky130_fd_sc_hd__inv_2 U52746 ( .A(n62961), .Y(n59314) );
+  sky130_fd_sc_hd__mux2i_2 U52747 ( .A0(n62867), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[23]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .Y(n68830) );
+  sky130_fd_sc_hd__o21ai_1 U52748 ( .A1(n47774), .A2(n47776), .B1(n48859), .Y(
+        n48860) );
+  sky130_fd_sc_hd__nand3_2 U52749 ( .A(n55511), .B(n47905), .C(n47904), .Y(
+        n48035) );
+  sky130_fd_sc_hd__buf_6 U52750 ( .A(n47525), .X(n55059) );
+  sky130_fd_sc_hd__inv_2 U52751 ( .A(n47895), .Y(n37578) );
+  sky130_fd_sc_hd__nor2_1 U52752 ( .A(n81221), .B(n62951), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N29) );
+  sky130_fd_sc_hd__nor2_4 U52753 ( .A(n59900), .B(n84012), .Y(n60939) );
+  sky130_fd_sc_hd__nor2_1 U52754 ( .A(n47986), .B(n49282), .Y(n53051) );
+  sky130_fd_sc_hd__nand2_2 U52755 ( .A(n57123), .B(n48234), .Y(n57140) );
+  sky130_fd_sc_hd__nand2_2 U52756 ( .A(n37539), .B(n38795), .Y(n59490) );
+  sky130_fd_sc_hd__nand2_2 U52757 ( .A(n83033), .B(n83027), .Y(n86334) );
+  sky130_fd_sc_hd__nand2b_1 U52758 ( .A_N(n59842), .B(n56037), .Y(n76618) );
+  sky130_fd_sc_hd__nand2b_1 U52759 ( .A_N(n59842), .B(n77621), .Y(n77630) );
+  sky130_fd_sc_hd__buf_4 U52760 ( .A(n60988), .X(n86443) );
+  sky130_fd_sc_hd__nand2_2 U52761 ( .A(n82618), .B(n62952), .Y(n60988) );
+  sky130_fd_sc_hd__nor4_2 U52762 ( .A(n60658), .B(n60689), .C(n60700), .D(
+        n60674), .Y(n60644) );
+  sky130_fd_sc_hd__ha_4 U52763 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[10]), .B(n60642), .COUT(n60643), .SUM(n60700) );
+  sky130_fd_sc_hd__inv_2 U52764 ( .A(n78335), .Y(n84868) );
+  sky130_fd_sc_hd__mux2i_1 U52767 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_34[2]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_33[2]), 
+        .S(n59586), .Y(n38568) );
+  sky130_fd_sc_hd__inv_2 U52768 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_34[2]), 
+        .Y(n37509) );
+  sky130_fd_sc_hd__nor2_4 U52769 ( .A(n42923), .B(n39503), .Y(n72802) );
+  sky130_fd_sc_hd__nand2_1 U52771 ( .A(n38729), .B(n38728), .Y(n59541) );
+  sky130_fd_sc_hd__nand2_1 U52776 ( .A(n36844), .B(n70076), .Y(n59246) );
+  sky130_fd_sc_hd__buf_4 U52777 ( .A(n52007), .X(n37001) );
+  sky130_fd_sc_hd__nand2_1 U52778 ( .A(n48914), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[1]), .Y(n52007) );
+  sky130_fd_sc_hd__a22oi_2 U52779 ( .A1(n80239), .A2(n80208), .B1(n80229), 
+        .B2(n80207), .Y(n80220) );
+  sky130_fd_sc_hd__nand3_1 U52780 ( .A(n49376), .B(n49375), .C(n49374), .Y(
+        n68095) );
+  sky130_fd_sc_hd__nand2_2 U52781 ( .A(n37900), .B(n40154), .Y(n63021) );
+  sky130_fd_sc_hd__nor2_1 U52782 ( .A(n64349), .B(n40128), .Y(n37002) );
+  sky130_fd_sc_hd__o21ai_1 U52783 ( .A1(n85797), .A2(n41647), .B1(n41646), .Y(
+        n37003) );
+  sky130_fd_sc_hd__buf_4 U52784 ( .A(n57347), .X(n57517) );
+  sky130_fd_sc_hd__nor2_1 U52785 ( .A(n64328), .B(n36796), .Y(n40114) );
+  sky130_fd_sc_hd__nand2_1 U52786 ( .A(n39346), .B(n64328), .Y(n85400) );
+  sky130_fd_sc_hd__nand2b_1 U52787 ( .A_N(n64329), .B(n64328), .Y(n40107) );
+  sky130_fd_sc_hd__a21oi_1 U52788 ( .A1(n38410), .A2(n53281), .B1(n53280), .Y(
+        n58501) );
+  sky130_fd_sc_hd__or2_1 U52789 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[6]), .B(n43027), .X(n38410) );
+  sky130_fd_sc_hd__nor2_1 U52790 ( .A(n84275), .B(n85530), .Y(n59211) );
+  sky130_fd_sc_hd__a21oi_1 U52791 ( .A1(n55160), .A2(n47519), .B1(n37705), .Y(
+        n84994) );
+  sky130_fd_sc_hd__inv_2 U52792 ( .A(n55646), .Y(n57600) );
+  sky130_fd_sc_hd__nand2b_1 U52795 ( .A_N(n44193), .B(n54898), .Y(n39321) );
+  sky130_fd_sc_hd__nor4_2 U52796 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_io_output_instruction[2]), .B(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_io_output_instruction[3]), .C(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_io_output_instruction[1]), .D(n84256), .Y(n79545) );
+  sky130_fd_sc_hd__inv_2 U52797 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_io_output_instruction[0]), .Y(n84256) );
+  sky130_fd_sc_hd__nand2_2 U52798 ( .A(n80267), .B(n80266), .Y(n80195) );
+  sky130_fd_sc_hd__inv_2 U52799 ( .A(n50397), .Y(n52404) );
+  sky130_fd_sc_hd__buf_2 U52800 ( .A(n42374), .X(n37010) );
+  sky130_fd_sc_hd__nand2_2 U52802 ( .A(n37496), .B(n38570), .Y(n59587) );
+  sky130_fd_sc_hd__inv_1 U52803 ( .A(n38130), .Y(n59481) );
+  sky130_fd_sc_hd__nand2_1 U52804 ( .A(n38131), .B(n38138), .Y(n38130) );
+  sky130_fd_sc_hd__inv_2 U52805 ( .A(n57193), .Y(n37012) );
+  sky130_fd_sc_hd__nand2_2 U52806 ( .A(n40154), .B(n36832), .Y(n63036) );
+  sky130_fd_sc_hd__o22ai_1 U52807 ( .A1(n37000), .A2(n68752), .B1(n39911), 
+        .B2(n38037), .Y(n39912) );
+  sky130_fd_sc_hd__nor2_4 U52808 ( .A(n59971), .B(n83890), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N15) );
+  sky130_fd_sc_hd__inv_1 U52809 ( .A(n57991), .Y(n58004) );
+  sky130_fd_sc_hd__mux2i_1 U52810 ( .A0(n39026), .A1(n39025), .S(n59480), .Y(
+        n39107) );
+  sky130_fd_sc_hd__o21a_4 U52811 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_bridx), .A2(n72128), .B1(n39507), .X(n85645) );
+  sky130_fd_sc_hd__nor2_1 U52812 ( .A(n48029), .B(n49841), .Y(n37018) );
+  sky130_fd_sc_hd__nor2_4 U52813 ( .A(n48029), .B(n49841), .Y(n56749) );
+  sky130_fd_sc_hd__nand2b_2 U52814 ( .A_N(n62426), .B(n62427), .Y(n62714) );
+  sky130_fd_sc_hd__inv_1 U52816 ( .A(n58286), .Y(n58287) );
+  sky130_fd_sc_hd__nor2_2 U52817 ( .A(n44540), .B(n44586), .Y(n50684) );
+  sky130_fd_sc_hd__nor2_1 U52818 ( .A(n40667), .B(n72676), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N634) );
+  sky130_fd_sc_hd__nor3b_4 U52819 ( .C_N(n80229), .A(n80399), .B(n80228), .Y(
+        n80243) );
+  sky130_fd_sc_hd__a22oi_2 U52820 ( .A1(n80195), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_setup_d), 
+        .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_sample_d), .B2(n80194), .Y(n80229) );
+  sky130_fd_sc_hd__buf_4 U52822 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[4]), .X(n37028) );
+  sky130_fd_sc_hd__inv_2 U52823 ( .A(n56319), .Y(n56311) );
+  sky130_fd_sc_hd__nand2_2 U52824 ( .A(n49105), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__countReset_feed_T_1[1]), .Y(n56319) );
+  sky130_fd_sc_hd__nor2_2 U52825 ( .A(n47918), .B(n47917), .Y(n61078) );
+  sky130_fd_sc_hd__buf_2 U52826 ( .A(n56482), .X(n37029) );
+  sky130_fd_sc_hd__nor2_4 U52827 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[1]), .B(n60295), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N121) );
+  sky130_fd_sc_hd__nor2_4 U52828 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[1]), .B(n60137), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N120) );
+  sky130_fd_sc_hd__inv_2 U52829 ( .A(n54358), .Y(n37033) );
+  sky130_fd_sc_hd__inv_2 U52830 ( .A(n54358), .Y(n55987) );
+  sky130_fd_sc_hd__inv_8 U52832 ( .A(n37253), .Y(n37257) );
+  sky130_fd_sc_hd__nand2_1 U52835 ( .A(n36843), .B(n85424), .Y(n37042) );
+  sky130_fd_sc_hd__inv_2 U52836 ( .A(n72748), .Y(n76241) );
+  sky130_fd_sc_hd__inv_4 U52837 ( .A(n37248), .Y(n37249) );
+  sky130_fd_sc_hd__inv_8 U52838 ( .A(n37253), .Y(n37255) );
+  sky130_fd_sc_hd__nand3_1 U52842 ( .A(n58254), .B(n58253), .C(n58269), .Y(
+        n38268) );
+  sky130_fd_sc_hd__nand3_1 U52843 ( .A(n38975), .B(n36819), .C(n59455), .Y(
+        n37757) );
+  sky130_fd_sc_hd__nand2_1 U52844 ( .A(n47879), .B(n47878), .Y(n47916) );
+  sky130_fd_sc_hd__nand2_1 U52845 ( .A(n61455), .B(n83440), .Y(n61981) );
+  sky130_fd_sc_hd__o21a_1 U52847 ( .A1(n53160), .A2(n53157), .B1(n53158), .X(
+        n51947) );
+  sky130_fd_sc_hd__clkinv_1 U52848 ( .A(n38555), .Y(n38246) );
+  sky130_fd_sc_hd__clkinv_1 U52849 ( .A(n69144), .Y(n39923) );
+  sky130_fd_sc_hd__inv_2 U52850 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_io_full), .Y(n60913) );
+  sky130_fd_sc_hd__clkinv_1 U52851 ( .A(n68437), .Y(n63526) );
+  sky130_fd_sc_hd__clkinv_1 U52852 ( .A(n60348), .Y(n59995) );
+  sky130_fd_sc_hd__nand2_1 U52853 ( .A(n39882), .B(n40264), .Y(n62927) );
+  sky130_fd_sc_hd__and2_0 U52854 ( .A(n39245), .B(n61158), .X(n38485) );
+  sky130_fd_sc_hd__nand2_1 U52855 ( .A(n62928), .B(n39302), .Y(n39881) );
+  sky130_fd_sc_hd__nand2_1 U52856 ( .A(n72690), .B(n39907), .Y(n43097) );
+  sky130_fd_sc_hd__nor2_1 U52857 ( .A(n38006), .B(n38000), .Y(n37654) );
+  sky130_fd_sc_hd__nor2_1 U52858 ( .A(n37070), .B(n49059), .Y(n37543) );
+  sky130_fd_sc_hd__nand2_1 U52859 ( .A(n38209), .B(n38207), .Y(n57877) );
+  sky130_fd_sc_hd__nand2_1 U52861 ( .A(n38126), .B(n38129), .Y(n37642) );
+  sky130_fd_sc_hd__and2_0 U52865 ( .A(n59269), .B(n85400), .X(n37168) );
+  sky130_fd_sc_hd__nand2_1 U52866 ( .A(n37543), .B(n49022), .Y(n48707) );
+  sky130_fd_sc_hd__clkinv_1 U52867 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics__GEN_39[1]), .Y(
+        n47403) );
+  sky130_fd_sc_hd__clkinv_1 U52868 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics__GEN_39[2]), .Y(
+        n47445) );
+  sky130_fd_sc_hd__o22ai_1 U52869 ( .A1(n57040), .A2(n57431), .B1(n52466), 
+        .B2(n52478), .Y(n47214) );
+  sky130_fd_sc_hd__clkinv_1 U52870 ( .A(n38869), .Y(n38154) );
+  sky130_fd_sc_hd__clkinv_1 U52871 ( .A(n59372), .Y(n58073) );
+  sky130_fd_sc_hd__inv_2 U52872 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_19[2]), 
+        .Y(n57942) );
+  sky130_fd_sc_hd__nor2_1 U52873 ( .A(n37773), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_6[1]), 
+        .Y(n37772) );
+  sky130_fd_sc_hd__clkinv_1 U52874 ( .A(n38928), .Y(n37669) );
+  sky130_fd_sc_hd__and2_0 U52875 ( .A(n57812), .B(n57811), .X(n37216) );
+  sky130_fd_sc_hd__a21oi_1 U52876 ( .A1(n48267), .A2(n50185), .B1(n47214), .Y(
+        n48080) );
+  sky130_fd_sc_hd__and2_0 U52877 ( .A(n63166), .B(n40256), .X(n37214) );
+  sky130_fd_sc_hd__clkinv_1 U52878 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics__GEN_39[2]), .Y(
+        n41545) );
+  sky130_fd_sc_hd__clkinv_1 U52879 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics__GEN_39[1]), .Y(
+        n41503) );
+  sky130_fd_sc_hd__nand2_1 U52880 ( .A(n41520), .B(n41377), .Y(n41543) );
+  sky130_fd_sc_hd__nor2_1 U52881 ( .A(n57373), .B(n57377), .Y(n59730) );
+  sky130_fd_sc_hd__nand2_1 U52882 ( .A(n38338), .B(n58282), .Y(n38160) );
+  sky130_fd_sc_hd__clkinv_1 U52884 ( .A(n48895), .Y(n37559) );
+  sky130_fd_sc_hd__nor3_1 U52885 ( .A(n54435), .B(n54434), .C(n54433), .Y(
+        n59884) );
+  sky130_fd_sc_hd__inv_2 U52886 ( .A(n63652), .Y(n56664) );
+  sky130_fd_sc_hd__clkinv_1 U52887 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__countReset_feed_T_1[2]), .Y(n37478) );
+  sky130_fd_sc_hd__and2_0 U52888 ( .A(n43342), .B(n43341), .X(n37136) );
+  sky130_fd_sc_hd__nor2_1 U52889 ( .A(n47416), .B(n37353), .Y(n50066) );
+  sky130_fd_sc_hd__clkinv_1 U52890 ( .A(n63546), .Y(n51282) );
+  sky130_fd_sc_hd__nand2_1 U52891 ( .A(n47420), .B(n47279), .Y(n47443) );
+  sky130_fd_sc_hd__nand2_1 U52892 ( .A(n47884), .B(n47883), .Y(n47911) );
+  sky130_fd_sc_hd__clkinv_1 U52893 ( .A(n38871), .Y(n38150) );
+  sky130_fd_sc_hd__clkinv_1 U52894 ( .A(n38870), .Y(n38148) );
+  sky130_fd_sc_hd__inv_1 U52895 ( .A(n59356), .Y(n59360) );
+  sky130_fd_sc_hd__nand3_1 U52896 ( .A(n38818), .B(n38816), .C(n38817), .Y(
+        n37586) );
+  sky130_fd_sc_hd__nand3_1 U52897 ( .A(n38566), .B(n38552), .C(n38564), .Y(
+        n37629) );
+  sky130_fd_sc_hd__nand2_1 U52898 ( .A(n38023), .B(n38022), .Y(n37750) );
+  sky130_fd_sc_hd__inv_1 U52899 ( .A(n38926), .Y(n38921) );
+  sky130_fd_sc_hd__and2_0 U52900 ( .A(n74896), .B(n74262), .X(n38471) );
+  sky130_fd_sc_hd__clkinv_1 U52901 ( .A(n53774), .Y(n53871) );
+  sky130_fd_sc_hd__a21oi_1 U52902 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N43), 
+        .B1(n41814), .Y(n54322) );
+  sky130_fd_sc_hd__nor2_1 U52903 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N43), 
+        .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .Y(n41814) );
+  sky130_fd_sc_hd__clkinv_1 U52905 ( .A(n60030), .Y(n45362) );
+  sky130_fd_sc_hd__and2_0 U52906 ( .A(n42983), .B(n86306), .X(n37052) );
+  sky130_fd_sc_hd__clkinv_1 U52907 ( .A(n72621), .Y(n72648) );
+  sky130_fd_sc_hd__inv_2 U52908 ( .A(n57041), .Y(n57455) );
+  sky130_fd_sc_hd__nand3b_1 U52909 ( .A_N(n84165), .B(n59622), .C(n83579), .Y(
+        n61015) );
+  sky130_fd_sc_hd__clkinv_1 U52910 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[0]), .Y(n43174) );
+  sky130_fd_sc_hd__nor2_1 U52911 ( .A(n60298), .B(n61007), .Y(n67388) );
+  sky130_fd_sc_hd__nor2_1 U52912 ( .A(n47653), .B(n48895), .Y(n60297) );
+  sky130_fd_sc_hd__a21boi_0 U52913 ( .A1(n85141), .A2(n76332), .B1_N(n55566), 
+        .Y(n63405) );
+  sky130_fd_sc_hd__a21boi_0 U52914 ( .A1(n85125), .A2(n75730), .B1_N(n55522), 
+        .Y(n63328) );
+  sky130_fd_sc_hd__nor2_1 U52915 ( .A(n37843), .B(n84164), .Y(n37842) );
+  sky130_fd_sc_hd__a21oi_1 U52916 ( .A1(n83577), .A2(n47841), .B1(n47840), .Y(
+        n60076) );
+  sky130_fd_sc_hd__a21oi_1 U52917 ( .A1(n36778), .A2(n47699), .B1(n37764), .Y(
+        n47689) );
+  sky130_fd_sc_hd__nor2_1 U52918 ( .A(n37765), .B(n47699), .Y(n37764) );
+  sky130_fd_sc_hd__clkinv_1 U52919 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_size[2]), .Y(n37765) );
+  sky130_fd_sc_hd__clkinv_1 U52920 ( .A(n47930), .Y(n53548) );
+  sky130_fd_sc_hd__nand2_1 U52921 ( .A(n55287), .B(n60079), .Y(n55291) );
+  sky130_fd_sc_hd__clkinv_1 U52922 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_io_full), .Y(n63663) );
+  sky130_fd_sc_hd__nand2_1 U52923 ( .A(n60285), .B(n47981), .Y(n56172) );
+  sky130_fd_sc_hd__inv_2 U52924 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_io_full), .Y(n62073) );
+  sky130_fd_sc_hd__inv_2 U52925 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_io_full), .Y(n68438) );
+  sky130_fd_sc_hd__nand3_1 U52926 ( .A(n37892), .B(n76184), .C(n37895), .Y(
+        n59791) );
+  sky130_fd_sc_hd__nand2_1 U52927 ( .A(n85509), .B(n85508), .Y(n37893) );
+  sky130_fd_sc_hd__nand2_1 U52928 ( .A(n83111), .B(n84079), .Y(n53500) );
+  sky130_fd_sc_hd__clkinv_1 U52929 ( .A(n56172), .Y(n73564) );
+  sky130_fd_sc_hd__and3_1 U52930 ( .A(n41633), .B(n41632), .C(n41631), .X(
+        n78319) );
+  sky130_fd_sc_hd__clkbuf_1 U52931 ( .A(n37824), .X(n37822) );
+  sky130_fd_sc_hd__nand2_1 U52932 ( .A(n72801), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_bht_advance_bits_bht_value), .Y(n39486) );
+  sky130_fd_sc_hd__nor2_1 U52933 ( .A(n39491), .B(n39490), .Y(n59767) );
+  sky130_fd_sc_hd__nor2_1 U52934 ( .A(n85515), .B(n85517), .Y(n39489) );
+  sky130_fd_sc_hd__nor2_1 U52935 ( .A(n73258), .B(n37936), .Y(n37903) );
+  sky130_fd_sc_hd__nand3_1 U52936 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_cmd[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_cmd[1]), .C(n59977), .Y(n53474) );
+  sky130_fd_sc_hd__nor2b_1 U52937 ( .B_N(n83278), .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter_2[3]), .Y(n59932) );
+  sky130_fd_sc_hd__clkinv_1 U52938 ( .A(n84015), .Y(n77570) );
+  sky130_fd_sc_hd__inv_2 U52939 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__countReset_feed_T_1[3]), .Y(n56328) );
+  sky130_fd_sc_hd__inv_2 U52941 ( .A(n49103), .Y(n51741) );
+  sky130_fd_sc_hd__clkinv_1 U52942 ( .A(n61562), .Y(n67987) );
+  sky130_fd_sc_hd__a21boi_0 U52944 ( .A1(n41810), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode[3]), .B1_N(n41804), .Y(n83510) );
+  sky130_fd_sc_hd__nand2_1 U52945 ( .A(n58165), .B(n58164), .Y(n58173) );
+  sky130_fd_sc_hd__clkinv_1 U52946 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[10]), .Y(n40735) );
+  sky130_fd_sc_hd__nor2_1 U52947 ( .A(n38102), .B(n40203), .Y(n37889) );
+  sky130_fd_sc_hd__clkinv_1 U52948 ( .A(n65584), .Y(n72140) );
+  sky130_fd_sc_hd__clkinv_1 U52949 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_pc[1]), .Y(n39240) );
+  sky130_fd_sc_hd__clkinv_1 U52950 ( .A(n74048), .Y(n74049) );
+  sky130_fd_sc_hd__nand2_1 U52951 ( .A(n39153), .B(n39152), .Y(n38241) );
+  sky130_fd_sc_hd__inv_1 U52952 ( .A(n59444), .Y(n39153) );
+  sky130_fd_sc_hd__and2_0 U52953 ( .A(n53345), .B(n53346), .X(n37138) );
+  sky130_fd_sc_hd__o211ai_2 U52954 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_cmd[2]), .A2(n59978), .B1(n54099), .C1(n53474), .Y(n41311) );
+  sky130_fd_sc_hd__clkinv_1 U52955 ( .A(n78410), .Y(n78409) );
+  sky130_fd_sc_hd__clkinv_1 U52956 ( .A(n85628), .Y(n78519) );
+  sky130_fd_sc_hd__clkinv_1 U52957 ( .A(n85597), .Y(n78515) );
+  sky130_fd_sc_hd__nand2_1 U52958 ( .A(n81064), .B(n81074), .Y(n81077) );
+  sky130_fd_sc_hd__clkinv_1 U52959 ( .A(n80399), .Y(n80400) );
+  sky130_fd_sc_hd__nor2_1 U52960 ( .A(n43106), .B(n65638), .Y(n78276) );
+  sky130_fd_sc_hd__a21oi_1 U52961 ( .A1(n56034), .A2(n56032), .B1(n77630), .Y(
+        n77603) );
+  sky130_fd_sc_hd__clkinv_1 U52962 ( .A(n78502), .Y(n73185) );
+  sky130_fd_sc_hd__nor2_1 U52963 ( .A(n73185), .B(n78557), .Y(n78500) );
+  sky130_fd_sc_hd__nor2_1 U52964 ( .A(n38083), .B(n38084), .Y(n37524) );
+  sky130_fd_sc_hd__and2_0 U52965 ( .A(n39432), .B(n37991), .X(n37134) );
+  sky130_fd_sc_hd__nor2_1 U52966 ( .A(n39274), .B(n39347), .Y(n54976) );
+  sky130_fd_sc_hd__and2_0 U52968 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_valid), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_valid), .X(n39555) );
+  sky130_fd_sc_hd__clkbuf_1 U52969 ( .A(n74114), .X(n78206) );
+  sky130_fd_sc_hd__clkinv_1 U52970 ( .A(n80665), .Y(n80659) );
+  sky130_fd_sc_hd__clkinv_1 U52971 ( .A(n38265), .Y(n38264) );
+  sky130_fd_sc_hd__a21oi_1 U52972 ( .A1(n59459), .A2(n59460), .B1(n59474), .Y(
+        n38265) );
+  sky130_fd_sc_hd__clkinv_1 U52973 ( .A(n78522), .Y(n75878) );
+  sky130_fd_sc_hd__clkinv_1 U52974 ( .A(n70305), .Y(n72141) );
+  sky130_fd_sc_hd__a21boi_2 U52975 ( .A1(n60556), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_state_1), .B1_N(n78351), .Y(n54318) );
+  sky130_fd_sc_hd__nand3_1 U52976 ( .A(n37649), .B(n37648), .C(n38066), .Y(
+        n64281) );
+  sky130_fd_sc_hd__clkinv_1 U52977 ( .A(n70047), .Y(n37648) );
+  sky130_fd_sc_hd__clkinv_1 U52978 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[1]), .Y(n74757) );
+  sky130_fd_sc_hd__clkinv_1 U52979 ( .A(n75176), .Y(n72065) );
+  sky130_fd_sc_hd__clkinv_1 U52980 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[6]), .Y(n75251) );
+  sky130_fd_sc_hd__clkinv_1 U52981 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[8]), .Y(n74992) );
+  sky130_fd_sc_hd__clkinv_1 U52982 ( .A(n74208), .Y(n74231) );
+  sky130_fd_sc_hd__clkinv_1 U52983 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[14]), .Y(n74232) );
+  sky130_fd_sc_hd__clkinv_1 U52984 ( .A(n74940), .Y(n71374) );
+  sky130_fd_sc_hd__clkinv_1 U52985 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[8]), .Y(n55685) );
+  sky130_fd_sc_hd__and2_0 U52986 ( .A(n68112), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[4]), 
+        .X(n68115) );
+  sky130_fd_sc_hd__clkinv_1 U52987 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[1]), .Y(n73835) );
+  sky130_fd_sc_hd__clkinv_1 U52988 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[15]), .Y(n73929) );
+  sky130_fd_sc_hd__clkinv_1 U52989 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[14]), .Y(n73930) );
+  sky130_fd_sc_hd__clkinv_1 U52990 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[12]), .Y(n73946) );
+  sky130_fd_sc_hd__clkinv_1 U52991 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[16]), .Y(n73934) );
+  sky130_fd_sc_hd__clkinv_1 U52992 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[21]), .Y(n73884) );
+  sky130_fd_sc_hd__clkinv_1 U52993 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[20]), .Y(n73885) );
+  sky130_fd_sc_hd__clkinv_1 U52994 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[19]), .Y(n73880) );
+  sky130_fd_sc_hd__clkinv_1 U52995 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[7]), 
+        .Y(n63075) );
+  sky130_fd_sc_hd__and2_0 U52996 ( .A(n74403), .B(n74338), .X(n38415) );
+  sky130_fd_sc_hd__clkinv_1 U52997 ( .A(n74500), .Y(n74504) );
+  sky130_fd_sc_hd__clkinv_1 U52998 ( .A(n74501), .Y(n74503) );
+  sky130_fd_sc_hd__and2_0 U52999 ( .A(n55869), .B(n55898), .X(n55864) );
+  sky130_fd_sc_hd__clkinv_1 U53000 ( .A(n38006), .Y(n38005) );
+  sky130_fd_sc_hd__clkinv_1 U53001 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[15]), .Y(n58682) );
+  sky130_fd_sc_hd__inv_1 U53002 ( .A(n59333), .Y(n37779) );
+  sky130_fd_sc_hd__mux2_2 U53003 ( .A0(n57940), .A1(n57939), .S(n59416), .X(
+        n57949) );
+  sky130_fd_sc_hd__clkinv_1 U53004 ( .A(n38687), .Y(n37644) );
+  sky130_fd_sc_hd__clkinv_1 U53005 ( .A(n38804), .Y(n37638) );
+  sky130_fd_sc_hd__nand3_1 U53007 ( .A(n38011), .B(n38634), .C(n38638), .Y(
+        n38641) );
+  sky130_fd_sc_hd__mux2_2 U53008 ( .A0(n38653), .A1(n38652), .S(n59564), .X(
+        n38670) );
+  sky130_fd_sc_hd__nor2_1 U53009 ( .A(n42395), .B(n42359), .Y(n42355) );
+  sky130_fd_sc_hd__nor2_1 U53010 ( .A(n40030), .B(n37914), .Y(n37911) );
+  sky130_fd_sc_hd__nor2_1 U53011 ( .A(n40242), .B(n40241), .Y(n40249) );
+  sky130_fd_sc_hd__and2_0 U53012 ( .A(n40934), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .X(n61414) );
+  sky130_fd_sc_hd__a21oi_1 U53013 ( .A1(n37928), .A2(n59192), .B1(n59190), .Y(
+        n37933) );
+  sky130_fd_sc_hd__nand2_1 U53014 ( .A(n59185), .B(n85545), .Y(n59188) );
+  sky130_fd_sc_hd__clkinv_1 U53015 ( .A(n59188), .Y(n59259) );
+  sky130_fd_sc_hd__clkinv_1 U53016 ( .A(n59186), .Y(n59271) );
+  sky130_fd_sc_hd__clkinv_1 U53017 ( .A(n73076), .Y(n73078) );
+  sky130_fd_sc_hd__clkinv_1 U53018 ( .A(n72169), .Y(n72170) );
+  sky130_fd_sc_hd__clkinv_1 U53019 ( .A(n72168), .Y(n72171) );
+  sky130_fd_sc_hd__nand2_1 U53020 ( .A(n39131), .B(n39132), .Y(n39115) );
+  sky130_fd_sc_hd__clkinv_1 U53021 ( .A(n59456), .Y(n37759) );
+  sky130_fd_sc_hd__inv_1 U53022 ( .A(n51313), .Y(n56747) );
+  sky130_fd_sc_hd__and2_0 U53023 ( .A(n73434), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[10]), .X(n73437) );
+  sky130_fd_sc_hd__clkinv_1 U53024 ( .A(n37607), .Y(n37606) );
+  sky130_fd_sc_hd__clkinv_1 U53025 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[10]), 
+        .Y(n63108) );
+  sky130_fd_sc_hd__nor2_1 U53026 ( .A(n37061), .B(n55577), .Y(n52603) );
+  sky130_fd_sc_hd__clkinv_1 U53027 ( .A(n85042), .Y(n47637) );
+  sky130_fd_sc_hd__and2_0 U53028 ( .A(n45604), .B(n62964), .X(n46392) );
+  sky130_fd_sc_hd__inv_1 U53029 ( .A(n57846), .Y(n38206) );
+  sky130_fd_sc_hd__inv_2 U53031 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_1[2]), 
+        .Y(n58185) );
+  sky130_fd_sc_hd__inv_1 U53032 ( .A(n59382), .Y(n59387) );
+  sky130_fd_sc_hd__mux2_2 U53033 ( .A0(n57710), .A1(n57709), .S(n37047), .X(
+        n57727) );
+  sky130_fd_sc_hd__nand3_1 U53034 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_42[2]), 
+        .B(n38239), .C(n38618), .Y(n37816) );
+  sky130_fd_sc_hd__clkinv_1 U53035 ( .A(n38077), .Y(n38079) );
+  sky130_fd_sc_hd__and2_0 U53036 ( .A(n39000), .B(n58202), .X(n38376) );
+  sky130_fd_sc_hd__inv_2 U53037 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_38[2]), 
+        .Y(n46430) );
+  sky130_fd_sc_hd__clkinv_1 U53038 ( .A(n37484), .Y(n38535) );
+  sky130_fd_sc_hd__clkinv_1 U53039 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_31[2]), 
+        .Y(n46456) );
+  sky130_fd_sc_hd__clkinv_1 U53040 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_25[2]), 
+        .Y(n58058) );
+  sky130_fd_sc_hd__and2_0 U53041 ( .A(n38642), .B(n38649), .X(n38445) );
+  sky130_fd_sc_hd__nand2_1 U53042 ( .A(n38997), .B(n38120), .Y(n38117) );
+  sky130_fd_sc_hd__nor2_1 U53043 ( .A(n58228), .B(n38122), .Y(n38120) );
+  sky130_fd_sc_hd__clkinv_1 U53044 ( .A(n38692), .Y(n37655) );
+  sky130_fd_sc_hd__a21oi_1 U53045 ( .A1(n59367), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_44[2]), 
+        .B1(n37852), .Y(n57704) );
+  sky130_fd_sc_hd__nor2_1 U53046 ( .A(n37853), .B(n59367), .Y(n37852) );
+  sky130_fd_sc_hd__inv_1 U53047 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_43[2]), 
+        .Y(n37853) );
+  sky130_fd_sc_hd__and2_0 U53048 ( .A(n38232), .B(n38229), .X(n37186) );
+  sky130_fd_sc_hd__a21oi_1 U53049 ( .A1(n57825), .A2(n57809), .B1(n57832), .Y(
+        n38232) );
+  sky130_fd_sc_hd__nand3_1 U53050 ( .A(n57821), .B(n38237), .C(n57820), .Y(
+        n37379) );
+  sky130_fd_sc_hd__inv_1 U53051 ( .A(n57815), .Y(n38228) );
+  sky130_fd_sc_hd__inv_1 U53052 ( .A(n38337), .Y(n38333) );
+  sky130_fd_sc_hd__clkinv_1 U53053 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics__GEN_39[0]), .Y(
+        n41452) );
+  sky130_fd_sc_hd__nor2_1 U53054 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics__GEN_39[0]), .B(
+        n41417), .Y(n41403) );
+  sky130_fd_sc_hd__clkinv_1 U53055 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[24]), .Y(n72021) );
+  sky130_fd_sc_hd__and2_0 U53056 ( .A(n37135), .B(n37709), .X(n37182) );
+  sky130_fd_sc_hd__nor3_1 U53057 ( .A(n39728), .B(n39729), .C(n37715), .Y(
+        n37709) );
+  sky130_fd_sc_hd__inv_2 U53058 ( .A(n40060), .Y(n40236) );
+  sky130_fd_sc_hd__and2_0 U53059 ( .A(n58965), .B(n58964), .X(n58972) );
+  sky130_fd_sc_hd__and2_0 U53060 ( .A(n58977), .B(n58976), .X(n58984) );
+  sky130_fd_sc_hd__and2_0 U53061 ( .A(n58989), .B(n58988), .X(n58996) );
+  sky130_fd_sc_hd__and2_0 U53062 ( .A(n59154), .B(n59153), .X(n59155) );
+  sky130_fd_sc_hd__and2_0 U53063 ( .A(n59132), .B(n59131), .X(n59133) );
+  sky130_fd_sc_hd__and2_0 U53064 ( .A(n59138), .B(n59137), .X(n59148) );
+  sky130_fd_sc_hd__clkinv_1 U53065 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[0]), .Y(n61240) );
+  sky130_fd_sc_hd__clkinv_1 U53066 ( .A(n38672), .Y(n38697) );
+  sky130_fd_sc_hd__mux2_2 U53067 ( .A0(n85517), .A1(n53318), .S(n59770), .X(
+        n53321) );
+  sky130_fd_sc_hd__clkinv_1 U53068 ( .A(n58247), .Y(n58258) );
+  sky130_fd_sc_hd__and2_0 U53071 ( .A(n63606), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[11]), .X(n63607) );
+  sky130_fd_sc_hd__and2_0 U53072 ( .A(n63605), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[10]), .X(n63608) );
+  sky130_fd_sc_hd__clkinv_1 U53073 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), .Y(
+        n66897) );
+  sky130_fd_sc_hd__and2_0 U53074 ( .A(n49042), .B(n49506), .X(n49152) );
+  sky130_fd_sc_hd__o21a_1 U53075 ( .A1(n47711), .A2(n47710), .B1(n47691), .X(
+        n49068) );
+  sky130_fd_sc_hd__nor2_1 U53076 ( .A(n47916), .B(n47918), .Y(n48034) );
+  sky130_fd_sc_hd__nand2b_1 U53077 ( .A_N(n63482), .B(n63388), .Y(n55301) );
+  sky130_fd_sc_hd__inv_2 U53078 ( .A(n84962), .Y(n47724) );
+  sky130_fd_sc_hd__clkinv_1 U53079 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_size[1]), .Y(n37566) );
+  sky130_fd_sc_hd__nand3_1 U53080 ( .A(n47771), .B(n84962), .C(n53539), .Y(
+        n47749) );
+  sky130_fd_sc_hd__nor2_1 U53081 ( .A(n47713), .B(n84966), .Y(n47680) );
+  sky130_fd_sc_hd__nand2b_1 U53082 ( .A_N(n60258), .B(n49049), .Y(n48016) );
+  sky130_fd_sc_hd__nor2_1 U53083 ( .A(n37704), .B(n47818), .Y(n47771) );
+  sky130_fd_sc_hd__clkinv_1 U53084 ( .A(n84994), .Y(n37704) );
+  sky130_fd_sc_hd__and2_0 U53085 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_5[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_mask_0_), 
+        .X(n47335) );
+  sky130_fd_sc_hd__and2_0 U53086 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_5[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_mask_0_), 
+        .X(n41434) );
+  sky130_fd_sc_hd__clkinv_1 U53087 ( .A(n52684), .Y(n52685) );
+  sky130_fd_sc_hd__clkinv_1 U53088 ( .A(n52680), .Y(n52686) );
+  sky130_fd_sc_hd__clkinv_1 U53089 ( .A(n49417), .Y(n49438) );
+  sky130_fd_sc_hd__nand2_2 U53090 ( .A(n63558), .B(n63546), .Y(n56320) );
+  sky130_fd_sc_hd__and2_0 U53091 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_2[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_mask_0_), 
+        .X(n47327) );
+  sky130_fd_sc_hd__and2_0 U53092 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_3[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_mask_0_), 
+        .X(n47329) );
+  sky130_fd_sc_hd__nor2_1 U53093 ( .A(n37111), .B(n37693), .Y(n37692) );
+  sky130_fd_sc_hd__clkinv_1 U53095 ( .A(n47907), .Y(n47914) );
+  sky130_fd_sc_hd__and2_0 U53096 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_1[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_mask_0_), 
+        .X(n47325) );
+  sky130_fd_sc_hd__clkinv_1 U53097 ( .A(n49584), .Y(n49585) );
+  sky130_fd_sc_hd__a21boi_0 U53098 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_24_0_), .A2(n73543), .B1_N(n49409), .Y(n49410) );
+  sky130_fd_sc_hd__clkinv_1 U53099 ( .A(n52603), .Y(n56898) );
+  sky130_fd_sc_hd__nand2_1 U53100 ( .A(n47958), .B(n61065), .Y(n56388) );
+  sky130_fd_sc_hd__nand2b_1 U53101 ( .A_N(n60071), .B(n49251), .Y(n49686) );
+  sky130_fd_sc_hd__nor2_1 U53102 ( .A(n52530), .B(n48828), .Y(n56760) );
+  sky130_fd_sc_hd__and2_0 U53103 ( .A(n61543), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[11]), .X(n61544) );
+  sky130_fd_sc_hd__and2_0 U53104 ( .A(n61542), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[10]), .X(n61545) );
+  sky130_fd_sc_hd__clkinv_1 U53105 ( .A(n56749), .Y(n56361) );
+  sky130_fd_sc_hd__inv_2 U53106 ( .A(n61610), .Y(n56259) );
+  sky130_fd_sc_hd__clkinv_1 U53107 ( .A(n57264), .Y(n37327) );
+  sky130_fd_sc_hd__nand2_1 U53108 ( .A(n47913), .B(n47914), .Y(n47957) );
+  sky130_fd_sc_hd__and2_0 U53109 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_6[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_mask_0_), 
+        .X(n47337) );
+  sky130_fd_sc_hd__and2_0 U53110 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_6[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_mask_0_), 
+        .X(n41436) );
+  sky130_fd_sc_hd__clkinv_1 U53111 ( .A(n50193), .Y(n37325) );
+  sky130_fd_sc_hd__and2_0 U53112 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_2[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_mask_0_), 
+        .X(n41426) );
+  sky130_fd_sc_hd__and2_0 U53113 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_3[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_mask_0_), 
+        .X(n41428) );
+  sky130_fd_sc_hd__a21oi_1 U53114 ( .A1(n38864), .A2(n38878), .B1(n38968), .Y(
+        n38153) );
+  sky130_fd_sc_hd__inv_2 U53115 ( .A(n57199), .Y(n51840) );
+  sky130_fd_sc_hd__nand2_1 U53116 ( .A(n36975), .B(n51495), .Y(n51822) );
+  sky130_fd_sc_hd__nand2_1 U53117 ( .A(n38187), .B(n38186), .Y(n58159) );
+  sky130_fd_sc_hd__nand2b_1 U53118 ( .A_N(n59343), .B(n58132), .Y(n38187) );
+  sky130_fd_sc_hd__and2_0 U53119 ( .A(n57767), .B(n57766), .X(n57812) );
+  sky130_fd_sc_hd__clkinv_1 U53120 ( .A(n58225), .Y(n37783) );
+  sky130_fd_sc_hd__o2bb2ai_1 U53121 ( .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_3[1]), 
+        .B2(n45528), .A1_N(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_4[0]), 
+        .A2_N(n45797), .Y(n38080) );
+  sky130_fd_sc_hd__nand3_1 U53122 ( .A(n38580), .B(n38578), .C(n38579), .Y(
+        n37646) );
+  sky130_fd_sc_hd__and2_0 U53123 ( .A(n57698), .B(n57697), .X(n57743) );
+  sky130_fd_sc_hd__inv_1 U53124 ( .A(n57704), .Y(n37846) );
+  sky130_fd_sc_hd__inv_2 U53125 ( .A(n57890), .Y(n57835) );
+  sky130_fd_sc_hd__clkinv_1 U53126 ( .A(n66950), .Y(n66888) );
+  sky130_fd_sc_hd__and2_0 U53127 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_1[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_mask_0_), 
+        .X(n41424) );
+  sky130_fd_sc_hd__nor2_1 U53128 ( .A(n39061), .B(n59552), .Y(n38289) );
+  sky130_fd_sc_hd__nor2_1 U53130 ( .A(n38140), .B(n39030), .Y(n38139) );
+  sky130_fd_sc_hd__nor2_1 U53131 ( .A(n38301), .B(n59520), .Y(n38300) );
+  sky130_fd_sc_hd__clkinv_1 U53132 ( .A(n59525), .Y(n38301) );
+  sky130_fd_sc_hd__nand3_1 U53133 ( .A(n38193), .B(n37152), .C(n38192), .Y(
+        n59359) );
+  sky130_fd_sc_hd__and2_0 U53134 ( .A(n38196), .B(n38195), .X(n37152) );
+  sky130_fd_sc_hd__nand3_1 U53135 ( .A(n57868), .B(n57879), .C(n38194), .Y(
+        n38192) );
+  sky130_fd_sc_hd__nand3_1 U53136 ( .A(n58135), .B(n58134), .C(n58145), .Y(
+        n58139) );
+  sky130_fd_sc_hd__clkinv_1 U53137 ( .A(n58159), .Y(n38184) );
+  sky130_fd_sc_hd__mux2_2 U53138 ( .A0(n57944), .A1(n57943), .S(n59416), .X(
+        n59417) );
+  sky130_fd_sc_hd__inv_2 U53139 ( .A(n59418), .Y(n59423) );
+  sky130_fd_sc_hd__o21ai_1 U53140 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_8[2]), 
+        .A2(n58132), .B1(n39074), .Y(n58129) );
+  sky130_fd_sc_hd__nand3_1 U53141 ( .A(n37735), .B(n37734), .C(n37733), .Y(
+        n38124) );
+  sky130_fd_sc_hd__nand2_1 U53142 ( .A(n38715), .B(n38764), .Y(n37453) );
+  sky130_fd_sc_hd__clkinv_1 U53143 ( .A(n38842), .Y(n38353) );
+  sky130_fd_sc_hd__nand3_1 U53144 ( .A(n38852), .B(n38868), .C(n38869), .Y(
+        n38348) );
+  sky130_fd_sc_hd__nor2_1 U53145 ( .A(n38870), .B(n38871), .Y(n38344) );
+  sky130_fd_sc_hd__clkinv_1 U53146 ( .A(n38862), .Y(n38345) );
+  sky130_fd_sc_hd__and2_0 U53147 ( .A(n38533), .B(n38532), .X(n38571) );
+  sky130_fd_sc_hd__nor2_1 U53148 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_32[2]), 
+        .B(n46456), .Y(n57772) );
+  sky130_fd_sc_hd__clkinv_1 U53149 ( .A(n38931), .Y(n37668) );
+  sky130_fd_sc_hd__nor2_1 U53150 ( .A(n38932), .B(n38933), .Y(n37666) );
+  sky130_fd_sc_hd__and2_0 U53151 ( .A(n58059), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_25[2]), 
+        .X(n37180) );
+  sky130_fd_sc_hd__nand3_1 U53152 ( .A(n58075), .B(n37795), .C(n37793), .Y(
+        n58082) );
+  sky130_fd_sc_hd__clkinv_1 U53153 ( .A(n39675), .Y(n37681) );
+  sky130_fd_sc_hd__inv_2 U53154 ( .A(n39760), .Y(n39578) );
+  sky130_fd_sc_hd__inv_2 U53155 ( .A(n86738), .Y(n57192) );
+  sky130_fd_sc_hd__o2bb2ai_1 U53156 ( .B1(n37451), .B2(n37450), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_br_target_T_5[4]), .A2_N(n39593), .Y(n37449) );
+  sky130_fd_sc_hd__clkinv_1 U53157 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b4_1[3]), .Y(n37450) );
+  sky130_fd_sc_hd__clkinv_1 U53158 ( .A(n39584), .Y(n37451) );
+  sky130_fd_sc_hd__clkinv_1 U53159 ( .A(n57473), .Y(n56570) );
+  sky130_fd_sc_hd__inv_1 U53160 ( .A(n57508), .Y(n43345) );
+  sky130_fd_sc_hd__clkinv_1 U53161 ( .A(n50980), .Y(n52402) );
+  sky130_fd_sc_hd__nand3_1 U53162 ( .A(n37939), .B(n37938), .C(n37214), .Y(
+        n37937) );
+  sky130_fd_sc_hd__nand2_1 U53163 ( .A(n41725), .B(n52788), .Y(n41717) );
+  sky130_fd_sc_hd__nor2_1 U53164 ( .A(n39923), .B(n39324), .Y(n38101) );
+  sky130_fd_sc_hd__clkinv_1 U53165 ( .A(n43380), .Y(n47231) );
+  sky130_fd_sc_hd__clkinv_1 U53166 ( .A(n60101), .Y(n57625) );
+  sky130_fd_sc_hd__inv_2 U53167 ( .A(n40158), .Y(n40171) );
+  sky130_fd_sc_hd__clkinv_1 U53168 ( .A(n70278), .Y(n64865) );
+  sky130_fd_sc_hd__nand3_1 U53169 ( .A(n59240), .B(n59239), .C(n37533), .Y(
+        n37532) );
+  sky130_fd_sc_hd__clkinv_1 U53170 ( .A(n59220), .Y(n59240) );
+  sky130_fd_sc_hd__nor2_1 U53171 ( .A(n37437), .B(n39144), .Y(n37436) );
+  sky130_fd_sc_hd__nand3_1 U53172 ( .A(n38975), .B(n36819), .C(n38977), .Y(
+        n37863) );
+  sky130_fd_sc_hd__and2_0 U53173 ( .A(n73311), .B(n73310), .X(n73313) );
+  sky130_fd_sc_hd__and2_0 U53174 ( .A(n73317), .B(n73316), .X(n73318) );
+  sky130_fd_sc_hd__a21boi_0 U53175 ( .A1(n72504), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[30]), .B1_N(n73102), .Y(n73103) );
+  sky130_fd_sc_hd__and2_0 U53176 ( .A(n58803), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_435[1]), .X(n58801) );
+  sky130_fd_sc_hd__and2_0 U53177 ( .A(n58803), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_435[3]), .X(n58800) );
+  sky130_fd_sc_hd__clkinv_1 U53178 ( .A(n70572), .Y(n73110) );
+  sky130_fd_sc_hd__clkinv_1 U53179 ( .A(n72650), .Y(n72629) );
+  sky130_fd_sc_hd__nand3_1 U53180 ( .A(n42108), .B(n42107), .C(n42106), .Y(
+        n60795) );
+  sky130_fd_sc_hd__nand3_1 U53181 ( .A(n38070), .B(n37148), .C(n38338), .Y(
+        n38162) );
+  sky130_fd_sc_hd__clkinv_1 U53182 ( .A(n39129), .Y(n37874) );
+  sky130_fd_sc_hd__clkinv_1 U53183 ( .A(n39138), .Y(n39158) );
+  sky130_fd_sc_hd__clkinv_1 U53184 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[6]), .Y(n56797) );
+  sky130_fd_sc_hd__clkinv_1 U53185 ( .A(n67791), .Y(n62060) );
+  sky130_fd_sc_hd__clkinv_1 U53186 ( .A(n73473), .Y(n73475) );
+  sky130_fd_sc_hd__clkinv_1 U53187 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_cs_mode[1]), .Y(n75833) );
+  sky130_fd_sc_hd__and2_0 U53188 ( .A(n65637), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[6]), .X(n43289) );
+  sky130_fd_sc_hd__a21oi_1 U53189 ( .A1(n83456), .A2(n36803), .B1(n82298), .Y(
+        n82406) );
+  sky130_fd_sc_hd__a21oi_1 U53190 ( .A1(n83458), .A2(n36803), .B1(n82298), .Y(
+        n82385) );
+  sky130_fd_sc_hd__a21oi_1 U53191 ( .A1(n83459), .A2(n36803), .B1(n82298), .Y(
+        n82375) );
+  sky130_fd_sc_hd__o21ai_1 U53192 ( .A1(n65878), .A2(n65882), .B1(n65879), .Y(
+        n69615) );
+  sky130_fd_sc_hd__o21ai_1 U53193 ( .A1(n65857), .A2(n65861), .B1(n65858), .Y(
+        n65870) );
+  sky130_fd_sc_hd__and2_0 U53194 ( .A(n49450), .B(n49451), .X(n62075) );
+  sky130_fd_sc_hd__nor2_1 U53195 ( .A(n48964), .B(n49693), .Y(n60071) );
+  sky130_fd_sc_hd__clkinv_1 U53196 ( .A(n50857), .Y(n63157) );
+  sky130_fd_sc_hd__nor2_1 U53197 ( .A(n56635), .B(n83776), .Y(n76390) );
+  sky130_fd_sc_hd__o21a_1 U53198 ( .A1(n48792), .A2(n48791), .B1(n48790), .X(
+        n60976) );
+  sky130_fd_sc_hd__clkinv_1 U53199 ( .A(n63393), .Y(n63337) );
+  sky130_fd_sc_hd__nand3_1 U53200 ( .A(n83740), .B(n56617), .C(n37972), .Y(
+        n68436) );
+  sky130_fd_sc_hd__nor2_1 U53201 ( .A(n61016), .B(n61015), .Y(n63666) );
+  sky130_fd_sc_hd__and2_0 U53202 ( .A(n62072), .B(n63663), .X(n61016) );
+  sky130_fd_sc_hd__clkinv_1 U53203 ( .A(n78549), .Y(n64136) );
+  sky130_fd_sc_hd__clkinv_1 U53204 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[2]), .Y(n43175) );
+  sky130_fd_sc_hd__clkinv_1 U53205 ( .A(n76459), .Y(n76017) );
+  sky130_fd_sc_hd__nand2_2 U53206 ( .A(n48807), .B(n48806), .Y(n55580) );
+  sky130_fd_sc_hd__nor3_1 U53207 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_statusReadReady), 
+        .B(n49003), .C(n76405), .Y(n47673) );
+  sky130_fd_sc_hd__clkinv_1 U53208 ( .A(n47653), .Y(n37558) );
+  sky130_fd_sc_hd__and2_0 U53209 ( .A(n55003), .B(n55002), .X(n55004) );
+  sky130_fd_sc_hd__clkinv_1 U53210 ( .A(n55592), .Y(n80684) );
+  sky130_fd_sc_hd__nor2_1 U53211 ( .A(n47914), .B(n47913), .Y(n67392) );
+  sky130_fd_sc_hd__nand3_1 U53212 ( .A(n55287), .B(n60279), .C(n49219), .Y(
+        n37821) );
+  sky130_fd_sc_hd__nand2_1 U53213 ( .A(n48754), .B(n48753), .Y(n55543) );
+  sky130_fd_sc_hd__mux2_2 U53214 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_size[2]), .A1(n47614), .S(n60913), .X(n47873) );
+  sky130_fd_sc_hd__nor2_1 U53215 ( .A(n37006), .B(n47818), .Y(n60914) );
+  sky130_fd_sc_hd__inv_2 U53216 ( .A(n53553), .Y(n47821) );
+  sky130_fd_sc_hd__clkinv_1 U53217 ( .A(n49029), .Y(n47807) );
+  sky130_fd_sc_hd__clkinv_1 U53218 ( .A(n55273), .Y(n37594) );
+  sky130_fd_sc_hd__nand3_1 U53219 ( .A(n47680), .B(n47724), .C(n53539), .Y(
+        n60915) );
+  sky130_fd_sc_hd__clkinv_1 U53220 ( .A(n47828), .Y(n60892) );
+  sky130_fd_sc_hd__clkinv_1 U53221 ( .A(n47771), .Y(n61090) );
+  sky130_fd_sc_hd__nor2_1 U53222 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_auto_tl_in_d_bits_opcode_0_), .B(n61981), .Y(n61466) );
+  sky130_fd_sc_hd__a21oi_1 U53223 ( .A1(n61454), .A2(n61453), .B1(n61452), .Y(
+        n83440) );
+  sky130_fd_sc_hd__and2_0 U53224 ( .A(n41618), .B(n41617), .X(n54335) );
+  sky130_fd_sc_hd__nand2_1 U53225 ( .A(n39943), .B(n37901), .Y(n39250) );
+  sky130_fd_sc_hd__a21oi_1 U53226 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_maybe_full), .A2(n59879), .B1(n59878), .Y(n83149) );
+  sky130_fd_sc_hd__a31o_1 U53227 ( .A1(n73734), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_io_link_rx_valid), .A3(n80813), .B1(n48398), .X(n73568) );
+  sky130_fd_sc_hd__inv_2 U53228 ( .A(n48031), .Y(n48022) );
+  sky130_fd_sc_hd__clkinv_1 U53229 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[6]), .Y(n76862) );
+  sky130_fd_sc_hd__clkinv_1 U53230 ( .A(n63916), .Y(n64028) );
+  sky130_fd_sc_hd__nand3_1 U53231 ( .A(n43018), .B(n85504), .C(n85510), .Y(
+        n60088) );
+  sky130_fd_sc_hd__nor2_1 U53232 ( .A(n39314), .B(n39923), .Y(n38067) );
+  sky130_fd_sc_hd__nor3b_1 U53233 ( .C_N(n59890), .A(n54524), .B(n54523), .Y(
+        n83192) );
+  sky130_fd_sc_hd__a31oi_1 U53234 ( .A1(n59886), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_sent_d), .A3(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_got_e), .B1(n59870), .Y(n83181) );
+  sky130_fd_sc_hd__nand2_1 U53235 ( .A(n60935), .B(n83717), .Y(n61977) );
+  sky130_fd_sc_hd__inv_2 U53236 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_io_full), .Y(n73777) );
+  sky130_fd_sc_hd__nor2_1 U53237 ( .A(n49434), .B(n52530), .Y(n56754) );
+  sky130_fd_sc_hd__clkinv_1 U53238 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[18]), 
+        .Y(n53028) );
+  sky130_fd_sc_hd__a211oi_1 U53239 ( .A1(n63512), .A2(n68437), .B1(n63511), 
+        .C1(n63510), .Y(n63529) );
+  sky130_fd_sc_hd__clkinv_1 U53240 ( .A(n46079), .Y(n52068) );
+  sky130_fd_sc_hd__inv_2 U53241 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[2]), .Y(n51726) );
+  sky130_fd_sc_hd__nor2_1 U53242 ( .A(n56328), .B(n51442), .Y(n51284) );
+  sky130_fd_sc_hd__clkinv_1 U53243 ( .A(n57438), .Y(n56989) );
+  sky130_fd_sc_hd__clkinv_1 U53244 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_setup_d), .Y(n37339) );
+  sky130_fd_sc_hd__clkinv_1 U53245 ( .A(n61856), .Y(n37340) );
+  sky130_fd_sc_hd__clkinv_1 U53246 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_param[0]), .Y(n37358) );
+  sky130_fd_sc_hd__and2_0 U53249 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_0[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_mask_0_), 
+        .X(n49648) );
+  sky130_fd_sc_hd__clkinv_1 U53250 ( .A(n49300), .Y(n49642) );
+  sky130_fd_sc_hd__clkinv_1 U53251 ( .A(n49266), .Y(n55597) );
+  sky130_fd_sc_hd__clkinv_1 U53252 ( .A(n56640), .Y(n49179) );
+  sky130_fd_sc_hd__inv_4 U53253 ( .A(n56122), .Y(n56765) );
+  sky130_fd_sc_hd__a21oi_1 U53254 ( .A1(n48050), .A2(n37344), .B1(n47452), .Y(
+        n37343) );
+  sky130_fd_sc_hd__nor2_1 U53255 ( .A(n47918), .B(n47988), .Y(n63490) );
+  sky130_fd_sc_hd__inv_2 U53256 ( .A(n67057), .Y(n48029) );
+  sky130_fd_sc_hd__clkinv_1 U53257 ( .A(n56700), .Y(n56116) );
+  sky130_fd_sc_hd__nand3_1 U53258 ( .A(n49007), .B(n47864), .C(n60076), .Y(
+        n49087) );
+  sky130_fd_sc_hd__clkinv_1 U53259 ( .A(n38963), .Y(n38311) );
+  sky130_fd_sc_hd__nand3_1 U53260 ( .A(n57834), .B(n57929), .C(n57833), .Y(
+        n38251) );
+  sky130_fd_sc_hd__and2_0 U53261 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_0[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_mask_0_), 
+        .X(n45932) );
+  sky130_fd_sc_hd__clkinv_1 U53262 ( .A(n67135), .Y(n78174) );
+  sky130_fd_sc_hd__nor2_1 U53263 ( .A(n38113), .B(n59609), .Y(n59610) );
+  sky130_fd_sc_hd__a21oi_1 U53264 ( .A1(n59607), .A2(n37627), .B1(n38557), .Y(
+        n37626) );
+  sky130_fd_sc_hd__nand3_1 U53265 ( .A(n57776), .B(n57777), .C(n38528), .Y(
+        n37481) );
+  sky130_fd_sc_hd__nand3_1 U53266 ( .A(n37750), .B(n37754), .C(n38912), .Y(
+        n38021) );
+  sky130_fd_sc_hd__nand3_1 U53267 ( .A(n38090), .B(n58248), .C(n58249), .Y(
+        n38089) );
+  sky130_fd_sc_hd__nor2_1 U53268 ( .A(n58246), .B(n58247), .Y(n38091) );
+  sky130_fd_sc_hd__nor2_2 U53269 ( .A(n43096), .B(n43095), .Y(n65638) );
+  sky130_fd_sc_hd__nor2_1 U53270 ( .A(n37683), .B(n39560), .Y(n39674) );
+  sky130_fd_sc_hd__inv_2 U53271 ( .A(n39645), .Y(n39724) );
+  sky130_fd_sc_hd__clkinv_1 U53272 ( .A(n39560), .Y(n39571) );
+  sky130_fd_sc_hd__nand2_1 U53273 ( .A(n39806), .B(n37685), .Y(n37684) );
+  sky130_fd_sc_hd__nor2_1 U53274 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[4]), .B(n37449), .Y(n39664) );
+  sky130_fd_sc_hd__and2_0 U53275 ( .A(n85789), .B(n80669), .X(n60938) );
+  sky130_fd_sc_hd__clkinv_1 U53276 ( .A(n37934), .Y(n38112) );
+  sky130_fd_sc_hd__nand2_1 U53277 ( .A(n39936), .B(n69144), .Y(n38035) );
+  sky130_fd_sc_hd__nand3_1 U53278 ( .A(n85416), .B(n72671), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_blocked), .Y(n37890) );
+  sky130_fd_sc_hd__clkinv_1 U53279 ( .A(n75651), .Y(n75616) );
+  sky130_fd_sc_hd__nor2b_1 U53280 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_maybe_full), .A(n54322), .Y(n83779) );
+  sky130_fd_sc_hd__and2_0 U53282 ( .A(n40737), .B(n40867), .X(n45353) );
+  sky130_fd_sc_hd__nand2b_1 U53283 ( .A_N(n85546), .B(n73345), .Y(n63934) );
+  sky130_fd_sc_hd__nor3_1 U53284 ( .A(n78241), .B(n60374), .C(n78239), .Y(
+        n54095) );
+  sky130_fd_sc_hd__and2_0 U53285 ( .A(n64302), .B(n62989), .X(n62991) );
+  sky130_fd_sc_hd__inv_2 U53286 ( .A(n64328), .Y(n64303) );
+  sky130_fd_sc_hd__o21bai_1 U53288 ( .A1(n59744), .A2(n82590), .B1_N(n59743), 
+        .Y(n60357) );
+  sky130_fd_sc_hd__clkinv_1 U53289 ( .A(n63035), .Y(n37647) );
+  sky130_fd_sc_hd__clkinv_1 U53290 ( .A(n43032), .Y(n73229) );
+  sky130_fd_sc_hd__nand3_1 U53291 ( .A(n73160), .B(n37052), .C(n72722), .Y(
+        n37956) );
+  sky130_fd_sc_hd__clkinv_1 U53292 ( .A(n59668), .Y(n37476) );
+  sky130_fd_sc_hd__nor2_1 U53293 ( .A(n85127), .B(n53549), .Y(n63482) );
+  sky130_fd_sc_hd__nor2_1 U53294 ( .A(n37767), .B(n73772), .Y(n83740) );
+  sky130_fd_sc_hd__nand4_1 U53295 ( .A(n61936), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_435[4]), .C(n58795), .D(n58794), .Y(n73106) );
+  sky130_fd_sc_hd__clkinv_1 U53296 ( .A(n85606), .Y(n78511) );
+  sky130_fd_sc_hd__clkinv_1 U53297 ( .A(n85603), .Y(n78530) );
+  sky130_fd_sc_hd__inv_1 U53298 ( .A(n39131), .Y(n37866) );
+  sky130_fd_sc_hd__nor2_1 U53299 ( .A(n37869), .B(n39127), .Y(n37868) );
+  sky130_fd_sc_hd__and2_0 U53300 ( .A(n56883), .B(n56882), .X(n38370) );
+  sky130_fd_sc_hd__inv_2 U53301 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_MPORT_addr[1]), .Y(n76248) );
+  sky130_fd_sc_hd__nor2_1 U53302 ( .A(n61445), .B(n61444), .Y(n79017) );
+  sky130_fd_sc_hd__nor3_1 U53303 ( .A(n61219), .B(n36848), .C(n81229), .Y(
+        n81264) );
+  sky130_fd_sc_hd__clkinv_1 U53304 ( .A(n81264), .Y(n81239) );
+  sky130_fd_sc_hd__nand2_1 U53305 ( .A(n63477), .B(n56625), .Y(n76257) );
+  sky130_fd_sc_hd__nor3_1 U53306 ( .A(n61218), .B(n36802), .C(n81157), .Y(
+        n81190) );
+  sky130_fd_sc_hd__clkinv_1 U53307 ( .A(n56620), .Y(n37769) );
+  sky130_fd_sc_hd__nor3_1 U53308 ( .A(n61220), .B(n36848), .C(n81084), .Y(
+        n81119) );
+  sky130_fd_sc_hd__inv_2 U53309 ( .A(n48477), .Y(n56606) );
+  sky130_fd_sc_hd__clkinv_1 U53310 ( .A(n68006), .Y(n61985) );
+  sky130_fd_sc_hd__clkinv_1 U53311 ( .A(n82428), .Y(n62054) );
+  sky130_fd_sc_hd__clkinv_1 U53312 ( .A(n68175), .Y(n61988) );
+  sky130_fd_sc_hd__nor2_1 U53313 ( .A(n63676), .B(n63675), .Y(n67435) );
+  sky130_fd_sc_hd__clkinv_1 U53314 ( .A(n60551), .Y(n79662) );
+  sky130_fd_sc_hd__clkinv_1 U53315 ( .A(n79660), .Y(n79657) );
+  sky130_fd_sc_hd__clkinv_1 U53316 ( .A(n80268), .Y(n80274) );
+  sky130_fd_sc_hd__clkinv_1 U53317 ( .A(n85642), .Y(n72378) );
+  sky130_fd_sc_hd__nor2_1 U53319 ( .A(n43105), .B(n82481), .Y(n78252) );
+  sky130_fd_sc_hd__clkinv_1 U53320 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_1_status_prv[1]), .Y(n78268) );
+  sky130_fd_sc_hd__and2_0 U53321 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[1]), .B(n70142), .X(n70485) );
+  sky130_fd_sc_hd__nand2_1 U53322 ( .A(n65605), .B(n43097), .Y(n78251) );
+  sky130_fd_sc_hd__inv_2 U53323 ( .A(n85570), .Y(n82411) );
+  sky130_fd_sc_hd__inv_2 U53325 ( .A(n85583), .Y(n82407) );
+  sky130_fd_sc_hd__inv_2 U53326 ( .A(n85585), .Y(n82401) );
+  sky130_fd_sc_hd__inv_2 U53327 ( .A(n85572), .Y(n82399) );
+  sky130_fd_sc_hd__clkinv_1 U53328 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[12]), .Y(n76665) );
+  sky130_fd_sc_hd__clkinv_1 U53329 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[11]), .Y(n76652) );
+  sky130_fd_sc_hd__nand3_2 U53331 ( .A(n76346), .B(n62080), .C(n62079), .Y(
+        n73554) );
+  sky130_fd_sc_hd__clkinv_1 U53332 ( .A(n80574), .Y(n80510) );
+  sky130_fd_sc_hd__clkinv_1 U53333 ( .A(n80585), .Y(n60868) );
+  sky130_fd_sc_hd__clkinv_1 U53334 ( .A(n61651), .Y(n79371) );
+  sky130_fd_sc_hd__inv_2 U53335 ( .A(n81271), .Y(n81272) );
+  sky130_fd_sc_hd__inv_2 U53336 ( .A(n62859), .Y(n63500) );
+  sky130_fd_sc_hd__inv_2 U53337 ( .A(n73501), .Y(n73508) );
+  sky130_fd_sc_hd__nand2_1 U53339 ( .A(n77412), .B(n77411), .Y(n77451) );
+  sky130_fd_sc_hd__nand2_1 U53340 ( .A(n40217), .B(n40216), .Y(n82999) );
+  sky130_fd_sc_hd__clkinv_1 U53341 ( .A(n72821), .Y(n72878) );
+  sky130_fd_sc_hd__clkinv_1 U53342 ( .A(n72761), .Y(n76247) );
+  sky130_fd_sc_hd__nor2_1 U53343 ( .A(n44124), .B(n44126), .Y(n72690) );
+  sky130_fd_sc_hd__and2_0 U53344 ( .A(n64975), .B(n59913), .X(n73118) );
+  sky130_fd_sc_hd__clkinv_1 U53345 ( .A(n85632), .Y(n78513) );
+  sky130_fd_sc_hd__nor2_1 U53346 ( .A(n75874), .B(n78557), .Y(n78486) );
+  sky130_fd_sc_hd__clkinv_1 U53347 ( .A(n78488), .Y(n75874) );
+  sky130_fd_sc_hd__clkinv_1 U53348 ( .A(n86333), .Y(n70408) );
+  sky130_fd_sc_hd__clkinv_1 U53349 ( .A(n70420), .Y(n70469) );
+  sky130_fd_sc_hd__nand2_1 U53350 ( .A(n43174), .B(n72578), .Y(n64083) );
+  sky130_fd_sc_hd__and2_0 U53352 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_ctrl_csr[0]), .B(n70399), .X(n70401) );
+  sky130_fd_sc_hd__clkinv_1 U53353 ( .A(n69434), .Y(n69884) );
+  sky130_fd_sc_hd__clkinv_1 U53354 ( .A(n85534), .Y(n64159) );
+  sky130_fd_sc_hd__clkinv_1 U53355 ( .A(n63936), .Y(n64163) );
+  sky130_fd_sc_hd__and2_0 U53356 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_hit), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_valid), .X(n55620) );
+  sky130_fd_sc_hd__inv_2 U53357 ( .A(n64156), .Y(n64157) );
+  sky130_fd_sc_hd__clkinv_1 U53358 ( .A(n60530), .Y(n79823) );
+  sky130_fd_sc_hd__clkinv_1 U53359 ( .A(n79821), .Y(n79818) );
+  sky130_fd_sc_hd__or2_0 U53360 ( .A(n75854), .B(n76402), .X(n76259) );
+  sky130_fd_sc_hd__a2bb2oi_1 U53361 ( .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_gennum[3]), .B2(n47513), .A1_N(n47510), .A2_N(n47660), .Y(n55555) );
+  sky130_fd_sc_hd__o21bai_1 U53362 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_s_0_state[1]), 
+        .A2(MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_s_0_state[0]), 
+        .B1_N(n48227), .Y(n60886) );
+  sky130_fd_sc_hd__nand3_1 U53363 ( .A(n61031), .B(n60067), .C(n60066), .Y(
+        n68184) );
+  sky130_fd_sc_hd__and2_0 U53364 ( .A(n60065), .B(n75713), .X(n60066) );
+  sky130_fd_sc_hd__clkinv_1 U53365 ( .A(n60931), .Y(n80736) );
+  sky130_fd_sc_hd__clkinv_1 U53367 ( .A(n85668), .Y(n76434) );
+  sky130_fd_sc_hd__inv_2 U53368 ( .A(n85836), .Y(n66808) );
+  sky130_fd_sc_hd__inv_2 U53370 ( .A(n85577), .Y(n75748) );
+  sky130_fd_sc_hd__inv_2 U53371 ( .A(n85571), .Y(n76442) );
+  sky130_fd_sc_hd__nand2_1 U53372 ( .A(n73763), .B(n37039), .Y(n75726) );
+  sky130_fd_sc_hd__a22oi_1 U53373 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[3]), .A2(n47548), .B1(n47552), .B2(n47936), .Y(n55305) );
+  sky130_fd_sc_hd__o21bai_1 U53374 ( .A1(n47943), .A2(n47734), .B1_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[0]), .Y(n83658) );
+  sky130_fd_sc_hd__a2bb2oi_1 U53375 ( .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_gennum[3]), .B2(n47610), .A1_N(n47613), .A2_N(n47885), .Y(n55293) );
+  sky130_fd_sc_hd__o21ai_1 U53376 ( .A1(n47613), .A2(n47882), .B1(n47880), .Y(
+        n83630) );
+  sky130_fd_sc_hd__a2bb2oi_1 U53378 ( .B1(n47537), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_gennum[3]), .A1_N(n47540), .A2_N(n37574), .Y(n83577) );
+  sky130_fd_sc_hd__a2bb2oi_1 U53379 ( .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_gennum[3]), .B2(n47588), .A1_N(n47587), .A2_N(n48760), .Y(n55259) );
+  sky130_fd_sc_hd__a2bb2oi_1 U53381 ( .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_gennum[3]), .B2(n47574), .A1_N(n47573), .A2_N(n48787), .Y(n55242) );
+  sky130_fd_sc_hd__nor2_2 U53382 ( .A(n55241), .B(n60009), .Y(n63171) );
+  sky130_fd_sc_hd__o21a_1 U53384 ( .A1(n78317), .A2(n59757), .B1(n47273), .X(
+        n55453) );
+  sky130_fd_sc_hd__a21boi_0 U53385 ( .A1(n84913), .A2(n78318), .B1_N(n55084), 
+        .Y(n55100) );
+  sky130_fd_sc_hd__a21boi_0 U53386 ( .A1(n55485), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_size[5]), .B1_N(n55045), .Y(n55047) );
+  sky130_fd_sc_hd__a21boi_0 U53387 ( .A1(n55485), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_size[4]), .B1_N(n55049), .Y(n84011) );
+  sky130_fd_sc_hd__nor2_1 U53388 ( .A(n37151), .B(n56632), .Y(n73794) );
+  sky130_fd_sc_hd__nor2_1 U53389 ( .A(n73567), .B(n56631), .Y(n56632) );
+  sky130_fd_sc_hd__nand2_1 U53390 ( .A(n59754), .B(n47679), .Y(n73812) );
+  sky130_fd_sc_hd__a21boi_0 U53391 ( .A1(n55485), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode[4]), .B1_N(n47472), .Y(n55039) );
+  sky130_fd_sc_hd__a21boi_0 U53392 ( .A1(n55485), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode[5]), .B1_N(n47473), .Y(n83994) );
+  sky130_fd_sc_hd__nand2b_1 U53393 ( .A_N(n78312), .B(n49219), .Y(n49055) );
+  sky130_fd_sc_hd__and2_0 U53394 ( .A(n59789), .B(n59788), .X(n59796) );
+  sky130_fd_sc_hd__inv_2 U53396 ( .A(n69911), .Y(n55601) );
+  sky130_fd_sc_hd__nor2_1 U53397 ( .A(n39968), .B(n44193), .Y(n37489) );
+  sky130_fd_sc_hd__and2_0 U53398 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[3]), .B(
+        n45621), .X(n45533) );
+  sky130_fd_sc_hd__nand2_1 U53399 ( .A(n61466), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_io_deq_bits_mask[0]), .Y(n67892) );
+  sky130_fd_sc_hd__clkinv_1 U53400 ( .A(n67892), .Y(n68028) );
+  sky130_fd_sc_hd__o21ai_1 U53402 ( .A1(n41600), .A2(n41636), .B1(n41658), .Y(
+        n83420) );
+  sky130_fd_sc_hd__nor2b_1 U53403 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_maybe_full), .A(n59897), .Y(n83814) );
+  sky130_fd_sc_hd__clkinv_1 U53404 ( .A(n85536), .Y(n73345) );
+  sky130_fd_sc_hd__clkinv_1 U53405 ( .A(n54899), .Y(n37657) );
+  sky130_fd_sc_hd__nand2b_1 U53406 ( .A_N(n39992), .B(n39316), .Y(n54157) );
+  sky130_fd_sc_hd__nand3_1 U53407 ( .A(n53229), .B(n53228), .C(n60141), .Y(
+        n82462) );
+  sky130_fd_sc_hd__a21oi_1 U53408 ( .A1(n78371), .A2(n78377), .B1(n78373), .Y(
+        n54109) );
+  sky130_fd_sc_hd__clkinv_1 U53409 ( .A(n84034), .Y(n77928) );
+  sky130_fd_sc_hd__clkinv_1 U53410 ( .A(n73562), .Y(n73566) );
+  sky130_fd_sc_hd__and2_0 U53411 ( .A(n80739), .B(n86752), .X(n73740) );
+  sky130_fd_sc_hd__nand2_1 U53412 ( .A(n37040), .B(n73746), .Y(n83591) );
+  sky130_fd_sc_hd__nor2_1 U53413 ( .A(n37209), .B(n85005), .Y(n37605) );
+  sky130_fd_sc_hd__and2_0 U53414 ( .A(n53527), .B(n73753), .X(n37209) );
+  sky130_fd_sc_hd__nor2_2 U53415 ( .A(n49223), .B(n61089), .Y(n63472) );
+  sky130_fd_sc_hd__nand2_1 U53416 ( .A(n56634), .B(n56633), .Y(n83776) );
+  sky130_fd_sc_hd__clkinv_1 U53417 ( .A(n73794), .Y(n56634) );
+  sky130_fd_sc_hd__nand2_1 U53418 ( .A(n39493), .B(n59771), .Y(n39503) );
+  sky130_fd_sc_hd__clkbuf_1 U53419 ( .A(n37824), .X(n37823) );
+  sky130_fd_sc_hd__inv_2 U53420 ( .A(n85589), .Y(n76436) );
+  sky130_fd_sc_hd__clkinv_1 U53421 ( .A(n83034), .Y(n74161) );
+  sky130_fd_sc_hd__and2_0 U53422 ( .A(n41257), .B(n41256), .X(n41271) );
+  sky130_fd_sc_hd__clkinv_1 U53423 ( .A(n85544), .Y(n63132) );
+  sky130_fd_sc_hd__clkinv_1 U53424 ( .A(n85399), .Y(n65105) );
+  sky130_fd_sc_hd__inv_2 U53425 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .Y(n41596) );
+  sky130_fd_sc_hd__nor2_1 U53426 ( .A(n53209), .B(n53208), .Y(n54316) );
+  sky130_fd_sc_hd__inv_2 U53427 ( .A(n75843), .Y(n74032) );
+  sky130_fd_sc_hd__a21boi_0 U53428 ( .A1(n85186), .A2(n78346), .B1_N(n53196), 
+        .Y(n55979) );
+  sky130_fd_sc_hd__and2_0 U53429 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_size[1]), .X(n53183) );
+  sky130_fd_sc_hd__and2_0 U53431 ( .A(n77476), .B(n41367), .X(n38400) );
+  sky130_fd_sc_hd__clkinv_1 U53432 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_size[1]), .Y(n84103) );
+  sky130_fd_sc_hd__a21oi_1 U53433 ( .A1(n83111), .A2(n84072), .B1(n83109), .Y(
+        n55986) );
+  sky130_fd_sc_hd__clkinv_1 U53434 ( .A(n85833), .Y(n76481) );
+  sky130_fd_sc_hd__a21boi_0 U53435 ( .A1(n36974), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[58]), .B1_N(n56198), .Y(n66812) );
+  sky130_fd_sc_hd__nor2_1 U53436 ( .A(n52674), .B(n52675), .Y(n37814) );
+  sky130_fd_sc_hd__clkinv_1 U53437 ( .A(n85667), .Y(n76433) );
+  sky130_fd_sc_hd__clkinv_1 U53438 ( .A(n80982), .Y(n80984) );
+  sky130_fd_sc_hd__clkinv_1 U53439 ( .A(n82429), .Y(n62045) );
+  sky130_fd_sc_hd__a21boi_0 U53440 ( .A1(n36974), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[54]), .B1_N(n56238), .Y(n73365) );
+  sky130_fd_sc_hd__nor2b_1 U53441 ( .B_N(n56193), .A(n59697), .Y(n80399) );
+  sky130_fd_sc_hd__inv_2 U53442 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[9]), 
+        .Y(n67298) );
+  sky130_fd_sc_hd__nor2_2 U53443 ( .A(n66793), .B(n66792), .Y(n67405) );
+  sky130_fd_sc_hd__a21oi_1 U53444 ( .A1(n56667), .A2(n63546), .B1(n37829), .Y(
+        n37828) );
+  sky130_fd_sc_hd__nand3_1 U53445 ( .A(n81266), .B(n49228), .C(n37213), .Y(
+        n81213) );
+  sky130_fd_sc_hd__and2_0 U53446 ( .A(n49227), .B(n49524), .X(n37213) );
+  sky130_fd_sc_hd__nand2_1 U53447 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_MPORT_addr[1]), .B(n81220), .Y(n62951) );
+  sky130_fd_sc_hd__nand2_1 U53448 ( .A(n84033), .B(n53597), .Y(n56658) );
+  sky130_fd_sc_hd__a21boi_0 U53449 ( .A1(n36974), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[60]), .B1_N(n56654), .Y(n67453) );
+  sky130_fd_sc_hd__inv_2 U53450 ( .A(n73494), .Y(n73487) );
+  sky130_fd_sc_hd__a21boi_0 U53452 ( .A1(n36974), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[62]), .B1_N(n48228), .Y(n73462) );
+  sky130_fd_sc_hd__clkinv_1 U53453 ( .A(n68274), .Y(n62049) );
+  sky130_fd_sc_hd__a21boi_0 U53454 ( .A1(n36974), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[61]), .B1_N(n56742), .Y(n68250) );
+  sky130_fd_sc_hd__nor2_1 U53455 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_55[2]), 
+        .B(n38217), .Y(n38216) );
+  sky130_fd_sc_hd__clkinv_1 U53456 ( .A(n45353), .Y(n60043) );
+  sky130_fd_sc_hd__inv_1 U53457 ( .A(n59409), .Y(n59414) );
+  sky130_fd_sc_hd__nor2_1 U53458 ( .A(n59364), .B(n59363), .Y(n37789) );
+  sky130_fd_sc_hd__nor2_1 U53459 ( .A(n59408), .B(n68049), .Y(n37403) );
+  sky130_fd_sc_hd__nor2_1 U53460 ( .A(n37369), .B(n37368), .Y(n37367) );
+  sky130_fd_sc_hd__and2_0 U53461 ( .A(n68043), .B(n68044), .X(n37175) );
+  sky130_fd_sc_hd__clkinv_1 U53462 ( .A(n58837), .Y(n58838) );
+  sky130_fd_sc_hd__clkinv_1 U53463 ( .A(n76400), .Y(n78525) );
+  sky130_fd_sc_hd__inv_2 U53464 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .Y(n83875) );
+  sky130_fd_sc_hd__nand2_1 U53465 ( .A(n44126), .B(n44125), .Y(n83821) );
+  sky130_fd_sc_hd__nor2_1 U53466 ( .A(n37041), .B(n83821), .Y(n72568) );
+  sky130_fd_sc_hd__clkinv_1 U53467 ( .A(n85661), .Y(n78523) );
+  sky130_fd_sc_hd__clkinv_1 U53468 ( .A(n39911), .Y(n64278) );
+  sky130_fd_sc_hd__nor2_1 U53469 ( .A(n64083), .B(n40704), .Y(n60100) );
+  sky130_fd_sc_hd__nand2_1 U53470 ( .A(n85433), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_valid), .Y(n78374) );
+  sky130_fd_sc_hd__a31o_1 U53471 ( .A1(n43691), .A2(n43690), .A3(n43689), .B1(
+        n57517), .X(n38377) );
+  sky130_fd_sc_hd__o21a_1 U53472 ( .A1(n39450), .A2(n39449), .B1(n39448), .X(
+        n65106) );
+  sky130_fd_sc_hd__clkinv_1 U53473 ( .A(n56658), .Y(n57100) );
+  sky130_fd_sc_hd__and2_0 U53474 ( .A(n44129), .B(n70399), .X(n44131) );
+  sky130_fd_sc_hd__clkinv_1 U53475 ( .A(n82999), .Y(n60374) );
+  sky130_fd_sc_hd__nor2_1 U53476 ( .A(n74049), .B(n78206), .Y(n83027) );
+  sky130_fd_sc_hd__nand3_1 U53477 ( .A(n86748), .B(n39526), .C(n84139), .Y(
+        n60556) );
+  sky130_fd_sc_hd__and2_0 U53478 ( .A(n72563), .B(n72564), .X(n37217) );
+  sky130_fd_sc_hd__clkinv_1 U53479 ( .A(n62976), .Y(n70115) );
+  sky130_fd_sc_hd__and2_0 U53480 ( .A(n63051), .B(n37651), .X(n37194) );
+  sky130_fd_sc_hd__nand2_1 U53481 ( .A(n39522), .B(n37897), .Y(n37896) );
+  sky130_fd_sc_hd__clkinv_1 U53482 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[0]), .Y(n37897) );
+  sky130_fd_sc_hd__nor2_1 U53483 ( .A(n63745), .B(n63744), .Y(n73341) );
+  sky130_fd_sc_hd__clkinv_1 U53484 ( .A(n63743), .Y(n63744) );
+  sky130_fd_sc_hd__clkinv_1 U53485 ( .A(n72149), .Y(n70307) );
+  sky130_fd_sc_hd__clkinv_1 U53486 ( .A(n39951), .Y(n66536) );
+  sky130_fd_sc_hd__nand3_1 U53487 ( .A(n38241), .B(n38240), .C(n39165), .Y(
+        n39169) );
+  sky130_fd_sc_hd__nand3_1 U53488 ( .A(n37046), .B(n38493), .C(n37143), .Y(
+        n37700) );
+  sky130_fd_sc_hd__and2_0 U53490 ( .A(n59433), .B(n36843), .X(n37093) );
+  sky130_fd_sc_hd__a21oi_1 U53491 ( .A1(n59433), .A2(n37211), .B1(n37945), .Y(
+        n37944) );
+  sky130_fd_sc_hd__nand2_1 U53493 ( .A(n78402), .B(n78210), .Y(n60883) );
+  sky130_fd_sc_hd__o21a_1 U53494 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_state[0]), .A2(n78210), .B1(n41332), .X(n78403) );
+  sky130_fd_sc_hd__nor2_4 U53495 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_0_valid), .B(n78414), .Y(n78402) );
+  sky130_fd_sc_hd__and2_0 U53496 ( .A(n85641), .B(n85603), .X(n85359) );
+  sky130_fd_sc_hd__a21oi_1 U53497 ( .A1(n57397), .A2(n57396), .B1(n57395), .Y(
+        n85597) );
+  sky130_fd_sc_hd__clkbuf_1 U53498 ( .A(n36580), .X(n86333) );
+  sky130_fd_sc_hd__nand2_1 U53499 ( .A(n56049), .B(n70196), .Y(n85743) );
+  sky130_fd_sc_hd__a21oi_1 U53500 ( .A1(n56047), .A2(n56046), .B1(n75807), .Y(
+        n85744) );
+  sky130_fd_sc_hd__a22oi_1 U53501 ( .A1(n69724), .A2(n69745), .B1(n69743), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[62]), .Y(n37428) );
+  sky130_fd_sc_hd__a22oi_1 U53502 ( .A1(n69624), .A2(n69745), .B1(n69743), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[60]), .Y(n37424) );
+  sky130_fd_sc_hd__a22oi_1 U53503 ( .A1(n69632), .A2(n69745), .B1(n69743), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[58]), .Y(n37416) );
+  sky130_fd_sc_hd__a22oi_1 U53504 ( .A1(n69640), .A2(n69745), .B1(n69743), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[56]), .Y(n37408) );
+  sky130_fd_sc_hd__and2_0 U53505 ( .A(n85835), .B(n62005), .X(n85977) );
+  sky130_fd_sc_hd__and2_0 U53506 ( .A(n85836), .B(n62005), .X(n85978) );
+  sky130_fd_sc_hd__and2_0 U53507 ( .A(n85632), .B(n86402), .X(n86104) );
+  sky130_fd_sc_hd__and2_0 U53508 ( .A(n85751), .B(n72568), .X(n85174) );
+  sky130_fd_sc_hd__clkinv_1 U53509 ( .A(n62952), .Y(n86595) );
+  sky130_fd_sc_hd__clkinv_1 U53510 ( .A(n37522), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N361) );
+  sky130_fd_sc_hd__and2_0 U53511 ( .A(n59317), .B(n85534), .X(n37218) );
+  sky130_fd_sc_hd__and2_0 U53512 ( .A(n85835), .B(n62006), .X(n85979) );
+  sky130_fd_sc_hd__and2_0 U53513 ( .A(n85836), .B(n62006), .X(n85980) );
+  sky130_fd_sc_hd__and2_0 U53514 ( .A(n36239), .B(n62010), .X(n86008) );
+  sky130_fd_sc_hd__and2_0 U53515 ( .A(n36239), .B(n62081), .X(n86009) );
+  sky130_fd_sc_hd__and2_0 U53516 ( .A(n36239), .B(n62007), .X(n86010) );
+  sky130_fd_sc_hd__and2_0 U53517 ( .A(n36239), .B(n62083), .X(n86011) );
+  sky130_fd_sc_hd__and2_0 U53518 ( .A(n36239), .B(n62012), .X(n86012) );
+  sky130_fd_sc_hd__and2_0 U53519 ( .A(n36240), .B(n62010), .X(n86001) );
+  sky130_fd_sc_hd__and2_0 U53520 ( .A(n36240), .B(n62081), .X(n86002) );
+  sky130_fd_sc_hd__and2_0 U53521 ( .A(n36240), .B(n62008), .X(n86003) );
+  sky130_fd_sc_hd__and2_0 U53522 ( .A(n36240), .B(n62007), .X(n86004) );
+  sky130_fd_sc_hd__and2_0 U53523 ( .A(n36240), .B(n62083), .X(n86005) );
+  sky130_fd_sc_hd__and2_0 U53524 ( .A(n36240), .B(n62012), .X(n86006) );
+  sky130_fd_sc_hd__and2_0 U53525 ( .A(n36244), .B(n62010), .X(n86014) );
+  sky130_fd_sc_hd__and2_0 U53526 ( .A(n36244), .B(n62081), .X(n86015) );
+  sky130_fd_sc_hd__and2_0 U53527 ( .A(n36244), .B(n62008), .X(n86016) );
+  sky130_fd_sc_hd__and2_0 U53528 ( .A(n36244), .B(n62083), .X(n86017) );
+  sky130_fd_sc_hd__and2_0 U53529 ( .A(n36244), .B(n62012), .X(n86018) );
+  sky130_fd_sc_hd__and2_0 U53530 ( .A(n36245), .B(n62010), .X(n86020) );
+  sky130_fd_sc_hd__and2_0 U53531 ( .A(n36245), .B(n62081), .X(n86021) );
+  sky130_fd_sc_hd__and2_0 U53532 ( .A(n36245), .B(n62011), .X(n86022) );
+  sky130_fd_sc_hd__and2_0 U53533 ( .A(n36245), .B(n62083), .X(n86023) );
+  sky130_fd_sc_hd__and2_0 U53534 ( .A(n36245), .B(n62012), .X(n86024) );
+  sky130_fd_sc_hd__and2_0 U53535 ( .A(n85589), .B(n62847), .X(n85931) );
+  sky130_fd_sc_hd__and2_0 U53536 ( .A(n85667), .B(n62847), .X(n85925) );
+  sky130_fd_sc_hd__and2_0 U53537 ( .A(n85667), .B(n62851), .X(n85926) );
+  sky130_fd_sc_hd__and2_0 U53538 ( .A(n85667), .B(n62852), .X(n85927) );
+  sky130_fd_sc_hd__and2_0 U53539 ( .A(n85589), .B(n62852), .X(n85932) );
+  sky130_fd_sc_hd__clkinv_1 U53540 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_acknum[3]), .Y(n76294) );
+  sky130_fd_sc_hd__clkinv_1 U53541 ( .A(n83249), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N26) );
+  sky130_fd_sc_hd__clkinv_1 U53542 ( .A(n83178), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N26) );
+  sky130_fd_sc_hd__nor2_1 U53543 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_MPORT_addr[2]), .B(n62957), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N32) );
+  sky130_fd_sc_hd__a211oi_1 U53544 ( .A1(n85471), .A2(n80984), .B1(n76486), 
+        .C1(n80978), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N170)
+         );
+  sky130_fd_sc_hd__clkinv_1 U53545 ( .A(n74825), .Y(n74831) );
+  sky130_fd_sc_hd__clkinv_1 U53546 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[1]), .Y(n75070) );
+  sky130_fd_sc_hd__clkinv_1 U53547 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[0]), .Y(n75243) );
+  sky130_fd_sc_hd__clkinv_1 U53548 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[4]), .Y(n74994) );
+  sky130_fd_sc_hd__clkinv_1 U53549 ( .A(n74768), .Y(n71503) );
+  sky130_fd_sc_hd__clkinv_1 U53550 ( .A(n74758), .Y(n71504) );
+  sky130_fd_sc_hd__clkinv_1 U53551 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[1]), .Y(n74447) );
+  sky130_fd_sc_hd__inv_2 U53552 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[4]), .Y(n75435) );
+  sky130_fd_sc_hd__clkinv_1 U53553 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[1]), .Y(n74280) );
+  sky130_fd_sc_hd__clkinv_1 U53554 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[1]), .Y(n75429) );
+  sky130_fd_sc_hd__clkinv_1 U53555 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[2]), .Y(n71939) );
+  sky130_fd_sc_hd__clkinv_1 U53556 ( .A(n74736), .Y(n71500) );
+  sky130_fd_sc_hd__clkinv_1 U53557 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[7]), .Y(n74445) );
+  sky130_fd_sc_hd__clkinv_1 U53558 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[6]), .Y(n74455) );
+  sky130_fd_sc_hd__clkinv_1 U53559 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[8]), .Y(n75254) );
+  sky130_fd_sc_hd__clkinv_1 U53560 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[10]), .Y(n75255) );
+  sky130_fd_sc_hd__clkinv_1 U53561 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[1]), .Y(n75242) );
+  sky130_fd_sc_hd__clkinv_1 U53562 ( .A(n74856), .Y(n74755) );
+  sky130_fd_sc_hd__clkinv_1 U53563 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[4]), .Y(n74209) );
+  sky130_fd_sc_hd__clkinv_1 U53564 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[3]), .Y(n75428) );
+  sky130_fd_sc_hd__clkinv_1 U53565 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[1]), .Y(n74932) );
+  sky130_fd_sc_hd__clkinv_1 U53566 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[5]), .Y(n75427) );
+  sky130_fd_sc_hd__clkinv_1 U53567 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[2]), .Y(n71657) );
+  sky130_fd_sc_hd__clkinv_1 U53568 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_a[0]), .Y(n71769) );
+  sky130_fd_sc_hd__clkinv_1 U53569 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[3]), .Y(n72066) );
+  sky130_fd_sc_hd__or2_0 U53570 ( .A(n74851), .B(n71497), .X(n74754) );
+  sky130_fd_sc_hd__clkinv_1 U53571 ( .A(n74354), .Y(n71059) );
+  sky130_fd_sc_hd__clkinv_1 U53572 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[3]), .Y(n74212) );
+  sky130_fd_sc_hd__clkinv_1 U53573 ( .A(n74634), .Y(n70725) );
+  sky130_fd_sc_hd__clkinv_1 U53574 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[3]), .Y(n70732) );
+  sky130_fd_sc_hd__clkinv_1 U53575 ( .A(n74646), .Y(n70731) );
+  sky130_fd_sc_hd__maj3_2 U53576 ( .A(n68201), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[1]), 
+        .C(n68200), .X(n68202) );
+  sky130_fd_sc_hd__clkinv_1 U53577 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[0]), 
+        .Y(n68199) );
+  sky130_fd_sc_hd__and2_0 U53578 ( .A(n61784), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[5]), 
+        .X(n61785) );
+  sky130_fd_sc_hd__clkinv_1 U53579 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__0[2]), .Y(n76942) );
+  sky130_fd_sc_hd__and2_0 U53580 ( .A(n75184), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[5]), .X(n75191) );
+  sky130_fd_sc_hd__clkinv_1 U53581 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[9]), .Y(n75084) );
+  sky130_fd_sc_hd__clkinv_1 U53582 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[13]), .Y(n75103) );
+  sky130_fd_sc_hd__clkinv_1 U53583 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[14]), .Y(n75110) );
+  sky130_fd_sc_hd__clkinv_1 U53584 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[7]), .Y(n75241) );
+  sky130_fd_sc_hd__clkinv_1 U53585 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[3]), .Y(n71776) );
+  sky130_fd_sc_hd__clkinv_1 U53586 ( .A(n74571), .Y(n74573) );
+  sky130_fd_sc_hd__clkinv_1 U53587 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[10]), .Y(n75013) );
+  sky130_fd_sc_hd__clkinv_1 U53588 ( .A(n74933), .Y(n71376) );
+  sky130_fd_sc_hd__clkinv_1 U53589 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[12]), .Y(n71960) );
+  sky130_fd_sc_hd__clkinv_1 U53590 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[5]), .Y(n71661) );
+  sky130_fd_sc_hd__clkinv_1 U53591 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[9]), .Y(n75256) );
+  sky130_fd_sc_hd__clkinv_1 U53592 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[2]), .Y(n71581) );
+  sky130_fd_sc_hd__or2_0 U53593 ( .A(n75104), .B(n75162), .X(n75193) );
+  sky130_fd_sc_hd__clkinv_1 U53594 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[2]), .Y(n74999) );
+  sky130_fd_sc_hd__clkinv_1 U53595 ( .A(n74363), .Y(n71058) );
+  sky130_fd_sc_hd__clkinv_1 U53596 ( .A(n74516), .Y(n70921) );
+  sky130_fd_sc_hd__clkinv_1 U53597 ( .A(n70920), .Y(n74521) );
+  sky130_fd_sc_hd__clkinv_1 U53598 ( .A(n74548), .Y(n70952) );
+  sky130_fd_sc_hd__or2_0 U53599 ( .A(n70949), .B(n74505), .X(n74556) );
+  sky130_fd_sc_hd__clkinv_1 U53600 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[9]), .Y(n74460) );
+  sky130_fd_sc_hd__and2_0 U53601 ( .A(n74681), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[7]), .X(n74631) );
+  sky130_fd_sc_hd__clkinv_1 U53602 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[13]), .Y(n73945) );
+  sky130_fd_sc_hd__clkinv_1 U53603 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[17]), .Y(n73933) );
+  sky130_fd_sc_hd__clkinv_1 U53604 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[18]), .Y(n73881) );
+  sky130_fd_sc_hd__clkinv_1 U53605 ( .A(n43033), .Y(n42358) );
+  sky130_fd_sc_hd__clkinv_1 U53606 ( .A(n74667), .Y(n74668) );
+  sky130_fd_sc_hd__clkinv_1 U53607 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[14]), .Y(n74440) );
+  sky130_fd_sc_hd__clkinv_1 U53608 ( .A(n72053), .Y(n75162) );
+  sky130_fd_sc_hd__and2_0 U53609 ( .A(n72053), .B(n72010), .X(n75155) );
+  sky130_fd_sc_hd__clkinv_1 U53610 ( .A(n72035), .Y(n75141) );
+  sky130_fd_sc_hd__clkinv_1 U53611 ( .A(n74863), .Y(n74794) );
+  sky130_fd_sc_hd__clkinv_1 U53612 ( .A(n74866), .Y(n74793) );
+  sky130_fd_sc_hd__or2_0 U53613 ( .A(n71041), .B(n74393), .X(n74401) );
+  sky130_fd_sc_hd__and2_0 U53614 ( .A(n75534), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[5]), .X(n75541) );
+  sky130_fd_sc_hd__clkinv_1 U53615 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[3]), .Y(n71375) );
+  sky130_fd_sc_hd__and2_0 U53616 ( .A(n74945), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[5]), .X(n74952) );
+  sky130_fd_sc_hd__clkinv_1 U53617 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[9]), .Y(n74993) );
+  sky130_fd_sc_hd__clkinv_1 U53618 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[11]), .Y(n75460) );
+  sky130_fd_sc_hd__clkinv_1 U53619 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[15]), .Y(n75455) );
+  sky130_fd_sc_hd__clkinv_1 U53620 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[18]), .Y(n71918) );
+  sky130_fd_sc_hd__clkinv_1 U53621 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[22]), .Y(n71926) );
+  sky130_fd_sc_hd__clkinv_1 U53622 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[22]), .Y(n71643) );
+  sky130_fd_sc_hd__and2_0 U53623 ( .A(n71765), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[7]), .X(n75356) );
+  sky130_fd_sc_hd__and2_0 U53624 ( .A(n71719), .B(n71743), .X(n75344) );
+  sky130_fd_sc_hd__clkinv_1 U53625 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[5]), .Y(n75076) );
+  sky130_fd_sc_hd__clkinv_1 U53626 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[5]), .Y(n74995) );
+  sky130_fd_sc_hd__or2_0 U53627 ( .A(n71478), .B(n74738), .X(n74745) );
+  sky130_fd_sc_hd__clkinv_1 U53628 ( .A(n71492), .Y(n74792) );
+  sky130_fd_sc_hd__clkinv_1 U53629 ( .A(n71027), .Y(n74343) );
+  sky130_fd_sc_hd__and2_0 U53630 ( .A(n71040), .B(n71010), .X(n74346) );
+  sky130_fd_sc_hd__or2_0 U53631 ( .A(n71150), .B(n74393), .X(n74384) );
+  sky130_fd_sc_hd__and2_0 U53632 ( .A(n74370), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[5]), .X(n74377) );
+  sky130_fd_sc_hd__or2_0 U53633 ( .A(n74268), .B(n74505), .X(n74543) );
+  sky130_fd_sc_hd__and2_0 U53634 ( .A(n70952), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[7]), .X(n74529) );
+  sky130_fd_sc_hd__clkinv_1 U53635 ( .A(n70918), .Y(n74505) );
+  sky130_fd_sc_hd__or2_0 U53636 ( .A(n74269), .B(n70964), .X(n74568) );
+  sky130_fd_sc_hd__clkinv_1 U53637 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[2]), .Y(n74449) );
+  sky130_fd_sc_hd__clkinv_1 U53638 ( .A(n70718), .Y(n70719) );
+  sky130_fd_sc_hd__and2_0 U53639 ( .A(n70723), .B(n70695), .X(n74670) );
+  sky130_fd_sc_hd__clkinv_1 U53640 ( .A(n70759), .Y(n70760) );
+  sky130_fd_sc_hd__clkinv_1 U53641 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__2[5]), .Y(n76995) );
+  sky130_fd_sc_hd__clkinv_1 U53642 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[0]), 
+        .Y(n49334) );
+  sky130_fd_sc_hd__clkinv_1 U53643 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[2]), 
+        .Y(n49333) );
+  sky130_fd_sc_hd__inv_1 U53644 ( .A(n58044), .Y(n37752) );
+  sky130_fd_sc_hd__inv_1 U53646 ( .A(n57796), .Y(n38236) );
+  sky130_fd_sc_hd__clkinv_1 U53647 ( .A(n75321), .Y(n75324) );
+  sky130_fd_sc_hd__clkinv_1 U53648 ( .A(n71743), .Y(n75328) );
+  sky130_fd_sc_hd__clkinv_1 U53649 ( .A(n74588), .Y(n74590) );
+  sky130_fd_sc_hd__clkinv_1 U53650 ( .A(n74587), .Y(n74591) );
+  sky130_fd_sc_hd__and2_0 U53651 ( .A(n71822), .B(n71833), .X(n75512) );
+  sky130_fd_sc_hd__clkinv_1 U53652 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[13]), .Y(n71885) );
+  sky130_fd_sc_hd__clkinv_1 U53653 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[14]), .Y(n75454) );
+  sky130_fd_sc_hd__clkinv_1 U53654 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[9]), .Y(n71880) );
+  sky130_fd_sc_hd__or2_0 U53655 ( .A(n74919), .B(n71368), .X(n74930) );
+  sky130_fd_sc_hd__clkinv_1 U53656 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[14]), .Y(n74908) );
+  sky130_fd_sc_hd__clkinv_1 U53657 ( .A(n71401), .Y(n74903) );
+  sky130_fd_sc_hd__clkinv_1 U53658 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[25]), .Y(n75492) );
+  sky130_fd_sc_hd__clkinv_1 U53659 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[11]), .Y(n75087) );
+  sky130_fd_sc_hd__clkinv_1 U53660 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[21]), .Y(n71565) );
+  sky130_fd_sc_hd__clkinv_1 U53661 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[22]), .Y(n72027) );
+  sky130_fd_sc_hd__clkinv_1 U53662 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[18]), .Y(n71560) );
+  sky130_fd_sc_hd__clkinv_1 U53663 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[22]), .Y(n71202) );
+  sky130_fd_sc_hd__clkinv_1 U53664 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[13]), .Y(n71399) );
+  sky130_fd_sc_hd__clkinv_1 U53665 ( .A(n71367), .Y(n74907) );
+  sky130_fd_sc_hd__clkinv_1 U53666 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[12]), .Y(n71305) );
+  sky130_fd_sc_hd__clkinv_1 U53667 ( .A(n71040), .Y(n74393) );
+  sky130_fd_sc_hd__clkinv_1 U53668 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[5]), .Y(n74446) );
+  sky130_fd_sc_hd__clkinv_1 U53669 ( .A(n70747), .Y(n70748) );
+  sky130_fd_sc_hd__a21boi_0 U53670 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[6]), 
+        .A2(n59647), .B1_N(n59646), .Y(n59649) );
+  sky130_fd_sc_hd__inv_1 U53671 ( .A(n58063), .Y(n58039) );
+  sky130_fd_sc_hd__and2_0 U53672 ( .A(n73409), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[5]), 
+        .X(n73410) );
+  sky130_fd_sc_hd__clkinv_1 U53673 ( .A(n68096), .Y(n68100) );
+  sky130_fd_sc_hd__and2_0 U53674 ( .A(n68113), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[5]), 
+        .X(n68114) );
+  sky130_fd_sc_hd__xor2_1 U53675 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[19]), .B(n62651), .X(n62114) );
+  sky130_fd_sc_hd__clkinv_1 U53676 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[115]), .Y(n61258) );
+  sky130_fd_sc_hd__clkinv_1 U53677 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__2[3]), .Y(n77001) );
+  sky130_fd_sc_hd__clkinv_1 U53678 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[2]), .Y(n51668) );
+  sky130_fd_sc_hd__clkinv_1 U53679 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[4]), 
+        .Y(n49339) );
+  sky130_fd_sc_hd__clkinv_1 U53680 ( .A(n60151), .Y(n49840) );
+  sky130_fd_sc_hd__clkinv_1 U53681 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_33[2]), 
+        .Y(n46422) );
+  sky130_fd_sc_hd__clkinv_1 U53682 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_6[1]), 
+        .Y(n58208) );
+  sky130_fd_sc_hd__nor2_1 U53683 ( .A(n38356), .B(n38326), .Y(n38325) );
+  sky130_fd_sc_hd__and2_0 U53684 ( .A(n45592), .B(n45702), .X(n45606) );
+  sky130_fd_sc_hd__clkinv_1 U53685 ( .A(n67729), .Y(n46402) );
+  sky130_fd_sc_hd__clkinv_1 U53686 ( .A(n67738), .Y(n46404) );
+  sky130_fd_sc_hd__and2_0 U53687 ( .A(n45592), .B(n45558), .X(n45620) );
+  sky130_fd_sc_hd__nand3_1 U53690 ( .A(n38243), .B(n38242), .C(n37156), .Y(
+        n38550) );
+  sky130_fd_sc_hd__nand3_1 U53691 ( .A(n38250), .B(n38540), .C(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_36[1]), 
+        .Y(n38242) );
+  sky130_fd_sc_hd__clkinv_1 U53692 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_17[2]), 
+        .Y(n57976) );
+  sky130_fd_sc_hd__clkinv_1 U53693 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_16[1]), 
+        .Y(n38846) );
+  sky130_fd_sc_hd__inv_2 U53694 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_39[2]), 
+        .Y(n38638) );
+  sky130_fd_sc_hd__clkinv_1 U53695 ( .A(n59534), .Y(n38122) );
+  sky130_fd_sc_hd__clkinv_1 U53696 ( .A(n58072), .Y(n38170) );
+  sky130_fd_sc_hd__nand2_1 U53698 ( .A(n40063), .B(n40235), .Y(n40033) );
+  sky130_fd_sc_hd__clkinv_1 U53699 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[23]), .Y(n75426) );
+  sky130_fd_sc_hd__clkinv_1 U53700 ( .A(n71833), .Y(n75578) );
+  sky130_fd_sc_hd__clkinv_1 U53701 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[28]), .Y(n75495) );
+  sky130_fd_sc_hd__clkinv_1 U53702 ( .A(n75044), .Y(n74900) );
+  sky130_fd_sc_hd__and2_0 U53703 ( .A(n55816), .B(n55812), .X(n55875) );
+  sky130_fd_sc_hd__and2_0 U53704 ( .A(n55834), .B(n55802), .X(n55873) );
+  sky130_fd_sc_hd__clkinv_1 U53705 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[26]), .Y(n71625) );
+  sky130_fd_sc_hd__clkinv_1 U53706 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[28]), .Y(n71629) );
+  sky130_fd_sc_hd__clkinv_1 U53707 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[28]), .Y(n71258) );
+  sky130_fd_sc_hd__nand3_1 U53708 ( .A(n38004), .B(n38003), .C(n38002), .Y(
+        n38672) );
+  sky130_fd_sc_hd__nor2_1 U53709 ( .A(n37057), .B(n37654), .Y(n38003) );
+  sky130_fd_sc_hd__nand3_1 U53710 ( .A(n38669), .B(n38000), .C(n38654), .Y(
+        n38002) );
+  sky130_fd_sc_hd__and2_0 U53711 ( .A(n67835), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[6]), 
+        .X(n67836) );
+  sky130_fd_sc_hd__and2_0 U53712 ( .A(n63585), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[6]), 
+        .X(n63586) );
+  sky130_fd_sc_hd__and2b_1 U53713 ( .B(n62168), .A_N(n62167), .X(n62370) );
+  sky130_fd_sc_hd__inv_2 U53714 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[52]), .Y(n62635) );
+  sky130_fd_sc_hd__nor2_1 U53715 ( .A(n62114), .B(n62116), .Y(n62649) );
+  sky130_fd_sc_hd__nor2b_1 U53716 ( .B_N(n62128), .A(n62127), .Y(n62672) );
+  sky130_fd_sc_hd__nor2_1 U53717 ( .A(n62128), .B(n62129), .Y(n62691) );
+  sky130_fd_sc_hd__buf_2 U53718 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[14]), .X(n62729) );
+  sky130_fd_sc_hd__nor2b_1 U53720 ( .B_N(n62426), .A(n62425), .Y(n62710) );
+  sky130_fd_sc_hd__clkinv_1 U53721 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[1]), .Y(n73986) );
+  sky130_fd_sc_hd__clkinv_1 U53722 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[43]), .Y(n61388) );
+  sky130_fd_sc_hd__clkinv_1 U53723 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[29]), .Y(n61413) );
+  sky130_fd_sc_hd__clkinv_1 U53724 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[59]), .Y(n61405) );
+  sky130_fd_sc_hd__clkinv_1 U53725 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[15]), .Y(n61395) );
+  sky130_fd_sc_hd__clkinv_1 U53726 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[109]), .Y(n61349) );
+  sky130_fd_sc_hd__clkinv_1 U53727 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[89]), .Y(n61371) );
+  sky130_fd_sc_hd__clkinv_1 U53728 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[77]), .Y(n61357) );
+  sky130_fd_sc_hd__clkinv_1 U53729 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[3]), .Y(n61296) );
+  sky130_fd_sc_hd__clkinv_1 U53730 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[51]), .Y(n61304) );
+  sky130_fd_sc_hd__clkinv_1 U53731 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[33]), .Y(n61312) );
+  sky130_fd_sc_hd__clkinv_1 U53732 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[71]), .Y(n61266) );
+  sky130_fd_sc_hd__clkinv_1 U53733 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[101]), .Y(n61274) );
+  sky130_fd_sc_hd__o21a_1 U53734 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_io_full), .A2(n37070), .B1(n49020), .X(n49037) );
+  sky130_fd_sc_hd__a21oi_1 U53735 ( .A1(n48789), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[3]), .B1(n37695), .Y(n37694) );
+  sky130_fd_sc_hd__clkinv_1 U53738 ( .A(n77803), .Y(n49945) );
+  sky130_fd_sc_hd__clkinv_1 U53739 ( .A(n81026), .Y(n49851) );
+  sky130_fd_sc_hd__clkinv_1 U53740 ( .A(n77804), .Y(n49493) );
+  sky130_fd_sc_hd__and2_0 U53741 ( .A(n67617), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[6]), 
+        .X(n67618) );
+  sky130_fd_sc_hd__and2_0 U53743 ( .A(n61523), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[6]), 
+        .X(n61524) );
+  sky130_fd_sc_hd__or2_0 U53744 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[6]), 
+        .B(n61523), .X(n38440) );
+  sky130_fd_sc_hd__clkbuf_1 U53745 ( .A(n37543), .X(n37542) );
+  sky130_fd_sc_hd__nor2_1 U53746 ( .A(n37363), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_param[2]), .Y(n37362) );
+  sky130_fd_sc_hd__nand2_1 U53747 ( .A(n47421), .B(n47288), .Y(n47447) );
+  sky130_fd_sc_hd__nand2_1 U53748 ( .A(n47286), .B(n47322), .Y(n47449) );
+  sky130_fd_sc_hd__and2_0 U53749 ( .A(n67941), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[6]), 
+        .X(n67942) );
+  sky130_fd_sc_hd__clkinv_1 U53751 ( .A(n38840), .Y(n38155) );
+  sky130_fd_sc_hd__clkinv_1 U53752 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_36[0]), 
+        .Y(n45810) );
+  sky130_fd_sc_hd__clkinv_1 U53753 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_38[0]), 
+        .Y(n45768) );
+  sky130_fd_sc_hd__clkinv_1 U53754 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_6[0]), 
+        .Y(n45762) );
+  sky130_fd_sc_hd__clkinv_1 U53755 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_52[0]), 
+        .Y(n45819) );
+  sky130_fd_sc_hd__nand3_1 U53756 ( .A(n39076), .B(n45763), .C(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_10[0]), 
+        .Y(n38273) );
+  sky130_fd_sc_hd__clkinv_1 U53757 ( .A(n59371), .Y(n59376) );
+  sky130_fd_sc_hd__clkinv_1 U53758 ( .A(n67734), .Y(n46405) );
+  sky130_fd_sc_hd__inv_2 U53759 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_22[1]), 
+        .Y(n57939) );
+  sky130_fd_sc_hd__clkinv_1 U53760 ( .A(n67739), .Y(n46376) );
+  sky130_fd_sc_hd__clkinv_1 U53761 ( .A(n67808), .Y(n46372) );
+  sky130_fd_sc_hd__clkinv_1 U53762 ( .A(n45548), .Y(n45546) );
+  sky130_fd_sc_hd__inv_2 U53763 ( .A(n57179), .Y(n52714) );
+  sky130_fd_sc_hd__nand3_1 U53764 ( .A(n58110), .B(n58125), .C(n58109), .Y(
+        n37776) );
+  sky130_fd_sc_hd__nand3_1 U53766 ( .A(n38341), .B(n57971), .C(n57972), .Y(
+        n38340) );
+  sky130_fd_sc_hd__clkinv_1 U53767 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_21[2]), 
+        .Y(n57944) );
+  sky130_fd_sc_hd__nand2_1 U53768 ( .A(n37929), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_35[2]), 
+        .Y(n37734) );
+  sky130_fd_sc_hd__nor2_1 U53769 ( .A(n38249), .B(n38555), .Y(n38248) );
+  sky130_fd_sc_hd__clkinv_1 U53770 ( .A(n38546), .Y(n38249) );
+  sky130_fd_sc_hd__clkinv_1 U53771 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_37[2]), 
+        .Y(n46425) );
+  sky130_fd_sc_hd__clkinv_1 U53772 ( .A(n38867), .Y(n38821) );
+  sky130_fd_sc_hd__clkinv_1 U53773 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_15[2]), 
+        .Y(n57965) );
+  sky130_fd_sc_hd__inv_2 U53775 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_26[2]), 
+        .Y(n58059) );
+  sky130_fd_sc_hd__clkinv_1 U53776 ( .A(n38605), .Y(n38583) );
+  sky130_fd_sc_hd__nand3_1 U53777 ( .A(n37646), .B(n38582), .C(n38098), .Y(
+        n38097) );
+  sky130_fd_sc_hd__clkinv_1 U53778 ( .A(n38606), .Y(n38098) );
+  sky130_fd_sc_hd__nor2_1 U53779 ( .A(n58199), .B(n38122), .Y(n38121) );
+  sky130_fd_sc_hd__inv_1 U53780 ( .A(n58154), .Y(n58177) );
+  sky130_fd_sc_hd__inv_1 U53781 ( .A(n58076), .Y(n58092) );
+  sky130_fd_sc_hd__inv_2 U53782 ( .A(n57714), .Y(n57722) );
+  sky130_fd_sc_hd__and2_0 U53783 ( .A(n57889), .B(n57906), .X(n37108) );
+  sky130_fd_sc_hd__nand3_1 U53784 ( .A(n37915), .B(n37910), .C(n37906), .Y(
+        n40020) );
+  sky130_fd_sc_hd__nor2_1 U53785 ( .A(n37911), .B(n37916), .Y(n37910) );
+  sky130_fd_sc_hd__nand3_1 U53786 ( .A(n40252), .B(n40253), .C(n37133), .Y(
+        n37938) );
+  sky130_fd_sc_hd__and2_0 U53787 ( .A(n40251), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_waddr[3]), .X(n37133) );
+  sky130_fd_sc_hd__nand3_1 U53788 ( .A(n40244), .B(n40246), .C(n40245), .Y(
+        n37939) );
+  sky130_fd_sc_hd__clkinv_1 U53789 ( .A(n74619), .Y(n74620) );
+  sky130_fd_sc_hd__clkinv_1 U53790 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[28]), .Y(n75413) );
+  sky130_fd_sc_hd__nand2_1 U53791 ( .A(n41521), .B(n41385), .Y(n41547) );
+  sky130_fd_sc_hd__and2_0 U53792 ( .A(n50257), .B(n48242), .X(n37118) );
+  sky130_fd_sc_hd__and2_0 U53793 ( .A(n38489), .B(n39884), .X(n38494) );
+  sky130_fd_sc_hd__clkbuf_1 U53794 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[2]), .X(n62697) );
+  sky130_fd_sc_hd__clkinv_1 U53795 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[247]), .Y(n41062) );
+  sky130_fd_sc_hd__clkinv_1 U53796 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[227]), .Y(n41086) );
+  sky130_fd_sc_hd__clkinv_1 U53797 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[179]), .Y(n41029) );
+  sky130_fd_sc_hd__clkinv_1 U53798 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[187]), .Y(n40967) );
+  sky130_fd_sc_hd__clkinv_1 U53799 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[139]), .Y(n40975) );
+  sky130_fd_sc_hd__clkinv_1 U53800 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[171]), .Y(n40986) );
+  sky130_fd_sc_hd__clkinv_1 U53801 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[159]), .Y(n40992) );
+  sky130_fd_sc_hd__clkinv_1 U53803 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[203]), .Y(n40942) );
+  sky130_fd_sc_hd__clkinv_1 U53804 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[235]), .Y(n40960) );
+  sky130_fd_sc_hd__and2_0 U53805 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[9]), .X(n43176) );
+  sky130_fd_sc_hd__clkinv_1 U53806 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[27]), .Y(n71722) );
+  sky130_fd_sc_hd__clkinv_1 U53807 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[23]), .Y(n71017) );
+  sky130_fd_sc_hd__inv_2 U53808 ( .A(n59230), .Y(n59198) );
+  sky130_fd_sc_hd__a21oi_1 U53809 ( .A1(n59259), .A2(n59265), .B1(n59230), .Y(
+        n37534) );
+  sky130_fd_sc_hd__nor2_1 U53810 ( .A(n38096), .B(n59229), .Y(n59220) );
+  sky130_fd_sc_hd__and2_0 U53811 ( .A(n85400), .B(n74165), .X(n59206) );
+  sky130_fd_sc_hd__clkinv_1 U53812 ( .A(n85415), .Y(n59205) );
+  sky130_fd_sc_hd__and2_0 U53813 ( .A(n64835), .B(n70309), .X(n64864) );
+  sky130_fd_sc_hd__nor2_1 U53814 ( .A(n40154), .B(n36832), .Y(n40192) );
+  sky130_fd_sc_hd__nor2_1 U53815 ( .A(n38016), .B(n36819), .Y(n37862) );
+  sky130_fd_sc_hd__and2_0 U53816 ( .A(n42922), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_valid), .X(n42942) );
+  sky130_fd_sc_hd__clkinv_1 U53817 ( .A(n72267), .Y(n72269) );
+  sky130_fd_sc_hd__clkinv_1 U53818 ( .A(n72389), .Y(n72391) );
+  sky130_fd_sc_hd__and2_0 U53819 ( .A(n68238), .B(n68239), .X(n68231) );
+  sky130_fd_sc_hd__inv_2 U53820 ( .A(n72341), .Y(n72349) );
+  sky130_fd_sc_hd__clkinv_1 U53821 ( .A(n68937), .Y(n68939) );
+  sky130_fd_sc_hd__clkinv_1 U53822 ( .A(n68817), .Y(n68819) );
+  sky130_fd_sc_hd__clkinv_1 U53823 ( .A(n69389), .Y(n69391) );
+  sky130_fd_sc_hd__clkinv_1 U53824 ( .A(n69001), .Y(n69003) );
+  sky130_fd_sc_hd__clkinv_1 U53825 ( .A(n70533), .Y(n70535) );
+  sky130_fd_sc_hd__clkinv_1 U53826 ( .A(n72441), .Y(n72443) );
+  sky130_fd_sc_hd__clkinv_1 U53827 ( .A(n72479), .Y(n72481) );
+  sky130_fd_sc_hd__clkinv_1 U53828 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[31]), .Y(n58761) );
+  sky130_fd_sc_hd__clkinv_1 U53829 ( .A(n72172), .Y(n72174) );
+  sky130_fd_sc_hd__clkinv_1 U53830 ( .A(n72178), .Y(n72180) );
+  sky130_fd_sc_hd__clkinv_1 U53831 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter[4]), .Y(n72695) );
+  sky130_fd_sc_hd__clkinv_1 U53832 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter[6]), .Y(n72696) );
+  sky130_fd_sc_hd__nand2_1 U53833 ( .A(n72947), .B(n72946), .Y(n73013) );
+  sky130_fd_sc_hd__nand2_1 U53834 ( .A(n72884), .B(n72883), .Y(n72961) );
+  sky130_fd_sc_hd__nand2_1 U53835 ( .A(n72894), .B(n72893), .Y(n72965) );
+  sky130_fd_sc_hd__clkinv_1 U53836 ( .A(n72961), .Y(n72963) );
+  sky130_fd_sc_hd__a21boi_0 U53837 ( .A1(n47178), .A2(n57123), .B1_N(n56453), 
+        .Y(n57420) );
+  sky130_fd_sc_hd__clkinv_1 U53838 ( .A(n46022), .Y(n57424) );
+  sky130_fd_sc_hd__inv_1 U53839 ( .A(n38691), .Y(n38677) );
+  sky130_fd_sc_hd__clkinv_1 U53840 ( .A(n39133), .Y(n37881) );
+  sky130_fd_sc_hd__o211ai_1 U53841 ( .A1(n57177), .A2(n57176), .B1(n57175), 
+        .C1(n57174), .Y(n57183) );
+  sky130_fd_sc_hd__a21boi_0 U53842 ( .A1(n73419), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[7]), 
+        .B1_N(n73418), .Y(n73426) );
+  sky130_fd_sc_hd__and2_0 U53843 ( .A(n73435), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[11]), .X(n73436) );
+  sky130_fd_sc_hd__a21boi_0 U53844 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[7]), 
+        .A2(n68126), .B1_N(n68125), .Y(n68133) );
+  sky130_fd_sc_hd__clkinv_1 U53845 ( .A(n67116), .Y(n63635) );
+  sky130_fd_sc_hd__clkinv_1 U53846 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), .Y(
+        n66896) );
+  sky130_fd_sc_hd__and2_0 U53847 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), .X(
+        n66893) );
+  sky130_fd_sc_hd__o21a_1 U53848 ( .A1(n55260), .A2(n48760), .B1(n48759), .X(
+        n49224) );
+  sky130_fd_sc_hd__inv_2 U53849 ( .A(n45690), .Y(n41709) );
+  sky130_fd_sc_hd__clkinv_1 U53850 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[18]), .Y(n64544) );
+  sky130_fd_sc_hd__buf_4 U53851 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[5]), .X(n62581) );
+  sky130_fd_sc_hd__inv_2 U53852 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[18]), .Y(n53425) );
+  sky130_fd_sc_hd__clkbuf_1 U53853 ( .A(n48707), .X(n48875) );
+  sky130_fd_sc_hd__clkinv_1 U53854 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[123]), .Y(n61366) );
+  sky130_fd_sc_hd__clkinv_1 U53855 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[87]), .Y(n61283) );
+  sky130_fd_sc_hd__nand3_1 U53856 ( .A(n37755), .B(n47706), .C(n47707), .Y(
+        n48843) );
+  sky130_fd_sc_hd__clkinv_1 U53857 ( .A(n47689), .Y(n47695) );
+  sky130_fd_sc_hd__mux2_2 U53858 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_size[2]), .A1(n47614), .S(n63488), .X(n47930) );
+  sky130_fd_sc_hd__a21oi_1 U53859 ( .A1(n47873), .A2(n47872), .B1(n47871), .Y(
+        n47907) );
+  sky130_fd_sc_hd__clkinv_1 U53860 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[1]), .Y(
+        n62964) );
+  sky130_fd_sc_hd__o21ai_1 U53861 ( .A1(n63192), .A2(n85525), .B1(n83920), .Y(
+        n54324) );
+  sky130_fd_sc_hd__clkinv_1 U53862 ( .A(n39978), .Y(n39983) );
+  sky130_fd_sc_hd__nor2_1 U53864 ( .A(n47892), .B(n47897), .Y(n47979) );
+  sky130_fd_sc_hd__clkinv_1 U53865 ( .A(n47889), .Y(n47891) );
+  sky130_fd_sc_hd__nand3_1 U53866 ( .A(n37803), .B(n48717), .C(n37802), .Y(
+        n48031) );
+  sky130_fd_sc_hd__clkinv_1 U53867 ( .A(n37804), .Y(n37803) );
+  sky130_fd_sc_hd__clkinv_1 U53868 ( .A(n48752), .Y(n37802) );
+  sky130_fd_sc_hd__nand3_1 U53869 ( .A(n37606), .B(n48021), .C(n60258), .Y(
+        n48032) );
+  sky130_fd_sc_hd__clkinv_1 U53870 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__3[1]), .Y(n76929) );
+  sky130_fd_sc_hd__clkinv_1 U53871 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__4[6]), .Y(n76886) );
+  sky130_fd_sc_hd__o2bb2ai_1 U53872 ( .B1(n39482), .B2(n53277), .A1_N(n39481), 
+        .A2_N(n53271), .Y(n72801) );
+  sky130_fd_sc_hd__a21boi_0 U53873 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_15[18]), .A2(n77885), .B1_N(n77881), .Y(n77907) );
+  sky130_fd_sc_hd__and2_0 U53874 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_15[17]), .B(n77883), .X(n77886) );
+  sky130_fd_sc_hd__clkinv_1 U53875 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[18]), .Y(n53004) );
+  sky130_fd_sc_hd__a21boi_0 U53876 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_15[18]), .A2(n77830), .B1_N(n77826), .Y(n77843) );
+  sky130_fd_sc_hd__and2_0 U53877 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_15[17]), .B(n77828), .X(n77831) );
+  sky130_fd_sc_hd__clkinv_1 U53878 ( .A(n47426), .Y(n37349) );
+  sky130_fd_sc_hd__clkinv_1 U53879 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[2]), .Y(n51757) );
+  sky130_fd_sc_hd__a21oi_1 U53880 ( .A1(n56926), .A2(n51726), .B1(n51719), .Y(
+        n52318) );
+  sky130_fd_sc_hd__and2_0 U53881 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_4[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_mask_0_), 
+        .X(n47333) );
+  sky130_fd_sc_hd__nor2_1 U53882 ( .A(n36797), .B(n41780), .Y(n52729) );
+  sky130_fd_sc_hd__clkinv_1 U53884 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[13]), 
+        .Y(n50124) );
+  sky130_fd_sc_hd__nand2_1 U53885 ( .A(n80894), .B(n80893), .Y(n61856) );
+  sky130_fd_sc_hd__clkinv_1 U53886 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[1]), 
+        .Y(n49775) );
+  sky130_fd_sc_hd__clkinv_1 U53887 ( .A(n49707), .Y(n49704) );
+  sky130_fd_sc_hd__nand3_1 U53888 ( .A(n49825), .B(n49413), .C(n49184), .Y(
+        n49944) );
+  sky130_fd_sc_hd__nor2_1 U53889 ( .A(n48825), .B(n52530), .Y(n56746) );
+  sky130_fd_sc_hd__nor2_1 U53890 ( .A(n52068), .B(n43393), .Y(n41725) );
+  sky130_fd_sc_hd__clkinv_1 U53891 ( .A(n48425), .Y(n37344) );
+  sky130_fd_sc_hd__o2bb2ai_1 U53892 ( .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_io_full), .B2(n78345), .A1_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_io_full), .A2_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address[11]), .Y(n41766) );
+  sky130_fd_sc_hd__clkinv_1 U53894 ( .A(n61571), .Y(n61602) );
+  sky130_fd_sc_hd__clkinv_1 U53895 ( .A(n38055), .Y(n38052) );
+  sky130_fd_sc_hd__clkinv_1 U53896 ( .A(n50940), .Y(n46822) );
+  sky130_fd_sc_hd__clkinv_1 U53897 ( .A(n52397), .Y(n46821) );
+  sky130_fd_sc_hd__clkinv_1 U53898 ( .A(n50391), .Y(n46809) );
+  sky130_fd_sc_hd__and2_0 U53899 ( .A(n57016), .B(n50474), .X(n52384) );
+  sky130_fd_sc_hd__nand2_1 U53901 ( .A(n58858), .B(n57888), .Y(n37727) );
+  sky130_fd_sc_hd__clkinv_1 U53902 ( .A(n50438), .Y(n52169) );
+  sky130_fd_sc_hd__clkinv_1 U53904 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_1[0]), 
+        .Y(n58182) );
+  sky130_fd_sc_hd__clkinv_1 U53907 ( .A(n38226), .Y(n37731) );
+  sky130_fd_sc_hd__inv_1 U53908 ( .A(n57768), .Y(n37744) );
+  sky130_fd_sc_hd__clkinv_1 U53909 ( .A(n57812), .Y(n37747) );
+  sky130_fd_sc_hd__clkinv_1 U53910 ( .A(n59399), .Y(n59400) );
+  sky130_fd_sc_hd__clkinv_1 U53911 ( .A(n57746), .Y(n37617) );
+  sky130_fd_sc_hd__inv_1 U53913 ( .A(n52108), .Y(n50467) );
+  sky130_fd_sc_hd__clkinv_1 U53914 ( .A(n51506), .Y(n47191) );
+  sky130_fd_sc_hd__clkinv_1 U53915 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[32]), .Y(
+        n45589) );
+  sky130_fd_sc_hd__inv_2 U53916 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_40[1]), 
+        .Y(n57711) );
+  sky130_fd_sc_hd__clkinv_1 U53917 ( .A(n38666), .Y(n38602) );
+  sky130_fd_sc_hd__and2_0 U53918 ( .A(n39060), .B(n39059), .X(n39104) );
+  sky130_fd_sc_hd__and2_0 U53919 ( .A(n39013), .B(n39012), .X(n39033) );
+  sky130_fd_sc_hd__clkinv_1 U53920 ( .A(n57708), .Y(n57723) );
+  sky130_fd_sc_hd__and2_0 U53921 ( .A(n57955), .B(n57954), .X(n57989) );
+  sky130_fd_sc_hd__clkinv_1 U53922 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), .Y(
+        n66892) );
+  sky130_fd_sc_hd__and2_0 U53923 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_4[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_mask_0_), 
+        .X(n41432) );
+  sky130_fd_sc_hd__clkinv_1 U53924 ( .A(n48117), .Y(n45898) );
+  sky130_fd_sc_hd__nor2_1 U53925 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[6]), .B(
+        n45497), .Y(n66945) );
+  sky130_fd_sc_hd__nor2_1 U53926 ( .A(n45557), .B(n45497), .Y(n66956) );
+  sky130_fd_sc_hd__and2_0 U53927 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[0]), .B(
+        n43400), .X(n52774) );
+  sky130_fd_sc_hd__clkinv_1 U53928 ( .A(n39005), .Y(n38027) );
+  sky130_fd_sc_hd__clkinv_1 U53929 ( .A(n38569), .Y(n59606) );
+  sky130_fd_sc_hd__nor2_1 U53930 ( .A(n37628), .B(n38569), .Y(n37627) );
+  sky130_fd_sc_hd__nand2_1 U53931 ( .A(n36819), .B(n38975), .Y(n59471) );
+  sky130_fd_sc_hd__a21oi_1 U53932 ( .A1(n37996), .A2(n38445), .B1(n38648), .Y(
+        n37998) );
+  sky130_fd_sc_hd__clkinv_1 U53933 ( .A(n38590), .Y(n59547) );
+  sky130_fd_sc_hd__nor3_1 U53934 ( .A(n61982), .B(n61981), .C(n83900), .Y(
+        n62053) );
+  sky130_fd_sc_hd__clkinv_1 U53935 ( .A(n58094), .Y(n38335) );
+  sky130_fd_sc_hd__nand2_1 U53936 ( .A(n58088), .B(n58100), .Y(n37959) );
+  sky130_fd_sc_hd__clkinv_1 U53937 ( .A(n37216), .Y(n37393) );
+  sky130_fd_sc_hd__nand3_1 U53938 ( .A(n38228), .B(n57814), .C(n57813), .Y(
+        n37394) );
+  sky130_fd_sc_hd__clkinv_1 U53939 ( .A(n42734), .Y(n42758) );
+  sky130_fd_sc_hd__clkinv_1 U53941 ( .A(n42780), .Y(n42662) );
+  sky130_fd_sc_hd__clkinv_1 U53942 ( .A(n42756), .Y(n42566) );
+  sky130_fd_sc_hd__clkinv_1 U53943 ( .A(n42816), .Y(n42564) );
+  sky130_fd_sc_hd__clkinv_1 U53944 ( .A(n42563), .Y(n42565) );
+  sky130_fd_sc_hd__and2_0 U53945 ( .A(n37685), .B(n39769), .X(n37181) );
+  sky130_fd_sc_hd__clkinv_1 U53946 ( .A(n57911), .Y(n38218) );
+  sky130_fd_sc_hd__nor2_1 U53948 ( .A(n62420), .B(n62421), .Y(n62509) );
+  sky130_fd_sc_hd__inv_2 U53949 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[0]), .Y(n62693) );
+  sky130_fd_sc_hd__clkinv_1 U53950 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode_io_deq_bits_MPORT_addr), .Y(n41816) );
+  sky130_fd_sc_hd__clkinv_1 U53951 ( .A(n52210), .Y(n56993) );
+  sky130_fd_sc_hd__nand3_1 U53952 ( .A(n38103), .B(n70045), .C(n38066), .Y(
+        n40087) );
+  sky130_fd_sc_hd__clkinv_1 U53953 ( .A(n56556), .Y(n52270) );
+  sky130_fd_sc_hd__clkinv_1 U53954 ( .A(n43655), .Y(n43659) );
+  sky130_fd_sc_hd__clkinv_1 U53956 ( .A(n52264), .Y(n45657) );
+  sky130_fd_sc_hd__inv_2 U53957 ( .A(n40192), .Y(n63023) );
+  sky130_fd_sc_hd__inv_2 U53958 ( .A(n43383), .Y(n52809) );
+  sky130_fd_sc_hd__inv_2 U53959 ( .A(n43384), .Y(n52808) );
+  sky130_fd_sc_hd__inv_2 U53960 ( .A(n45475), .Y(n52813) );
+  sky130_fd_sc_hd__inv_2 U53961 ( .A(n45692), .Y(n52811) );
+  sky130_fd_sc_hd__clkinv_1 U53962 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_address[2]), .Y(n41700) );
+  sky130_fd_sc_hd__and2_0 U53963 ( .A(n42986), .B(n86306), .X(n37145) );
+  sky130_fd_sc_hd__nor2_1 U53964 ( .A(n41716), .B(n43803), .Y(n82350) );
+  sky130_fd_sc_hd__inv_2 U53966 ( .A(n48242), .Y(n57160) );
+  sky130_fd_sc_hd__a21boi_0 U53967 ( .A1(n57630), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[31]), .B1_N(n41352), .Y(n41353) );
+  sky130_fd_sc_hd__nor2_1 U53968 ( .A(n37712), .B(n39779), .Y(n37711) );
+  sky130_fd_sc_hd__clkinv_1 U53969 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[147]), .Y(n41055) );
+  sky130_fd_sc_hd__and2_0 U53970 ( .A(n58963), .B(n58962), .X(n58973) );
+  sky130_fd_sc_hd__and2_0 U53971 ( .A(n58969), .B(n58968), .X(n58970) );
+  sky130_fd_sc_hd__and2_0 U53972 ( .A(n58967), .B(n58966), .X(n58971) );
+  sky130_fd_sc_hd__and2_0 U53973 ( .A(n58975), .B(n58974), .X(n58985) );
+  sky130_fd_sc_hd__and2_0 U53974 ( .A(n58981), .B(n58980), .X(n58982) );
+  sky130_fd_sc_hd__and2_0 U53975 ( .A(n58979), .B(n58978), .X(n58983) );
+  sky130_fd_sc_hd__and2_0 U53976 ( .A(n58991), .B(n58990), .X(n58995) );
+  sky130_fd_sc_hd__and2_0 U53977 ( .A(n58987), .B(n58986), .X(n58997) );
+  sky130_fd_sc_hd__and2_0 U53978 ( .A(n59004), .B(n59003), .X(n59013) );
+  sky130_fd_sc_hd__and2_0 U53979 ( .A(n59002), .B(n59001), .X(n59014) );
+  sky130_fd_sc_hd__and2_0 U53980 ( .A(n59152), .B(n59151), .X(n59165) );
+  sky130_fd_sc_hd__and2_0 U53981 ( .A(n59150), .B(n59149), .X(n59166) );
+  sky130_fd_sc_hd__and2_0 U53982 ( .A(n59126), .B(n59125), .X(n59136) );
+  sky130_fd_sc_hd__and2_0 U53983 ( .A(n59128), .B(n59127), .X(n59135) );
+  sky130_fd_sc_hd__and2_0 U53984 ( .A(n59130), .B(n59129), .X(n59134) );
+  sky130_fd_sc_hd__and2_0 U53985 ( .A(n59111), .B(n59110), .X(n59124) );
+  sky130_fd_sc_hd__and2_0 U53986 ( .A(n59113), .B(n59112), .X(n59123) );
+  sky130_fd_sc_hd__and2_0 U53987 ( .A(n59142), .B(n59141), .X(n59146) );
+  sky130_fd_sc_hd__and2_0 U53988 ( .A(n59140), .B(n59139), .X(n59147) );
+  sky130_fd_sc_hd__nand2_1 U53989 ( .A(n40091), .B(n38036), .Y(n64351) );
+  sky130_fd_sc_hd__nand2b_2 U53990 ( .A_N(n36771), .B(n64305), .Y(n64356) );
+  sky130_fd_sc_hd__and2_0 U53991 ( .A(n39781), .B(n39771), .X(n37174) );
+  sky130_fd_sc_hd__clkinv_1 U53992 ( .A(n60094), .Y(n57613) );
+  sky130_fd_sc_hd__a21boi_0 U53993 ( .A1(n57625), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[29]), .B1_N(n40859), .Y(n40860) );
+  sky130_fd_sc_hd__nor2_1 U53994 ( .A(n70309), .B(n64835), .Y(n66061) );
+  sky130_fd_sc_hd__and2_0 U53995 ( .A(n68799), .B(n68802), .X(n37089) );
+  sky130_fd_sc_hd__clkinv_1 U53996 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[8]), .Y(n61245) );
+  sky130_fd_sc_hd__nand2b_1 U53997 ( .A_N(n85399), .B(n59205), .Y(n59268) );
+  sky130_fd_sc_hd__clkinv_1 U53998 ( .A(n62997), .Y(n64377) );
+  sky130_fd_sc_hd__clkinv_1 U53999 ( .A(n64373), .Y(n70101) );
+  sky130_fd_sc_hd__nor2_1 U54000 ( .A(n37442), .B(n37441), .Y(n37440) );
+  sky130_fd_sc_hd__clkinv_1 U54001 ( .A(n38793), .Y(n37624) );
+  sky130_fd_sc_hd__nand2_1 U54002 ( .A(n37487), .B(n38790), .Y(n37486) );
+  sky130_fd_sc_hd__a21boi_0 U54003 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[21]), .A2(n82081), 
+        .B1_N(n77212), .Y(n77217) );
+  sky130_fd_sc_hd__clkinv_1 U54004 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[2]), .Y(n72263) );
+  sky130_fd_sc_hd__clkinv_1 U54005 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[2]), .Y(n72262) );
+  sky130_fd_sc_hd__clkinv_1 U54006 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[0]), .Y(n72381) );
+  sky130_fd_sc_hd__clkinv_1 U54007 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[0]), .Y(n72380) );
+  sky130_fd_sc_hd__a21oi_1 U54008 ( .A1(n47819), .A2(n60914), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_io_full), .Y(n55292) );
+  sky130_fd_sc_hd__clkinv_1 U54009 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[3]), .Y(n66428) );
+  sky130_fd_sc_hd__clkinv_1 U54010 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[3]), .Y(n66427) );
+  sky130_fd_sc_hd__clkinv_1 U54011 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[2]), .Y(n58530) );
+  sky130_fd_sc_hd__o21ai_1 U54012 ( .A1(n54963), .A2(n73154), .B1(n58354), .Y(
+        n58355) );
+  sky130_fd_sc_hd__a21boi_0 U54013 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[7]), 
+        .A2(n67844), .B1_N(n67843), .Y(n67849) );
+  sky130_fd_sc_hd__and2_0 U54014 ( .A(n67859), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[12]), 
+        .X(n67860) );
+  sky130_fd_sc_hd__maj3_1 U54015 ( .A(n59663), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[12]), .C(n59662), .X(n59664) );
+  sky130_fd_sc_hd__clkinv_1 U54016 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[13]), .Y(n37475) );
+  sky130_fd_sc_hd__clkinv_1 U54017 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[5]), .Y(n72217) );
+  sky130_fd_sc_hd__clkinv_1 U54018 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[5]), .Y(n72216) );
+  sky130_fd_sc_hd__clkinv_1 U54019 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[1]), .Y(n72320) );
+  sky130_fd_sc_hd__clkinv_1 U54020 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[1]), .Y(n72319) );
+  sky130_fd_sc_hd__clkinv_1 U54021 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[4]), .Y(n68966) );
+  sky130_fd_sc_hd__clkinv_1 U54022 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[4]), .Y(n68931) );
+  sky130_fd_sc_hd__clkinv_1 U54023 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[7]), .Y(n70574) );
+  sky130_fd_sc_hd__clkinv_1 U54024 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[7]), .Y(n70573) );
+  sky130_fd_sc_hd__clkinv_1 U54025 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[11]), .Y(n69215) );
+  sky130_fd_sc_hd__clkinv_1 U54026 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[11]), .Y(n69214) );
+  sky130_fd_sc_hd__clkinv_1 U54027 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[9]), .Y(n68843) );
+  sky130_fd_sc_hd__clkinv_1 U54028 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[9]), .Y(n68811) );
+  sky130_fd_sc_hd__clkinv_1 U54029 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[8]), .Y(n64983) );
+  sky130_fd_sc_hd__clkinv_1 U54030 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[8]), .Y(n64982) );
+  sky130_fd_sc_hd__clkinv_1 U54031 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[12]), .Y(n69383) );
+  sky130_fd_sc_hd__clkinv_1 U54032 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[12]), .Y(n69382) );
+  sky130_fd_sc_hd__clkinv_1 U54033 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[6]), .Y(n68998) );
+  sky130_fd_sc_hd__clkinv_1 U54034 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[6]), .Y(n68997) );
+  sky130_fd_sc_hd__clkinv_1 U54035 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[13]), .Y(n70532) );
+  sky130_fd_sc_hd__clkinv_1 U54036 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[13]), .Y(n70531) );
+  sky130_fd_sc_hd__clkinv_1 U54037 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[14]), .Y(n72435) );
+  sky130_fd_sc_hd__clkinv_1 U54038 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[14]), .Y(n72434) );
+  sky130_fd_sc_hd__clkinv_1 U54039 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[15]), .Y(n72506) );
+  sky130_fd_sc_hd__clkinv_1 U54040 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[15]), .Y(n72477) );
+  sky130_fd_sc_hd__clkinv_1 U54041 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[16]), .Y(n73107) );
+  sky130_fd_sc_hd__clkinv_1 U54042 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[16]), .Y(n73074) );
+  sky130_fd_sc_hd__clkinv_1 U54043 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[17]), .Y(n58808) );
+  sky130_fd_sc_hd__clkinv_1 U54044 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[17]), .Y(n58807) );
+  sky130_fd_sc_hd__clkbuf_1 U54045 ( .A(n58797), .X(n73111) );
+  sky130_fd_sc_hd__clkinv_1 U54046 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[10]), .Y(n72167) );
+  sky130_fd_sc_hd__clkinv_1 U54047 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[10]), .Y(n72166) );
+  sky130_fd_sc_hd__and2_0 U54048 ( .A(n72981), .B(n72998), .X(n38497) );
+  sky130_fd_sc_hd__inv_2 U54049 ( .A(n73010), .Y(n73008) );
+  sky130_fd_sc_hd__and2_0 U54050 ( .A(n72981), .B(n73013), .X(n38496) );
+  sky130_fd_sc_hd__nor2_1 U54051 ( .A(n72952), .B(n72951), .Y(n72974) );
+  sky130_fd_sc_hd__nor2_1 U54052 ( .A(n72951), .B(n72948), .Y(n72973) );
+  sky130_fd_sc_hd__nor2_1 U54053 ( .A(n72952), .B(n72949), .Y(n72950) );
+  sky130_fd_sc_hd__nor2_1 U54054 ( .A(n72961), .B(n72958), .Y(n72959) );
+  sky130_fd_sc_hd__nor2_1 U54055 ( .A(n72965), .B(n72971), .Y(n72966) );
+  sky130_fd_sc_hd__nor2_1 U54056 ( .A(n72961), .B(n72954), .Y(n72956) );
+  sky130_fd_sc_hd__nor2_1 U54057 ( .A(n72972), .B(n72967), .Y(n72969) );
+  sky130_fd_sc_hd__nor2_1 U54058 ( .A(n72963), .B(n72958), .Y(n72957) );
+  sky130_fd_sc_hd__nor2_1 U54059 ( .A(n72965), .B(n72967), .Y(n72962) );
+  sky130_fd_sc_hd__nor2_1 U54060 ( .A(n72963), .B(n72954), .Y(n72953) );
+  sky130_fd_sc_hd__and2_0 U54061 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_waddr[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_waddr[4]), .X(n72653) );
+  sky130_fd_sc_hd__clkinv_1 U54062 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data[15]), .Y(n37952) );
+  sky130_fd_sc_hd__clkinv_1 U54063 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[0]), .Y(n53611) );
+  sky130_fd_sc_hd__clkinv_1 U54064 ( .A(n57544), .Y(n57509) );
+  sky130_fd_sc_hd__and2_0 U54065 ( .A(n63923), .B(n63979), .X(n63985) );
+  sky130_fd_sc_hd__and2_0 U54066 ( .A(n64013), .B(n63985), .X(n63987) );
+  sky130_fd_sc_hd__nand3_1 U54067 ( .A(n38131), .B(n38138), .C(n39108), .Y(
+        n38134) );
+  sky130_fd_sc_hd__inv_1 U54068 ( .A(n39132), .Y(n37869) );
+  sky130_fd_sc_hd__clkinv_1 U54069 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[16]), .Y(n56348) );
+  sky130_fd_sc_hd__and2_0 U54070 ( .A(n63618), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[14]), .X(n63619) );
+  sky130_fd_sc_hd__and2_0 U54071 ( .A(n63604), .B(n63620), .X(n63623) );
+  sky130_fd_sc_hd__and2_0 U54072 ( .A(n68151), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[13]), 
+        .X(n68152) );
+  sky130_fd_sc_hd__clkinv_1 U54074 ( .A(n63642), .Y(n63655) );
+  sky130_fd_sc_hd__nor4_1 U54075 ( .A(n67892), .B(n67017), .C(n67016), .D(
+        n67015), .Y(n67135) );
+  sky130_fd_sc_hd__fa_1 U54076 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_15[3]), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[3]), .CIN(n60634), .COUT(n60640), .SUM(n60668) );
+  sky130_fd_sc_hd__fa_2 U54077 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_15[4]), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[4]), .CIN(n60640), .COUT(n60633), .SUM(n60689) );
+  sky130_fd_sc_hd__nor3_1 U54078 ( .A(n80267), .B(n37038), .C(n80256), .Y(
+        n80272) );
+  sky130_fd_sc_hd__clkinv_1 U54079 ( .A(n80329), .Y(n80251) );
+  sky130_fd_sc_hd__and2_0 U54080 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_9[17]), .B(n77954), .X(n77940) );
+  sky130_fd_sc_hd__and2_0 U54081 ( .A(n49507), .B(n49506), .X(n73474) );
+  sky130_fd_sc_hd__clkinv_1 U54082 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[1]), .Y(n77288) );
+  sky130_fd_sc_hd__clkinv_1 U54083 ( .A(n77298), .Y(n77297) );
+  sky130_fd_sc_hd__clkinv_1 U54084 ( .A(n77332), .Y(n77331) );
+  sky130_fd_sc_hd__clkinv_1 U54085 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[27]), 
+        .Y(n56085) );
+  sky130_fd_sc_hd__and2_0 U54086 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[9]), .X(n82648) );
+  sky130_fd_sc_hd__and2_0 U54087 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[8]), .X(n82649) );
+  sky130_fd_sc_hd__o21ai_1 U54088 ( .A1(n41706), .A2(n41705), .B1(n41704), .Y(
+        n52788) );
+  sky130_fd_sc_hd__nor2b_1 U54089 ( .B_N(n38397), .A(n82373), .Y(n82370) );
+  sky130_fd_sc_hd__nor2b_1 U54090 ( .B_N(n38396), .A(n82373), .Y(n82348) );
+  sky130_fd_sc_hd__nor2b_1 U54091 ( .B_N(n82339), .A(n82373), .Y(n82343) );
+  sky130_fd_sc_hd__nor2b_1 U54092 ( .B_N(n82350), .A(n82373), .Y(n82354) );
+  sky130_fd_sc_hd__nor2b_1 U54093 ( .B_N(n82303), .A(n82373), .Y(n82307) );
+  sky130_fd_sc_hd__nor2b_1 U54094 ( .B_N(n82333), .A(n82373), .Y(n82337) );
+  sky130_fd_sc_hd__nor2b_1 U54095 ( .B_N(n82327), .A(n82373), .Y(n82331) );
+  sky130_fd_sc_hd__nor2b_1 U54096 ( .B_N(n82284), .A(n82373), .Y(n82288) );
+  sky130_fd_sc_hd__nor2b_1 U54097 ( .B_N(n82321), .A(n82373), .Y(n82325) );
+  sky130_fd_sc_hd__nor2b_1 U54098 ( .B_N(n82315), .A(n82373), .Y(n82319) );
+  sky130_fd_sc_hd__nor2b_1 U54099 ( .B_N(n82309), .A(n82373), .Y(n82313) );
+  sky130_fd_sc_hd__nor2b_1 U54100 ( .B_N(n82278), .A(n82373), .Y(n82282) );
+  sky130_fd_sc_hd__nor2b_1 U54101 ( .B_N(n82296), .A(n82373), .Y(n82301) );
+  sky130_fd_sc_hd__nor2b_1 U54102 ( .B_N(n82290), .A(n82373), .Y(n82294) );
+  sky130_fd_sc_hd__inv_2 U54103 ( .A(n39564), .Y(n39759) );
+  sky130_fd_sc_hd__clkinv_1 U54104 ( .A(n69725), .Y(n62830) );
+  sky130_fd_sc_hd__clkinv_1 U54105 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[1]), .Y(n77254) );
+  sky130_fd_sc_hd__clkinv_1 U54106 ( .A(n77264), .Y(n77263) );
+  sky130_fd_sc_hd__clkinv_1 U54107 ( .A(n77369), .Y(n77368) );
+  sky130_fd_sc_hd__clkinv_1 U54108 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[1]), .Y(n77356) );
+  sky130_fd_sc_hd__clkinv_1 U54109 ( .A(n44763), .Y(n64223) );
+  sky130_fd_sc_hd__and2_0 U54110 ( .A(n49238), .B(n49239), .X(n49233) );
+  sky130_fd_sc_hd__a21boi_0 U54111 ( .A1(n85140), .A2(n83762), .B1_N(n55565), 
+        .Y(n63412) );
+  sky130_fd_sc_hd__clkinv_1 U54112 ( .A(n83614), .Y(n63293) );
+  sky130_fd_sc_hd__clkinv_1 U54113 ( .A(n83653), .Y(n63388) );
+  sky130_fd_sc_hd__nor2_1 U54114 ( .A(n49693), .B(n49686), .Y(n80732) );
+  sky130_fd_sc_hd__nor2_1 U54115 ( .A(n47955), .B(n47954), .Y(n67391) );
+  sky130_fd_sc_hd__clkinv_1 U54117 ( .A(n47998), .Y(n61066) );
+  sky130_fd_sc_hd__clkinv_1 U54118 ( .A(n47921), .Y(n60000) );
+  sky130_fd_sc_hd__clkinv_1 U54119 ( .A(n47957), .Y(n66799) );
+  sky130_fd_sc_hd__clkinv_1 U54120 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_size[1]), .Y(n37562) );
+  sky130_fd_sc_hd__nand2_1 U54121 ( .A(n47716), .B(n47845), .Y(n37574) );
+  sky130_fd_sc_hd__clkinv_1 U54122 ( .A(n47720), .Y(n47540) );
+  sky130_fd_sc_hd__nand2_1 U54123 ( .A(n63502), .B(n63672), .Y(n60084) );
+  sky130_fd_sc_hd__mux2_2 U54124 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_size[2]), .A1(n47614), .S(n68438), .X(n48722) );
+  sky130_fd_sc_hd__nor2_1 U54125 ( .A(n83739), .B(n85460), .Y(n37699) );
+  sky130_fd_sc_hd__inv_2 U54126 ( .A(n48859), .Y(n47777) );
+  sky130_fd_sc_hd__nand2_1 U54127 ( .A(n60962), .B(n76388), .Y(n56630) );
+  sky130_fd_sc_hd__nor2_1 U54128 ( .A(n47907), .B(n47913), .Y(n61077) );
+  sky130_fd_sc_hd__clkinv_1 U54129 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data[14]), .Y(n37954) );
+  sky130_fd_sc_hd__clkinv_1 U54130 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[2]), .Y(
+        n67676) );
+  sky130_fd_sc_hd__and2_0 U54131 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[0]), .B(
+        n62972), .X(n66861) );
+  sky130_fd_sc_hd__nor3_1 U54132 ( .A(n53584), .B(n41650), .C(n61161), .Y(
+        n41738) );
+  sky130_fd_sc_hd__nor2_1 U54133 ( .A(n83779), .B(n83989), .Y(n61451) );
+  sky130_fd_sc_hd__nor4_1 U54134 ( .A(n60364), .B(n78337), .C(n41634), .D(
+        n84868), .Y(n59808) );
+  sky130_fd_sc_hd__clkinv_1 U54135 ( .A(n41616), .Y(n41619) );
+  sky130_fd_sc_hd__clkinv_1 U54136 ( .A(n41699), .Y(n41638) );
+  sky130_fd_sc_hd__nand2_1 U54137 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_cmd[0]), .B(n78373), .Y(n59978) );
+  sky130_fd_sc_hd__nand2_1 U54138 ( .A(n37886), .B(n68586), .Y(n54162) );
+  sky130_fd_sc_hd__o22ai_1 U54139 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar__readys_unready_T_8[12]), .A2(n41728), .B1(n41727), .B2(n41726), .Y(n54323) );
+  sky130_fd_sc_hd__nor2_1 U54140 ( .A(n78377), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_cmd[3]), .Y(n59977) );
+  sky130_fd_sc_hd__clkinv_1 U54141 ( .A(n76761), .Y(n76762) );
+  sky130_fd_sc_hd__nand3_1 U54142 ( .A(n75997), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_amoalu_io_cmd[2]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_amoalu_io_cmd[3]), .Y(n76459) );
+  sky130_fd_sc_hd__clkinv_1 U54143 ( .A(n86750), .Y(n83001) );
+  sky130_fd_sc_hd__a21boi_0 U54144 ( .A1(n84988), .A2(n76332), .B1_N(n53573), 
+        .Y(n63404) );
+  sky130_fd_sc_hd__a21boi_0 U54145 ( .A1(n84993), .A2(n83762), .B1_N(n53570), 
+        .Y(n63413) );
+  sky130_fd_sc_hd__a21boi_0 U54146 ( .A1(n84992), .A2(n76311), .B1_N(n53566), 
+        .Y(n63292) );
+  sky130_fd_sc_hd__nor2_1 U54147 ( .A(n37576), .B(n47749), .Y(n73785) );
+  sky130_fd_sc_hd__clkinv_1 U54148 ( .A(n47680), .Y(n37576) );
+  sky130_fd_sc_hd__inv_2 U54149 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[0]), .Y(n55061) );
+  sky130_fd_sc_hd__inv_2 U54150 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[1]), .Y(n55060) );
+  sky130_fd_sc_hd__o22ai_1 U54151 ( .A1(n47951), .A2(n49048), .B1(n49053), 
+        .B2(n49052), .Y(n55299) );
+  sky130_fd_sc_hd__o22ai_1 U54152 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[26]), .A2(n47890), .B1(n37578), .B2(n47861), .Y(n53529) );
+  sky130_fd_sc_hd__o2bb2ai_1 U54153 ( .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[25]), .B2(n37054), .A1_N(n36839), .A2_N(n47979), .Y(n55225) );
+  sky130_fd_sc_hd__a21oi_1 U54154 ( .A1(n55249), .A2(n47738), .B1(n47739), .Y(
+        n48727) );
+  sky130_fd_sc_hd__o2bb2ai_1 U54155 ( .B1(n48032), .B2(n48031), .A1_N(n48030), 
+        .A2_N(n48718), .Y(n73562) );
+  sky130_fd_sc_hd__nor2_1 U54156 ( .A(n73567), .B(n49222), .Y(n37575) );
+  sky130_fd_sc_hd__clkinv_1 U54157 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[11]), .Y(n37341) );
+  sky130_fd_sc_hd__clkinv_1 U54158 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[4]), .Y(n76848) );
+  sky130_fd_sc_hd__clkinv_1 U54159 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[7]), .Y(n76837) );
+  sky130_fd_sc_hd__clkinv_1 U54160 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__6[5]), .Y(n76838) );
+  sky130_fd_sc_hd__clkinv_1 U54161 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__7[3]), .Y(n76863) );
+  sky130_fd_sc_hd__clkinv_1 U54162 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__7[4]), .Y(n76865) );
+  sky130_fd_sc_hd__clkinv_1 U54163 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_1_customCSRs_csrs_0_value_3_), .Y(n41116) );
+  sky130_fd_sc_hd__and2_0 U54164 ( .A(n43928), .B(n43927), .X(n43929) );
+  sky130_fd_sc_hd__and2_0 U54165 ( .A(n43923), .B(n43922), .X(n43931) );
+  sky130_fd_sc_hd__and2_0 U54166 ( .A(n43910), .B(n43909), .X(n43918) );
+  sky130_fd_sc_hd__and2_0 U54167 ( .A(n41259), .B(n41258), .X(n41266) );
+  sky130_fd_sc_hd__and2_0 U54168 ( .A(n41261), .B(n41260), .X(n41265) );
+  sky130_fd_sc_hd__clkinv_1 U54169 ( .A(n83062), .Y(n60987) );
+  sky130_fd_sc_hd__inv_2 U54170 ( .A(n36473), .Y(n83283) );
+  sky130_fd_sc_hd__clkinv_1 U54171 ( .A(n55986), .Y(n54349) );
+  sky130_fd_sc_hd__clkbuf_1 U54172 ( .A(n41816), .X(n59705) );
+  sky130_fd_sc_hd__and2_0 U54173 ( .A(n61832), .B(n80799), .X(n61837) );
+  sky130_fd_sc_hd__and2_0 U54174 ( .A(n61846), .B(n80799), .X(n61850) );
+  sky130_fd_sc_hd__and2_0 U54175 ( .A(n80800), .B(n80799), .X(n80805) );
+  sky130_fd_sc_hd__clkinv_1 U54176 ( .A(n51689), .Y(n53054) );
+  sky130_fd_sc_hd__inv_2 U54177 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[20]), 
+        .Y(n53053) );
+  sky130_fd_sc_hd__clkinv_1 U54178 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[20]), 
+        .Y(n53055) );
+  sky130_fd_sc_hd__nor2_1 U54179 ( .A(n73473), .B(n49046), .Y(n59967) );
+  sky130_fd_sc_hd__nor2_1 U54180 ( .A(n49277), .B(n48965), .Y(n63182) );
+  sky130_fd_sc_hd__clkinv_1 U54181 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[15]), 
+        .Y(n52906) );
+  sky130_fd_sc_hd__clkinv_1 U54182 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[15]), .Y(n52963) );
+  sky130_fd_sc_hd__and2_0 U54183 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[0]), .B(n61833), .X(n80808) );
+  sky130_fd_sc_hd__and2_0 U54184 ( .A(n61866), .B(n80799), .X(n61870) );
+  sky130_fd_sc_hd__nor3_1 U54185 ( .A(n37099), .B(n37812), .C(n52658), .Y(
+        n37811) );
+  sky130_fd_sc_hd__a22oi_1 U54186 ( .A1(n56843), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[5]), 
+        .B1(n56919), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[5]), .Y(n52592) );
+  sky130_fd_sc_hd__inv_2 U54188 ( .A(n52995), .Y(n56379) );
+  sky130_fd_sc_hd__and2_0 U54189 ( .A(n77825), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_arb_io_outer_cs_hold), .X(n77847) );
+  sky130_fd_sc_hd__and2_0 U54190 ( .A(n56540), .B(n48259), .X(n52478) );
+  sky130_fd_sc_hd__and2_0 U54191 ( .A(n47229), .B(n46079), .X(n52063) );
+  sky130_fd_sc_hd__clkinv_1 U54192 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[14]), .Y(n51992) );
+  sky130_fd_sc_hd__clkinv_1 U54193 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[14]), 
+        .Y(n52026) );
+  sky130_fd_sc_hd__clkinv_1 U54194 ( .A(n47802), .Y(n50029) );
+  sky130_fd_sc_hd__nor2_1 U54195 ( .A(n49422), .B(n52530), .Y(n56755) );
+  sky130_fd_sc_hd__nor2_1 U54196 ( .A(n41717), .B(n46367), .Y(n82284) );
+  sky130_fd_sc_hd__nor2_1 U54197 ( .A(n49249), .B(n56391), .Y(n56819) );
+  sky130_fd_sc_hd__nor2_1 U54198 ( .A(n37568), .B(n37571), .Y(n37570) );
+  sky130_fd_sc_hd__inv_2 U54200 ( .A(n50908), .Y(n56471) );
+  sky130_fd_sc_hd__and2_0 U54201 ( .A(n61719), .B(n38359), .X(n38360) );
+  sky130_fd_sc_hd__clkinv_1 U54202 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[9]), .Y(n50860) );
+  sky130_fd_sc_hd__clkinv_1 U54203 ( .A(n52729), .Y(n57021) );
+  sky130_fd_sc_hd__inv_2 U54204 ( .A(n50378), .Y(n56457) );
+  sky130_fd_sc_hd__inv_2 U54205 ( .A(n52179), .Y(n50463) );
+  sky130_fd_sc_hd__clkinv_1 U54206 ( .A(n56786), .Y(n56728) );
+  sky130_fd_sc_hd__clkinv_1 U54207 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[23]), .Y(n50044) );
+  sky130_fd_sc_hd__clkinv_1 U54208 ( .A(n84204), .Y(n81266) );
+  sky130_fd_sc_hd__nor2_1 U54209 ( .A(n49229), .B(n81213), .Y(n59496) );
+  sky130_fd_sc_hd__nor2_1 U54210 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_MPORT_addr[0]), .B(n81213), .Y(n81220) );
+  sky130_fd_sc_hd__a21boi_0 U54211 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[7]), 
+        .A2(n67626), .B1_N(n67625), .Y(n67631) );
+  sky130_fd_sc_hd__and2_0 U54212 ( .A(n67641), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[12]), .X(n67642) );
+  sky130_fd_sc_hd__a31o_1 U54213 ( .A1(n67355), .A2(n56902), .A3(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_out_prepend_1[7]), .B1(n49073), .X(n49074) );
+  sky130_fd_sc_hd__inv_2 U54214 ( .A(n56388), .Y(n56919) );
+  sky130_fd_sc_hd__nor2_1 U54215 ( .A(n48966), .B(n56391), .Y(n56820) );
+  sky130_fd_sc_hd__clkinv_1 U54216 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[7]), .Y(n48881) );
+  sky130_fd_sc_hd__inv_2 U54217 ( .A(n57407), .Y(n52262) );
+  sky130_fd_sc_hd__nor2_1 U54218 ( .A(n41716), .B(n46367), .Y(n82309) );
+  sky130_fd_sc_hd__nor2_1 U54219 ( .A(n43383), .B(n41716), .Y(n82321) );
+  sky130_fd_sc_hd__nor2_1 U54220 ( .A(n45692), .B(n41716), .Y(n82361) );
+  sky130_fd_sc_hd__nor2_1 U54221 ( .A(n41716), .B(n45475), .Y(n82303) );
+  sky130_fd_sc_hd__nor2_1 U54222 ( .A(n41717), .B(n44297), .Y(n82333) );
+  sky130_fd_sc_hd__nor2_1 U54223 ( .A(n41716), .B(n43384), .Y(n82315) );
+  sky130_fd_sc_hd__nor2_1 U54224 ( .A(n41717), .B(n43383), .Y(n82296) );
+  sky130_fd_sc_hd__nor2_1 U54225 ( .A(n41717), .B(n43803), .Y(n82327) );
+  sky130_fd_sc_hd__nor2_1 U54226 ( .A(n47920), .B(n47915), .Y(n79921) );
+  sky130_fd_sc_hd__nor2_1 U54227 ( .A(n47906), .B(n47996), .Y(n56371) );
+  sky130_fd_sc_hd__and2_0 U54228 ( .A(n61555), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[14]), .X(n61556) );
+  sky130_fd_sc_hd__and2_0 U54229 ( .A(n61541), .B(n61557), .X(n61560) );
+  sky130_fd_sc_hd__nor2_2 U54230 ( .A(n57422), .B(n57525), .Y(n48281) );
+  sky130_fd_sc_hd__inv_2 U54231 ( .A(n50907), .Y(n56495) );
+  sky130_fd_sc_hd__clkinv_1 U54232 ( .A(n50050), .Y(n56123) );
+  sky130_fd_sc_hd__clkinv_1 U54233 ( .A(n53054), .Y(n56393) );
+  sky130_fd_sc_hd__and2_0 U54234 ( .A(n67514), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[12]), .X(n67515) );
+  sky130_fd_sc_hd__and2_0 U54235 ( .A(n67468), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_16[18]), .X(n67469) );
+  sky130_fd_sc_hd__clkinv_1 U54236 ( .A(n57319), .Y(n52261) );
+  sky130_fd_sc_hd__inv_2 U54237 ( .A(n50180), .Y(n48162) );
+  sky130_fd_sc_hd__nor2_1 U54238 ( .A(n37323), .B(n48081), .Y(n48090) );
+  sky130_fd_sc_hd__clkinv_1 U54239 ( .A(n48083), .Y(n48088) );
+  sky130_fd_sc_hd__a21boi_0 U54240 ( .A1(n36974), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_N43), 
+        .B1_N(n49218), .Y(n59839) );
+  sky130_fd_sc_hd__nand2_1 U54241 ( .A(n59839), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_maybe_full), .Y(n60285) );
+  sky130_fd_sc_hd__a21boi_0 U54243 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[7]), 
+        .A2(n67950), .B1_N(n67949), .Y(n67957) );
+  sky130_fd_sc_hd__and2_0 U54244 ( .A(n67971), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[12]), .X(n67972) );
+  sky130_fd_sc_hd__clkinv_1 U54245 ( .A(n47470), .Y(n37356) );
+  sky130_fd_sc_hd__nor2_1 U54246 ( .A(n59958), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_maybe_full), .Y(n60364) );
+  sky130_fd_sc_hd__nor2_1 U54247 ( .A(n37121), .B(n37325), .Y(n37324) );
+  sky130_fd_sc_hd__a21boi_0 U54248 ( .A1(n52763), .A2(n52707), .B1_N(n50410), 
+        .Y(n47197) );
+  sky130_fd_sc_hd__clkinv_1 U54249 ( .A(n41779), .Y(n57134) );
+  sky130_fd_sc_hd__and2_0 U54250 ( .A(n44810), .B(n45442), .X(n57356) );
+  sky130_fd_sc_hd__and2_0 U54251 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[55]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[55]), .X(
+        n38795) );
+  sky130_fd_sc_hd__inv_1 U54252 ( .A(n37487), .Y(n38358) );
+  sky130_fd_sc_hd__inv_1 U54253 ( .A(n38961), .Y(n38320) );
+  sky130_fd_sc_hd__clkinv_1 U54254 ( .A(n61984), .Y(n68022) );
+  sky130_fd_sc_hd__and2_0 U54255 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[55]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[55]), .X(
+        n57931) );
+  sky130_fd_sc_hd__clkinv_1 U54256 ( .A(n38461), .Y(n38217) );
+  sky130_fd_sc_hd__and2_0 U54257 ( .A(n50314), .B(n50239), .X(n46713) );
+  sky130_fd_sc_hd__nand3_1 U54258 ( .A(n37381), .B(n57794), .C(n37380), .Y(
+        n37400) );
+  sky130_fd_sc_hd__a21oi_2 U54260 ( .A1(n58129), .A2(n58128), .B1(n58141), .Y(
+        n59343) );
+  sky130_fd_sc_hd__nand3_1 U54262 ( .A(n37782), .B(n58213), .C(n58214), .Y(
+        n37785) );
+  sky130_fd_sc_hd__o22ai_1 U54263 ( .A1(n58201), .A2(n58222), .B1(n38077), 
+        .B2(n38075), .Y(n38074) );
+  sky130_fd_sc_hd__clkinv_1 U54264 ( .A(n59339), .Y(n59340) );
+  sky130_fd_sc_hd__nand3_1 U54265 ( .A(n37848), .B(n37847), .C(n37845), .Y(
+        n59366) );
+  sky130_fd_sc_hd__nor2_1 U54266 ( .A(n37736), .B(n59407), .Y(n37401) );
+  sky130_fd_sc_hd__nor2_1 U54267 ( .A(n59361), .B(n68042), .Y(n37736) );
+  sky130_fd_sc_hd__clkinv_1 U54268 ( .A(n57452), .Y(n45636) );
+  sky130_fd_sc_hd__nand3_1 U54269 ( .A(n76524), .B(n37867), .C(n59457), .Y(
+        n37858) );
+  sky130_fd_sc_hd__nand2_1 U54270 ( .A(n59342), .B(n58230), .Y(n59341) );
+  sky130_fd_sc_hd__a21oi_1 U54271 ( .A1(n38184), .A2(n38181), .B1(n38183), .Y(
+        n38180) );
+  sky130_fd_sc_hd__clkinv_1 U54272 ( .A(n58170), .Y(n38183) );
+  sky130_fd_sc_hd__inv_2 U54273 ( .A(n46470), .Y(n52780) );
+  sky130_fd_sc_hd__inv_2 U54274 ( .A(n38713), .Y(n38708) );
+  sky130_fd_sc_hd__nand2_1 U54275 ( .A(n38850), .B(n38849), .Y(n59589) );
+  sky130_fd_sc_hd__clkinv_1 U54276 ( .A(n38571), .Y(n38534) );
+  sky130_fd_sc_hd__nand2_1 U54277 ( .A(n38259), .B(n38568), .Y(n37498) );
+  sky130_fd_sc_hd__clkinv_1 U54279 ( .A(n38927), .Y(n38324) );
+  sky130_fd_sc_hd__buf_6 U54280 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .X(n72059) );
+  sky130_fd_sc_hd__inv_2 U54281 ( .A(n39559), .Y(n39733) );
+  sky130_fd_sc_hd__nand2_1 U54282 ( .A(n37688), .B(n39611), .Y(n39795) );
+  sky130_fd_sc_hd__nand2_1 U54283 ( .A(n58860), .B(n57914), .Y(n37611) );
+  sky130_fd_sc_hd__inv_2 U54284 ( .A(n46820), .Y(n46067) );
+  sky130_fd_sc_hd__clkinv_1 U54285 ( .A(n60034), .Y(n45352) );
+  sky130_fd_sc_hd__a21boi_0 U54286 ( .A1(n64980), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[4]), .B1_N(n44607), .Y(n44638) );
+  sky130_fd_sc_hd__clkinv_1 U54287 ( .A(n50239), .Y(n44332) );
+  sky130_fd_sc_hd__clkinv_1 U54288 ( .A(n44287), .Y(n44288) );
+  sky130_fd_sc_hd__nor2_1 U54289 ( .A(n54916), .B(n39294), .Y(n41834) );
+  sky130_fd_sc_hd__clkinv_1 U54290 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[4]), .Y(n44146) );
+  sky130_fd_sc_hd__or2_0 U54291 ( .A(n68679), .B(n68678), .X(n68675) );
+  sky130_fd_sc_hd__a21boi_0 U54292 ( .A1(n41794), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[15]), .B1_N(n44067), .Y(n44071) );
+  sky130_fd_sc_hd__nor2b_1 U54293 ( .B_N(n77643), .A(n77642), .Y(n82420) );
+  sky130_fd_sc_hd__clkinv_1 U54294 ( .A(n39877), .Y(n37958) );
+  sky130_fd_sc_hd__nand2_1 U54295 ( .A(n85665), .B(n39558), .Y(n37957) );
+  sky130_fd_sc_hd__clkinv_1 U54296 ( .A(n63009), .Y(n64385) );
+  sky130_fd_sc_hd__or2_1 U54297 ( .A(n64874), .B(n64873), .X(n65594) );
+  sky130_fd_sc_hd__nor2_1 U54298 ( .A(n41717), .B(n45692), .Y(n82339) );
+  sky130_fd_sc_hd__nor2_1 U54299 ( .A(n41717), .B(n45475), .Y(n82278) );
+  sky130_fd_sc_hd__nor2_1 U54300 ( .A(n41717), .B(n43384), .Y(n82290) );
+  sky130_fd_sc_hd__clkinv_1 U54301 ( .A(n60132), .Y(n57618) );
+  sky130_fd_sc_hd__clkinv_1 U54302 ( .A(n62995), .Y(n64374) );
+  sky130_fd_sc_hd__clkinv_1 U54303 ( .A(n63028), .Y(n64375) );
+  sky130_fd_sc_hd__clkinv_1 U54304 ( .A(n63003), .Y(n64263) );
+  sky130_fd_sc_hd__clkinv_1 U54305 ( .A(n63001), .Y(n64376) );
+  sky130_fd_sc_hd__nor2_1 U54306 ( .A(n40730), .B(n40772), .Y(n64980) );
+  sky130_fd_sc_hd__clkinv_1 U54307 ( .A(n45358), .Y(n65602) );
+  sky130_fd_sc_hd__inv_2 U54308 ( .A(n52828), .Y(n51903) );
+  sky130_fd_sc_hd__and2_0 U54309 ( .A(n65637), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[5]), .X(n43254) );
+  sky130_fd_sc_hd__nor2b_1 U54310 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_not_nacked_in_s1), .A(n39533), .Y(n83042) );
+  sky130_fd_sc_hd__clkinv_1 U54311 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .Y(n55996) );
+  sky130_fd_sc_hd__clkinv_1 U54312 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .Y(n55995) );
+  sky130_fd_sc_hd__nor2b_1 U54313 ( .B_N(n60874), .A(n77642), .Y(n66840) );
+  sky130_fd_sc_hd__nor2b_1 U54314 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_maybe_full), .A(n59958), .Y(n77474) );
+  sky130_fd_sc_hd__clkinv_1 U54315 ( .A(n64357), .Y(n70244) );
+  sky130_fd_sc_hd__nor2_1 U54316 ( .A(n64354), .B(n64355), .Y(n70221) );
+  sky130_fd_sc_hd__or2_0 U54317 ( .A(n66499), .B(n66498), .X(n38503) );
+  sky130_fd_sc_hd__nor2_1 U54318 ( .A(n43174), .B(n40781), .Y(n64975) );
+  sky130_fd_sc_hd__or2_0 U54319 ( .A(n62497), .B(n62498), .X(n38487) );
+  sky130_fd_sc_hd__nor2_1 U54320 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[0]), .B(n53598), .Y(n53921) );
+  sky130_fd_sc_hd__clkinv_1 U54321 ( .A(n63008), .Y(n64384) );
+  sky130_fd_sc_hd__nor2_1 U54322 ( .A(n40721), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_tselect), .Y(n57630) );
+  sky130_fd_sc_hd__clkinv_1 U54323 ( .A(n45367), .Y(n57634) );
+  sky130_fd_sc_hd__and2_1 U54324 ( .A(n64353), .B(n64338), .X(n70246) );
+  sky130_fd_sc_hd__nor2_1 U54326 ( .A(n64356), .B(n64320), .Y(n70243) );
+  sky130_fd_sc_hd__nor2_1 U54327 ( .A(n64356), .B(n64355), .Y(n70215) );
+  sky130_fd_sc_hd__nor2_1 U54328 ( .A(n64349), .B(n64355), .Y(n70211) );
+  sky130_fd_sc_hd__nor2_1 U54329 ( .A(n64351), .B(n64350), .Y(n70214) );
+  sky130_fd_sc_hd__nor2_1 U54330 ( .A(n64351), .B(n64342), .Y(n70216) );
+  sky130_fd_sc_hd__nor2_1 U54331 ( .A(n64351), .B(n64355), .Y(n70236) );
+  sky130_fd_sc_hd__nor2_1 U54332 ( .A(n64354), .B(n64342), .Y(n70212) );
+  sky130_fd_sc_hd__nor2_1 U54333 ( .A(n64349), .B(n64320), .Y(n70222) );
+  sky130_fd_sc_hd__nor2_1 U54334 ( .A(n64354), .B(n64350), .Y(n70245) );
+  sky130_fd_sc_hd__and2_0 U54335 ( .A(n64353), .B(n64333), .X(n70231) );
+  sky130_fd_sc_hd__clkinv_1 U54336 ( .A(n64332), .Y(n70229) );
+  sky130_fd_sc_hd__nor2_1 U54337 ( .A(n64356), .B(n64342), .Y(n70224) );
+  sky130_fd_sc_hd__nor2_1 U54338 ( .A(n64356), .B(n64350), .Y(n70230) );
+  sky130_fd_sc_hd__nor2_1 U54339 ( .A(n64354), .B(n64320), .Y(n70237) );
+  sky130_fd_sc_hd__nor2_1 U54340 ( .A(n40712), .B(n59801), .Y(n57622) );
+  sky130_fd_sc_hd__clkinv_1 U54341 ( .A(n57620), .Y(n45955) );
+  sky130_fd_sc_hd__a21boi_0 U54342 ( .A1(n57613), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[29]), .B1_N(n45952), .Y(n40871) );
+  sky130_fd_sc_hd__inv_4 U54343 ( .A(n38109), .Y(n37901) );
+  sky130_fd_sc_hd__nor2_2 U54344 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_alu1[1]), .B(n53615), .Y(n53941) );
+  sky130_fd_sc_hd__clkinv_1 U54345 ( .A(n53942), .Y(n53924) );
+  sky130_fd_sc_hd__clkinv_1 U54346 ( .A(n70273), .Y(n69486) );
+  sky130_fd_sc_hd__nor2_1 U54347 ( .A(n40757), .B(n40718), .Y(n65637) );
+  sky130_fd_sc_hd__clkinv_1 U54348 ( .A(n65309), .Y(n57658) );
+  sky130_fd_sc_hd__inv_2 U54349 ( .A(n62978), .Y(n64306) );
+  sky130_fd_sc_hd__nor2_1 U54350 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_55[1]), .B(n78398), .Y(n54120) );
+  sky130_fd_sc_hd__o21ai_1 U54351 ( .A1(n41366), .A2(n41311), .B1(n83042), .Y(
+        n39532) );
+  sky130_fd_sc_hd__o22ai_1 U54352 ( .A1(n54307), .A2(n60136), .B1(n54277), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N43), .Y(n54403) );
+  sky130_fd_sc_hd__clkinv_1 U54354 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_cfg_l), .Y(n71557) );
+  sky130_fd_sc_hd__clkinv_1 U54355 ( .A(n70268), .Y(n69481) );
+  sky130_fd_sc_hd__clkinv_1 U54356 ( .A(n70270), .Y(n69829) );
+  sky130_fd_sc_hd__clkinv_1 U54357 ( .A(n64015), .Y(n64045) );
+  sky130_fd_sc_hd__clkinv_1 U54358 ( .A(n63049), .Y(n37651) );
+  sky130_fd_sc_hd__clkinv_1 U54359 ( .A(n66607), .Y(n70284) );
+  sky130_fd_sc_hd__clkinv_1 U54361 ( .A(n63013), .Y(n64386) );
+  sky130_fd_sc_hd__clkinv_1 U54362 ( .A(n69572), .Y(n63012) );
+  sky130_fd_sc_hd__clkinv_1 U54363 ( .A(n63037), .Y(n64403) );
+  sky130_fd_sc_hd__clkinv_1 U54364 ( .A(n63018), .Y(n64395) );
+  sky130_fd_sc_hd__clkinv_1 U54365 ( .A(n63017), .Y(n64394) );
+  sky130_fd_sc_hd__o21ai_1 U54366 ( .A1(n39143), .A2(n59441), .B1(n39142), .Y(
+        n39159) );
+  sky130_fd_sc_hd__clkinv_1 U54367 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[1]), .Y(n61194) );
+  sky130_fd_sc_hd__nor2_1 U54368 ( .A(n53264), .B(n37701), .Y(n38493) );
+  sky130_fd_sc_hd__nor3_1 U54369 ( .A(n64032), .B(n37946), .C(n59437), .Y(
+        n37945) );
+  sky130_fd_sc_hd__clkinv_1 U54370 ( .A(n59433), .Y(n37946) );
+  sky130_fd_sc_hd__clkinv_1 U54371 ( .A(n85429), .Y(n76546) );
+  sky130_fd_sc_hd__clkinv_1 U54372 ( .A(n85427), .Y(n62968) );
+  sky130_fd_sc_hd__clkinv_1 U54373 ( .A(n85417), .Y(n59947) );
+  sky130_fd_sc_hd__o2bb2ai_1 U54374 ( .B1(n62953), .B2(n62952), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[5]), .Y(n62954) );
+  sky130_fd_sc_hd__o21a_1 U54375 ( .A1(n59691), .A2(n59690), .B1(n78462), .X(
+        n86481) );
+  sky130_fd_sc_hd__clkinv_1 U54376 ( .A(n43030), .Y(n73312) );
+  sky130_fd_sc_hd__clkinv_1 U54377 ( .A(n43058), .Y(n73319) );
+  sky130_fd_sc_hd__nor2_1 U54378 ( .A(n37459), .B(n37458), .Y(n37457) );
+  sky130_fd_sc_hd__clkinv_1 U54379 ( .A(n61941), .Y(n37458) );
+  sky130_fd_sc_hd__clkinv_1 U54380 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[13]), .Y(n73194) );
+  sky130_fd_sc_hd__nand3_1 U54381 ( .A(n37046), .B(n38384), .C(n38493), .Y(
+        n61897) );
+  sky130_fd_sc_hd__clkinv_1 U54382 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[2]), .Y(n72298) );
+  sky130_fd_sc_hd__clkinv_1 U54383 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[0]), .Y(n72416) );
+  sky130_fd_sc_hd__clkinv_1 U54384 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[3]), .Y(n66463) );
+  sky130_fd_sc_hd__nand2_1 U54385 ( .A(n37824), .B(n57376), .Y(n37987) );
+  sky130_fd_sc_hd__clkinv_1 U54386 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[17]), .Y(n68197) );
+  sky130_fd_sc_hd__inv_2 U54388 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_io_full), .Y(n73789) );
+  sky130_fd_sc_hd__and2_0 U54389 ( .A(n61010), .B(n61009), .X(n73768) );
+  sky130_fd_sc_hd__clkinv_1 U54390 ( .A(n83882), .Y(n83396) );
+  sky130_fd_sc_hd__and2_0 U54391 ( .A(n73321), .B(n73320), .X(n73323) );
+  sky130_fd_sc_hd__clkinv_1 U54392 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[5]), .Y(n72249) );
+  sky130_fd_sc_hd__clkinv_1 U54393 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[1]), .Y(n72354) );
+  sky130_fd_sc_hd__clkinv_1 U54394 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[4]), .Y(n68930) );
+  sky130_fd_sc_hd__clkinv_1 U54395 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[7]), .Y(n70606) );
+  sky130_fd_sc_hd__clkinv_1 U54396 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[11]), .Y(n69243) );
+  sky130_fd_sc_hd__clkinv_1 U54397 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[9]), .Y(n68810) );
+  sky130_fd_sc_hd__clkinv_1 U54398 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[8]), .Y(n65016) );
+  sky130_fd_sc_hd__clkinv_1 U54399 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[12]), .Y(n69410) );
+  sky130_fd_sc_hd__clkinv_1 U54400 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[6]), .Y(n69025) );
+  sky130_fd_sc_hd__clkinv_1 U54401 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[13]), .Y(n70560) );
+  sky130_fd_sc_hd__clkinv_1 U54402 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[14]), .Y(n72464) );
+  sky130_fd_sc_hd__clkinv_1 U54403 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[15]), .Y(n72476) );
+  sky130_fd_sc_hd__clkinv_1 U54404 ( .A(n69028), .Y(n73327) );
+  sky130_fd_sc_hd__clkinv_1 U54405 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[16]), .Y(n73072) );
+  sky130_fd_sc_hd__a21boi_0 U54406 ( .A1(n61937), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[31]), .B1_N(n58767), .Y(n58768) );
+  sky130_fd_sc_hd__clkinv_1 U54407 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[17]), .Y(n58772) );
+  sky130_fd_sc_hd__clkinv_1 U54408 ( .A(n58793), .Y(n73113) );
+  sky130_fd_sc_hd__clkinv_1 U54409 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[10]), .Y(n72199) );
+  sky130_fd_sc_hd__clkinv_1 U54410 ( .A(n38105), .Y(n72708) );
+  sky130_fd_sc_hd__nand2_1 U54411 ( .A(n72975), .B(n72974), .Y(n73047) );
+  sky130_fd_sc_hd__nand2_1 U54412 ( .A(n72959), .B(n72974), .Y(n73030) );
+  sky130_fd_sc_hd__nand2_1 U54413 ( .A(n72966), .B(n72974), .Y(n73038) );
+  sky130_fd_sc_hd__nand2_1 U54414 ( .A(n72956), .B(n72974), .Y(n73022) );
+  sky130_fd_sc_hd__nand2_1 U54415 ( .A(n72969), .B(n72974), .Y(n73042) );
+  sky130_fd_sc_hd__nand2_1 U54416 ( .A(n72957), .B(n72974), .Y(n73026) );
+  sky130_fd_sc_hd__nand2_1 U54417 ( .A(n72962), .B(n72974), .Y(n73034) );
+  sky130_fd_sc_hd__nand2_1 U54418 ( .A(n72953), .B(n72974), .Y(n73019) );
+  sky130_fd_sc_hd__nand2_1 U54419 ( .A(n72975), .B(n72973), .Y(n73044) );
+  sky130_fd_sc_hd__nand2_1 U54420 ( .A(n72959), .B(n72973), .Y(n73028) );
+  sky130_fd_sc_hd__nand2_1 U54421 ( .A(n72966), .B(n72973), .Y(n73036) );
+  sky130_fd_sc_hd__nand2_1 U54422 ( .A(n72957), .B(n72973), .Y(n73024) );
+  sky130_fd_sc_hd__nand2_1 U54423 ( .A(n72962), .B(n72973), .Y(n73032) );
+  sky130_fd_sc_hd__nand2_1 U54424 ( .A(n72975), .B(n72950), .Y(n73045) );
+  sky130_fd_sc_hd__nand2_1 U54425 ( .A(n72959), .B(n72950), .Y(n73029) );
+  sky130_fd_sc_hd__nand2_1 U54426 ( .A(n72956), .B(n72950), .Y(n73021) );
+  sky130_fd_sc_hd__nand2_1 U54427 ( .A(n72969), .B(n72950), .Y(n73041) );
+  sky130_fd_sc_hd__nand2_1 U54428 ( .A(n72962), .B(n72950), .Y(n73033) );
+  sky130_fd_sc_hd__nand2_1 U54429 ( .A(n72953), .B(n72950), .Y(n73018) );
+  sky130_fd_sc_hd__nand2_1 U54430 ( .A(n72975), .B(n72901), .Y(n73043) );
+  sky130_fd_sc_hd__nand2_1 U54431 ( .A(n72959), .B(n72901), .Y(n73027) );
+  sky130_fd_sc_hd__nand2_1 U54432 ( .A(n72966), .B(n72901), .Y(n73035) );
+  sky130_fd_sc_hd__nand2_1 U54433 ( .A(n72969), .B(n72901), .Y(n73039) );
+  sky130_fd_sc_hd__nand2_1 U54434 ( .A(n72957), .B(n72901), .Y(n73023) );
+  sky130_fd_sc_hd__nand2_1 U54435 ( .A(n72962), .B(n72901), .Y(n73031) );
+  sky130_fd_sc_hd__a2bb2oi_1 U54436 ( .B1(n43069), .B2(n43073), .A1_N(n43075), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_1_status_prv[0]), .Y(n78279) );
+  sky130_fd_sc_hd__clkinv_1 U54437 ( .A(n76725), .Y(n76727) );
+  sky130_fd_sc_hd__inv_2 U54438 ( .A(n60795), .Y(n72727) );
+  sky130_fd_sc_hd__clkinv_1 U54439 ( .A(n43012), .Y(n73158) );
+  sky130_fd_sc_hd__clkinv_1 U54440 ( .A(n60815), .Y(n72722) );
+  sky130_fd_sc_hd__and2_0 U54441 ( .A(n38224), .B(n58313), .X(n37220) );
+  sky130_fd_sc_hd__and2_0 U54442 ( .A(n58293), .B(n38223), .X(n37184) );
+  sky130_fd_sc_hd__and2_0 U54443 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[3]), .B(n78545), .X(n78548) );
+  sky130_fd_sc_hd__clkinv_1 U54444 ( .A(n76746), .Y(n76748) );
+  sky130_fd_sc_hd__clkinv_1 U54445 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[3]), .Y(n57237) );
+  sky130_fd_sc_hd__clkinv_1 U54446 ( .A(n76751), .Y(n76737) );
+  sky130_fd_sc_hd__clkinv_1 U54447 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[8]), 
+        .Y(n56678) );
+  sky130_fd_sc_hd__and2_0 U54448 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_io_deq_bits_MPORT_addr[0]), .B(n78870), .X(n78935) );
+  sky130_fd_sc_hd__nor2_1 U54449 ( .A(n77778), .B(n77777), .Y(n78931) );
+  sky130_fd_sc_hd__inv_2 U54450 ( .A(n81388), .Y(n81390) );
+  sky130_fd_sc_hd__clkinv_1 U54451 ( .A(n81405), .Y(n81430) );
+  sky130_fd_sc_hd__clkinv_1 U54452 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_7[19]), .Y(n49796) );
+  sky130_fd_sc_hd__and2_0 U54453 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_io_deq_bits_MPORT_addr[0]), .B(n78956), .X(n79020) );
+  sky130_fd_sc_hd__inv_2 U54454 ( .A(n81296), .Y(n81298) );
+  sky130_fd_sc_hd__clkinv_1 U54455 ( .A(n81322), .Y(n81347) );
+  sky130_fd_sc_hd__and2_0 U54456 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_io_deq_bits_MPORT_addr[0]), .B(n79044), .X(n79104) );
+  sky130_fd_sc_hd__and2_0 U54458 ( .A(n85291), .B(n86752), .X(n73476) );
+  sky130_fd_sc_hd__and2_0 U54459 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_io_deq_bits_MPORT_addr[0]), .B(n79225), .X(n79290) );
+  sky130_fd_sc_hd__inv_2 U54460 ( .A(n81067), .Y(n81069) );
+  sky130_fd_sc_hd__clkinv_1 U54461 ( .A(n81119), .Y(n81110) );
+  sky130_fd_sc_hd__and2_0 U54462 ( .A(n76447), .B(n79910), .X(n80081) );
+  sky130_fd_sc_hd__clkinv_1 U54463 ( .A(n85517), .Y(n76206) );
+  sky130_fd_sc_hd__clkinv_1 U54464 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[15]), .Y(n73454) );
+  sky130_fd_sc_hd__and2_0 U54465 ( .A(n76446), .B(n83116), .X(n80078) );
+  sky130_fd_sc_hd__a21boi_0 U54466 ( .A1(n36974), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[57]), .B1_N(n56229), .Y(n67576) );
+  sky130_fd_sc_hd__clkinv_1 U54467 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_cs_mode[1]), .Y(n75818) );
+  sky130_fd_sc_hd__or2_0 U54468 ( .A(n60929), .B(n83651), .X(n80387) );
+  sky130_fd_sc_hd__clkinv_1 U54470 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_10[23]), .Y(n79463) );
+  sky130_fd_sc_hd__clkinv_1 U54471 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_10[21]), .Y(n79447) );
+  sky130_fd_sc_hd__clkinv_1 U54472 ( .A(n80347), .Y(n80348) );
+  sky130_fd_sc_hd__clkinv_1 U54473 ( .A(n79424), .Y(n60653) );
+  sky130_fd_sc_hd__clkinv_1 U54474 ( .A(MarmotCaravelChip_dut_sys_spi_0_cs_1), 
+        .Y(n80372) );
+  sky130_fd_sc_hd__and2_0 U54476 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[18]), 
+        .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[18]), 
+        .X(n77502) );
+  sky130_fd_sc_hd__and2_0 U54477 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[20]), 
+        .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[20]), 
+        .X(n77499) );
+  sky130_fd_sc_hd__clkinv_1 U54478 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_16[20]), .Y(n79331) );
+  sky130_fd_sc_hd__clkinv_1 U54479 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_16[22]), .Y(n79347) );
+  sky130_fd_sc_hd__and2_0 U54480 ( .A(n37351), .B(n56148), .X(n37231) );
+  sky130_fd_sc_hd__a21oi_1 U54481 ( .A1(n50065), .A2(n47426), .B1(n47425), .Y(
+        n37352) );
+  sky130_fd_sc_hd__a21boi_0 U54482 ( .A1(n36974), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[56]), .B1_N(n56159), .Y(n67100) );
+  sky130_fd_sc_hd__a21boi_0 U54483 ( .A1(n36974), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[59]), .B1_N(n56114), .Y(n67573) );
+  sky130_fd_sc_hd__nand2_1 U54486 ( .A(n66814), .B(n66813), .Y(n68280) );
+  sky130_fd_sc_hd__and2_0 U54487 ( .A(n36801), .B(
+        MarmotCaravelChip_dut_sys_clint_io_rtcTick), .X(n66813) );
+  sky130_fd_sc_hd__clkinv_1 U54488 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_size[0]), .Y(n84109) );
+  sky130_fd_sc_hd__clkinv_1 U54489 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_size[1]), .Y(n84082) );
+  sky130_fd_sc_hd__a211oi_1 U54490 ( .A1(n59899), .A2(n84065), .B1(n83814), 
+        .C1(n83816), .Y(n84032) );
+  sky130_fd_sc_hd__and2_0 U54491 ( .A(n82615), .B(n37040), .X(n82618) );
+  sky130_fd_sc_hd__nor2b_1 U54492 ( .B_N(n82977), .A(n82868), .Y(n82975) );
+  sky130_fd_sc_hd__nor2b_1 U54493 ( .B_N(n82973), .A(n82868), .Y(n82971) );
+  sky130_fd_sc_hd__nor2b_1 U54494 ( .B_N(n82970), .A(n82868), .Y(n82968) );
+  sky130_fd_sc_hd__nor2b_1 U54495 ( .B_N(n82967), .A(n82868), .Y(n82965) );
+  sky130_fd_sc_hd__clkinv_1 U54506 ( .A(n78555), .Y(n78262) );
+  sky130_fd_sc_hd__clkinv_1 U54507 ( .A(n78245), .Y(n39908) );
+  sky130_fd_sc_hd__clkinv_1 U54508 ( .A(n72685), .Y(n72689) );
+  sky130_fd_sc_hd__clkinv_1 U54509 ( .A(n52788), .Y(n77488) );
+  sky130_fd_sc_hd__nand3_1 U54510 ( .A(n83920), .B(n83396), .C(n77484), .Y(
+        n82373) );
+  sky130_fd_sc_hd__nand2_1 U54511 ( .A(n82406), .B(n82370), .Y(n82371) );
+  sky130_fd_sc_hd__nand2_1 U54512 ( .A(n82385), .B(n82370), .Y(n82368) );
+  sky130_fd_sc_hd__nand2_1 U54513 ( .A(n82375), .B(n82370), .Y(n82367) );
+  sky130_fd_sc_hd__nand2_1 U54514 ( .A(n82406), .B(n82365), .Y(n82366) );
+  sky130_fd_sc_hd__nand2_1 U54515 ( .A(n82395), .B(n82365), .Y(n82364) );
+  sky130_fd_sc_hd__nand2_1 U54516 ( .A(n82385), .B(n82365), .Y(n82363) );
+  sky130_fd_sc_hd__nand2_1 U54517 ( .A(n82375), .B(n82365), .Y(n82362) );
+  sky130_fd_sc_hd__nand2_1 U54518 ( .A(n82406), .B(n82348), .Y(n82349) );
+  sky130_fd_sc_hd__nand2_1 U54519 ( .A(n82395), .B(n82348), .Y(n82347) );
+  sky130_fd_sc_hd__nand2_1 U54520 ( .A(n82385), .B(n82348), .Y(n82346) );
+  sky130_fd_sc_hd__nand2_1 U54521 ( .A(n82375), .B(n82348), .Y(n82345) );
+  sky130_fd_sc_hd__nand2_1 U54522 ( .A(n82406), .B(n82343), .Y(n82344) );
+  sky130_fd_sc_hd__nand2_1 U54523 ( .A(n82395), .B(n82343), .Y(n82342) );
+  sky130_fd_sc_hd__nand2_1 U54524 ( .A(n82385), .B(n82343), .Y(n82341) );
+  sky130_fd_sc_hd__nand2_1 U54525 ( .A(n82375), .B(n82343), .Y(n82340) );
+  sky130_fd_sc_hd__nand2_1 U54526 ( .A(n82395), .B(n82354), .Y(n82353) );
+  sky130_fd_sc_hd__nand2_1 U54527 ( .A(n82385), .B(n82354), .Y(n82352) );
+  sky130_fd_sc_hd__nand2_1 U54528 ( .A(n82375), .B(n82354), .Y(n82351) );
+  sky130_fd_sc_hd__nand2_1 U54529 ( .A(n82406), .B(n82307), .Y(n82308) );
+  sky130_fd_sc_hd__nand2_1 U54530 ( .A(n82395), .B(n82307), .Y(n82306) );
+  sky130_fd_sc_hd__nand2_1 U54531 ( .A(n82385), .B(n82307), .Y(n82305) );
+  sky130_fd_sc_hd__nand2_1 U54532 ( .A(n82375), .B(n82307), .Y(n82304) );
+  sky130_fd_sc_hd__nand2_1 U54533 ( .A(n82406), .B(n82337), .Y(n82338) );
+  sky130_fd_sc_hd__nand2_1 U54534 ( .A(n82395), .B(n82337), .Y(n82336) );
+  sky130_fd_sc_hd__nand2_1 U54535 ( .A(n82385), .B(n82337), .Y(n82335) );
+  sky130_fd_sc_hd__nand2_1 U54536 ( .A(n82406), .B(n82331), .Y(n82332) );
+  sky130_fd_sc_hd__nand2_1 U54537 ( .A(n82395), .B(n82331), .Y(n82330) );
+  sky130_fd_sc_hd__nand2_1 U54538 ( .A(n82385), .B(n82331), .Y(n82329) );
+  sky130_fd_sc_hd__nand2_1 U54539 ( .A(n82375), .B(n82331), .Y(n82328) );
+  sky130_fd_sc_hd__nand2_1 U54540 ( .A(n82406), .B(n82288), .Y(n82289) );
+  sky130_fd_sc_hd__nand2_1 U54541 ( .A(n82395), .B(n82288), .Y(n82287) );
+  sky130_fd_sc_hd__nand2_1 U54542 ( .A(n82385), .B(n82288), .Y(n82286) );
+  sky130_fd_sc_hd__nand2_1 U54543 ( .A(n82375), .B(n82288), .Y(n82285) );
+  sky130_fd_sc_hd__nand2_1 U54544 ( .A(n82406), .B(n82325), .Y(n82326) );
+  sky130_fd_sc_hd__nand2_1 U54545 ( .A(n82395), .B(n82325), .Y(n82324) );
+  sky130_fd_sc_hd__nand2_1 U54546 ( .A(n82385), .B(n82325), .Y(n82323) );
+  sky130_fd_sc_hd__nand2_1 U54547 ( .A(n82375), .B(n82325), .Y(n82322) );
+  sky130_fd_sc_hd__nand2_1 U54548 ( .A(n82406), .B(n82319), .Y(n82320) );
+  sky130_fd_sc_hd__nand2_1 U54549 ( .A(n82395), .B(n82319), .Y(n82318) );
+  sky130_fd_sc_hd__nand2_1 U54550 ( .A(n82375), .B(n82319), .Y(n82316) );
+  sky130_fd_sc_hd__nand2_1 U54551 ( .A(n82406), .B(n82313), .Y(n82314) );
+  sky130_fd_sc_hd__nand2_1 U54552 ( .A(n82395), .B(n82313), .Y(n82312) );
+  sky130_fd_sc_hd__nand2_1 U54553 ( .A(n82385), .B(n82313), .Y(n82311) );
+  sky130_fd_sc_hd__nand2_1 U54554 ( .A(n82375), .B(n82313), .Y(n82310) );
+  sky130_fd_sc_hd__nand2_1 U54555 ( .A(n82282), .B(n82406), .Y(n82283) );
+  sky130_fd_sc_hd__nand2_1 U54556 ( .A(n82282), .B(n82395), .Y(n82281) );
+  sky130_fd_sc_hd__nand2_1 U54557 ( .A(n82282), .B(n82385), .Y(n82280) );
+  sky130_fd_sc_hd__nand2_1 U54558 ( .A(n82375), .B(n82282), .Y(n82279) );
+  sky130_fd_sc_hd__nand2_1 U54559 ( .A(n82406), .B(n82301), .Y(n82302) );
+  sky130_fd_sc_hd__nand2_1 U54560 ( .A(n82395), .B(n82301), .Y(n82300) );
+  sky130_fd_sc_hd__nand2_1 U54561 ( .A(n82385), .B(n82301), .Y(n82299) );
+  sky130_fd_sc_hd__nand2_1 U54562 ( .A(n82375), .B(n82301), .Y(n82297) );
+  sky130_fd_sc_hd__inv_2 U54564 ( .A(n85579), .Y(n82410) );
+  sky130_fd_sc_hd__inv_2 U54565 ( .A(n85569), .Y(n82409) );
+  sky130_fd_sc_hd__nand2_1 U54566 ( .A(n82406), .B(n82294), .Y(n82295) );
+  sky130_fd_sc_hd__inv_2 U54567 ( .A(n85666), .Y(n82403) );
+  sky130_fd_sc_hd__inv_2 U54568 ( .A(n85584), .Y(n82402) );
+  sky130_fd_sc_hd__inv_2 U54569 ( .A(n85586), .Y(n82400) );
+  sky130_fd_sc_hd__inv_2 U54570 ( .A(n85587), .Y(n82397) );
+  sky130_fd_sc_hd__inv_2 U54571 ( .A(n85574), .Y(n82396) );
+  sky130_fd_sc_hd__inv_2 U54572 ( .A(n85876), .Y(n82393) );
+  sky130_fd_sc_hd__inv_2 U54573 ( .A(n85875), .Y(n82392) );
+  sky130_fd_sc_hd__inv_2 U54574 ( .A(n85874), .Y(n82391) );
+  sky130_fd_sc_hd__inv_2 U54576 ( .A(n85872), .Y(n82389) );
+  sky130_fd_sc_hd__inv_2 U54577 ( .A(n85871), .Y(n82388) );
+  sky130_fd_sc_hd__inv_2 U54578 ( .A(n85870), .Y(n82387) );
+  sky130_fd_sc_hd__nand2_1 U54579 ( .A(n82385), .B(n82294), .Y(n82292) );
+  sky130_fd_sc_hd__inv_2 U54580 ( .A(n85869), .Y(n82386) );
+  sky130_fd_sc_hd__inv_2 U54581 ( .A(n85868), .Y(n82383) );
+  sky130_fd_sc_hd__inv_2 U54582 ( .A(n85867), .Y(n82382) );
+  sky130_fd_sc_hd__inv_2 U54583 ( .A(n85865), .Y(n82380) );
+  sky130_fd_sc_hd__inv_2 U54584 ( .A(n85864), .Y(n82379) );
+  sky130_fd_sc_hd__inv_2 U54585 ( .A(n85863), .Y(n82378) );
+  sky130_fd_sc_hd__inv_2 U54586 ( .A(n85862), .Y(n82377) );
+  sky130_fd_sc_hd__nand2_1 U54587 ( .A(n82375), .B(n82294), .Y(n82291) );
+  sky130_fd_sc_hd__clkinv_1 U54589 ( .A(n76637), .Y(n76638) );
+  sky130_fd_sc_hd__clkinv_1 U54590 ( .A(n76641), .Y(n76643) );
+  sky130_fd_sc_hd__clkinv_1 U54591 ( .A(n76811), .Y(n76666) );
+  sky130_fd_sc_hd__clkinv_1 U54592 ( .A(n76179), .Y(n76157) );
+  sky130_fd_sc_hd__clkinv_1 U54593 ( .A(n76078), .Y(n76066) );
+  sky130_fd_sc_hd__and2_0 U54594 ( .A(n62978), .B(n60966), .X(n60967) );
+  sky130_fd_sc_hd__and2_0 U54595 ( .A(n53411), .B(n53410), .X(n53443) );
+  sky130_fd_sc_hd__inv_2 U54596 ( .A(n36100), .Y(n81439) );
+  sky130_fd_sc_hd__nand3_1 U54597 ( .A(n63521), .B(n62075), .C(n62074), .Y(
+        n81269) );
+  sky130_fd_sc_hd__clkinv_1 U54598 ( .A(n36124), .Y(n81192) );
+  sky130_fd_sc_hd__nand3_1 U54599 ( .A(n60311), .B(n60976), .C(n60310), .Y(
+        n76379) );
+  sky130_fd_sc_hd__clkinv_1 U54600 ( .A(n63519), .Y(n60311) );
+  sky130_fd_sc_hd__nand2_1 U54601 ( .A(n38432), .B(n63158), .Y(n81024) );
+  sky130_fd_sc_hd__clkinv_1 U54602 ( .A(n81036), .Y(n67254) );
+  sky130_fd_sc_hd__nand3_1 U54603 ( .A(n63160), .B(n63159), .C(n80736), .Y(
+        n80725) );
+  sky130_fd_sc_hd__clkinv_1 U54604 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_16[22]), .Y(n79404) );
+  sky130_fd_sc_hd__clkinv_1 U54605 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_16[20]), .Y(n79388) );
+  sky130_fd_sc_hd__clkinv_1 U54606 ( .A(n79367), .Y(n60725) );
+  sky130_fd_sc_hd__or3_1 U54607 ( .A(n60074), .B(n60073), .C(n67264), .X(
+        n80735) );
+  sky130_fd_sc_hd__clkinv_1 U54608 ( .A(n80423), .Y(n67251) );
+  sky130_fd_sc_hd__nand2_1 U54609 ( .A(n86753), .B(n61970), .Y(n76386) );
+  sky130_fd_sc_hd__clkinv_1 U54610 ( .A(n80137), .Y(n61970) );
+  sky130_fd_sc_hd__clkinv_1 U54611 ( .A(n61079), .Y(n61080) );
+  sky130_fd_sc_hd__nand2_1 U54612 ( .A(n61078), .B(n79920), .Y(n80137) );
+  sky130_fd_sc_hd__nor3_1 U54613 ( .A(n76393), .B(n76392), .C(n76391), .Y(
+        n81271) );
+  sky130_fd_sc_hd__clkinv_1 U54614 ( .A(n68436), .Y(n37766) );
+  sky130_fd_sc_hd__inv_2 U54617 ( .A(n67299), .Y(n67348) );
+  sky130_fd_sc_hd__nand3_1 U54618 ( .A(n63666), .B(n63665), .C(n63664), .Y(
+        n68265) );
+  sky130_fd_sc_hd__clkinv_1 U54619 ( .A(n67226), .Y(n67227) );
+  sky130_fd_sc_hd__clkinv_1 U54620 ( .A(n68265), .Y(n67330) );
+  sky130_fd_sc_hd__nand2_1 U54621 ( .A(n67261), .B(n67260), .Y(n81020) );
+  sky130_fd_sc_hd__and2_0 U54622 ( .A(n83515), .B(n84054), .X(n84053) );
+  sky130_fd_sc_hd__a21boi_0 U54623 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[3]), .A2(n76580), .B1_N(n76587), .Y(n83008) );
+  sky130_fd_sc_hd__a21boi_0 U54624 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[13]), .A2(n73874), .B1_N(n55964), .Y(n77910) );
+  sky130_fd_sc_hd__clkinv_1 U54625 ( .A(n57630), .Y(n60046) );
+  sky130_fd_sc_hd__clkinv_1 U54626 ( .A(n45945), .Y(n60019) );
+  sky130_fd_sc_hd__and2_0 U54627 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[1]), .B(n78540), .X(n78543) );
+  sky130_fd_sc_hd__clkinv_1 U54628 ( .A(n73183), .Y(n70397) );
+  sky130_fd_sc_hd__nor2_1 U54631 ( .A(n40692), .B(n64083), .Y(n60025) );
+  sky130_fd_sc_hd__and2_0 U54632 ( .A(n68481), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[48]), .X(n66347) );
+  sky130_fd_sc_hd__clkinv_1 U54633 ( .A(n45943), .Y(n60091) );
+  sky130_fd_sc_hd__and2_0 U54634 ( .A(n68473), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[48]), .X(n66338) );
+  sky130_fd_sc_hd__clkinv_1 U54635 ( .A(n78490), .Y(n78284) );
+  sky130_fd_sc_hd__nor2_1 U54636 ( .A(n72578), .B(n40781), .Y(n60103) );
+  sky130_fd_sc_hd__nor2_1 U54637 ( .A(n64083), .B(n40732), .Y(n60104) );
+  sky130_fd_sc_hd__a21boi_0 U54638 ( .A1(n41810), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode[5]), .B1_N(n41809), .Y(n55630) );
+  sky130_fd_sc_hd__inv_2 U54639 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .Y(n41810) );
+  sky130_fd_sc_hd__a21boi_0 U54640 ( .A1(n41810), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode[4]), .B1_N(n41811), .Y(n55625) );
+  sky130_fd_sc_hd__nor2_1 U54641 ( .A(n63944), .B(n63942), .Y(n37935) );
+  sky130_fd_sc_hd__clkinv_1 U54642 ( .A(n63941), .Y(n59317) );
+  sky130_fd_sc_hd__nor2_1 U54643 ( .A(n55595), .B(n55597), .Y(n37546) );
+  sky130_fd_sc_hd__nand2_1 U54644 ( .A(n59966), .B(n80420), .Y(n80418) );
+  sky130_fd_sc_hd__nand2b_1 U54645 ( .A_N(n79716), .B(n79711), .Y(n79712) );
+  sky130_fd_sc_hd__clkinv_1 U54646 ( .A(n68184), .Y(n67356) );
+  sky130_fd_sc_hd__and2_0 U54647 ( .A(n80681), .B(n80680), .X(n80682) );
+  sky130_fd_sc_hd__clkinv_1 U54648 ( .A(n49434), .Y(n63695) );
+  sky130_fd_sc_hd__clkinv_1 U54649 ( .A(n76430), .Y(n62847) );
+  sky130_fd_sc_hd__clkinv_1 U54650 ( .A(n63182), .Y(n67052) );
+  sky130_fd_sc_hd__clkinv_1 U54651 ( .A(n59967), .Y(n61971) );
+  sky130_fd_sc_hd__clkinv_1 U54652 ( .A(n59966), .Y(n84179) );
+  sky130_fd_sc_hd__clkinv_1 U54653 ( .A(n37842), .Y(n61174) );
+  sky130_fd_sc_hd__and2_0 U54654 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[22]), 
+        .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[22]), 
+        .X(n77496) );
+  sky130_fd_sc_hd__and2_0 U54655 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[16]), 
+        .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[16]), 
+        .X(n77505) );
+  sky130_fd_sc_hd__and2_0 U54656 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[13]), 
+        .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[13]), 
+        .X(n77509) );
+  sky130_fd_sc_hd__and2_0 U54657 ( .A(n80110), .B(n86752), .X(n37082) );
+  sky130_fd_sc_hd__nand2_1 U54658 ( .A(n79920), .B(n61058), .Y(n80110) );
+  sky130_fd_sc_hd__nand2_1 U54659 ( .A(n79920), .B(n61066), .Y(n79879) );
+  sky130_fd_sc_hd__nand2_1 U54660 ( .A(n79920), .B(n61064), .Y(n79882) );
+  sky130_fd_sc_hd__clkinv_1 U54661 ( .A(n76443), .Y(n62084) );
+  sky130_fd_sc_hd__nand2_1 U54662 ( .A(n86753), .B(n61974), .Y(n76443) );
+  sky130_fd_sc_hd__clkinv_1 U54663 ( .A(n80136), .Y(n61974) );
+  sky130_fd_sc_hd__clkinv_1 U54666 ( .A(n76450), .Y(n62085) );
+  sky130_fd_sc_hd__nand2_1 U54667 ( .A(n36801), .B(n61975), .Y(n76450) );
+  sky130_fd_sc_hd__clkinv_1 U54668 ( .A(n80109), .Y(n61975) );
+  sky130_fd_sc_hd__inv_2 U54669 ( .A(n85580), .Y(n75750) );
+  sky130_fd_sc_hd__clkinv_1 U54670 ( .A(n85670), .Y(n75745) );
+  sky130_fd_sc_hd__clkinv_1 U54671 ( .A(n85573), .Y(n75737) );
+  sky130_fd_sc_hd__nor2_1 U54672 ( .A(n47624), .B(n47520), .Y(n37549) );
+  sky130_fd_sc_hd__clkinv_1 U54673 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_acknum[2]), .Y(n47801) );
+  sky130_fd_sc_hd__clkinv_1 U54674 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_acknum[1]), .Y(n47800) );
+  sky130_fd_sc_hd__clkinv_1 U54675 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_acknum[0]), .Y(n47799) );
+  sky130_fd_sc_hd__and2_0 U54677 ( .A(n73753), .B(n63284), .X(n38429) );
+  sky130_fd_sc_hd__clkinv_1 U54679 ( .A(n61469), .Y(n83629) );
+  sky130_fd_sc_hd__nand2_1 U54680 ( .A(n60080), .B(n60079), .Y(n60083) );
+  sky130_fd_sc_hd__clkinv_1 U54681 ( .A(n53556), .Y(n83582) );
+  sky130_fd_sc_hd__nand2_1 U54682 ( .A(n86753), .B(n60928), .Y(n83651) );
+  sky130_fd_sc_hd__clkinv_1 U54683 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_acknum[3]), .Y(n83766) );
+  sky130_fd_sc_hd__and2_0 U54684 ( .A(n75733), .B(n75732), .X(n83761) );
+  sky130_fd_sc_hd__nor2_1 U54685 ( .A(n37698), .B(n56614), .Y(n63342) );
+  sky130_fd_sc_hd__clkinv_1 U54686 ( .A(n73567), .Y(n60079) );
+  sky130_fd_sc_hd__inv_2 U54687 ( .A(n55230), .Y(n55231) );
+  sky130_fd_sc_hd__nor2_1 U54688 ( .A(n83685), .B(n83682), .Y(n55490) );
+  sky130_fd_sc_hd__a21boi_0 U54689 ( .A1(n55485), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_size[3]), .B1_N(n55057), .Y(n55058) );
+  sky130_fd_sc_hd__a22oi_1 U54690 ( .A1(n36850), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[57]), .B1(n63711), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[27]), .Y(n54851) );
+  sky130_fd_sc_hd__and2_0 U54691 ( .A(n55007), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_io_full), .X(n55334) );
+  sky130_fd_sc_hd__a21boi_0 U54692 ( .A1(n79493), .A2(n79492), .B1_N(n79491), 
+        .Y(n79494) );
+  sky130_fd_sc_hd__and2_0 U54693 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[1]), .B(n55453), .X(n55442) );
+  sky130_fd_sc_hd__clkinv_1 U54694 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_auto_intsource_out_sync_1), .Y(n82206)
+         );
+  sky130_fd_sc_hd__clkinv_1 U54695 ( .A(n82222), .Y(n82268) );
+  sky130_fd_sc_hd__nand2_1 U54696 ( .A(n63963), .B(n78463), .Y(n60134) );
+  sky130_fd_sc_hd__nor2_1 U54697 ( .A(n37984), .B(n37950), .Y(n37983) );
+  sky130_fd_sc_hd__and2_0 U54698 ( .A(n39337), .B(n54978), .X(n39288) );
+  sky130_fd_sc_hd__clkinv_1 U54699 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[13]), .Y(n37982) );
+  sky130_fd_sc_hd__a21boi_0 U54700 ( .A1(n84783), .A2(n83823), .B1_N(n54869), 
+        .Y(n54882) );
+  sky130_fd_sc_hd__a21boi_0 U54701 ( .A1(n84784), .A2(n83823), .B1_N(n54870), 
+        .Y(n54884) );
+  sky130_fd_sc_hd__nor2_1 U54702 ( .A(n82522), .B(n76545), .Y(n76234) );
+  sky130_fd_sc_hd__nor2_1 U54703 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .B(n76545), .Y(n76233) );
+  sky130_fd_sc_hd__a211oi_1 U54704 ( .A1(n41692), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar__readys_unready_T_8[13]), .B1(n59830), .C1(n41691), .Y(n83540) );
+  sky130_fd_sc_hd__nor2_1 U54705 ( .A(n85811), .B(n85810), .Y(n83876) );
+  sky130_fd_sc_hd__a22oi_1 U54706 ( .A1(n63711), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[16]), .B1(n36850), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[46]), .Y(n78335) );
+  sky130_fd_sc_hd__clkinv_1 U54707 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[15]), .Y(n76696) );
+  sky130_fd_sc_hd__clkinv_1 U54708 ( .A(n76685), .Y(n76687) );
+  sky130_fd_sc_hd__a21boi_0 U54709 ( .A1(n84653), .A2(n78346), .B1_N(n54378), 
+        .Y(n73994) );
+  sky130_fd_sc_hd__a21boi_0 U54710 ( .A1(n85704), .A2(n78346), .B1_N(n73833), 
+        .Y(n74005) );
+  sky130_fd_sc_hd__clkinv_1 U54711 ( .A(n83098), .Y(n59704) );
+  sky130_fd_sc_hd__nand2_1 U54712 ( .A(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N26), .Y(n83175) );
+  sky130_fd_sc_hd__nand2_1 U54713 ( .A(n86402), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N26), .Y(n83136) );
+  sky130_fd_sc_hd__a22oi_1 U54714 ( .A1(n36850), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[43]), .B1(n63711), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[13]), .Y(n78331) );
+  sky130_fd_sc_hd__a22oi_1 U54715 ( .A1(n36850), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[42]), .B1(n63711), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[12]), .Y(n78329) );
+  sky130_fd_sc_hd__a22oi_1 U54716 ( .A1(n36850), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[40]), .B1(n63711), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[10]), .Y(n78343) );
+  sky130_fd_sc_hd__a21boi_0 U54718 ( .A1(n83029), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[47]), .B1_N(n74154), .Y(n74164) );
+  sky130_fd_sc_hd__a22oi_1 U54719 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[27]), .B1(n54277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[58]), .Y(n83243) );
+  sky130_fd_sc_hd__a21boi_0 U54720 ( .A1(n83029), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[46]), .B1_N(n74097), .Y(n74102) );
+  sky130_fd_sc_hd__a22oi_1 U54721 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[26]), .B1(n54277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[57]), .Y(n83242) );
+  sky130_fd_sc_hd__a21boi_0 U54723 ( .A1(n83029), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[45]), .B1_N(n74091), .Y(n74096) );
+  sky130_fd_sc_hd__a22oi_1 U54724 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[25]), .B1(n54277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[56]), .Y(n83241) );
+  sky130_fd_sc_hd__a21boi_0 U54726 ( .A1(n83029), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[44]), .B1_N(n74055), .Y(n74060) );
+  sky130_fd_sc_hd__inv_2 U54727 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[24]), .Y(n75895) );
+  sky130_fd_sc_hd__a21boi_0 U54728 ( .A1(n83029), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[43]), .B1_N(n74108), .Y(n74113) );
+  sky130_fd_sc_hd__a21boi_0 U54730 ( .A1(n83029), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[42]), .B1_N(n74134), .Y(n74139) );
+  sky130_fd_sc_hd__inv_2 U54731 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[22]), .Y(n75891) );
+  sky130_fd_sc_hd__a21boi_0 U54732 ( .A1(n83029), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[41]), .B1_N(n74128), .Y(n74133) );
+  sky130_fd_sc_hd__inv_2 U54733 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[21]), .Y(n75790) );
+  sky130_fd_sc_hd__a21boi_0 U54734 ( .A1(n83029), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[40]), .B1_N(n43873), .Y(n74119) );
+  sky130_fd_sc_hd__a22oi_1 U54735 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[20]), .B1(n54312), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[51]), .Y(n83236) );
+  sky130_fd_sc_hd__a21boi_0 U54736 ( .A1(n83029), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[39]), .B1_N(n43885), .Y(n74107) );
+  sky130_fd_sc_hd__a21boi_0 U54738 ( .A1(n83029), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[38]), .B1_N(n43864), .Y(n74144) );
+  sky130_fd_sc_hd__a22oi_1 U54739 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[18]), .B1(n54312), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[49]), .Y(n83234) );
+  sky130_fd_sc_hd__a21boi_0 U54740 ( .A1(n83029), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[37]), .B1_N(n43888), .Y(n74065) );
+  sky130_fd_sc_hd__inv_2 U54741 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[17]), .Y(n75788) );
+  sky130_fd_sc_hd__a21boi_0 U54742 ( .A1(n83029), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[36]), .B1_N(n43869), .Y(n74070) );
+  sky130_fd_sc_hd__inv_2 U54743 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[16]), .Y(n75784) );
+  sky130_fd_sc_hd__a21boi_0 U54744 ( .A1(n83029), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[35]), .B1_N(n43872), .Y(n74085) );
+  sky130_fd_sc_hd__a22oi_1 U54745 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[15]), .B1(n54277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[46]), .Y(n83231) );
+  sky130_fd_sc_hd__inv_2 U54746 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[15]), .Y(n75798) );
+  sky130_fd_sc_hd__a21boi_0 U54747 ( .A1(n83029), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[34]), .B1_N(n43871), .Y(n74054) );
+  sky130_fd_sc_hd__a21boi_0 U54748 ( .A1(n83029), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[33]), .B1_N(n43882), .Y(n74075) );
+  sky130_fd_sc_hd__inv_2 U54749 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[13]), .Y(n75800) );
+  sky130_fd_sc_hd__a21boi_0 U54750 ( .A1(n83029), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[32]), .B1_N(n43884), .Y(n74080) );
+  sky130_fd_sc_hd__a22oi_1 U54751 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[12]), .B1(n54312), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[43]), .Y(n83229) );
+  sky130_fd_sc_hd__a21boi_0 U54752 ( .A1(n83029), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[31]), .B1_N(n43863), .Y(n74090) );
+  sky130_fd_sc_hd__a22oi_1 U54753 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[11]), .B1(n54312), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[42]), .Y(n83228) );
+  sky130_fd_sc_hd__a21boi_0 U54754 ( .A1(n83029), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[30]), .B1_N(n43867), .Y(n64171) );
+  sky130_fd_sc_hd__a21boi_0 U54755 ( .A1(n83029), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[29]), .B1_N(n43891), .Y(n74175) );
+  sky130_fd_sc_hd__a22oi_1 U54756 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[9]), .B1(n54277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[40]), .Y(n83226) );
+  sky130_fd_sc_hd__a21boi_0 U54757 ( .A1(n83029), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[28]), .B1_N(n43887), .Y(n74169) );
+  sky130_fd_sc_hd__a22oi_1 U54758 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[8]), .B1(n54312), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[39]), .Y(n83225) );
+  sky130_fd_sc_hd__a21boi_0 U54759 ( .A1(n83029), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[27]), .B1_N(n43881), .Y(n74172) );
+  sky130_fd_sc_hd__a21boi_0 U54760 ( .A1(n83029), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[26]), .B1_N(n43890), .Y(n83028) );
+  sky130_fd_sc_hd__inv_2 U54761 ( .A(n74151), .Y(n74163) );
+  sky130_fd_sc_hd__a21boi_0 U54762 ( .A1(n83029), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[25]), .B1_N(n43866), .Y(n83025) );
+  sky130_fd_sc_hd__inv_2 U54763 ( .A(n84032), .Y(n84012) );
+  sky130_fd_sc_hd__clkinv_1 U54764 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_io_deq_bits_MPORT_addr[2]), .Y(n83160) );
+  sky130_fd_sc_hd__inv_2 U54765 ( .A(n66031), .Y(n54896) );
+  sky130_fd_sc_hd__a21boi_0 U54766 ( .A1(n54277), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_opcode[3]), .B1_N(n54119), .Y(n54183) );
+  sky130_fd_sc_hd__inv_2 U54767 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_cmd[2]), .Y(n78377) );
+  sky130_fd_sc_hd__a21boi_0 U54768 ( .A1(n54277), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_param[5]), .B1_N(n54116), .Y(n54134) );
+  sky130_fd_sc_hd__a22oi_1 U54769 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[29]), .B1(n54277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[60]), .Y(n83246) );
+  sky130_fd_sc_hd__nor2b_1 U54770 ( .B_N(n59977), .A(n54117), .Y(n54123) );
+  sky130_fd_sc_hd__clkinv_1 U54771 ( .A(n76764), .Y(n76766) );
+  sky130_fd_sc_hd__clkinv_1 U54772 ( .A(n76789), .Y(n76791) );
+  sky130_fd_sc_hd__and2_0 U54773 ( .A(n76474), .B(n76728), .X(n76475) );
+  sky130_fd_sc_hd__clkinv_1 U54774 ( .A(n54319), .Y(n59959) );
+  sky130_fd_sc_hd__clkinv_1 U54775 ( .A(n83149), .Y(n83829) );
+  sky130_fd_sc_hd__inv_2 U54776 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[29]), .Y(n75903) );
+  sky130_fd_sc_hd__o2bb2ai_1 U54777 ( .B1(n53943), .B2(n53942), .A1_N(n53941), 
+        .A2_N(n70126), .Y(n70084) );
+  sky130_fd_sc_hd__nand2_1 U54779 ( .A(n60904), .B(n53533), .Y(n84165) );
+  sky130_fd_sc_hd__clkinv_1 U54780 ( .A(n55280), .Y(n53555) );
+  sky130_fd_sc_hd__nand2_1 U54781 ( .A(n60893), .B(n55229), .Y(n84166) );
+  sky130_fd_sc_hd__clkinv_1 U54782 ( .A(n83755), .Y(n83760) );
+  sky130_fd_sc_hd__nand2_1 U54783 ( .A(n53487), .B(n60280), .Y(n73754) );
+  sky130_fd_sc_hd__inv_2 U54784 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[2]), .Y(n83318) );
+  sky130_fd_sc_hd__clkinv_1 U54785 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_io_deq_bits_MPORT_addr[2]), .Y(n83203) );
+  sky130_fd_sc_hd__clkinv_1 U54786 ( .A(n77632), .Y(n78461) );
+  sky130_fd_sc_hd__nand2_1 U54787 ( .A(n59502), .B(n61155), .Y(n81012) );
+  sky130_fd_sc_hd__clkinv_1 U54788 ( .A(n85290), .Y(n75709) );
+  sky130_fd_sc_hd__clkinv_1 U54789 ( .A(n79313), .Y(n60583) );
+  sky130_fd_sc_hd__nor2_1 U54790 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_55[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_55[0]), .Y(n53484) );
+  sky130_fd_sc_hd__clkinv_1 U54791 ( .A(n77612), .Y(n78378) );
+  sky130_fd_sc_hd__nand4bb_1 U54792 ( .A_N(n77038), .B_N(n77037), .C(n77046), 
+        .D(n77036), .Y(n77039) );
+  sky130_fd_sc_hd__clkinv_1 U54793 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[5]), .Y(n76833) );
+  sky130_fd_sc_hd__clkinv_1 U54794 ( .A(n78319), .Y(n83989) );
+  sky130_fd_sc_hd__buf_4 U54795 ( .A(n63060), .X(n63061) );
+  sky130_fd_sc_hd__nand2_1 U54796 ( .A(n39184), .B(n39183), .Y(n85519) );
+  sky130_fd_sc_hd__nor2_1 U54797 ( .A(n39487), .B(n42921), .Y(n39504) );
+  sky130_fd_sc_hd__inv_2 U54798 ( .A(n81007), .Y(n81009) );
+  sky130_fd_sc_hd__nand3_1 U54799 ( .A(n39356), .B(n38067), .C(n54896), .Y(
+        n39380) );
+  sky130_fd_sc_hd__nand2_1 U54800 ( .A(n86596), .B(n83826), .Y(n82497) );
+  sky130_fd_sc_hd__nand2_1 U54801 ( .A(n65134), .B(n41370), .Y(n54319) );
+  sky130_fd_sc_hd__a22oi_1 U54802 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[7]), .B1(n54277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[38]), .Y(n83224) );
+  sky130_fd_sc_hd__clkinv_1 U54803 ( .A(n78346), .Y(n78347) );
+  sky130_fd_sc_hd__inv_2 U54804 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[1]), .Y(n83314) );
+  sky130_fd_sc_hd__inv_2 U54805 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[0]), .Y(n83315) );
+  sky130_fd_sc_hd__inv_2 U54806 ( .A(n83134), .Y(n83830) );
+  sky130_fd_sc_hd__nand2_1 U54807 ( .A(n59932), .B(n83134), .Y(n83137) );
+  sky130_fd_sc_hd__and2_0 U54808 ( .A(n53202), .B(n53201), .X(n53199) );
+  sky130_fd_sc_hd__clkinv_1 U54809 ( .A(n54747), .Y(n53987) );
+  sky130_fd_sc_hd__inv_2 U54810 ( .A(n83071), .Y(n83831) );
+  sky130_fd_sc_hd__nand2_1 U54811 ( .A(n59932), .B(n83071), .Y(n83092) );
+  sky130_fd_sc_hd__o21ai_1 U54812 ( .A1(n83111), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state_1_0), 
+        .B1(n83195), .Y(n54747) );
+  sky130_fd_sc_hd__a22oi_1 U54813 ( .A1(n41818), .A2(n85219), .B1(n83327), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_dOrig[1]), .Y(n84028) );
+  sky130_fd_sc_hd__inv_2 U54814 ( .A(n84020), .Y(n84025) );
+  sky130_fd_sc_hd__and2_0 U54815 ( .A(n80776), .B(n80799), .X(n80778) );
+  sky130_fd_sc_hd__clkinv_1 U54816 ( .A(n49422), .Y(n63697) );
+  sky130_fd_sc_hd__nor2_1 U54817 ( .A(n67055), .B(n56391), .Y(n56818) );
+  sky130_fd_sc_hd__nor2_1 U54818 ( .A(n67052), .B(n56391), .Y(n56821) );
+  sky130_fd_sc_hd__clkinv_1 U54819 ( .A(n80891), .Y(n79316) );
+  sky130_fd_sc_hd__and2_0 U54820 ( .A(n49481), .B(n49480), .X(n37077) );
+  sky130_fd_sc_hd__o21ai_1 U54821 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_io_full), .A2(n63526), .B1(n59991), .Y(n84178) );
+  sky130_fd_sc_hd__clkinv_1 U54822 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23[26]), .Y(n52872) );
+  sky130_fd_sc_hd__clkinv_1 U54823 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_MPORT_addr[2]), .Y(n59504) );
+  sky130_fd_sc_hd__and2_0 U54824 ( .A(n52621), .B(n52622), .X(n37172) );
+  sky130_fd_sc_hd__clkinv_1 U54825 ( .A(n56787), .Y(n56275) );
+  sky130_fd_sc_hd__clkinv_1 U54826 ( .A(n80890), .Y(n80844) );
+  sky130_fd_sc_hd__clkinv_1 U54827 ( .A(n80895), .Y(n80902) );
+  sky130_fd_sc_hd__nand3_1 U54829 ( .A(n38432), .B(n60014), .C(n60013), .Y(
+        n81036) );
+  sky130_fd_sc_hd__clkinv_1 U54830 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[21]), .Y(n51790) );
+  sky130_fd_sc_hd__clkinv_1 U54831 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[11]), .Y(n51448) );
+  sky130_fd_sc_hd__clkinv_1 U54832 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[22]), .Y(n51369) );
+  sky130_fd_sc_hd__clkinv_1 U54833 ( .A(n81012), .Y(n59503) );
+  sky130_fd_sc_hd__clkinv_1 U54834 ( .A(n80206), .Y(n80245) );
+  sky130_fd_sc_hd__clkinv_1 U54835 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[17]), .Y(n63071) );
+  sky130_fd_sc_hd__clkinv_1 U54836 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_7[9]), .Y(n50871) );
+  sky130_fd_sc_hd__clkinv_1 U54837 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_7[9]), .Y(n50876) );
+  sky130_fd_sc_hd__clkinv_1 U54838 ( .A(n67104), .Y(n67105) );
+  sky130_fd_sc_hd__clkinv_1 U54839 ( .A(n80457), .Y(n80461) );
+  sky130_fd_sc_hd__a21boi_0 U54840 ( .A1(n36974), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[55]), .B1_N(n56612), .Y(n67089) );
+  sky130_fd_sc_hd__clkinv_1 U54841 ( .A(n73476), .Y(n81349) );
+  sky130_fd_sc_hd__clkinv_1 U54842 ( .A(n63474), .Y(n81355) );
+  sky130_fd_sc_hd__and2_0 U54843 ( .A(n49649), .B(n50021), .X(n49650) );
+  sky130_fd_sc_hd__nor2_1 U54844 ( .A(n37106), .B(n49536), .Y(n37975) );
+  sky130_fd_sc_hd__a21boi_0 U54845 ( .A1(n36974), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[63]), .B1_N(n56651), .Y(n73530) );
+  sky130_fd_sc_hd__clkinv_1 U54846 ( .A(n55594), .Y(n49202) );
+  sky130_fd_sc_hd__clkinv_1 U54847 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[31]), 
+        .Y(n49148) );
+  sky130_fd_sc_hd__clkinv_1 U54848 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[30]), .Y(n48205) );
+  sky130_fd_sc_hd__clkinv_1 U54849 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[30]), 
+        .Y(n48215) );
+  sky130_fd_sc_hd__clkinv_1 U54850 ( .A(n55046), .Y(n56151) );
+  sky130_fd_sc_hd__inv_2 U54851 ( .A(n57404), .Y(n50948) );
+  sky130_fd_sc_hd__and2_0 U54853 ( .A(n59839), .B(n59838), .X(n59969) );
+  sky130_fd_sc_hd__clkinv_1 U54854 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[29]), 
+        .Y(n48038) );
+  sky130_fd_sc_hd__inv_2 U54855 ( .A(n48361), .Y(n57570) );
+  sky130_fd_sc_hd__clkbuf_1 U54856 ( .A(n83857), .X(n83864) );
+  sky130_fd_sc_hd__nor2_1 U54857 ( .A(n38279), .B(n59450), .Y(n38278) );
+  sky130_fd_sc_hd__nand3_1 U54858 ( .A(n38071), .B(n59448), .C(n59442), .Y(
+        n62949) );
+  sky130_fd_sc_hd__clkinv_1 U54859 ( .A(n85581), .Y(n82421) );
+  sky130_fd_sc_hd__and2_0 U54860 ( .A(n45934), .B(n45933), .X(n45935) );
+  sky130_fd_sc_hd__nor2_2 U54861 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .B(n83777), .Y(n57571) );
+  sky130_fd_sc_hd__nor2_1 U54862 ( .A(n59545), .B(n62948), .Y(n59446) );
+  sky130_fd_sc_hd__a2bb2oi_1 U54864 ( .B1(n59612), .B2(n62943), .A1_N(n38238), 
+        .A2_N(n37660), .Y(n59613) );
+  sky130_fd_sc_hd__and2_0 U54865 ( .A(n42876), .B(n42875), .X(n38419) );
+  sky130_fd_sc_hd__nor2_1 U54866 ( .A(n75878), .B(n78276), .Y(n78520) );
+  sky130_fd_sc_hd__and2_0 U54867 ( .A(n39660), .B(n39659), .X(n64213) );
+  sky130_fd_sc_hd__clkinv_1 U54869 ( .A(n39674), .Y(n39679) );
+  sky130_fd_sc_hd__clkinv_1 U54870 ( .A(n80582), .Y(n80536) );
+  sky130_fd_sc_hd__clkbuf_1 U54871 ( .A(n83858), .X(n83869) );
+  sky130_fd_sc_hd__nor2_2 U54872 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[2]), .B(n83317), .Y(n83866) );
+  sky130_fd_sc_hd__and2_0 U54873 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[1]), .B(n57645), .X(n40674) );
+  sky130_fd_sc_hd__nor2_1 U54874 ( .A(n40730), .B(n40704), .Y(n60096) );
+  sky130_fd_sc_hd__clkinv_1 U54875 ( .A(n66129), .Y(n66131) );
+  sky130_fd_sc_hd__nor2_1 U54876 ( .A(n39344), .B(n36841), .Y(n66122) );
+  sky130_fd_sc_hd__clkinv_1 U54877 ( .A(n45284), .Y(n60093) );
+  sky130_fd_sc_hd__clkinv_1 U54878 ( .A(n70464), .Y(n70471) );
+  sky130_fd_sc_hd__clkbuf_1 U54879 ( .A(n55965), .X(n83867) );
+  sky130_fd_sc_hd__clkbuf_1 U54880 ( .A(n54709), .X(n83868) );
+  sky130_fd_sc_hd__nor3_1 U54881 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[1]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[0]), .Y(n83865) );
+  sky130_fd_sc_hd__nor3_1 U54882 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[1]), .B(n83318), .C(n83315), .Y(n83858) );
+  sky130_fd_sc_hd__nor2_2 U54883 ( .A(n83318), .B(n83317), .Y(n83870) );
+  sky130_fd_sc_hd__clkinv_1 U54884 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .Y(n55990) );
+  sky130_fd_sc_hd__inv_2 U54885 ( .A(n39369), .Y(n41836) );
+  sky130_fd_sc_hd__nor2_1 U54886 ( .A(n38032), .B(n37045), .Y(n38031) );
+  sky130_fd_sc_hd__clkinv_1 U54887 ( .A(n38035), .Y(n38032) );
+  sky130_fd_sc_hd__clkinv_1 U54888 ( .A(n37091), .Y(n37527) );
+  sky130_fd_sc_hd__nor2_1 U54889 ( .A(n39318), .B(n39971), .Y(n37502) );
+  sky130_fd_sc_hd__clkinv_1 U54890 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_cmd[2]), .Y(n75644) );
+  sky130_fd_sc_hd__and2_0 U54892 ( .A(n62931), .B(n62930), .X(n40204) );
+  sky130_fd_sc_hd__nand2b_1 U54893 ( .A_N(n62927), .B(n62925), .Y(n73253) );
+  sky130_fd_sc_hd__inv_2 U54894 ( .A(n39927), .Y(n68586) );
+  sky130_fd_sc_hd__nor2_1 U54896 ( .A(n78269), .B(n73118), .Y(n78527) );
+  sky130_fd_sc_hd__nor2_1 U54897 ( .A(n78271), .B(n73118), .Y(n78526) );
+  sky130_fd_sc_hd__clkinv_1 U54898 ( .A(n73118), .Y(n78529) );
+  sky130_fd_sc_hd__or3_1 U54899 ( .A(n83779), .B(n59836), .C(n59835), .X(
+        n83890) );
+  sky130_fd_sc_hd__inv_2 U54900 ( .A(n55992), .Y(n57558) );
+  sky130_fd_sc_hd__clkinv_1 U54901 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_cmd[2]), .Y(n76513) );
+  sky130_fd_sc_hd__clkinv_1 U54902 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count[1]), .Y(n64490) );
+  sky130_fd_sc_hd__clkinv_1 U54903 ( .A(n43314), .Y(n54228) );
+  sky130_fd_sc_hd__nor2b_1 U54904 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_lut[3]), .A(
+        n41371), .Y(n54218) );
+  sky130_fd_sc_hd__clkinv_1 U54905 ( .A(n53209), .Y(n56044) );
+  sky130_fd_sc_hd__clkinv_1 U54906 ( .A(n36827), .Y(n65227) );
+  sky130_fd_sc_hd__inv_2 U54907 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[0]), .Y(n41208) );
+  sky130_fd_sc_hd__clkbuf_1 U54908 ( .A(n73244), .X(n63856) );
+  sky130_fd_sc_hd__clkinv_1 U54909 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N47), .Y(n69929) );
+  sky130_fd_sc_hd__clkbuf_1 U54910 ( .A(n69932), .X(n69796) );
+  sky130_fd_sc_hd__and2_0 U54911 ( .A(n86393), .B(n65663), .X(n69934) );
+  sky130_fd_sc_hd__clkinv_1 U54912 ( .A(n65662), .Y(n69931) );
+  sky130_fd_sc_hd__clkinv_1 U54913 ( .A(n69523), .Y(n69476) );
+  sky130_fd_sc_hd__nor2_2 U54914 ( .A(n64184), .B(n85816), .Y(n64471) );
+  sky130_fd_sc_hd__nor2_2 U54915 ( .A(n64181), .B(n85816), .Y(n64470) );
+  sky130_fd_sc_hd__o2bb2ai_1 U54916 ( .B1(n41104), .B2(n41103), .A1_N(n37000), 
+        .A2_N(n37485), .Y(n63741) );
+  sky130_fd_sc_hd__clkinv_1 U54917 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[17]), .Y(n38039) );
+  sky130_fd_sc_hd__clkinv_1 U54918 ( .A(n36827), .Y(n65148) );
+  sky130_fd_sc_hd__clkinv_1 U54919 ( .A(n36827), .Y(n70205) );
+  sky130_fd_sc_hd__nand2_1 U54920 ( .A(n62866), .B(n62865), .Y(n70191) );
+  sky130_fd_sc_hd__inv_2 U54921 ( .A(n86306), .Y(n73156) );
+  sky130_fd_sc_hd__inv_2 U54922 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .Y(n70796) );
+  sky130_fd_sc_hd__clkinv_1 U54923 ( .A(n45979), .Y(n57665) );
+  sky130_fd_sc_hd__clkinv_1 U54924 ( .A(n45337), .Y(n57663) );
+  sky130_fd_sc_hd__inv_2 U54925 ( .A(n57647), .Y(n44604) );
+  sky130_fd_sc_hd__clkinv_1 U54926 ( .A(n43222), .Y(n57662) );
+  sky130_fd_sc_hd__nor2_2 U54927 ( .A(n53484), .B(n54120), .Y(n59976) );
+  sky130_fd_sc_hd__nand2_1 U54928 ( .A(n39531), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_request_refill_REG), .Y(n83062) );
+  sky130_fd_sc_hd__nor2b_1 U54929 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_maybe_full), .A(n54403), .Y(n83065) );
+  sky130_fd_sc_hd__inv_2 U54930 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_valid), .Y(n72374) );
+  sky130_fd_sc_hd__clkinv_1 U54931 ( .A(n63038), .Y(n70059) );
+  sky130_fd_sc_hd__inv_2 U54932 ( .A(n81366), .Y(n81367) );
+  sky130_fd_sc_hd__clkinv_1 U54933 ( .A(n77228), .Y(n77227) );
+  sky130_fd_sc_hd__and2_1 U54934 ( .A(n79524), .B(n79526), .X(n79529) );
+  sky130_fd_sc_hd__nor2_1 U54935 ( .A(n60776), .B(n60135), .Y(n61172) );
+  sky130_fd_sc_hd__clkinv_1 U54936 ( .A(n79544), .Y(n84258) );
+  sky130_fd_sc_hd__clkinv_1 U54938 ( .A(io_in[2]), .Y(n79533) );
+  sky130_fd_sc_hd__clkinv_1 U54939 ( .A(io_in[3]), .Y(n79540) );
+  sky130_fd_sc_hd__clkinv_1 U54940 ( .A(n59494), .Y(n59495) );
+  sky130_fd_sc_hd__o2bb2ai_1 U54941 ( .B1(n59492), .B2(n82462), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[2]), .Y(n59493) );
+  sky130_fd_sc_hd__and2_0 U54942 ( .A(n59433), .B(n59432), .X(n73141) );
+  sky130_fd_sc_hd__a21o_2 U54943 ( .A1(n36843), .A2(n61900), .B1(n61151), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_addr[8]) );
+  sky130_fd_sc_hd__o2bb2ai_1 U54944 ( .B1(n59499), .B2(n62952), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[3]), .Y(n59500) );
+  sky130_fd_sc_hd__inv_4 U54945 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .Y(n82466) );
+  sky130_fd_sc_hd__clkinv_1 U54946 ( .A(n77619), .Y(n78456) );
+  sky130_fd_sc_hd__clkinv_1 U54947 ( .A(n77492), .Y(io_oeb[23]) );
+  sky130_fd_sc_hd__clkbuf_1 U54948 ( .A(io_oeb[25]), .X(io_oeb[24]) );
+  sky130_fd_sc_hd__a31o_1 U54949 ( .A1(n68164), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_23[31]), .A3(n67881), .B1(n67880), .X(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N69) );
+  sky130_fd_sc_hd__and2_0 U54950 ( .A(n67875), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[15]), 
+        .X(n67876) );
+  sky130_fd_sc_hd__o2bb2ai_1 U54951 ( .B1(n37477), .B2(n37476), .A1_N(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[15]), .A2_N(n59669), .Y(n59674) );
+  sky130_fd_sc_hd__nor2_1 U54952 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[15]), .B(n59669), .Y(n37477) );
+  sky130_fd_sc_hd__a31o_1 U54953 ( .A1(n65310), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_state[2]), .A3(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_state[0]), .B1(n65309), .X(n65312) );
+  sky130_fd_sc_hd__clkinv_1 U54954 ( .A(n83776), .Y(n63469) );
+  sky130_fd_sc_hd__clkinv_1 U54956 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[9]), .Y(n72607) );
+  sky130_fd_sc_hd__clkinv_1 U54957 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[13]), .Y(n72615) );
+  sky130_fd_sc_hd__clkinv_1 U54958 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[20]), .Y(n72634) );
+  sky130_fd_sc_hd__clkinv_1 U54959 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[22]), .Y(n72632) );
+  sky130_fd_sc_hd__a21oi_1 U54960 ( .A1(n57644), .A2(n57643), .B1(n57642), .Y(
+        n85606) );
+  sky130_fd_sc_hd__a21oi_1 U54961 ( .A1(n57612), .A2(n57611), .B1(n57610), .Y(
+        n85603) );
+  sky130_fd_sc_hd__and2_0 U54962 ( .A(n85741), .B(n37037), .X(n86107) );
+  sky130_fd_sc_hd__and2_0 U54963 ( .A(n85742), .B(n85741), .X(n85358) );
+  sky130_fd_sc_hd__and2_0 U54964 ( .A(n85628), .B(n79910), .X(n86129) );
+  sky130_fd_sc_hd__inv_2 U54965 ( .A(n68891), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1564) );
+  sky130_fd_sc_hd__and2_0 U54966 ( .A(n85597), .B(n72568), .X(n85339) );
+  sky130_fd_sc_hd__and2_0 U54967 ( .A(n85597), .B(n86752), .X(n85598) );
+  sky130_fd_sc_hd__and2_0 U54968 ( .A(n85541), .B(n36801), .X(n86102) );
+  sky130_fd_sc_hd__clkinv_1 U54969 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[1]), .Y(n82569) );
+  sky130_fd_sc_hd__clkinv_1 U54970 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[4]), .Y(n82576) );
+  sky130_fd_sc_hd__a21boi_0 U54971 ( .A1(n61203), .A2(n82550), .B1_N(n82554), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1614) );
+  sky130_fd_sc_hd__clkinv_1 U54972 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[22]), .Y(n72755) );
+  sky130_fd_sc_hd__and2_1 U54973 ( .A(n64044), .B(n60049), .X(n86120) );
+  sky130_fd_sc_hd__and2_1 U54974 ( .A(n63982), .B(n60049), .X(n86121) );
+  sky130_fd_sc_hd__clkinv_1 U54975 ( .A(n59745), .Y(n86327) );
+  sky130_fd_sc_hd__clkinv_1 U54976 ( .A(n59746), .Y(n86314) );
+  sky130_fd_sc_hd__clkinv_1 U54978 ( .A(n59748), .Y(n86322) );
+  sky130_fd_sc_hd__inv_2 U54979 ( .A(n86321), .Y(n59748) );
+  sky130_fd_sc_hd__clkinv_1 U54980 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[8]), .Y(n63990) );
+  sky130_fd_sc_hd__clkinv_1 U54981 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[9]), .Y(n64003) );
+  sky130_fd_sc_hd__clkinv_1 U54982 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[10]), .Y(n64070) );
+  sky130_fd_sc_hd__clkinv_1 U54983 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[11]), .Y(n63997) );
+  sky130_fd_sc_hd__a21oi_1 U54984 ( .A1(n37661), .A2(n38350), .B1(n76522), .Y(
+        n38349) );
+  sky130_fd_sc_hd__clkinv_1 U54985 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[0]), .Y(n78194) );
+  sky130_fd_sc_hd__clkinv_1 U54986 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[2]), .Y(n78188) );
+  sky130_fd_sc_hd__clkinv_1 U54987 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[3]), .Y(n78185) );
+  sky130_fd_sc_hd__nand3_1 U54988 ( .A(n37927), .B(n37926), .C(n37923), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N575) );
+  sky130_fd_sc_hd__clkinv_1 U54989 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[2]), .Y(n67541) );
+  sky130_fd_sc_hd__clkinv_1 U54990 ( .A(n56945), .Y(n56946) );
+  sky130_fd_sc_hd__clkinv_1 U54991 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[11]), .Y(n67343) );
+  sky130_fd_sc_hd__clkinv_1 U54992 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[4]), .Y(n67691) );
+  sky130_fd_sc_hd__clkinv_1 U54993 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[10]), .Y(n68171) );
+  sky130_fd_sc_hd__clkinv_1 U54994 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[3]), .Y(n67127) );
+  sky130_fd_sc_hd__inv_2 U54995 ( .A(n86498), .Y(n73534) );
+  sky130_fd_sc_hd__nor3_2 U54996 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_MPORT_addr[2]), .B(n81306), .C(n81305), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N33) );
+  sky130_fd_sc_hd__and3b_1 U54997 ( .B(n81304), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_MPORT_addr[0]), .A_N(n81302), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N34) );
+  sky130_fd_sc_hd__and3b_1 U54998 ( .B(n81306), .C(n81304), .A_N(n81305), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N35) );
+  sky130_fd_sc_hd__inv_2 U54999 ( .A(n81301), .Y(n86597) );
+  sky130_fd_sc_hd__and2_0 U55000 ( .A(n61213), .B(n61212), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N43) );
+  sky130_fd_sc_hd__nor3_2 U55001 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_MPORT_addr[2]), .B(n76258), .C(n76257), .Y(n86467) );
+  sky130_fd_sc_hd__clkinv_1 U55002 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[6]), .Y(n78176) );
+  sky130_fd_sc_hd__and3b_1 U55003 ( .B(n81075), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_MPORT_addr[0]), .A_N(n81073), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N34) );
+  sky130_fd_sc_hd__nor3_2 U55004 ( .A(n81074), .B(n81075), .C(n62973), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N28) );
+  sky130_fd_sc_hd__and2_0 U55005 ( .A(n61208), .B(n61207), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N43) );
+  sky130_fd_sc_hd__clkinv_1 U55006 ( .A(n81085), .Y(n60413) );
+  sky130_fd_sc_hd__clkinv_1 U55007 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[23]), 
+        .Y(n67699) );
+  sky130_fd_sc_hd__clkinv_1 U55008 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[26]), 
+        .Y(n68066) );
+  sky130_fd_sc_hd__and2_0 U55009 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_scale_io_regs_cfg_write_deglitch), .B(n67212), .X(n86089) );
+  sky130_fd_sc_hd__clkinv_1 U55010 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[4]), .Y(n68270) );
+  sky130_fd_sc_hd__clkinv_1 U55011 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[6]), .Y(n67551) );
+  sky130_fd_sc_hd__clkinv_1 U55012 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[49]), .Y(
+        n67136) );
+  sky130_fd_sc_hd__clkinv_1 U55013 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[2]), .Y(n68165) );
+  sky130_fd_sc_hd__a31o_1 U55014 ( .A1(n68164), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_23[30]), .A3(n68163), .B1(n68162), .X(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N68) );
+  sky130_fd_sc_hd__maj3_1 U55015 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[15]), 
+        .B(n68160), .C(n68159), .X(n68161) );
+  sky130_fd_sc_hd__clkinv_1 U55016 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[3]), .Y(n67882) );
+  sky130_fd_sc_hd__clkinv_1 U55017 ( .A(n67740), .Y(n62055) );
+  sky130_fd_sc_hd__clkinv_1 U55018 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[4]), .Y(n67782) );
+  sky130_fd_sc_hd__clkinv_1 U55019 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[21]), 
+        .Y(n67584) );
+  sky130_fd_sc_hd__clkinv_1 U55020 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[3]), .Y(n67777) );
+  sky130_fd_sc_hd__clkinv_1 U55021 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[24]), 
+        .Y(n67704) );
+  sky130_fd_sc_hd__and2_0 U55022 ( .A(n85343), .B(n57100), .X(n56231) );
+  sky130_fd_sc_hd__clkinv_1 U55023 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[7]), 
+        .Y(n67765) );
+  sky130_fd_sc_hd__clkinv_1 U55024 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[6]), .Y(n67060) );
+  sky130_fd_sc_hd__clkinv_1 U55025 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[0]), .Y(n67421) );
+  sky130_fd_sc_hd__clkinv_1 U55026 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[27]), 
+        .Y(n67020) );
+  sky130_fd_sc_hd__clkinv_1 U55027 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[9]), 
+        .Y(n78166) );
+  sky130_fd_sc_hd__clkinv_1 U55028 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[10]), .Y(n78164) );
+  sky130_fd_sc_hd__clkinv_1 U55029 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[12]), .Y(n78160) );
+  sky130_fd_sc_hd__clkinv_1 U55030 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[13]), .Y(n78158) );
+  sky130_fd_sc_hd__clkinv_1 U55031 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[1]), .Y(n67686) );
+  sky130_fd_sc_hd__clkinv_1 U55032 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[0]), .Y(n67723) );
+  sky130_fd_sc_hd__clkinv_1 U55033 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[1]), .Y(n67712) );
+  sky130_fd_sc_hd__clkinv_1 U55034 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[2]), .Y(n68283) );
+  sky130_fd_sc_hd__clkinv_1 U55035 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[8]), 
+        .Y(n67759) );
+  sky130_fd_sc_hd__clkinv_1 U55036 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[9]), 
+        .Y(n67771) );
+  sky130_fd_sc_hd__clkinv_1 U55037 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[13]), .Y(n67566) );
+  sky130_fd_sc_hd__clkinv_1 U55038 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[50]), .Y(
+        n68003) );
+  sky130_fd_sc_hd__clkinv_1 U55039 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[51]), .Y(
+        n67996) );
+  sky130_fd_sc_hd__clkinv_1 U55040 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[52]), .Y(
+        n67531) );
+  sky130_fd_sc_hd__clkinv_1 U55041 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[53]), .Y(
+        n67664) );
+  sky130_fd_sc_hd__clkinv_1 U55042 ( .A(n79636), .Y(n79638) );
+  sky130_fd_sc_hd__clkinv_1 U55043 ( .A(n79577), .Y(n79579) );
+  sky130_fd_sc_hd__and2_0 U55044 ( .A(n79910), .B(n62903), .X(n86269) );
+  sky130_fd_sc_hd__and2_0 U55045 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_out_f_data_0), 
+        .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_out_prepend_1[6]), .X(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_intsource_auto_in_0) );
+  sky130_fd_sc_hd__and2_0 U55046 ( .A(n83351), .B(n62905), .X(n86270) );
+  sky130_fd_sc_hd__clkinv_1 U55047 ( .A(n80247), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N268) );
+  sky130_fd_sc_hd__clkinv_1 U55048 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[7]), .Y(n79131) );
+  sky130_fd_sc_hd__and2_0 U55049 ( .A(n77807), .B(n79222), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N77) );
+  sky130_fd_sc_hd__and2_0 U55050 ( .A(n85834), .B(n62005), .X(n85936) );
+  sky130_fd_sc_hd__and2_0 U55051 ( .A(n85834), .B(n62006), .X(n85937) );
+  sky130_fd_sc_hd__and2_0 U55052 ( .A(n85230), .B(n51609), .X(n85231) );
+  sky130_fd_sc_hd__and2_0 U55053 ( .A(n85837), .B(n62005), .X(n85985) );
+  sky130_fd_sc_hd__and2_0 U55054 ( .A(n85837), .B(n62006), .X(n85986) );
+  sky130_fd_sc_hd__clkinv_1 U55055 ( .A(n67218), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N60) );
+  sky130_fd_sc_hd__and2_0 U55056 ( .A(n79411), .B(n80669), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_N51)
+         );
+  sky130_fd_sc_hd__and2_0 U55057 ( .A(n83305), .B(n83308), .X(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N38) );
+  sky130_fd_sc_hd__a21oi_1 U55058 ( .A1(n56061), .A2(n56060), .B1(n56059), .Y(
+        n85642) );
+  sky130_fd_sc_hd__a21oi_1 U55059 ( .A1(n56053), .A2(n56052), .B1(n56051), .Y(
+        n85745) );
+  sky130_fd_sc_hd__and2_0 U55060 ( .A(n85743), .B(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .X(
+        n86103) );
+  sky130_fd_sc_hd__clkinv_1 U55061 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_mpp[1]), .Y(n78254) );
+  sky130_fd_sc_hd__and2_0 U55062 ( .A(n85489), .B(n62901), .X(n86149) );
+  sky130_fd_sc_hd__a21boi_0 U55063 ( .A1(n77628), .A2(n78453), .B1_N(n76831), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N787) );
+  sky130_fd_sc_hd__nor2_2 U55064 ( .A(n60971), .B(n60974), .Y(n59865) );
+  sky130_fd_sc_hd__a22oi_1 U55065 ( .A1(n69744), .A2(n69745), .B1(n69743), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[61]), .Y(n37432) );
+  sky130_fd_sc_hd__a22oi_1 U55066 ( .A1(n69628), .A2(n69745), .B1(n69743), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[59]), .Y(n37420) );
+  sky130_fd_sc_hd__a22oi_1 U55067 ( .A1(n69636), .A2(n69745), .B1(n69743), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[57]), .Y(n37412) );
+  sky130_fd_sc_hd__a22oi_1 U55068 ( .A1(n69644), .A2(n69745), .B1(n69743), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[55]), .Y(n37404) );
+  sky130_fd_sc_hd__a22oi_1 U55069 ( .A1(n69662), .A2(n69745), .B1(n69743), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[50]), .Y(n37335) );
+  sky130_fd_sc_hd__clkinv_1 U55070 ( .A(n64486), .Y(n64487) );
+  sky130_fd_sc_hd__and2_1 U55071 ( .A(n36100), .B(n62081), .X(n86054) );
+  sky130_fd_sc_hd__and2_1 U55072 ( .A(n36116), .B(n62083), .X(n86053) );
+  sky130_fd_sc_hd__nand2_1 U55073 ( .A(n81269), .B(n86752), .Y(n36116) );
+  sky130_fd_sc_hd__nand2_1 U55074 ( .A(n76379), .B(n83116), .Y(n36124) );
+  sky130_fd_sc_hd__and2_1 U55076 ( .A(n36132), .B(n62083), .X(n86032) );
+  sky130_fd_sc_hd__and2_1 U55077 ( .A(n36132), .B(n62028), .X(n86033) );
+  sky130_fd_sc_hd__and2_0 U55078 ( .A(n77788), .B(n79041), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N77) );
+  sky130_fd_sc_hd__and2_0 U55079 ( .A(n77816), .B(n79310), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N77) );
+  sky130_fd_sc_hd__o21ai_1 U55080 ( .A1(n63700), .A2(n60348), .B1(n63699), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__gang_T_3)
+         );
+  sky130_fd_sc_hd__clkinv_1 U55081 ( .A(n67397), .Y(n67398) );
+  sky130_fd_sc_hd__inv_2 U55082 ( .A(n73504), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N63) );
+  sky130_fd_sc_hd__inv_2 U55083 ( .A(n67451), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N61) );
+  sky130_fd_sc_hd__nor2_1 U55084 ( .A(n56007), .B(n37548), .Y(n37553) );
+  sky130_fd_sc_hd__and2_0 U55085 ( .A(n79913), .B(n62005), .X(n85991) );
+  sky130_fd_sc_hd__and2_0 U55086 ( .A(io_in[12]), .B(io_oeb[13]), .X(
+        MarmotCaravelChip_dut_io_pins_qspi_dq_2_i_ival) );
+  sky130_fd_sc_hd__and2_0 U55087 ( .A(io_in[13]), .B(io_oeb[13]), .X(
+        MarmotCaravelChip_dut_io_pins_qspi_dq_3_i_ival) );
+  sky130_fd_sc_hd__and2_0 U55088 ( .A(io_oeb[22]), .B(io_in[22]), .X(
+        MarmotCaravelChip_dut_io_pins_qspi_ram_dq_0_i_ival) );
+  sky130_fd_sc_hd__and2_0 U55089 ( .A(io_in[24]), .B(io_oeb[25]), .X(
+        MarmotCaravelChip_dut_io_pins_qspi_ram_dq_2_i_ival) );
+  sky130_fd_sc_hd__and2_0 U55090 ( .A(io_in[25]), .B(io_oeb[25]), .X(
+        MarmotCaravelChip_dut_io_pins_qspi_ram_dq_3_i_ival) );
+  sky130_fd_sc_hd__clkinv_1 U55091 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_io_link_fmt_iodir), .Y(n77930) );
+  sky130_fd_sc_hd__a21boi_0 U55092 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft[0]), .A2(n84014), .B1_N(n84018), .Y(n84017) );
+  sky130_fd_sc_hd__clkinv_1 U55093 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_scause[4]), .Y(n69975) );
+  sky130_fd_sc_hd__and2_0 U55094 ( .A(n85488), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_flush_pipe), .X(n86095) );
+  sky130_fd_sc_hd__and2_0 U55095 ( .A(n85596), .B(n83351), .X(n86101) );
+  sky130_fd_sc_hd__and2_0 U55096 ( .A(n85750), .B(n79910), .X(n86105) );
+  sky130_fd_sc_hd__and2_0 U55097 ( .A(n85749), .B(n37039), .X(n86106) );
+  sky130_fd_sc_hd__and2_0 U55098 ( .A(n85552), .B(n79910), .X(n86099) );
+  sky130_fd_sc_hd__and2_0 U55099 ( .A(n85794), .B(n36846), .X(n86108) );
+  sky130_fd_sc_hd__and2_0 U55100 ( .A(n85751), .B(n36846), .X(n85917) );
+  sky130_fd_sc_hd__and2_0 U55101 ( .A(n85822), .B(n49230), .X(n85176) );
+  sky130_fd_sc_hd__clkinv_1 U55102 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[7]), .Y(n70372) );
+  sky130_fd_sc_hd__inv_2 U55103 ( .A(n70409), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1541) );
+  sky130_fd_sc_hd__clkinv_1 U55104 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[8]), .Y(n68544) );
+  sky130_fd_sc_hd__inv_2 U55105 ( .A(n64222), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1557) );
+  sky130_fd_sc_hd__inv_2 U55106 ( .A(n68860), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1559) );
+  sky130_fd_sc_hd__nor2_1 U55107 ( .A(n38082), .B(n37210), .Y(n38081) );
+  sky130_fd_sc_hd__clkinv_1 U55108 ( .A(n38106), .Y(n70200) );
+  sky130_fd_sc_hd__clkinv_1 U55109 ( .A(n38084), .Y(n63932) );
+  sky130_fd_sc_hd__nor2_1 U55110 ( .A(n38034), .B(n37044), .Y(n38033) );
+  sky130_fd_sc_hd__clkinv_1 U55111 ( .A(n70483), .Y(n85822) );
+  sky130_fd_sc_hd__a21oi_1 U55112 ( .A1(n55612), .A2(n55611), .B1(n55610), .Y(
+        n85631) );
+  sky130_fd_sc_hd__clkinv_1 U55113 ( .A(n76399), .Y(n85764) );
+  sky130_fd_sc_hd__and2_0 U55114 ( .A(n85591), .B(n85877), .X(n85169) );
+  sky130_fd_sc_hd__and2_0 U55115 ( .A(n55604), .B(n70399), .X(n55605) );
+  sky130_fd_sc_hd__and2_0 U55116 ( .A(n79913), .B(n62006), .X(n85992) );
+  sky130_fd_sc_hd__clkinv_1 U55117 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_10[0]), .Y(n77879) );
+  sky130_fd_sc_hd__clkinv_1 U55118 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N32), .Y(n80715) );
+  sky130_fd_sc_hd__and2_0 U55119 ( .A(n36846), .B(n62906), .X(n86271) );
+  sky130_fd_sc_hd__and2_0 U55120 ( .A(n83116), .B(n62907), .X(n86272) );
+  sky130_fd_sc_hd__clkinv_1 U55121 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__nextCmd_T[5]), 
+        .Y(n75856) );
+  sky130_fd_sc_hd__clkinv_1 U55122 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__nextCmd_T[4]), 
+        .Y(n75855) );
+  sky130_fd_sc_hd__and2_0 U55123 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_out_f_data_0), 
+        .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_out_prepend_1[6]), .X(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_intsource_auto_in_0) );
+  sky130_fd_sc_hd__clkinv_1 U55124 ( .A(n79827), .Y(n79829) );
+  sky130_fd_sc_hd__and2_0 U55125 ( .A(n36239), .B(n62009), .X(n86013) );
+  sky130_fd_sc_hd__and2_0 U55126 ( .A(n36240), .B(n62009), .X(n86007) );
+  sky130_fd_sc_hd__and2_0 U55127 ( .A(n73766), .B(n83546), .X(n85921) );
+  sky130_fd_sc_hd__nand3_1 U55128 ( .A(n55555), .B(n55553), .C(n83550), .Y(
+        n85124) );
+  sky130_fd_sc_hd__clkinv_1 U55129 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_acknum[3]), .Y(n76412) );
+  sky130_fd_sc_hd__and2_0 U55130 ( .A(n76264), .B(n63474), .X(n86073) );
+  sky130_fd_sc_hd__and2_0 U55131 ( .A(n36244), .B(n62009), .X(n86019) );
+  sky130_fd_sc_hd__nand2_1 U55132 ( .A(n86753), .B(n81023), .Y(n36146) );
+  sky130_fd_sc_hd__and2_0 U55133 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_6[0]), .B(n79910), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_N16) );
+  sky130_fd_sc_hd__and2_0 U55134 ( .A(n73873), .B(n85756), .X(n86064) );
+  sky130_fd_sc_hd__and2_0 U55135 ( .A(n73873), .B(n85576), .X(n86065) );
+  sky130_fd_sc_hd__and2_1 U55137 ( .A(n73873), .B(n85285), .X(n86051) );
+  sky130_fd_sc_hd__inv_2 U55138 ( .A(n80719), .Y(n36174) );
+  sky130_fd_sc_hd__and2_1 U55139 ( .A(n73873), .B(n85287), .X(n86066) );
+  sky130_fd_sc_hd__clkinv_1 U55140 ( .A(n67438), .Y(n67440) );
+  sky130_fd_sc_hd__clkinv_1 U55142 ( .A(n67431), .Y(n67433) );
+  sky130_fd_sc_hd__clkinv_1 U55143 ( .A(n67436), .Y(n67432) );
+  sky130_fd_sc_hd__and2_0 U55144 ( .A(n85577), .B(n62847), .X(n86076) );
+  sky130_fd_sc_hd__and2_0 U55145 ( .A(n85580), .B(n62847), .X(n85963) );
+  sky130_fd_sc_hd__and2_0 U55146 ( .A(n85671), .B(n62847), .X(n85922) );
+  sky130_fd_sc_hd__and2_0 U55147 ( .A(n85833), .B(n62847), .X(n86077) );
+  sky130_fd_sc_hd__and2_0 U55148 ( .A(n85571), .B(n62847), .X(n85923) );
+  sky130_fd_sc_hd__and2_0 U55149 ( .A(n62857), .B(n62854), .X(n86086) );
+  sky130_fd_sc_hd__and2_0 U55150 ( .A(n62857), .B(n62855), .X(n86087) );
+  sky130_fd_sc_hd__and2_0 U55151 ( .A(n62857), .B(n62856), .X(n86088) );
+  sky130_fd_sc_hd__and2_0 U55152 ( .A(n85833), .B(n62850), .X(n86078) );
+  sky130_fd_sc_hd__and2_0 U55153 ( .A(n85668), .B(n62850), .X(n86083) );
+  sky130_fd_sc_hd__and2_0 U55154 ( .A(n85833), .B(n62851), .X(n86079) );
+  sky130_fd_sc_hd__and2_0 U55155 ( .A(n85668), .B(n62851), .X(n86084) );
+  sky130_fd_sc_hd__and2_0 U55156 ( .A(n85833), .B(n62852), .X(n86080) );
+  sky130_fd_sc_hd__and2_0 U55157 ( .A(n85668), .B(n62852), .X(n86085) );
+  sky130_fd_sc_hd__nor2_1 U55158 ( .A(n55464), .B(n37548), .Y(n37552) );
+  sky130_fd_sc_hd__and2_0 U55159 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_scale_io_regs_cfg_write_deglitch), .B(n67212), .X(n86090) );
+  sky130_fd_sc_hd__and2_1 U55160 ( .A(n85589), .B(n62084), .X(n85933) );
+  sky130_fd_sc_hd__and2_0 U55161 ( .A(n85589), .B(n62085), .X(n85934) );
+  sky130_fd_sc_hd__clkbuf_1 U55162 ( .A(n48007), .X(n85057) );
+  sky130_fd_sc_hd__clkinv_1 U55163 ( .A(n49035), .Y(n85053) );
+  sky130_fd_sc_hd__a21o_2 U55165 ( .A1(n47520), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[37]), .B1(n37547), .X(n85042) );
+  sky130_fd_sc_hd__nor2_1 U55166 ( .A(n47499), .B(n47520), .Y(n37547) );
+  sky130_fd_sc_hd__and2_0 U55167 ( .A(n73763), .B(n75730), .X(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_N26) );
+  sky130_fd_sc_hd__and2_0 U55168 ( .A(n60151), .B(n60150), .X(n85948) );
+  sky130_fd_sc_hd__inv_1 U55169 ( .A(n85528), .Y(n63507) );
+  sky130_fd_sc_hd__clkinv_1 U55170 ( .A(n59505), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N153) );
+  sky130_fd_sc_hd__nand3_1 U55171 ( .A(n55305), .B(n83673), .C(n83659), .Y(
+        n85127) );
+  sky130_fd_sc_hd__a21boi_0 U55172 ( .A1(n83655), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[0]), .B1_N(n83662), .Y(n83657) );
+  sky130_fd_sc_hd__clkinv_1 U55173 ( .A(n63487), .Y(n86578) );
+  sky130_fd_sc_hd__and2_0 U55174 ( .A(n83615), .B(n61469), .X(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_N34) );
+  sky130_fd_sc_hd__nor2_1 U55175 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[42]), .B(n55160), .Y(n37705) );
+  sky130_fd_sc_hd__and2_0 U55176 ( .A(n63472), .B(n83762), .X(n85958) );
+  sky130_fd_sc_hd__clkinv_1 U55177 ( .A(n76327), .Y(n76334) );
+  sky130_fd_sc_hd__clkinv_1 U55178 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_acknum[3]), .Y(n76329) );
+  sky130_fd_sc_hd__nor2_1 U55180 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[47]), .B(n55203), .Y(n37820) );
+  sky130_fd_sc_hd__a21boi_0 U55181 ( .A1(n55097), .A2(n55436), .B1_N(n55437), 
+        .Y(n85469) );
+  sky130_fd_sc_hd__and2_0 U55182 ( .A(n76453), .B(n62022), .X(n86027) );
+  sky130_fd_sc_hd__clkbuf_1 U55183 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N142), .X(n86456) );
+  sky130_fd_sc_hd__clkbuf_1 U55184 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N143), .X(n86457) );
+  sky130_fd_sc_hd__clkbuf_1 U55185 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N144), .X(n86458) );
+  sky130_fd_sc_hd__clkbuf_1 U55186 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N147), .X(n86461) );
+  sky130_fd_sc_hd__clkbuf_1 U55187 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N148), .X(n86462) );
+  sky130_fd_sc_hd__clkinv_1 U55188 ( .A(n73770), .Y(n86496) );
+  sky130_fd_sc_hd__nor2_1 U55189 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_opcode[3]), .B(n47496), .Y(n37837) );
+  sky130_fd_sc_hd__and2_2 U55190 ( .A(n83999), .B(n59759), .X(n85949) );
+  sky130_fd_sc_hd__clkinv_1 U55191 ( .A(n54851), .Y(n85437) );
+  sky130_fd_sc_hd__clkinv_1 U55192 ( .A(n82506), .Y(n82508) );
+  sky130_fd_sc_hd__and2_0 U55193 ( .A(n54978), .B(n54977), .X(n54982) );
+  sky130_fd_sc_hd__clkbuf_1 U55194 ( .A(n86590), .X(n86394) );
+  sky130_fd_sc_hd__and2_0 U55195 ( .A(n54975), .B(n54974), .X(n84822) );
+  sky130_fd_sc_hd__or2_0 U55196 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[2]), .B(n72802), .X(n54975) );
+  sky130_fd_sc_hd__clkinv_1 U55197 ( .A(n54929), .Y(n37514) );
+  sky130_fd_sc_hd__and2_0 U55199 ( .A(n72716), .B(n72715), .X(n72717) );
+  sky130_fd_sc_hd__nor2_1 U55200 ( .A(n37215), .B(n54907), .Y(n54922) );
+  sky130_fd_sc_hd__nand2_1 U55201 ( .A(n39216), .B(n39215), .Y(n85503) );
+  sky130_fd_sc_hd__clkinv_1 U55202 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_csb0[0]), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_N3) );
+  sky130_fd_sc_hd__nand2_1 U55203 ( .A(n53218), .B(n53217), .Y(n85432) );
+  sky130_fd_sc_hd__a21boi_0 U55204 ( .A1(n54895), .A2(n54894), .B1_N(n54893), 
+        .Y(n85476) );
+  sky130_fd_sc_hd__and2_0 U55205 ( .A(n85476), .B(n85878), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_N39) );
+  sky130_fd_sc_hd__a21boi_0 U55206 ( .A1(n54894), .A2(n54884), .B1_N(n54885), 
+        .Y(n85474) );
+  sky130_fd_sc_hd__and2_0 U55207 ( .A(n85475), .B(n85878), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_N37) );
+  sky130_fd_sc_hd__nor2_1 U55208 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N43), .B(n82450), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N16) );
+  sky130_fd_sc_hd__and2_0 U55209 ( .A(n86414), .B(n36846), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N50) );
+  sky130_fd_sc_hd__and2_0 U55210 ( .A(n85474), .B(n85878), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_N38) );
+  sky130_fd_sc_hd__and2_0 U55211 ( .A(n61467), .B(n68028), .X(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_69) );
+  sky130_fd_sc_hd__and2_0 U55212 ( .A(n61468), .B(n68028), .X(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_71) );
+  sky130_fd_sc_hd__and2_0 U55213 ( .A(n85780), .B(n85481), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_N37) );
+  sky130_fd_sc_hd__and2_0 U55214 ( .A(n85478), .B(n85481), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_N36) );
+  sky130_fd_sc_hd__and2_0 U55215 ( .A(n85480), .B(n85481), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_N34) );
+  sky130_fd_sc_hd__and2_0 U55216 ( .A(n85479), .B(n85481), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_N35) );
+  sky130_fd_sc_hd__o22ai_1 U55217 ( .A1(n54996), .A2(n37317), .B1(n37316), 
+        .B2(n36850), .Y(n85803) );
+  sky130_fd_sc_hd__clkinv_1 U55218 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[22]), .Y(n37316) );
+  sky130_fd_sc_hd__clkinv_1 U55219 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[52]), .Y(n37317) );
+  sky130_fd_sc_hd__o22ai_1 U55220 ( .A1(n54996), .A2(n37319), .B1(n37318), 
+        .B2(n36850), .Y(n85802) );
+  sky130_fd_sc_hd__clkinv_1 U55221 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[21]), .Y(n37318) );
+  sky130_fd_sc_hd__clkinv_1 U55222 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[51]), .Y(n37319) );
+  sky130_fd_sc_hd__o22ai_1 U55223 ( .A1(n54996), .A2(n37321), .B1(n37320), 
+        .B2(n36850), .Y(n85800) );
+  sky130_fd_sc_hd__clkinv_1 U55224 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[19]), .Y(n37320) );
+  sky130_fd_sc_hd__clkinv_1 U55225 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[49]), .Y(n37321) );
+  sky130_fd_sc_hd__clkbuf_1 U55226 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_N7), .X(n86454) );
+  sky130_fd_sc_hd__clkinv_1 U55227 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_auto_in_d_bits_source[3]), .Y(n83389) );
+  sky130_fd_sc_hd__and2_0 U55228 ( .A(n86752), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N26), .X(n85886) );
+  sky130_fd_sc_hd__nor2_1 U55229 ( .A(n63708), .B(n83413), .Y(n86376) );
+  sky130_fd_sc_hd__clkinv_1 U55230 ( .A(n78331), .Y(n85436) );
+  sky130_fd_sc_hd__clkinv_1 U55231 ( .A(n78329), .Y(n84867) );
+  sky130_fd_sc_hd__nor2_1 U55232 ( .A(n83350), .B(n63707), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_N9) );
+  sky130_fd_sc_hd__clkinv_1 U55233 ( .A(n78345), .Y(n84866) );
+  sky130_fd_sc_hd__clkinv_1 U55234 ( .A(n41667), .Y(n85782) );
+  sky130_fd_sc_hd__nand2_1 U55235 ( .A(n55037), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_size[1]), .Y(n37277) );
+  sky130_fd_sc_hd__inv_1 U55236 ( .A(n83244), .Y(n84641) );
+  sky130_fd_sc_hd__inv_1 U55237 ( .A(n83241), .Y(n84632) );
+  sky130_fd_sc_hd__inv_2 U55238 ( .A(n83230), .Y(n84599) );
+  sky130_fd_sc_hd__clkinv_1 U55239 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[7]), .Y(n78368) );
+  sky130_fd_sc_hd__clkinv_1 U55240 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[6]), .Y(n78367) );
+  sky130_fd_sc_hd__clkinv_1 U55241 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter[3]), .Y(n82995) );
+  sky130_fd_sc_hd__a21boi_0 U55242 ( .A1(n78224), .A2(n78223), .B1_N(n78222), 
+        .Y(n78226) );
+  sky130_fd_sc_hd__clkinv_1 U55243 ( .A(n83269), .Y(n83270) );
+  sky130_fd_sc_hd__and2_0 U55244 ( .A(n54156), .B(n54155), .X(n54158) );
+  sky130_fd_sc_hd__nand2_1 U55245 ( .A(n39208), .B(n39207), .Y(n85499) );
+  sky130_fd_sc_hd__inv_4 U55246 ( .A(n76545), .Y(n86596) );
+  sky130_fd_sc_hd__clkinv_1 U55247 ( .A(n84160), .Y(n85779) );
+  sky130_fd_sc_hd__inv_1 U55248 ( .A(n83246), .Y(n84521) );
+  sky130_fd_sc_hd__clkinv_1 U55249 ( .A(n37605), .Y(n85004) );
+  sky130_fd_sc_hd__and2_0 U55250 ( .A(n76453), .B(n62023), .X(n86028) );
+  sky130_fd_sc_hd__clkinv_1 U55251 ( .A(n63453), .Y(n63456) );
+  sky130_fd_sc_hd__clkinv_1 U55252 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_auto_qspi_ram_0_mem_xing_in_d_bits_source[4]), .Y(n83342) );
+  sky130_fd_sc_hd__clkinv_1 U55253 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_auto_qspi_ram_0_mem_xing_in_d_bits_source[3]), .Y(n83338) );
+  sky130_fd_sc_hd__nor2_1 U55254 ( .A(n60345), .B(n60295), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N119) );
+  sky130_fd_sc_hd__and2_0 U55256 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__repl_way_v0_T[13]), .B(n77632), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N21) );
+  sky130_fd_sc_hd__and2_0 U55257 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__repl_way_v0_T[12]), .B(n77632), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N20) );
+  sky130_fd_sc_hd__and2_0 U55258 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__repl_way_v0_T[10]), .B(n77632), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N18) );
+  sky130_fd_sc_hd__clkinv_1 U55259 ( .A(n53499), .Y(n85909) );
+  sky130_fd_sc_hd__clkinv_1 U55260 ( .A(n54318), .Y(n85461) );
+  sky130_fd_sc_hd__clkinv_1 U55261 ( .A(n75709), .Y(n85756) );
+  sky130_fd_sc_hd__clkinv_1 U55262 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_435[1]), .Y(n73137) );
+  sky130_fd_sc_hd__clkinv_1 U55263 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N7), .Y(n77616) );
+  sky130_fd_sc_hd__and2_0 U55264 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr[13]), .B(n77612), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N21) );
+  sky130_fd_sc_hd__and2_0 U55265 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr[12]), .B(n77612), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N20) );
+  sky130_fd_sc_hd__and2_0 U55266 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr[10]), .B(n77612), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N18) );
+  sky130_fd_sc_hd__and2_0 U55267 ( .A(n62919), .B(n79910), .X(n86278) );
+  sky130_fd_sc_hd__and2_0 U55268 ( .A(n62884), .B(n37040), .X(n86132) );
+  sky130_fd_sc_hd__and2_0 U55269 ( .A(n36833), .B(n37040), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N50)
+         );
+  sky130_fd_sc_hd__and2_0 U55270 ( .A(n62910), .B(n62916), .X(n86274) );
+  sky130_fd_sc_hd__and2_0 U55271 ( .A(n62912), .B(n62916), .X(n86275) );
+  sky130_fd_sc_hd__and2_0 U55272 ( .A(n62914), .B(n62916), .X(n86276) );
+  sky130_fd_sc_hd__and2_0 U55273 ( .A(n62917), .B(n62916), .X(n86277) );
+  sky130_fd_sc_hd__and2_0 U55274 ( .A(n62873), .B(n62916), .X(n86124) );
+  sky130_fd_sc_hd__and2_0 U55275 ( .A(n62875), .B(n62916), .X(n86125) );
+  sky130_fd_sc_hd__and2_0 U55276 ( .A(n62877), .B(n62916), .X(n86126) );
+  sky130_fd_sc_hd__and2_0 U55277 ( .A(n62879), .B(n62916), .X(n86127) );
+  sky130_fd_sc_hd__and2_0 U55278 ( .A(n62916), .B(n62902), .X(n86176) );
+  sky130_fd_sc_hd__and2_0 U55279 ( .A(n62881), .B(n62916), .X(n86128) );
+  sky130_fd_sc_hd__mux2_2 U55280 ( .A0(n85517), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[13]), .S(n73340), .X(n86267) );
+  sky130_fd_sc_hd__mux2_2 U55281 ( .A0(n85510), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[17]), .S(n73340), .X(n86242) );
+  sky130_fd_sc_hd__mux2_2 U55282 ( .A0(n37895), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[0]), .S(n73340), .X(n86243) );
+  sky130_fd_sc_hd__mux2_2 U55283 ( .A0(n85510), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[17]), .S(n76241), .X(n86235) );
+  sky130_fd_sc_hd__nand2_1 U55284 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[1]), .B(n39237), .Y(n39187) );
+  sky130_fd_sc_hd__nand2_1 U55285 ( .A(n39200), .B(n39199), .Y(n85513) );
+  sky130_fd_sc_hd__nand2_1 U55286 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[14]), .B(n39237), .Y(n39190) );
+  sky130_fd_sc_hd__nand2_1 U55287 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[15]), .B(n39237), .Y(n39179) );
+  sky130_fd_sc_hd__nor2_1 U55288 ( .A(n37144), .B(n86288), .Y(n63723) );
+  sky130_fd_sc_hd__inv_2 U55289 ( .A(n41696), .Y(n85783) );
+  sky130_fd_sc_hd__nor2_1 U55290 ( .A(n41305), .B(n41304), .Y(n85433) );
+  sky130_fd_sc_hd__and2_0 U55291 ( .A(n62924), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_mem), .X(n86281) );
+  sky130_fd_sc_hd__nor2_1 U55292 ( .A(n76547), .B(n37942), .Y(n85650) );
+  sky130_fd_sc_hd__a21oi_1 U55293 ( .A1(n85432), .A2(n61252), .B1(n85881), .Y(
+        n59957) );
+  sky130_fd_sc_hd__o21ai_1 U55294 ( .A1(n85432), .A2(n61252), .B1(n59955), .Y(
+        n59956) );
+  sky130_fd_sc_hd__clkbuf_1 U55295 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N15), .X(n86413) );
+  sky130_fd_sc_hd__inv_2 U55296 ( .A(n53207), .Y(n85637) );
+  sky130_fd_sc_hd__and2_0 U55297 ( .A(n82458), .B(n82986), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_N55) );
+  sky130_fd_sc_hd__clkinv_1 U55298 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_auto_qspi_ram_0_mem_xing_in_d_bits_source[1]), .Y(n83329) );
+  sky130_fd_sc_hd__and2_0 U55299 ( .A(io_oeb[10]), .B(io_in[10]), .X(
+        MarmotCaravelChip_dut_io_pins_qspi_dq_0_i_ival) );
+  sky130_fd_sc_hd__and2_0 U55300 ( .A(io_in[11]), .B(io_oeb[11]), .X(
+        MarmotCaravelChip_dut_io_pins_qspi_dq_1_i_ival) );
+  sky130_fd_sc_hd__inv_2 U55301 ( .A(n76427), .Y(n85576) );
+  sky130_fd_sc_hd__a21boi_0 U55302 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[25]), .A2(n57558), .B1_N(n57574), .Y(n52289) );
+  sky130_fd_sc_hd__clkinv_1 U55303 ( .A(n51772), .Y(n51773) );
+  sky130_fd_sc_hd__clkinv_1 U55305 ( .A(n51197), .Y(n51332) );
+  sky130_fd_sc_hd__and2_0 U55306 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_scale_io_regs_cfg_write_deglitch), .B(n67212), .X(n86091) );
+  sky130_fd_sc_hd__nor2_1 U55307 ( .A(n49992), .B(n37827), .Y(n37826) );
+  sky130_fd_sc_hd__nor2_1 U55308 ( .A(n49987), .B(n37831), .Y(n37830) );
+  sky130_fd_sc_hd__a31o_1 U55309 ( .A1(n67995), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23[31]), .A3(n67663), .B1(n67662), .X(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N69) );
+  sky130_fd_sc_hd__and2_0 U55310 ( .A(n67657), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[15]), .X(n67658) );
+  sky130_fd_sc_hd__and2_1 U55311 ( .A(n63450), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_N43), 
+        .X(n85920) );
+  sky130_fd_sc_hd__clkinv_1 U55312 ( .A(n37588), .Y(n37587) );
+  sky130_fd_sc_hd__nor2_1 U55313 ( .A(n37591), .B(n37590), .Y(n37589) );
+  sky130_fd_sc_hd__and2_1 U55314 ( .A(n63450), .B(n61003), .X(n85971) );
+  sky130_fd_sc_hd__a31o_1 U55315 ( .A1(n67995), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23[29]), .A3(n67994), .B1(n67993), .X(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N67) );
+  sky130_fd_sc_hd__and2_0 U55316 ( .A(n67988), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[15]), .X(n67989) );
+  sky130_fd_sc_hd__clkinv_1 U55317 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[5]), .Y(n78179) );
+  sky130_fd_sc_hd__and2_0 U55318 ( .A(n85222), .B(n57100), .X(n46842) );
+  sky130_fd_sc_hd__nor2_1 U55319 ( .A(n60345), .B(n60137), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N118) );
+  sky130_fd_sc_hd__clkinv_1 U55320 ( .A(n72160), .Y(n85823) );
+  sky130_fd_sc_hd__clkinv_1 U55321 ( .A(n45925), .Y(n84510) );
+  sky130_fd_sc_hd__nor2_1 U55322 ( .A(n37403), .B(n37789), .Y(n37373) );
+  sky130_fd_sc_hd__nor2_1 U55323 ( .A(n37371), .B(n37100), .Y(n37370) );
+  sky130_fd_sc_hd__clkinv_1 U55324 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[8]), 
+        .Y(n78168) );
+  sky130_fd_sc_hd__clkinv_1 U55325 ( .A(n83531), .Y(n86305) );
+  sky130_fd_sc_hd__clkinv_1 U55326 ( .A(n59337), .Y(n68048) );
+  sky130_fd_sc_hd__and2_0 U55327 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1601), .B(n82560), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1726) );
+  sky130_fd_sc_hd__clkinv_1 U55328 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[23]), .Y(n64066) );
+  sky130_fd_sc_hd__and2_0 U55329 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_cause[31]), .B(n82493), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N531) );
+  sky130_fd_sc_hd__and2_0 U55330 ( .A(n85552), .B(n72568), .X(n85168) );
+  sky130_fd_sc_hd__a21oi_1 U55331 ( .A1(n44713), .A2(n44712), .B1(n44711), .Y(
+        n85552) );
+  sky130_fd_sc_hd__clkinv_1 U55332 ( .A(n66125), .Y(n85553) );
+  sky130_fd_sc_hd__clkinv_1 U55333 ( .A(n69995), .Y(n85751) );
+  sky130_fd_sc_hd__clkinv_1 U55334 ( .A(n64280), .Y(n85622) );
+  sky130_fd_sc_hd__nand3_1 U55336 ( .A(n37518), .B(n37000), .C(n54174), .Y(
+        n37517) );
+  sky130_fd_sc_hd__a21oi_1 U55337 ( .A1(n44123), .A2(n44122), .B1(n44121), .Y(
+        n85747) );
+  sky130_fd_sc_hd__clkinv_1 U55338 ( .A(n76378), .Y(n85630) );
+  sky130_fd_sc_hd__clkinv_1 U55339 ( .A(n68588), .Y(n85542) );
+  sky130_fd_sc_hd__clkinv_1 U55340 ( .A(n78374), .Y(n86584) );
+  sky130_fd_sc_hd__clkinv_1 U55341 ( .A(n68921), .Y(n85539) );
+  sky130_fd_sc_hd__nand3_1 U55342 ( .A(n63936), .B(n36824), .C(n37948), .Y(
+        n37947) );
+  sky130_fd_sc_hd__and2_0 U55343 ( .A(n85275), .B(n57100), .X(n43830) );
+  sky130_fd_sc_hd__and2_0 U55344 ( .A(n85315), .B(n57100), .X(n43694) );
+  sky130_fd_sc_hd__clkinv_1 U55345 ( .A(n43576), .Y(n43693) );
+  sky130_fd_sc_hd__o2bb2ai_1 U55346 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_state[1]), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_state[0]), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_neg_out), .A2_N(n70123), .Y(n70129) );
+  sky130_fd_sc_hd__clkinv_1 U55347 ( .A(n65177), .Y(n85560) );
+  sky130_fd_sc_hd__clkinv_1 U55348 ( .A(n70336), .Y(n86301) );
+  sky130_fd_sc_hd__and2_0 U55349 ( .A(n70191), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[0]), .X(n86148) );
+  sky130_fd_sc_hd__clkinv_1 U55350 ( .A(n70079), .Y(n85627) );
+  sky130_fd_sc_hd__clkinv_1 U55351 ( .A(n68297), .Y(
+        MarmotCaravelChip_dut_sys_clint_N121) );
+  sky130_fd_sc_hd__clkinv_1 U55352 ( .A(n66538), .Y(n85550) );
+  sky130_fd_sc_hd__nor2_1 U55353 ( .A(n37064), .B(n72561), .Y(n72565) );
+  sky130_fd_sc_hd__and2_0 U55354 ( .A(n72562), .B(n37217), .X(n37064) );
+  sky130_fd_sc_hd__a31o_1 U55356 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[4]), .A2(n77227), .A3(n61199), .B1(n77233), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_next[0]) );
+  sky130_fd_sc_hd__nor3_1 U55357 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_currState[3]), 
+        .B(MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_currState[0]), .C(n79543), .Y(n36648) );
+  sky130_fd_sc_hd__and2_0 U55358 ( .A(n61189), .B(n85473), .X(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_dmOuter_N42) );
+  sky130_fd_sc_hd__and2_0 U55359 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_addr[1]), 
+        .B(n84241), .X(MarmotCaravelChip_dut_sys_dtm_N32) );
+  sky130_fd_sc_hd__and2_0 U55360 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_addr[2]), 
+        .B(n84241), .X(MarmotCaravelChip_dut_sys_dtm_N33) );
+  sky130_fd_sc_hd__and2_0 U55361 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_addr[5]), 
+        .B(n84241), .X(MarmotCaravelChip_dut_sys_dtm_N36) );
+  sky130_fd_sc_hd__and2_0 U55362 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_addr[4]), 
+        .B(n84241), .X(MarmotCaravelChip_dut_sys_dtm_N35) );
+  sky130_fd_sc_hd__and2_0 U55363 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_addr[6]), 
+        .B(n84241), .X(MarmotCaravelChip_dut_sys_dtm_N37) );
+  sky130_fd_sc_hd__and2_0 U55364 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_4), .B(n61475), 
+        .X(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N13) );
+  sky130_fd_sc_hd__and2_0 U55365 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_8), .B(n61475), 
+        .X(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N17) );
+  sky130_fd_sc_hd__and2_0 U55366 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_11), .B(n61475), 
+        .X(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N20) );
+  sky130_fd_sc_hd__and2_0 U55367 ( .A(n61200), .B(wbs_dat_i[0]), .X(n86122) );
+  sky130_fd_sc_hd__and2_0 U55368 ( .A(n61200), .B(wbs_dat_i[1]), .X(n6) );
+  sky130_fd_sc_hd__and2_0 U55369 ( .A(n61200), .B(wbs_dat_i[2]), .X(n7) );
+  sky130_fd_sc_hd__and2_0 U55370 ( .A(n61200), .B(wbs_dat_i[3]), .X(n8) );
+  sky130_fd_sc_hd__and2_0 U55371 ( .A(n61200), .B(wbs_dat_i[4]), .X(n9) );
+  sky130_fd_sc_hd__and2_0 U55372 ( .A(n61200), .B(wbs_dat_i[5]), .X(n10) );
+  sky130_fd_sc_hd__and2_0 U55373 ( .A(n61200), .B(wbs_dat_i[6]), .X(n11) );
+  sky130_fd_sc_hd__and2_0 U55374 ( .A(n61200), .B(wbs_dat_i[7]), .X(n12) );
+  sky130_fd_sc_hd__and2_0 U55375 ( .A(n61200), .B(wbs_dat_i[8]), .X(n14) );
+  sky130_fd_sc_hd__and2_0 U55376 ( .A(n61200), .B(wbs_dat_i[9]), .X(n15) );
+  sky130_fd_sc_hd__and2_0 U55377 ( .A(n61200), .B(wbs_dat_i[10]), .X(n16) );
+  sky130_fd_sc_hd__and2_0 U55378 ( .A(n61200), .B(wbs_dat_i[11]), .X(n17) );
+  sky130_fd_sc_hd__and2_0 U55379 ( .A(n61200), .B(wbs_dat_i[12]), .X(n18) );
+  sky130_fd_sc_hd__and2_0 U55380 ( .A(n61200), .B(wbs_dat_i[13]), .X(n19) );
+  sky130_fd_sc_hd__and2_0 U55381 ( .A(n61200), .B(wbs_dat_i[14]), .X(n20) );
+  sky130_fd_sc_hd__and2_0 U55382 ( .A(n61200), .B(wbs_dat_i[15]), .X(n21) );
+  sky130_fd_sc_hd__and2_0 U55383 ( .A(n61200), .B(wbs_dat_i[16]), .X(n23) );
+  sky130_fd_sc_hd__and2_0 U55384 ( .A(n61200), .B(wbs_dat_i[17]), .X(n24) );
+  sky130_fd_sc_hd__and2_0 U55385 ( .A(n61200), .B(wbs_dat_i[18]), .X(n25) );
+  sky130_fd_sc_hd__and2_0 U55386 ( .A(n61200), .B(wbs_dat_i[19]), .X(n26) );
+  sky130_fd_sc_hd__and2_0 U55387 ( .A(n61200), .B(wbs_dat_i[20]), .X(n27) );
+  sky130_fd_sc_hd__and2_0 U55388 ( .A(n61200), .B(wbs_dat_i[21]), .X(n28) );
+  sky130_fd_sc_hd__and2_0 U55389 ( .A(n61200), .B(wbs_dat_i[22]), .X(n29) );
+  sky130_fd_sc_hd__and2_0 U55390 ( .A(n61200), .B(wbs_dat_i[23]), .X(n30) );
+  sky130_fd_sc_hd__and2_0 U55391 ( .A(n61200), .B(wbs_dat_i[24]), .X(n32) );
+  sky130_fd_sc_hd__and2_0 U55392 ( .A(n61200), .B(wbs_dat_i[25]), .X(n33) );
+  sky130_fd_sc_hd__and2_0 U55393 ( .A(n61200), .B(wbs_dat_i[26]), .X(n34) );
+  sky130_fd_sc_hd__and2_0 U55394 ( .A(n61200), .B(wbs_dat_i[27]), .X(n35) );
+  sky130_fd_sc_hd__and2_0 U55395 ( .A(n61200), .B(wbs_dat_i[28]), .X(n36) );
+  sky130_fd_sc_hd__and2_0 U55396 ( .A(n61200), .B(wbs_dat_i[29]), .X(n37) );
+  sky130_fd_sc_hd__and2_0 U55397 ( .A(n61200), .B(wbs_dat_i[30]), .X(n38) );
+  sky130_fd_sc_hd__and2_0 U55398 ( .A(n61200), .B(wbs_dat_i[31]), .X(n39) );
+  sky130_fd_sc_hd__nand2_1 U55402 ( .A(n86595), .B(n60006), .Y(n36350) );
+  sky130_fd_sc_hd__and2_0 U55403 ( .A(n63718), .B(n36350), .X(n85957) );
+  sky130_fd_sc_hd__inv_2 U55404 ( .A(n60173), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata[8]) );
+  sky130_fd_sc_hd__inv_2 U55405 ( .A(n60174), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata[7]) );
+  sky130_fd_sc_hd__inv_2 U55407 ( .A(n60176), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata[5]) );
+  sky130_fd_sc_hd__inv_2 U55408 ( .A(n60178), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata[4]) );
+  sky130_fd_sc_hd__inv_2 U55409 ( .A(n60179), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata[3]) );
+  sky130_fd_sc_hd__inv_2 U55410 ( .A(n60180), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata[2]) );
+  sky130_fd_sc_hd__inv_2 U55411 ( .A(n60181), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata[1]) );
+  sky130_fd_sc_hd__inv_2 U55412 ( .A(n60182), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata[17]) );
+  sky130_fd_sc_hd__inv_2 U55413 ( .A(n60193), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata[16]) );
+  sky130_fd_sc_hd__inv_2 U55414 ( .A(n60183), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata[15]) );
+  sky130_fd_sc_hd__inv_2 U55415 ( .A(n60184), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata[14]) );
+  sky130_fd_sc_hd__inv_2 U55416 ( .A(n60185), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata[13]) );
+  sky130_fd_sc_hd__inv_2 U55417 ( .A(n60186), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata[12]) );
+  sky130_fd_sc_hd__inv_2 U55418 ( .A(n60187), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata[11]) );
+  sky130_fd_sc_hd__inv_2 U55419 ( .A(n60189), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata[0]) );
+  sky130_fd_sc_hd__clkinv_1 U55420 ( .A(n52605), .Y(n50828) );
+  sky130_fd_sc_hd__inv_2 U55421 ( .A(n50828), .Y(n56804) );
+  sky130_fd_sc_hd__nor3_2 U55422 ( .A(n60905), .B(n73745), .C(n73786), .Y(
+        n60906) );
+  sky130_fd_sc_hd__nor3_2 U55423 ( .A(n61091), .B(n60894), .C(n73791), .Y(
+        n60895) );
+  sky130_fd_sc_hd__nor3_2 U55424 ( .A(n63503), .B(n60881), .C(n73799), .Y(
+        n60882) );
+  sky130_fd_sc_hd__nor3_2 U55425 ( .A(n61091), .B(n73762), .C(n73792), .Y(
+        n61032) );
+  sky130_fd_sc_hd__nor3_2 U55426 ( .A(n63468), .B(n60964), .C(n73794), .Y(
+        n60965) );
+  sky130_fd_sc_hd__nor2_4 U55427 ( .A(n61042), .B(n48975), .Y(n48976) );
+  sky130_fd_sc_hd__nor3_2 U55428 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[1]), .B(n55061), .C(n83685), .Y(n55487) );
+  sky130_fd_sc_hd__nor3_4 U55429 ( .A(n61091), .B(n73758), .C(n60010), .Y(
+        n60011) );
+  sky130_fd_sc_hd__nor2_4 U55430 ( .A(n49357), .B(n49358), .Y(n49103) );
+  sky130_fd_sc_hd__clkinv_1 U55431 ( .A(n37082), .Y(n86352) );
+  sky130_fd_sc_hd__or2_4 U55432 ( .A(n72747), .B(n63142), .X(n37075) );
+  sky130_fd_sc_hd__clkinv_1 U55433 ( .A(n37090), .Y(n86379) );
+  sky130_fd_sc_hd__and3_1 U55434 ( .A(n38110), .B(n39314), .C(n39274), .X(
+        n37045) );
+  sky130_fd_sc_hd__and4_1 U55435 ( .A(n53233), .B(n53232), .C(n53231), .D(
+        n53230), .X(n37046) );
+  sky130_fd_sc_hd__nor2_4 U55438 ( .A(n67265), .B(n49668), .Y(n51688) );
+  sky130_fd_sc_hd__and4_1 U55439 ( .A(n53387), .B(n53386), .C(n53385), .D(
+        n53384), .X(n37053) );
+  sky130_fd_sc_hd__inv_2 U55441 ( .A(n59353), .Y(n58841) );
+  sky130_fd_sc_hd__nand3_1 U55442 ( .A(n38018), .B(n59445), .C(n37123), .Y(
+        n59565) );
+  sky130_fd_sc_hd__and4_1 U55443 ( .A(n52599), .B(n52597), .C(n52596), .D(
+        n37172), .X(n37056) );
+  sky130_fd_sc_hd__clkinv_1 U55444 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_36[2]), 
+        .Y(n37929) );
+  sky130_fd_sc_hd__xnor2_1 U55445 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[17]), .B(n66458), .Y(n37058) );
+  sky130_fd_sc_hd__inv_1 U55446 ( .A(n48748), .Y(n48750) );
+  sky130_fd_sc_hd__and2_1 U55447 ( .A(n48896), .B(n37558), .X(n37059) );
+  sky130_fd_sc_hd__a21oi_1 U55448 ( .A1(n59465), .A2(n59466), .B1(n59473), .Y(
+        n38262) );
+  sky130_fd_sc_hd__clkinv_1 U55450 ( .A(n58313), .Y(n38225) );
+  sky130_fd_sc_hd__clkinv_1 U55451 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_55[1]), 
+        .Y(n57917) );
+  sky130_fd_sc_hd__clkinv_1 U55452 ( .A(n38787), .Y(n37717) );
+  sky130_fd_sc_hd__nand3_4 U55453 ( .A(n66814), .B(n86753), .C(n60876), .Y(
+        n60930) );
+  sky130_fd_sc_hd__nor2_2 U55454 ( .A(n60941), .B(n60958), .Y(n59820) );
+  sky130_fd_sc_hd__nor2_2 U55455 ( .A(n83211), .B(n83214), .Y(n59813) );
+  sky130_fd_sc_hd__nor2_2 U55456 ( .A(n62862), .B(n83209), .Y(n59818) );
+  sky130_fd_sc_hd__nor2_2 U55457 ( .A(n83214), .B(n83209), .Y(n59814) );
+  sky130_fd_sc_hd__nor2_2 U55458 ( .A(n62862), .B(n60958), .Y(n59819) );
+  sky130_fd_sc_hd__nor2_2 U55459 ( .A(n83214), .B(n60959), .Y(n59816) );
+  sky130_fd_sc_hd__clkinv_1 U55460 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n64025) );
+  sky130_fd_sc_hd__nor2_2 U55461 ( .A(n61020), .B(n61024), .Y(n61021) );
+  sky130_fd_sc_hd__nor2_2 U55462 ( .A(n61025), .B(n61024), .Y(n61026) );
+  sky130_fd_sc_hd__nor2_4 U55463 ( .A(n64356), .B(n40128), .Y(n64319) );
+  sky130_fd_sc_hd__nor2_4 U55464 ( .A(n64356), .B(n40131), .Y(n64326) );
+  sky130_fd_sc_hd__a21oi_2 U55465 ( .A1(n79921), .A2(n79920), .B1(n36848), .Y(
+        n79977) );
+  sky130_fd_sc_hd__nor2_2 U55466 ( .A(n42391), .B(n42380), .Y(n42375) );
+  sky130_fd_sc_hd__a31oi_2 U55467 ( .A1(n63683), .A2(n63686), .A3(n79920), 
+        .B1(n36802), .Y(n73517) );
+  sky130_fd_sc_hd__nor2_2 U55468 ( .A(n63024), .B(n63021), .Y(n64442) );
+  sky130_fd_sc_hd__nor2_2 U55469 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .B(n55997), .Y(n37065) );
+  sky130_fd_sc_hd__nor2_1 U55470 ( .A(n82459), .B(n54747), .Y(n37066) );
+  sky130_fd_sc_hd__a31oi_2 U55471 ( .A1(n67392), .A2(n67391), .A3(n79920), 
+        .B1(n83631), .Y(n80017) );
+  sky130_fd_sc_hd__nor2_2 U55472 ( .A(n60986), .B(n60971), .Y(n59854) );
+  sky130_fd_sc_hd__nor2_2 U55473 ( .A(n60972), .B(n60969), .Y(n59859) );
+  sky130_fd_sc_hd__nor2_2 U55474 ( .A(n60986), .B(n60972), .Y(n59855) );
+  sky130_fd_sc_hd__nor2_2 U55475 ( .A(n60984), .B(n60970), .Y(n59861) );
+  sky130_fd_sc_hd__nor2_2 U55476 ( .A(n60984), .B(n60969), .Y(n59858) );
+  sky130_fd_sc_hd__nor2_2 U55477 ( .A(n60982), .B(n60974), .Y(n59866) );
+  sky130_fd_sc_hd__nor2_2 U55478 ( .A(n60985), .B(n60970), .Y(n59862) );
+  sky130_fd_sc_hd__nor2_2 U55479 ( .A(n60973), .B(n60970), .Y(n59860) );
+  sky130_fd_sc_hd__nor2_2 U55480 ( .A(n83132), .B(n60999), .Y(n59895) );
+  sky130_fd_sc_hd__nor2_2 U55481 ( .A(n83165), .B(n60990), .Y(n59881) );
+  sky130_fd_sc_hd__nor2_2 U55482 ( .A(n60998), .B(n83127), .Y(n59882) );
+  sky130_fd_sc_hd__nor2_2 U55483 ( .A(n60998), .B(n83128), .Y(n59883) );
+  sky130_fd_sc_hd__nor2_2 U55484 ( .A(n83083), .B(n61012), .Y(n59901) );
+  sky130_fd_sc_hd__nor2_2 U55485 ( .A(n61011), .B(n61013), .Y(n59904) );
+  sky130_fd_sc_hd__nor2_2 U55486 ( .A(n61011), .B(n83083), .Y(n59903) );
+  sky130_fd_sc_hd__clkinv_1 U55487 ( .A(n65334), .Y(n70210) );
+  sky130_fd_sc_hd__nor2_4 U55488 ( .A(n64316), .B(n64315), .Y(n65334) );
+  sky130_fd_sc_hd__nor2_2 U55489 ( .A(n83831), .B(n59893), .Y(n59894) );
+  sky130_fd_sc_hd__nor2_2 U55490 ( .A(n60983), .B(n60969), .Y(n59857) );
+  sky130_fd_sc_hd__nor2_2 U55491 ( .A(n60985), .B(n60974), .Y(n59864) );
+  sky130_fd_sc_hd__nor2_2 U55492 ( .A(n61011), .B(n83084), .Y(n59906) );
+  sky130_fd_sc_hd__nor2_4 U55493 ( .A(n83128), .B(n59911), .Y(n59910) );
+  sky130_fd_sc_hd__nor2_2 U55494 ( .A(n61000), .B(n59917), .Y(n59919) );
+  sky130_fd_sc_hd__nor2_2 U55495 ( .A(n83127), .B(n59917), .Y(n59921) );
+  sky130_fd_sc_hd__nor2_2 U55496 ( .A(n83128), .B(n59917), .Y(n59920) );
+  sky130_fd_sc_hd__nor2_2 U55497 ( .A(n61000), .B(n59911), .Y(n59909) );
+  sky130_fd_sc_hd__nor2_2 U55498 ( .A(n83127), .B(n59911), .Y(n59912) );
+  sky130_fd_sc_hd__nor2_2 U55499 ( .A(n83132), .B(n59917), .Y(n59918) );
+  sky130_fd_sc_hd__nor2_2 U55500 ( .A(n61013), .B(n59935), .Y(n59938) );
+  sky130_fd_sc_hd__nor2_2 U55501 ( .A(n83083), .B(n59935), .Y(n59937) );
+  sky130_fd_sc_hd__nor2_2 U55502 ( .A(n83084), .B(n59935), .Y(n59936) );
+  sky130_fd_sc_hd__nor2_2 U55503 ( .A(n83086), .B(n59944), .Y(n59945) );
+  sky130_fd_sc_hd__nor2_2 U55504 ( .A(n83086), .B(n59935), .Y(n59939) );
+  sky130_fd_sc_hd__nor2_2 U55505 ( .A(n61013), .B(n59944), .Y(n59942) );
+  sky130_fd_sc_hd__nor2_2 U55506 ( .A(n83083), .B(n59944), .Y(n59941) );
+  sky130_fd_sc_hd__nor2_2 U55507 ( .A(n83084), .B(n59944), .Y(n59943) );
+  sky130_fd_sc_hd__nor2_2 U55508 ( .A(n61042), .B(n61041), .Y(n61043) );
+  sky130_fd_sc_hd__nor2_2 U55509 ( .A(n61039), .B(n61041), .Y(n61040) );
+  sky130_fd_sc_hd__nor2_2 U55510 ( .A(n66787), .B(n61041), .Y(n61038) );
+  sky130_fd_sc_hd__nor2_2 U55511 ( .A(n61036), .B(n61041), .Y(n61037) );
+  sky130_fd_sc_hd__nor2_2 U55512 ( .A(n61018), .B(n61024), .Y(n61019) );
+  sky130_fd_sc_hd__nor2_2 U55513 ( .A(n61022), .B(n61024), .Y(n61023) );
+  sky130_fd_sc_hd__clkinv_1 U55514 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .Y(n60141) );
+  sky130_fd_sc_hd__or2_4 U55515 ( .A(n40580), .B(n40637), .X(n40808) );
+  sky130_fd_sc_hd__nor2_2 U55516 ( .A(n49273), .B(n49668), .Y(n48944) );
+  sky130_fd_sc_hd__clkinv_1 U55517 ( .A(n64340), .Y(n64618) );
+  sky130_fd_sc_hd__clkinv_1 U55518 ( .A(n64618), .Y(n68781) );
+  sky130_fd_sc_hd__nor3_2 U55519 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_MPORT_addr[3]), .B(n83830), .C(n83133), .Y(n59896) );
+  sky130_fd_sc_hd__nor2_2 U55520 ( .A(n63024), .B(n63023), .Y(n66620) );
+  sky130_fd_sc_hd__nor2_2 U55521 ( .A(n56581), .B(n57040), .Y(n41779) );
+  sky130_fd_sc_hd__clkinv_1 U55522 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]), .Y(n71575) );
+  sky130_fd_sc_hd__nor2_2 U55523 ( .A(n63022), .B(n63023), .Y(n64392) );
+  sky130_fd_sc_hd__nor3_2 U55524 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[2]), .C(n55060), .Y(n55443) );
+  sky130_fd_sc_hd__nand2_2 U55525 ( .A(n63517), .B(n79920), .Y(n37067) );
+  sky130_fd_sc_hd__nor2_2 U55526 ( .A(n61025), .B(n49120), .Y(n49014) );
+  sky130_fd_sc_hd__a22oi_2 U55527 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[13]), .B1(n54277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[44]), .Y(n41572) );
+  sky130_fd_sc_hd__nor2_2 U55528 ( .A(n61177), .B(n49461), .Y(n49008) );
+  sky130_fd_sc_hd__nor2_2 U55529 ( .A(n48761), .B(n49588), .Y(n48762) );
+  sky130_fd_sc_hd__nor2_2 U55530 ( .A(n48817), .B(n49497), .Y(n48818) );
+  sky130_fd_sc_hd__nor3_2 U55531 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[0]), .B(n55060), .C(n83685), .Y(n55491) );
+  sky130_fd_sc_hd__nor2_1 U55532 ( .A(n42397), .B(n42359), .Y(n37068) );
+  sky130_fd_sc_hd__nor2_2 U55533 ( .A(n61176), .B(n49461), .Y(n49101) );
+  sky130_fd_sc_hd__nor3_2 U55534 ( .A(n64136), .B(n68894), .C(n78552), .Y(
+        n68555) );
+  sky130_fd_sc_hd__nor2_2 U55536 ( .A(n55997), .B(n55995), .Y(n41794) );
+  sky130_fd_sc_hd__and2_1 U55537 ( .A(n79882), .B(n83351), .X(n37069) );
+  sky130_fd_sc_hd__mux2i_4 U55538 ( .A0(n47495), .A1(n47494), .S(n55203), .Y(
+        n37070) );
+  sky130_fd_sc_hd__clkinv_1 U55539 ( .A(n43381), .Y(n56967) );
+  sky130_fd_sc_hd__nand2_2 U55540 ( .A(n44859), .B(n41732), .Y(n43381) );
+  sky130_fd_sc_hd__a31oi_2 U55542 ( .A1(n63686), .A2(n63685), .A3(n79920), 
+        .B1(n74033), .Y(n80049) );
+  sky130_fd_sc_hd__nand2_4 U55543 ( .A(n63052), .B(n37650), .Y(n70071) );
+  sky130_fd_sc_hd__xnor2_1 U55544 ( .A(n62126), .B(n62125), .Y(n37073) );
+  sky130_fd_sc_hd__xor2_1 U55545 ( .A(n62104), .B(n62135), .X(n37074) );
+  sky130_fd_sc_hd__and2_2 U55546 ( .A(n39567), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_hi_hi_lo_10_), .X(n38499) );
+  sky130_fd_sc_hd__clkinv_1 U55547 ( .A(n38497), .Y(n72970) );
+  sky130_fd_sc_hd__clkinv_1 U55548 ( .A(n38497), .Y(n72968) );
+  sky130_fd_sc_hd__clkinv_1 U55549 ( .A(n38496), .Y(n72983) );
+  sky130_fd_sc_hd__clkinv_1 U55550 ( .A(n38496), .Y(n72982) );
+  sky130_fd_sc_hd__nor2_4 U55551 ( .A(n57415), .B(n36774), .Y(n41626) );
+  sky130_fd_sc_hd__nor2_2 U55552 ( .A(n63036), .B(n63024), .Y(n37076) );
+  sky130_fd_sc_hd__nor3_2 U55553 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_MPORT_addr[2]), .B(n80407), .C(n80406), .Y(n37078) );
+  sky130_fd_sc_hd__clkinv_1 U55554 ( .A(n38413), .Y(n86380) );
+  sky130_fd_sc_hd__clkinv_1 U55555 ( .A(n38413), .Y(n86381) );
+  sky130_fd_sc_hd__nor2_4 U55557 ( .A(n66787), .B(n48975), .Y(n48974) );
+  sky130_fd_sc_hd__nor2_4 U55558 ( .A(n61018), .B(n49120), .Y(n49121) );
+  sky130_fd_sc_hd__nor2_2 U55559 ( .A(n48879), .B(n49616), .Y(n48880) );
+  sky130_fd_sc_hd__clkinv_1 U55560 ( .A(n36811), .Y(n86350) );
+  sky130_fd_sc_hd__clkinv_1 U55561 ( .A(n36812), .Y(n86348) );
+  sky130_fd_sc_hd__nor2_2 U55563 ( .A(n61063), .B(n48035), .Y(n56842) );
+  sky130_fd_sc_hd__nand2_1 U55565 ( .A(n37979), .B(n37978), .Y(n47542) );
+  sky130_fd_sc_hd__inv_2 U55566 ( .A(n47542), .Y(n85002) );
+  sky130_fd_sc_hd__inv_2 U55567 ( .A(n47542), .Y(n84998) );
+  sky130_fd_sc_hd__clkinv_1 U55568 ( .A(n63153), .Y(n86339) );
+  sky130_fd_sc_hd__clkinv_1 U55569 ( .A(n63153), .Y(n86337) );
+  sky130_fd_sc_hd__clkinv_1 U55570 ( .A(n63153), .Y(n86338) );
+  sky130_fd_sc_hd__clkinv_1 U55571 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div__prod_T_2_8_), .Y(n62148) );
+  sky130_fd_sc_hd__clkinv_1 U55574 ( .A(n36817), .Y(n56841) );
+  sky130_fd_sc_hd__clkinv_1 U55575 ( .A(n64199), .Y(n64724) );
+  sky130_fd_sc_hd__o21a_1 U55576 ( .A1(n39609), .A2(n39633), .B1(n39608), .X(
+        n37081) );
+  sky130_fd_sc_hd__clkinv_1 U55577 ( .A(n37355), .Y(n52974) );
+  sky130_fd_sc_hd__clkinv_1 U55578 ( .A(n37355), .Y(n51388) );
+  sky130_fd_sc_hd__and3_1 U55579 ( .A(n86593), .B(n43904), .C(n43903), .X(
+        n85883) );
+  sky130_fd_sc_hd__buf_2 U55580 ( .A(n85519), .X(n37895) );
+  sky130_fd_sc_hd__nor2_2 U55581 ( .A(n56700), .B(n56765), .Y(n49002) );
+  sky130_fd_sc_hd__clkinv_1 U55582 ( .A(n38693), .Y(n38001) );
+  sky130_fd_sc_hd__clkinv_1 U55583 ( .A(n37698), .Y(n60251) );
+  sky130_fd_sc_hd__clkinv_1 U55584 ( .A(n85368), .Y(n39857) );
+  sky130_fd_sc_hd__nand2b_2 U55585 ( .A_N(n41104), .B(n41102), .Y(n39245) );
+  sky130_fd_sc_hd__inv_4 U55586 ( .A(n39245), .Y(n37485) );
+  sky130_fd_sc_hd__and2_1 U55587 ( .A(n86753), .B(n80136), .X(n37090) );
+  sky130_fd_sc_hd__and2_1 U55588 ( .A(n54152), .B(n39980), .X(n37091) );
+  sky130_fd_sc_hd__clkinv_1 U55589 ( .A(n85402), .Y(n72706) );
+  sky130_fd_sc_hd__mux2i_1 U55590 ( .A0(n58024), .A1(n58023), .S(n59583), .Y(
+        n38935) );
+  sky130_fd_sc_hd__nand2_2 U55591 ( .A(n39421), .B(n54149), .Y(n39921) );
+  sky130_fd_sc_hd__o21ai_1 U55592 ( .A1(n64455), .A2(n38037), .B1(n39913), .Y(
+        n38036) );
+  sky130_fd_sc_hd__mux2i_1 U55593 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_32[2]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_31[2]), 
+        .S(n59409), .Y(n57794) );
+  sky130_fd_sc_hd__clkinv_1 U55594 ( .A(n57794), .Y(n57788) );
+  sky130_fd_sc_hd__clkinv_1 U55595 ( .A(n85401), .Y(n37540) );
+  sky130_fd_sc_hd__clkinv_1 U55596 ( .A(n36813), .Y(n51804) );
+  sky130_fd_sc_hd__nand4_1 U55598 ( .A(n68666), .B(n69586), .C(n66031), .D(
+        n39951), .Y(n39359) );
+  sky130_fd_sc_hd__clkinv_1 U55599 ( .A(n39359), .Y(n39274) );
+  sky130_fd_sc_hd__o22a_1 U55600 ( .A1(n38128), .A2(n38804), .B1(n38790), .B2(
+        n38695), .X(n37098) );
+  sky130_fd_sc_hd__clkinv_1 U55601 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[2]), .Y(n51658) );
+  sky130_fd_sc_hd__a22o_1 U55602 ( .A1(n56930), .A2(n67912), .B1(n49101), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[5]), 
+        .X(n37099) );
+  sky130_fd_sc_hd__clkinv_1 U55603 ( .A(n38026), .Y(n59519) );
+  sky130_fd_sc_hd__nand2b_1 U55604 ( .A_N(n37000), .B(n39933), .Y(n68510) );
+  sky130_fd_sc_hd__nor2_4 U55606 ( .A(n64354), .B(n40131), .Y(n64325) );
+  sky130_fd_sc_hd__and4_1 U55607 ( .A(n53352), .B(n53351), .C(n53350), .D(
+        n53349), .X(n37101) );
+  sky130_fd_sc_hd__and2_1 U55608 ( .A(n39169), .B(n76527), .X(n37103) );
+  sky130_fd_sc_hd__clkinv_1 U55610 ( .A(n39061), .Y(n59555) );
+  sky130_fd_sc_hd__inv_1 U55611 ( .A(n38782), .Y(n38769) );
+  sky130_fd_sc_hd__a211o_1 U55612 ( .A1(n56781), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[8]), 
+        .B1(n49543), .C1(n49542), .X(n37106) );
+  sky130_fd_sc_hd__clkinv_1 U55613 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics__GEN_39[0]), .Y(
+        n37363) );
+  sky130_fd_sc_hd__clkinv_1 U55614 ( .A(n37722), .Y(n37942) );
+  sky130_fd_sc_hd__clkinv_1 U55615 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_11[1]), 
+        .Y(n37857) );
+  sky130_fd_sc_hd__clkinv_1 U55616 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_35[1]), 
+        .Y(n37622) );
+  sky130_fd_sc_hd__inv_1 U55617 ( .A(n57888), .Y(n57900) );
+  sky130_fd_sc_hd__or2_1 U55618 ( .A(n38074), .B(n38072), .X(n37109) );
+  sky130_fd_sc_hd__o21a_1 U55619 ( .A1(n56458), .A2(n50441), .B1(n44336), .X(
+        n37110) );
+  sky130_fd_sc_hd__a22o_1 U55620 ( .A1(n56754), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T[3]), .B1(n56760), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[3]), .X(n37111) );
+  sky130_fd_sc_hd__mux2i_1 U55621 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_36[2]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_35[2]), 
+        .S(n59354), .Y(n57765) );
+  sky130_fd_sc_hd__clkinv_1 U55622 ( .A(n57765), .Y(n37745) );
+  sky130_fd_sc_hd__clkinv_1 U55623 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_43[1]), 
+        .Y(n57687) );
+  sky130_fd_sc_hd__clkinv_1 U55624 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_0[1]), 
+        .Y(n58199) );
+  sky130_fd_sc_hd__o22a_1 U55625 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_io_full), .A2(n60895), .B1(n61976), .B2(n84166), .X(n37112) );
+  sky130_fd_sc_hd__nand3_2 U55626 ( .A(n37839), .B(n37838), .C(n37953), .Y(
+        n66297) );
+  sky130_fd_sc_hd__or3_1 U55627 ( .A(n46841), .B(n46840), .C(n46839), .X(
+        n37114) );
+  sky130_fd_sc_hd__o21a_1 U55628 ( .A1(n53936), .A2(n55823), .B1(n53785), .X(
+        n37115) );
+  sky130_fd_sc_hd__and4_1 U55629 ( .A(n49814), .B(n49813), .C(n49812), .D(
+        n49811), .X(n37116) );
+  sky130_fd_sc_hd__clkinv_1 U55631 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_5[1]), 
+        .Y(n37773) );
+  sky130_fd_sc_hd__clkinv_1 U55632 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_0[2]), 
+        .Y(n58228) );
+  sky130_fd_sc_hd__o211a_2 U55633 ( .A1(n56786), .A2(n68095), .B1(n49460), 
+        .C1(n49459), .X(n37117) );
+  sky130_fd_sc_hd__inv_12 U55634 ( .A(n59177), .Y(n41102) );
+  sky130_fd_sc_hd__clkinv_1 U55635 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_35[2]), 
+        .Y(n46371) );
+  sky130_fd_sc_hd__clkinv_1 U55636 ( .A(n39787), .Y(n37685) );
+  sky130_fd_sc_hd__o21a_1 U55637 ( .A1(n37029), .A2(n45645), .B1(n52378), .X(
+        n37121) );
+  sky130_fd_sc_hd__a21oi_1 U55638 ( .A1(n39356), .A2(n39360), .B1(n39967), .Y(
+        n39315) );
+  sky130_fd_sc_hd__clkinv_1 U55639 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_7[2]), 
+        .Y(n58132) );
+  sky130_fd_sc_hd__clkinv_1 U55640 ( .A(n47894), .Y(n60268) );
+  sky130_fd_sc_hd__and4_1 U55641 ( .A(n49012), .B(n49011), .C(n49010), .D(
+        n49009), .X(n37124) );
+  sky130_fd_sc_hd__clkinv_1 U55642 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_2[2]), 
+        .Y(n58184) );
+  sky130_fd_sc_hd__and4_1 U55643 ( .A(n51714), .B(n51713), .C(n51712), .D(
+        n51711), .X(n37125) );
+  sky130_fd_sc_hd__clkinv_1 U55644 ( .A(n58032), .Y(n37968) );
+  sky130_fd_sc_hd__and4_1 U55645 ( .A(n56815), .B(n56814), .C(n56813), .D(
+        n56812), .X(n37127) );
+  sky130_fd_sc_hd__and4_1 U55646 ( .A(n48985), .B(n48984), .C(n48983), .D(
+        n48982), .X(n37128) );
+  sky130_fd_sc_hd__clkinv_1 U55647 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_4[2]), 
+        .Y(n58215) );
+  sky130_fd_sc_hd__nand3_1 U55648 ( .A(n36839), .B(n47949), .C(n47894), .Y(
+        n37607) );
+  sky130_fd_sc_hd__inv_2 U55649 ( .A(n39102), .Y(n39118) );
+  sky130_fd_sc_hd__nor2_1 U55650 ( .A(n38282), .B(n38289), .Y(n39102) );
+  sky130_fd_sc_hd__and4_1 U55651 ( .A(n59254), .B(n73345), .C(n65106), .D(
+        n59301), .X(n37131) );
+  sky130_fd_sc_hd__nand2_1 U55652 ( .A(n49221), .B(n49220), .Y(n37132) );
+  sky130_fd_sc_hd__xor2_1 U55653 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[20]), .B(n69012), .X(n37135) );
+  sky130_fd_sc_hd__clkinv_1 U55654 ( .A(n53528), .Y(n37609) );
+  sky130_fd_sc_hd__clkinv_1 U55655 ( .A(n39164), .Y(n76528) );
+  sky130_fd_sc_hd__and4_1 U55656 ( .A(n37976), .B(n49641), .C(n38442), .D(
+        n49642), .X(n37140) );
+  sky130_fd_sc_hd__o22a_1 U55657 ( .A1(n51313), .A2(n56082), .B1(n80754), .B2(
+        n56361), .X(n37141) );
+  sky130_fd_sc_hd__o22a_1 U55658 ( .A1(n57517), .A2(n51016), .B1(n51015), .B2(
+        n57222), .X(n37142) );
+  sky130_fd_sc_hd__clkinv_1 U55659 ( .A(n83737), .Y(n56617) );
+  sky130_fd_sc_hd__clkinv_1 U55660 ( .A(n38755), .Y(n38781) );
+  sky130_fd_sc_hd__clkinv_1 U55661 ( .A(n85665), .Y(n72745) );
+  sky130_fd_sc_hd__a22o_1 U55662 ( .A1(n49121), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[3]), 
+        .B1(n56876), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[3]), 
+        .X(n37147) );
+  sky130_fd_sc_hd__inv_2 U55664 ( .A(n59365), .Y(n57686) );
+  sky130_fd_sc_hd__clkinv_1 U55665 ( .A(n58226), .Y(n58218) );
+  sky130_fd_sc_hd__nand2_1 U55666 ( .A(n37992), .B(n37098), .Y(n59443) );
+  sky130_fd_sc_hd__clkinv_1 U55667 ( .A(n59449), .Y(n59445) );
+  sky130_fd_sc_hd__clkbuf_1 U55668 ( .A(n59444), .X(n59449) );
+  sky130_fd_sc_hd__nand2_1 U55669 ( .A(n56630), .B(n56629), .Y(n37151) );
+  sky130_fd_sc_hd__mux2_2 U55670 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_26[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_25[0]), 
+        .S(n59382), .X(n37157) );
+  sky130_fd_sc_hd__clkinv_1 U55671 ( .A(n39108), .Y(n38132) );
+  sky130_fd_sc_hd__o21a_1 U55672 ( .A1(n39299), .A2(n39298), .B1(n39297), .X(
+        n85546) );
+  sky130_fd_sc_hd__clkinv_1 U55673 ( .A(n85546), .Y(n59316) );
+  sky130_fd_sc_hd__nand2_1 U55674 ( .A(n56314), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[16]), .Y(n37158) );
+  sky130_fd_sc_hd__clkinv_1 U55675 ( .A(n58171), .Y(n38185) );
+  sky130_fd_sc_hd__nand2_1 U55676 ( .A(n62371), .B(n62690), .Y(n37159) );
+  sky130_fd_sc_hd__nand2_1 U55677 ( .A(n62734), .B(n62690), .Y(n37160) );
+  sky130_fd_sc_hd__nand2_1 U55678 ( .A(n62726), .B(n62690), .Y(n37161) );
+  sky130_fd_sc_hd__nand2_1 U55679 ( .A(n62649), .B(n62690), .Y(n37162) );
+  sky130_fd_sc_hd__clkinv_1 U55680 ( .A(n58222), .Y(n38078) );
+  sky130_fd_sc_hd__clkinv_1 U55681 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_17[0]), 
+        .Y(n45786) );
+  sky130_fd_sc_hd__o21ai_1 U55682 ( .A1(n38878), .A2(n59474), .B1(n38877), .Y(
+        n38967) );
+  sky130_fd_sc_hd__clkinv_1 U55683 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_36[1]), 
+        .Y(n38227) );
+  sky130_fd_sc_hd__clkinv_1 U55684 ( .A(n60252), .Y(n37696) );
+  sky130_fd_sc_hd__o21a_1 U55685 ( .A1(n38531), .A2(n38538), .B1(n38530), .X(
+        n37163) );
+  sky130_fd_sc_hd__nand2_2 U55686 ( .A(n37749), .B(n38964), .Y(n62944) );
+  sky130_fd_sc_hd__mux2i_1 U55687 ( .A0(n38721), .A1(n38720), .S(n59598), .Y(
+        n38782) );
+  sky130_fd_sc_hd__clkinv_1 U55688 ( .A(n58148), .Y(n58137) );
+  sky130_fd_sc_hd__and3_1 U55689 ( .A(n39325), .B(n54911), .C(n39978), .X(
+        n37167) );
+  sky130_fd_sc_hd__mux2i_1 U55690 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_48[2]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_47[2]), 
+        .S(n59399), .Y(n57844) );
+  sky130_fd_sc_hd__nand3_1 U55691 ( .A(n38018), .B(n59445), .C(n38358), .Y(
+        n59489) );
+  sky130_fd_sc_hd__mux2i_1 U55692 ( .A0(n39077), .A1(n58133), .S(n59523), .Y(
+        n37169) );
+  sky130_fd_sc_hd__and3_1 U55693 ( .A(n76232), .B(n68440), .C(n37139), .X(
+        n37170) );
+  sky130_fd_sc_hd__mux2i_1 U55694 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_22[1]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_21[1]), 
+        .S(n59601), .Y(n38824) );
+  sky130_fd_sc_hd__mux2_2 U55695 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_13[1]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_14[1]), 
+        .S(n59562), .X(n39058) );
+  sky130_fd_sc_hd__clkinv_1 U55696 ( .A(n39058), .Y(n37877) );
+  sky130_fd_sc_hd__and3_1 U55697 ( .A(n39282), .B(n39281), .C(n37983), .X(
+        n37171) );
+  sky130_fd_sc_hd__mux2i_1 U55698 ( .A0(n58024), .A1(n58023), .S(n59368), .Y(
+        n58027) );
+  sky130_fd_sc_hd__inv_1 U55699 ( .A(n57999), .Y(n58093) );
+  sky130_fd_sc_hd__mux2i_1 U55700 ( .A0(n38342), .A1(n57982), .S(n59389), .Y(
+        n57999) );
+  sky130_fd_sc_hd__mux2i_1 U55701 ( .A0(n57723), .A1(n57722), .S(n59331), .Y(
+        n57737) );
+  sky130_fd_sc_hd__and3_1 U55703 ( .A(n38053), .B(n38057), .C(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_55[1]), 
+        .X(n37173) );
+  sky130_fd_sc_hd__mux2i_1 U55704 ( .A0(n57872), .A1(n57871), .S(n59356), .Y(
+        n57886) );
+  sky130_fd_sc_hd__nand2_1 U55705 ( .A(n38191), .B(n38190), .Y(n57887) );
+  sky130_fd_sc_hd__clkinv_1 U55706 ( .A(n57887), .Y(n38189) );
+  sky130_fd_sc_hd__and3_1 U55707 ( .A(n37734), .B(n38125), .C(n37733), .X(
+        n37177) );
+  sky130_fd_sc_hd__and2_1 U55708 ( .A(n38013), .B(n38012), .X(n37178) );
+  sky130_fd_sc_hd__clkinv_1 U55709 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_34[0]), 
+        .Y(n57786) );
+  sky130_fd_sc_hd__clkinv_1 U55710 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_29[1]), 
+        .Y(n58022) );
+  sky130_fd_sc_hd__and2_1 U55711 ( .A(n37187), .B(n38223), .X(n37183) );
+  sky130_fd_sc_hd__inv_1 U55712 ( .A(n37513), .Y(n57814) );
+  sky130_fd_sc_hd__and2_1 U55713 ( .A(n37611), .B(n37610), .X(n37187) );
+  sky130_fd_sc_hd__a21oi_1 U55714 ( .A1(n39674), .A2(n39676), .B1(n37681), .Y(
+        n39722) );
+  sky130_fd_sc_hd__clkinv_1 U55715 ( .A(n38851), .Y(n38860) );
+  sky130_fd_sc_hd__inv_1 U55716 ( .A(n58054), .Y(n58068) );
+  sky130_fd_sc_hd__mux2_2 U55717 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_24[1]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_23[1]), 
+        .S(n59383), .X(n58054) );
+  sky130_fd_sc_hd__clkinv_1 U55719 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_16[0]), 
+        .Y(n57967) );
+  sky130_fd_sc_hd__clkinv_1 U55720 ( .A(n38255), .Y(n38567) );
+  sky130_fd_sc_hd__mux2_2 U55721 ( .A0(n38636), .A1(n38635), .S(n59563), .X(
+        n38658) );
+  sky130_fd_sc_hd__clkinv_1 U55722 ( .A(n58300), .Y(n58302) );
+  sky130_fd_sc_hd__mux2i_1 U55723 ( .A0(n38969), .A1(n38968), .S(n59474), .Y(
+        n39129) );
+  sky130_fd_sc_hd__clkinv_1 U55724 ( .A(n37760), .Y(n48017) );
+  sky130_fd_sc_hd__nand3_1 U55725 ( .A(n55301), .B(n55303), .C(n37761), .Y(
+        n37760) );
+  sky130_fd_sc_hd__mux2_2 U55726 ( .A0(n57965), .A1(n57964), .S(n59589), .X(
+        n38870) );
+  sky130_fd_sc_hd__clkinv_1 U55727 ( .A(n57981), .Y(n38342) );
+  sky130_fd_sc_hd__and2_4 U55728 ( .A(n60153), .B(n60152), .X(n37198) );
+  sky130_fd_sc_hd__nor4_4 U55729 ( .A(n65639), .B(n75878), .C(n72576), .D(
+        n65638), .Y(n37199) );
+  sky130_fd_sc_hd__clkinv_1 U55730 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[18]), .Y(n70629) );
+  sky130_fd_sc_hd__clkinv_1 U55731 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[22]), .Y(n70816) );
+  sky130_fd_sc_hd__clkinv_1 U55732 ( .A(n64348), .Y(n64582) );
+  sky130_fd_sc_hd__clkinv_1 U55733 ( .A(n64582), .Y(n70150) );
+  sky130_fd_sc_hd__inv_4 U55734 ( .A(n73152), .Y(n73340) );
+  sky130_fd_sc_hd__clkinv_1 U55735 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .Y(n73152) );
+  sky130_fd_sc_hd__clkinv_1 U55736 ( .A(n63677), .Y(n63678) );
+  sky130_fd_sc_hd__nand3_1 U55737 ( .A(n60067), .B(n47698), .C(n48839), .Y(
+        n55310) );
+  sky130_fd_sc_hd__clkinv_1 U55738 ( .A(n70045), .Y(n37649) );
+  sky130_fd_sc_hd__inv_2 U55739 ( .A(n37867), .Y(n59448) );
+  sky130_fd_sc_hd__o21a_1 U55740 ( .A1(n60624), .A2(n60623), .B1(n60593), .X(
+        n37206) );
+  sky130_fd_sc_hd__o21a_1 U55741 ( .A1(n60743), .A2(n60742), .B1(n60741), .X(
+        n37207) );
+  sky130_fd_sc_hd__o22a_1 U55742 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[44]), 
+        .A2(n67059), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[42]), 
+        .B2(n67420), .X(n37208) );
+  sky130_fd_sc_hd__clkinv_1 U55743 ( .A(n73749), .Y(n63304) );
+  sky130_fd_sc_hd__nand2_2 U55744 ( .A(n37728), .B(n37102), .Y(n58858) );
+  sky130_fd_sc_hd__clkinv_1 U55745 ( .A(n38973), .Y(n38316) );
+  sky130_fd_sc_hd__o21a_1 U55746 ( .A1(n63938), .A2(n85537), .B1(n85546), .X(
+        n37210) );
+  sky130_fd_sc_hd__clkinv_1 U55747 ( .A(n61067), .Y(n37972) );
+  sky130_fd_sc_hd__clkinv_1 U55748 ( .A(n39119), .Y(n38140) );
+  sky130_fd_sc_hd__clkinv_1 U55749 ( .A(n59779), .Y(n43018) );
+  sky130_fd_sc_hd__o21ai_1 U55750 ( .A1(n58269), .A2(n58815), .B1(n58268), .Y(
+        n76496) );
+  sky130_fd_sc_hd__clkinv_1 U55751 ( .A(n76496), .Y(n58290) );
+  sky130_fd_sc_hd__and2_1 U55752 ( .A(n54908), .B(n36844), .X(n37215) );
+  sky130_fd_sc_hd__o21a_1 U55753 ( .A1(n73157), .A2(n73258), .B1(n41844), .X(
+        n86306) );
+  sky130_fd_sc_hd__o2bb2a_2 U55754 ( .A1_N(n39430), .A2_N(n39429), .B1(n64278), 
+        .B2(n36841), .X(n62961) );
+  sky130_fd_sc_hd__nand3_1 U55755 ( .A(n60083), .B(n60081), .C(n60082), .Y(
+        n63501) );
+  sky130_fd_sc_hd__clkinv_1 U55756 ( .A(n39166), .Y(n38128) );
+  sky130_fd_sc_hd__clkinv_1 U55757 ( .A(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .Y(
+        n83631) );
+  sky130_fd_sc_hd__clkinv_1 U55758 ( .A(n76444), .Y(n67160) );
+  sky130_fd_sc_hd__mux2i_2 U55759 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[52]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[20]), .S(n67148), .Y(n76444) );
+  sky130_fd_sc_hd__clkinv_1 U55760 ( .A(n76445), .Y(n73492) );
+  sky130_fd_sc_hd__mux2i_2 U55761 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[53]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[21]), .S(n67148), .Y(n76445) );
+  sky130_fd_sc_hd__clkinv_1 U55763 ( .A(n70401), .Y(n55614) );
+  sky130_fd_sc_hd__inv_2 U55764 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_io_full), .Y(n59809) );
+  sky130_fd_sc_hd__clkinv_1 U55765 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[6]), .Y(n37682) );
+  sky130_fd_sc_hd__clkinv_1 U55766 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[5]), .Y(n37683) );
+  sky130_fd_sc_hd__clkinv_1 U55767 ( .A(n56147), .Y(n37351) );
+  sky130_fd_sc_hd__clkinv_1 U55769 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_32[2]), 
+        .Y(n37885) );
+  sky130_fd_sc_hd__clkinv_1 U55770 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_io_deq_bits_MPORT_addr[2]), .Y(n81045) );
+  sky130_fd_sc_hd__clkinv_1 U55771 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_55[0]), 
+        .Y(n37446) );
+  sky130_fd_sc_hd__mux2_2 U55772 ( .A0(n85508), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[14]), .S(n37259), .X(n37221) );
+  sky130_fd_sc_hd__mux2_2 U55773 ( .A0(n85510), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[17]), .S(n37259), .X(n37222) );
+  sky130_fd_sc_hd__mux2_2 U55774 ( .A0(n85517), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[13]), .S(n37259), .X(n37223) );
+  sky130_fd_sc_hd__mux2_2 U55775 ( .A0(n37895), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[0]), .S(n37259), .X(n37224) );
+  sky130_fd_sc_hd__clkinv_1 U55776 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_50[0]), 
+        .Y(n38211) );
+  sky130_fd_sc_hd__buf_2 U55777 ( .A(n47520), .X(n37548) );
+  sky130_fd_sc_hd__clkinv_1 U55778 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_5[0]), 
+        .Y(n37775) );
+  sky130_fd_sc_hd__clkinv_1 U55779 ( .A(n73258), .Y(n73259) );
+  sky130_fd_sc_hd__mux2_2 U55780 ( .A0(n85508), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[14]), .S(n76239), .X(n37225) );
+  sky130_fd_sc_hd__mux2_2 U55781 ( .A0(n85510), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[17]), .S(n76239), .X(n37226) );
+  sky130_fd_sc_hd__clkinv_1 U55782 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[22]), .Y(n37761) );
+  sky130_fd_sc_hd__clkinv_1 U55784 ( .A(n63346), .Y(n63362) );
+  sky130_fd_sc_hd__clkinv_1 U55785 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_35[0]), 
+        .Y(n37620) );
+  sky130_fd_sc_hd__clkinv_1 U55786 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_55[2]), 
+        .Y(n57910) );
+  sky130_fd_sc_hd__clkinv_1 U55787 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_11[0]), 
+        .Y(n37855) );
+  sky130_fd_sc_hd__clkinv_1 U55788 ( .A(n58312), .Y(n38224) );
+  sky130_fd_sc_hd__clkinv_1 U55789 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[5]), .Y(n40108) );
+  sky130_fd_sc_hd__clkinv_1 U55790 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[7]), .Y(n40126) );
+  sky130_fd_sc_hd__clkinv_1 U55792 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[3]), .Y(n72585) );
+  sky130_fd_sc_hd__a22o_1 U55793 ( .A1(n37109), .A2(n59342), .B1(n59341), .B2(
+        n59340), .X(n37228) );
+  sky130_fd_sc_hd__a22o_1 U55794 ( .A1(n59336), .A2(n59335), .B1(n59334), .B2(
+        n59333), .X(n37229) );
+  sky130_fd_sc_hd__a22o_1 U55795 ( .A1(n37047), .A2(n59332), .B1(n59331), .B2(
+        n59330), .X(n37230) );
+  sky130_fd_sc_hd__clkinv_1 U55796 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_41[1]), 
+        .Y(n57710) );
+  sky130_fd_sc_hd__clkinv_1 U55797 ( .A(n53543), .Y(n75712) );
+  sky130_fd_sc_hd__or3_1 U55798 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_csr[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_csr[1]), .C(n40090), .X(n37232) );
+  sky130_fd_sc_hd__o22ai_1 U55799 ( .A1(n59467), .A2(n59611), .B1(n37632), 
+        .B2(n59610), .Y(n37234) );
+  sky130_fd_sc_hd__clkinv_1 U55800 ( .A(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmactive_synced_dmactiveSync_io_q), .Y(n82298) );
+  sky130_fd_sc_hd__clkinv_1 U55801 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_MPORT_addr[0]), .Y(n80407) );
+  sky130_fd_sc_hd__clkinv_1 U55802 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[30]), .Y(n73099) );
+  sky130_fd_sc_hd__clkinv_1 U55803 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_MPORT_addr[2]), .Y(n81221) );
+  sky130_fd_sc_hd__nand2_1 U55804 ( .A(n37481), .B(n37480), .Y(n59586) );
+  sky130_fd_sc_hd__clkinv_1 U55805 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_7[0]), .Y(
+        n37360) );
+  sky130_fd_sc_hd__clkinv_1 U55806 ( .A(n59603), .Y(n37632) );
+  sky130_fd_sc_hd__mux2_2 U55807 ( .A0(n85517), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[13]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .X(n37235) );
+  sky130_fd_sc_hd__mux2_2 U55808 ( .A0(n37895), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[0]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .X(n37236) );
+  sky130_fd_sc_hd__mux2_2 U55809 ( .A0(n85517), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[13]), .S(n76239), .X(n37237) );
+  sky130_fd_sc_hd__mux2_2 U55810 ( .A0(n37895), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[0]), .S(n76239), .X(n37238) );
+  sky130_fd_sc_hd__clkinv_1 U55811 ( .A(n59605), .Y(n37628) );
+  sky130_fd_sc_hd__clkinv_1 U55812 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .Y(n77167) );
+  sky130_fd_sc_hd__o22a_1 U55813 ( .A1(n59177), .A2(n40263), .B1(n37000), .B2(
+        n62927), .X(n39910) );
+  sky130_fd_sc_hd__nor2_1 U55814 ( .A(n39899), .B(n37465), .Y(n37464) );
+  sky130_fd_sc_hd__nand2_2 U55815 ( .A(n59779), .B(n76188), .Y(n53277) );
+  sky130_fd_sc_hd__a21oi_2 U55816 ( .A1(n39649), .A2(n39570), .B1(n39569), .Y(
+        n39645) );
+  sky130_fd_sc_hd__o21ai_2 U55817 ( .A1(n39659), .A2(n39654), .B1(n39655), .Y(
+        n39649) );
+  sky130_fd_sc_hd__a21o_4 U55820 ( .A1(n36843), .A2(n85424), .B1(n59501), .X(
+        n37243) );
+  sky130_fd_sc_hd__a21o_4 U55821 ( .A1(n36843), .A2(n85424), .B1(n59501), .X(
+        n37244) );
+  sky130_fd_sc_hd__nand2_2 U55822 ( .A(n82981), .B(n72789), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__T_3) );
+  sky130_fd_sc_hd__nand2_2 U55823 ( .A(n69929), .B(n60133), .Y(n36586) );
+  sky130_fd_sc_hd__or3_4 U55824 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_valid), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_xcpt_interrupt), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_replay), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_pc_valid) );
+  sky130_fd_sc_hd__nor2_2 U55825 ( .A(n60796), .B(n53246), .Y(n73326) );
+  sky130_fd_sc_hd__nor2_1 U55826 ( .A(n59800), .B(n43128), .Y(n43126) );
+  sky130_fd_sc_hd__nor2_4 U55827 ( .A(n43013), .B(n43012), .Y(n69216) );
+  sky130_fd_sc_hd__nand3b_1 U55828 ( .A_N(n59985), .B(n72916), .C(n59984), .Y(
+        n73163) );
+  sky130_fd_sc_hd__a21o_4 U55829 ( .A1(n36843), .A2(n85424), .B1(n59501), .X(
+        n37245) );
+  sky130_fd_sc_hd__a22o_2 U55830 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[16]), .B1(n60141), .B2(n68441), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0[16]) );
+  sky130_fd_sc_hd__a22o_2 U55831 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[9]), .B1(n60141), .B2(n64198), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0[9]) );
+  sky130_fd_sc_hd__a22o_2 U55832 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[8]), .B1(n60141), .B2(n65078), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0[8]) );
+  sky130_fd_sc_hd__a22o_2 U55833 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[7]), .B1(n60141), .B2(n64469), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0[7]) );
+  sky130_fd_sc_hd__a22o_2 U55834 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[6]), .B1(n60141), .B2(n65141), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0[6]) );
+  sky130_fd_sc_hd__a22o_2 U55835 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[5]), .B1(n60141), .B2(n65226), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0[5]) );
+  sky130_fd_sc_hd__a22o_2 U55836 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[4]), .B1(n60141), .B2(n64227), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0[4]) );
+  sky130_fd_sc_hd__a22o_2 U55837 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[3]), .B1(n60141), .B2(n64283), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0[3]) );
+  sky130_fd_sc_hd__a22o_2 U55838 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[2]), .B1(n60141), .B2(n64414), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0[2]) );
+  sky130_fd_sc_hd__a22o_2 U55839 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[17]), .B1(n60141), .B2(n64205), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0[17]) );
+  sky130_fd_sc_hd__a22o_2 U55840 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[15]), .B1(n60141), .B2(n64464), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0[15]) );
+  sky130_fd_sc_hd__a22o_2 U55841 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[14]), .B1(n60141), .B2(n65146), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0[14]) );
+  sky130_fd_sc_hd__a22o_2 U55842 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[13]), .B1(n60141), .B2(n64723), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0[13]) );
+  sky130_fd_sc_hd__a22o_2 U55843 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[12]), .B1(n60141), .B2(n64175), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0[12]) );
+  sky130_fd_sc_hd__a22o_2 U55844 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[11]), .B1(n60141), .B2(n64292), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0[11]) );
+  sky130_fd_sc_hd__a22o_2 U55845 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[10]), .B1(n60141), .B2(n64424), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0[10]) );
+  sky130_fd_sc_hd__a22o_2 U55846 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[1]), .B1(n60141), .B2(n64189), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0[1]) );
+  sky130_fd_sc_hd__a22o_2 U55847 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[0]), .B1(n60141), .B2(n70203), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0[0]) );
+  sky130_fd_sc_hd__a22o_2 U55848 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[31]), .B1(n60141), .B2(n78457), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0[31]) );
+  sky130_fd_sc_hd__a22o_2 U55849 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[30]), .B1(n60141), .B2(n78452), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0[30]) );
+  sky130_fd_sc_hd__a22o_2 U55850 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[29]), .B1(n60141), .B2(n78449), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0[29]) );
+  sky130_fd_sc_hd__a22o_2 U55851 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[28]), .B1(n60141), .B2(n78446), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0[28]) );
+  sky130_fd_sc_hd__a22o_2 U55852 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[27]), .B1(n60141), .B2(n78443), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0[27]) );
+  sky130_fd_sc_hd__a22o_2 U55853 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[26]), .B1(n60141), .B2(n78440), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0[26]) );
+  sky130_fd_sc_hd__a22o_2 U55854 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[25]), .B1(n60141), .B2(n78437), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0[25]) );
+  sky130_fd_sc_hd__a22o_2 U55855 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[24]), .B1(n60141), .B2(n78434), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0[24]) );
+  sky130_fd_sc_hd__a22o_2 U55856 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[23]), .B1(n60141), .B2(n78431), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0[23]) );
+  sky130_fd_sc_hd__a22o_2 U55857 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[22]), .B1(n60141), .B2(n78428), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0[22]) );
+  sky130_fd_sc_hd__a22o_2 U55858 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[21]), .B1(n60141), .B2(n78425), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0[21]) );
+  sky130_fd_sc_hd__a22o_2 U55859 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[20]), .B1(n60141), .B2(n78422), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0[20]) );
+  sky130_fd_sc_hd__inv_6 U55860 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_array_RW0_addr[2]), .Y(n37247) );
+  sky130_fd_sc_hd__inv_8 U55861 ( .A(n37253), .Y(n37254) );
+  sky130_fd_sc_hd__o21ai_2 U55862 ( .A1(n78418), .A2(n78459), .B1(n78417), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata[18]) );
+  sky130_fd_sc_hd__o21ai_2 U55863 ( .A1(n78421), .A2(n78459), .B1(n78420), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata[19]) );
+  sky130_fd_sc_hd__o21ai_2 U55864 ( .A1(n78424), .A2(n78459), .B1(n78423), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata[20]) );
+  sky130_fd_sc_hd__o21ai_2 U55865 ( .A1(n78427), .A2(n78459), .B1(n78426), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata[21]) );
+  sky130_fd_sc_hd__o21ai_2 U55866 ( .A1(n78430), .A2(n78459), .B1(n78429), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata[22]) );
+  sky130_fd_sc_hd__o21ai_2 U55867 ( .A1(n78433), .A2(n78459), .B1(n78432), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata[23]) );
+  sky130_fd_sc_hd__o21ai_2 U55868 ( .A1(n78436), .A2(n78459), .B1(n78435), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata[24]) );
+  sky130_fd_sc_hd__o21ai_2 U55869 ( .A1(n78439), .A2(n78459), .B1(n78438), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata[25]) );
+  sky130_fd_sc_hd__o21ai_2 U55870 ( .A1(n78442), .A2(n78459), .B1(n78441), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata[26]) );
+  sky130_fd_sc_hd__o21ai_2 U55871 ( .A1(n78445), .A2(n78459), .B1(n78444), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata[27]) );
+  sky130_fd_sc_hd__o21ai_2 U55872 ( .A1(n78448), .A2(n78459), .B1(n78447), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata[28]) );
+  sky130_fd_sc_hd__o21ai_2 U55873 ( .A1(n78451), .A2(n78459), .B1(n78450), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata[29]) );
+  sky130_fd_sc_hd__o21ai_2 U55874 ( .A1(n78455), .A2(n78459), .B1(n78454), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata[30]) );
+  sky130_fd_sc_hd__o21ai_2 U55875 ( .A1(n78460), .A2(n78459), .B1(n78458), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata[31]) );
+  sky130_fd_sc_hd__a22o_2 U55876 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[18]), .B1(n60141), .B2(n78416), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0[18]) );
+  sky130_fd_sc_hd__a22o_2 U55877 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_2_auto_in_d_bits_data[19]), .B1(n60141), .B2(n78419), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_wdata_0[19]) );
+  sky130_fd_sc_hd__o21a_2 U55878 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_invalidated), .A2(n62952), .B1(n60141), .X(n86594) );
+  sky130_fd_sc_hd__nand2b_1 U55879 ( .A_N(n82462), .B(n82999), .Y(n62952) );
+  sky130_fd_sc_hd__buf_8 U55880 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_array_RW0_addr[4]), .X(n37260) );
+  sky130_fd_sc_hd__a21o_4 U55881 ( .A1(n36843), .A2(n85424), .B1(n59501), .X(
+        n37262) );
+  sky130_fd_sc_hd__a21o_4 U55882 ( .A1(n36843), .A2(n85424), .B1(n59501), .X(
+        n37263) );
+  sky130_fd_sc_hd__a21o_4 U55883 ( .A1(n36843), .A2(n85424), .B1(n59501), .X(
+        n37264) );
+  sky130_fd_sc_hd__nand2_2 U55884 ( .A(n37277), .B(n37276), .Y(n84859) );
+  sky130_fd_sc_hd__nand2_1 U55885 ( .A(n41596), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_size[4]), .Y(n37276) );
+  sky130_fd_sc_hd__nand2_1 U55886 ( .A(n41596), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_size[5]), .Y(n37278) );
+  sky130_fd_sc_hd__nand2_1 U55887 ( .A(n41596), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_size[3]), .Y(n37280) );
+  sky130_fd_sc_hd__nand2_1 U55889 ( .A(n37283), .B(n37282), .Y(n85807) );
+  sky130_fd_sc_hd__nand2_1 U55890 ( .A(n41596), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[39]), .Y(n37282) );
+  sky130_fd_sc_hd__nand2_1 U55891 ( .A(n37285), .B(n37284), .Y(n85806) );
+  sky130_fd_sc_hd__nand2_1 U55892 ( .A(n41596), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[37]), .Y(n37284) );
+  sky130_fd_sc_hd__nand2_1 U55894 ( .A(n37287), .B(n37286), .Y(n85799) );
+  sky130_fd_sc_hd__nand2_1 U55895 ( .A(n41596), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[48]), .Y(n37286) );
+  sky130_fd_sc_hd__nand2_1 U55896 ( .A(n55037), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[18]), .Y(n37287) );
+  sky130_fd_sc_hd__nand2_1 U55897 ( .A(n37289), .B(n37288), .Y(n85439) );
+  sky130_fd_sc_hd__nand2_1 U55898 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[59]), .Y(n37288) );
+  sky130_fd_sc_hd__nand2_1 U55899 ( .A(n55037), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[29]), .Y(n37289) );
+  sky130_fd_sc_hd__nand2_1 U55900 ( .A(n37291), .B(n37290), .Y(n85811) );
+  sky130_fd_sc_hd__nand2_1 U55901 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_opcode[4]), .Y(n37290) );
+  sky130_fd_sc_hd__nand2_1 U55902 ( .A(n55037), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_opcode[1]), .Y(n37291) );
+  sky130_fd_sc_hd__nand2_1 U55903 ( .A(n37293), .B(n37292), .Y(n85810) );
+  sky130_fd_sc_hd__nand2_1 U55904 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_opcode[3]), .Y(n37292) );
+  sky130_fd_sc_hd__nand2_1 U55905 ( .A(n55037), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_opcode[0]), .Y(n37293) );
+  sky130_fd_sc_hd__nand2_1 U55906 ( .A(n37295), .B(n37294), .Y(n85797) );
+  sky130_fd_sc_hd__nand2_1 U55907 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[45]), .Y(n37294) );
+  sky130_fd_sc_hd__nand2_1 U55908 ( .A(n55037), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[15]), .Y(n37295) );
+  sky130_fd_sc_hd__nand2_1 U55909 ( .A(n37297), .B(n37296), .Y(n85809) );
+  sky130_fd_sc_hd__nand2_1 U55910 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_source[3]), .Y(n37296) );
+  sky130_fd_sc_hd__nand2_1 U55911 ( .A(n55037), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_source[1]), .Y(n37297) );
+  sky130_fd_sc_hd__nand2_1 U55912 ( .A(n37299), .B(n37298), .Y(n85808) );
+  sky130_fd_sc_hd__nand2_1 U55913 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_source[2]), .Y(n37298) );
+  sky130_fd_sc_hd__nand2_1 U55914 ( .A(n55037), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_source[0]), .Y(n37299) );
+  sky130_fd_sc_hd__nand2_1 U55915 ( .A(n37301), .B(n37300), .Y(n85798) );
+  sky130_fd_sc_hd__nand2_1 U55916 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[47]), .Y(n37300) );
+  sky130_fd_sc_hd__nand2_1 U55917 ( .A(n55037), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[17]), .Y(n37301) );
+  sky130_fd_sc_hd__nand2_1 U55918 ( .A(n37303), .B(n37302), .Y(n85801) );
+  sky130_fd_sc_hd__nand2_1 U55919 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[50]), .Y(n37302) );
+  sky130_fd_sc_hd__nand2_1 U55920 ( .A(n55037), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[20]), .Y(n37303) );
+  sky130_fd_sc_hd__nand2_1 U55921 ( .A(n37305), .B(n37304), .Y(n85804) );
+  sky130_fd_sc_hd__nand2_1 U55922 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[53]), .Y(n37304) );
+  sky130_fd_sc_hd__nand2_1 U55923 ( .A(n55037), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[23]), .Y(n37305) );
+  sky130_fd_sc_hd__nand2_1 U55924 ( .A(n37307), .B(n37306), .Y(n85805) );
+  sky130_fd_sc_hd__nand2_1 U55925 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[54]), .Y(n37306) );
+  sky130_fd_sc_hd__nand2_1 U55926 ( .A(n55037), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[24]), .Y(n37307) );
+  sky130_fd_sc_hd__nand2_1 U55927 ( .A(n37309), .B(n37308), .Y(n84856) );
+  sky130_fd_sc_hd__nand2_1 U55928 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_param[4]), .Y(n37308) );
+  sky130_fd_sc_hd__nand2_1 U55929 ( .A(n55037), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_param[1]), .Y(n37309) );
+  sky130_fd_sc_hd__nand2_1 U55930 ( .A(n37311), .B(n37310), .Y(n84858) );
+  sky130_fd_sc_hd__nand2_1 U55931 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_param[3]), .Y(n37310) );
+  sky130_fd_sc_hd__nand2_1 U55932 ( .A(n55037), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_param[0]), .Y(n37311) );
+  sky130_fd_sc_hd__nand2_1 U55933 ( .A(n37313), .B(n37312), .Y(n84861) );
+  sky130_fd_sc_hd__nand2_1 U55934 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[31]), .Y(n37312) );
+  sky130_fd_sc_hd__nand2_1 U55935 ( .A(n55037), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[1]), .Y(n37313) );
+  sky130_fd_sc_hd__nand2_1 U55936 ( .A(n37315), .B(n37314), .Y(n84870) );
+  sky130_fd_sc_hd__nand2_1 U55937 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[30]), .Y(n37314) );
+  sky130_fd_sc_hd__nand2_1 U55938 ( .A(n55037), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[0]), .Y(n37315) );
+  sky130_fd_sc_hd__a22oi_2 U55939 ( .A1(n41596), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[36]), .B1(n63711), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[6]), .Y(n78339) );
+  sky130_fd_sc_hd__o22ai_1 U55940 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_io_full), .A2(n77559), .B1(n41612), .B2(n54342), .Y(n41613) );
+  sky130_fd_sc_hd__nand2_1 U55941 ( .A(n41615), .B(n37322), .Y(n54342) );
+  sky130_fd_sc_hd__nand2b_1 U55942 ( .A_N(n54335), .B(n41611), .Y(n37322) );
+  sky130_fd_sc_hd__nand2b_1 U55943 ( .A_N(n41612), .B(n54335), .Y(n41615) );
+  sky130_fd_sc_hd__nand4bb_1 U55944 ( .A_N(n37326), .B_N(n48082), .C(n57269), 
+        .D(n48080), .Y(n37323) );
+  sky130_fd_sc_hd__a21oi_1 U55945 ( .A1(n57228), .A2(n46491), .B1(n47215), .Y(
+        n57269) );
+  sky130_fd_sc_hd__nand2_1 U55946 ( .A(n45646), .B(n37324), .Y(n47215) );
+  sky130_fd_sc_hd__nand2_1 U55947 ( .A(n48079), .B(n37327), .Y(n37326) );
+  sky130_fd_sc_hd__a21oi_1 U55949 ( .A1(n45441), .A2(n37136), .B1(n46047), .Y(
+        n50192) );
+  sky130_fd_sc_hd__nand2_1 U55951 ( .A(n52729), .B(n57192), .Y(n57011) );
+  sky130_fd_sc_hd__nand2_1 U55952 ( .A(n37328), .B(n54335), .Y(n53590) );
+  sky130_fd_sc_hd__o22ai_1 U55953 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_io_full), .A2(n41667), .B1(n53591), .B2(n37328), .Y(n41608) );
+  sky130_fd_sc_hd__o21ai_1 U55954 ( .A1(n54338), .A2(n41619), .B1(n41620), .Y(
+        n37328) );
+  sky130_fd_sc_hd__clkinv_1 U55955 ( .A(n45436), .Y(n37329) );
+  sky130_fd_sc_hd__nand2_1 U55956 ( .A(n37330), .B(n52237), .Y(n44289) );
+  sky130_fd_sc_hd__nand3b_1 U55957 ( .A_N(n44284), .B(n44283), .C(n37331), .Y(
+        n37330) );
+  sky130_fd_sc_hd__nor2_1 U55958 ( .A(n37332), .B(n44281), .Y(n37331) );
+  sky130_fd_sc_hd__nand4bb_1 U55959 ( .A_N(n44280), .B_N(n37333), .C(n37329), 
+        .D(n37110), .Y(n37332) );
+  sky130_fd_sc_hd__o21ai_0 U55960 ( .A1(n56570), .A2(n57004), .B1(n44282), .Y(
+        n37333) );
+  sky130_fd_sc_hd__nor2_4 U55961 ( .A(n57120), .B(n37334), .Y(n57136) );
+  sky130_fd_sc_hd__nor2_1 U55962 ( .A(n62606), .B(n62607), .Y(n65396) );
+  sky130_fd_sc_hd__nand2_1 U55963 ( .A(n37336), .B(n37335), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N158) );
+  sky130_fd_sc_hd__nand2_1 U55964 ( .A(n37337), .B(n69796), .Y(n37336) );
+  sky130_fd_sc_hd__xnor2_1 U55965 ( .A(n65752), .B(n37338), .Y(n37337) );
+  sky130_fd_sc_hd__o21ai_1 U55966 ( .A1(n65760), .A2(n69740), .B1(n65761), .Y(
+        n37338) );
+  sky130_fd_sc_hd__inv_2 U55967 ( .A(n57136), .Y(n52199) );
+  sky130_fd_sc_hd__nand2_1 U55968 ( .A(n57544), .B(n50462), .Y(n57452) );
+  sky130_fd_sc_hd__inv_2 U55969 ( .A(n80848), .Y(n80847) );
+  sky130_fd_sc_hd__nand3_1 U55970 ( .A(n80848), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_fmt_proto[0]), .C(n61857), .Y(n80869) );
+  sky130_fd_sc_hd__o2bb2ai_2 U55971 ( .B1(n37340), .B2(n37339), .A1_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_sample_d), .A2_N(n61855), .Y(n80848) );
+  sky130_fd_sc_hd__xnor2_1 U55972 ( .A(n60573), .B(n37341), .Y(n60593) );
+  sky130_fd_sc_hd__nand2_1 U55973 ( .A(n37342), .B(n48425), .Y(n48051) );
+  sky130_fd_sc_hd__nand2b_1 U55974 ( .A_N(n48427), .B(n37227), .Y(n37342) );
+  sky130_fd_sc_hd__nand2_1 U55975 ( .A(n48050), .B(n37227), .Y(n37345) );
+  sky130_fd_sc_hd__xor2_1 U55976 ( .A(n47455), .B(n37346), .X(n49213) );
+  sky130_fd_sc_hd__o21a_1 U55977 ( .A1(n48389), .A2(n48393), .B1(n48390), .X(
+        n37346) );
+  sky130_fd_sc_hd__nand2_1 U55978 ( .A(n37350), .B(n37347), .Y(n52308) );
+  sky130_fd_sc_hd__a21boi_1 U55979 ( .A1(n37348), .A2(n50065), .B1_N(n56148), 
+        .Y(n37347) );
+  sky130_fd_sc_hd__nor2_1 U55980 ( .A(n56147), .B(n37349), .Y(n37348) );
+  sky130_fd_sc_hd__nand2_1 U55981 ( .A(n47425), .B(n37351), .Y(n37350) );
+  sky130_fd_sc_hd__xnor2_1 U55982 ( .A(n37352), .B(n37231), .Y(n56150) );
+  sky130_fd_sc_hd__nor2_1 U55983 ( .A(n37354), .B(n51947), .Y(n37353) );
+  sky130_fd_sc_hd__xor2_1 U55985 ( .A(n37358), .B(n37357), .X(n47469) );
+  sky130_fd_sc_hd__nand2_1 U55986 ( .A(n47464), .B(n47463), .Y(n37357) );
+  sky130_fd_sc_hd__nor2_2 U55987 ( .A(n37362), .B(n47307), .Y(n47356) );
+  sky130_fd_sc_hd__nand2_1 U55988 ( .A(n37361), .B(n37359), .Y(n47307) );
+  sky130_fd_sc_hd__nand2_1 U55989 ( .A(n47322), .B(n37360), .Y(n37359) );
+  sky130_fd_sc_hd__nand2_1 U55990 ( .A(n47298), .B(n47322), .Y(n37361) );
+  sky130_fd_sc_hd__inv_2 U55991 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_param[2]), .Y(n47322) );
+  sky130_fd_sc_hd__inv_1 U55992 ( .A(n57918), .Y(n57932) );
+  sky130_fd_sc_hd__a21oi_1 U55993 ( .A1(n37366), .A2(n37365), .B1(n37364), .Y(
+        n37389) );
+  sky130_fd_sc_hd__o21ai_1 U55994 ( .A1(n57918), .A2(n37187), .B1(n38225), .Y(
+        n37364) );
+  sky130_fd_sc_hd__nand2_1 U55995 ( .A(n58292), .B(n58291), .Y(n37365) );
+  sky130_fd_sc_hd__nand2_1 U55996 ( .A(n37187), .B(n57918), .Y(n37366) );
+  sky130_fd_sc_hd__inv_1 U55997 ( .A(n37401), .Y(n37368) );
+  sky130_fd_sc_hd__nand4_1 U55998 ( .A(n37367), .B(n37373), .C(n37370), .D(
+        n37376), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_1_io_dev[0]) );
+  sky130_fd_sc_hd__nand2_1 U55999 ( .A(n37790), .B(n37374), .Y(n37369) );
+  sky130_fd_sc_hd__nand2_1 U56000 ( .A(n58821), .B(n58816), .Y(n68050) );
+  sky130_fd_sc_hd__nand2_1 U56001 ( .A(n37375), .B(n37372), .Y(n37371) );
+  sky130_fd_sc_hd__nand3_1 U56002 ( .A(n58821), .B(n58816), .C(n37228), .Y(
+        n37372) );
+  sky130_fd_sc_hd__nand2_1 U56003 ( .A(n37402), .B(n37230), .Y(n37374) );
+  sky130_fd_sc_hd__nor2_2 U56004 ( .A(n58844), .B(n37388), .Y(n58821) );
+  sky130_fd_sc_hd__nand2_1 U56005 ( .A(n38269), .B(n59428), .Y(n37375) );
+  sky130_fd_sc_hd__nor2_1 U56006 ( .A(n37377), .B(n37788), .Y(n37376) );
+  sky130_fd_sc_hd__nor2_1 U56007 ( .A(n59430), .B(n59429), .Y(n37377) );
+  sky130_fd_sc_hd__nand2_1 U56008 ( .A(n62974), .B(n38395), .Y(n59429) );
+  sky130_fd_sc_hd__mux2i_1 U56009 ( .A0(n57825), .A1(n57824), .S(n58837), .Y(
+        n57830) );
+  sky130_fd_sc_hd__nand3_2 U56010 ( .A(n37378), .B(n37393), .C(n37394), .Y(
+        n58837) );
+  sky130_fd_sc_hd__nand2_1 U56011 ( .A(n37186), .B(n37379), .Y(n37378) );
+  sky130_fd_sc_hd__nand2_1 U56012 ( .A(n37382), .B(n37383), .Y(n37381) );
+  sky130_fd_sc_hd__nand2_1 U56013 ( .A(n57804), .B(n57806), .Y(n37383) );
+  sky130_fd_sc_hd__o21a_1 U56014 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_34[0]), 
+        .A2(n59410), .B1(n37384), .X(n57804) );
+  sky130_fd_sc_hd__nand2_1 U56015 ( .A(n59410), .B(n57785), .Y(n37384) );
+  sky130_fd_sc_hd__nand2_1 U56016 ( .A(n59410), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_33[1]), 
+        .Y(n37385) );
+  sky130_fd_sc_hd__nand2_1 U56017 ( .A(n76495), .B(n37387), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_1_io_max[0]) );
+  sky130_fd_sc_hd__nand2_1 U56018 ( .A(n58817), .B(n57932), .Y(n37508) );
+  sky130_fd_sc_hd__nand3_2 U56019 ( .A(n37724), .B(n38223), .C(n37993), .Y(
+        n58817) );
+  sky130_fd_sc_hd__nand3_1 U56020 ( .A(n58302), .B(n38225), .C(n37004), .Y(
+        n37993) );
+  sky130_fd_sc_hd__nand2_1 U56022 ( .A(n37005), .B(n58300), .Y(n37390) );
+  sky130_fd_sc_hd__nand2_2 U56023 ( .A(n59413), .B(n57808), .Y(n59412) );
+  sky130_fd_sc_hd__nand3_1 U56024 ( .A(n37398), .B(n57807), .C(n37399), .Y(
+        n59413) );
+  sky130_fd_sc_hd__nand2_1 U56025 ( .A(n37197), .B(n37391), .Y(n37513) );
+  sky130_fd_sc_hd__nand2_1 U56026 ( .A(n59412), .B(n57793), .Y(n37391) );
+  sky130_fd_sc_hd__o21ai_1 U56027 ( .A1(n57815), .A2(n58837), .B1(n37392), .Y(
+        n57834) );
+  sky130_fd_sc_hd__nand2_1 U56028 ( .A(n58837), .B(n37513), .Y(n37392) );
+  sky130_fd_sc_hd__inv_2 U56029 ( .A(n57834), .Y(n58834) );
+  sky130_fd_sc_hd__nand2_1 U56030 ( .A(n37386), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_33[1]), 
+        .Y(n38527) );
+  sky130_fd_sc_hd__a2bb2oi_1 U56031 ( .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_6[1]), 
+        .B2(n46403), .A1_N(n46427), .A2_N(n37386), .Y(n45540) );
+  sky130_fd_sc_hd__nand2_1 U56032 ( .A(n37397), .B(n37005), .Y(n37395) );
+  sky130_fd_sc_hd__nand2b_1 U56033 ( .A_N(n37397), .B(n58302), .Y(n37396) );
+  sky130_fd_sc_hd__nand2_1 U56034 ( .A(n38197), .B(n38225), .Y(n37397) );
+  sky130_fd_sc_hd__nand2_1 U56035 ( .A(n37400), .B(n57793), .Y(n37399) );
+  sky130_fd_sc_hd__nand2_1 U56036 ( .A(n68064), .B(n36822), .Y(n59338) );
+  sky130_fd_sc_hd__nand2_1 U56038 ( .A(n37405), .B(n37404), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N163) );
+  sky130_fd_sc_hd__nand2_1 U56039 ( .A(n37406), .B(n69932), .Y(n37405) );
+  sky130_fd_sc_hd__xnor2_1 U56040 ( .A(n65829), .B(n37407), .Y(n37406) );
+  sky130_fd_sc_hd__nand2_1 U56042 ( .A(n37409), .B(n37408), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N164) );
+  sky130_fd_sc_hd__nand2_1 U56043 ( .A(n37410), .B(n69932), .Y(n37409) );
+  sky130_fd_sc_hd__xnor2_1 U56044 ( .A(n65838), .B(n37411), .Y(n37410) );
+  sky130_fd_sc_hd__o21ai_1 U56045 ( .A1(n65837), .A2(n69740), .B1(n65836), .Y(
+        n37411) );
+  sky130_fd_sc_hd__nand2_1 U56046 ( .A(n37413), .B(n37412), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N165) );
+  sky130_fd_sc_hd__nand2_1 U56047 ( .A(n37414), .B(n69932), .Y(n37413) );
+  sky130_fd_sc_hd__xnor2_1 U56048 ( .A(n65856), .B(n37415), .Y(n37414) );
+  sky130_fd_sc_hd__o21ai_1 U56049 ( .A1(n65855), .A2(n69740), .B1(n65854), .Y(
+        n37415) );
+  sky130_fd_sc_hd__nand2_1 U56050 ( .A(n37417), .B(n37416), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N166) );
+  sky130_fd_sc_hd__nand2_1 U56051 ( .A(n37418), .B(n69932), .Y(n37417) );
+  sky130_fd_sc_hd__xnor2_1 U56052 ( .A(n65867), .B(n37419), .Y(n37418) );
+  sky130_fd_sc_hd__o21ai_1 U56053 ( .A1(n65866), .A2(n69740), .B1(n65865), .Y(
+        n37419) );
+  sky130_fd_sc_hd__nand2_1 U56054 ( .A(n37421), .B(n37420), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N167) );
+  sky130_fd_sc_hd__nand2_1 U56055 ( .A(n37422), .B(n69932), .Y(n37421) );
+  sky130_fd_sc_hd__xnor2_1 U56056 ( .A(n65877), .B(n37423), .Y(n37422) );
+  sky130_fd_sc_hd__o21ai_1 U56057 ( .A1(n65876), .A2(n69740), .B1(n65875), .Y(
+        n37423) );
+  sky130_fd_sc_hd__nand2_1 U56058 ( .A(n37425), .B(n37424), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N168) );
+  sky130_fd_sc_hd__nand2_1 U56059 ( .A(n37426), .B(n69932), .Y(n37425) );
+  sky130_fd_sc_hd__xnor2_1 U56060 ( .A(n65894), .B(n37427), .Y(n37426) );
+  sky130_fd_sc_hd__o21ai_1 U56061 ( .A1(n65893), .A2(n69740), .B1(n65892), .Y(
+        n37427) );
+  sky130_fd_sc_hd__nand2_1 U56062 ( .A(n37429), .B(n37428), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N170) );
+  sky130_fd_sc_hd__nand2_1 U56063 ( .A(n37430), .B(n69932), .Y(n37429) );
+  sky130_fd_sc_hd__xnor2_1 U56064 ( .A(n69723), .B(n37431), .Y(n37430) );
+  sky130_fd_sc_hd__nand2_1 U56066 ( .A(n37433), .B(n37432), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N169) );
+  sky130_fd_sc_hd__nand2_1 U56067 ( .A(n37434), .B(n69932), .Y(n37433) );
+  sky130_fd_sc_hd__xnor2_1 U56068 ( .A(n69742), .B(n37435), .Y(n37434) );
+  sky130_fd_sc_hd__o21ai_1 U56069 ( .A1(n69741), .A2(n69740), .B1(n69739), .Y(
+        n37435) );
+  sky130_fd_sc_hd__nand2_1 U56070 ( .A(n37801), .B(n38351), .Y(n38350) );
+  sky130_fd_sc_hd__inv_1 U56071 ( .A(n38351), .Y(n37437) );
+  sky130_fd_sc_hd__nand2_1 U56072 ( .A(n37800), .B(n37884), .Y(n38806) );
+  sky130_fd_sc_hd__nand3_1 U56073 ( .A(n37443), .B(n37440), .C(n37438), .Y(
+        n37444) );
+  sky130_fd_sc_hd__nand2_1 U56074 ( .A(n39152), .B(n37439), .Y(n37438) );
+  sky130_fd_sc_hd__nand2_1 U56075 ( .A(n37486), .B(n38792), .Y(n39152) );
+  sky130_fd_sc_hd__nor2_1 U56077 ( .A(n37625), .B(n37800), .Y(n37442) );
+  sky130_fd_sc_hd__nand3_2 U56078 ( .A(n37444), .B(n37470), .C(n38805), .Y(
+        n59444) );
+  sky130_fd_sc_hd__o21a_1 U56079 ( .A1(n37446), .A2(n59490), .B1(n37445), .X(
+        n39144) );
+  sky130_fd_sc_hd__nand2_1 U56081 ( .A(n37447), .B(n39636), .Y(n39719) );
+  sky130_fd_sc_hd__nand2_1 U56082 ( .A(n39742), .B(n39631), .Y(n37447) );
+  sky130_fd_sc_hd__nand2_1 U56083 ( .A(n37448), .B(n39633), .Y(n39742) );
+  sky130_fd_sc_hd__nand2_1 U56084 ( .A(n39687), .B(n39634), .Y(n37448) );
+  sky130_fd_sc_hd__nand2_1 U56085 ( .A(n37449), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[4]), .Y(n39665) );
+  sky130_fd_sc_hd__nand3_2 U56086 ( .A(n37452), .B(n38717), .C(n38778), .Y(
+        n59599) );
+  sky130_fd_sc_hd__nand3_1 U56087 ( .A(n37455), .B(n37454), .C(n37453), .Y(
+        n37452) );
+  sky130_fd_sc_hd__nand2_1 U56088 ( .A(n38721), .B(n38712), .Y(n37454) );
+  sky130_fd_sc_hd__nand3_1 U56089 ( .A(n38711), .B(n38756), .C(n38757), .Y(
+        n37455) );
+  sky130_fd_sc_hd__nand2_1 U56092 ( .A(n61940), .B(n61939), .Y(n37459) );
+  sky130_fd_sc_hd__xor2_1 U56093 ( .A(n39888), .B(n66458), .X(n39898) );
+  sky130_fd_sc_hd__nand2_1 U56094 ( .A(n37461), .B(n37460), .Y(n66458) );
+  sky130_fd_sc_hd__nand2_1 U56095 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[17]), .Y(n37460) );
+  sky130_fd_sc_hd__nand2_1 U56096 ( .A(n62898), .B(n37462), .Y(n37461) );
+  sky130_fd_sc_hd__nand3_1 U56097 ( .A(n37463), .B(n38056), .C(n57910), .Y(
+        n38061) );
+  sky130_fd_sc_hd__nand2_1 U56098 ( .A(n38046), .B(n38768), .Y(n37463) );
+  sky130_fd_sc_hd__nand4_1 U56099 ( .A(n37467), .B(n38494), .C(n37468), .D(
+        n37466), .Y(n37465) );
+  sky130_fd_sc_hd__xor2_1 U56101 ( .A(n85367), .B(n58758), .X(n37467) );
+  sky130_fd_sc_hd__nand3_1 U56102 ( .A(n38071), .B(n59448), .C(n59484), .Y(
+        n59530) );
+  sky130_fd_sc_hd__nand2_1 U56103 ( .A(n59444), .B(n37625), .Y(n37469) );
+  sky130_fd_sc_hd__nand3_1 U56104 ( .A(n37471), .B(n39154), .C(n38796), .Y(
+        n37470) );
+  sky130_fd_sc_hd__nand2_1 U56105 ( .A(n37473), .B(n37472), .Y(n59666) );
+  sky130_fd_sc_hd__nand2_1 U56106 ( .A(n59665), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[13]), .Y(n37472) );
+  sky130_fd_sc_hd__nand2_1 U56107 ( .A(n59664), .B(n37474), .Y(n37473) );
+  sky130_fd_sc_hd__nand2b_1 U56108 ( .A_N(n59665), .B(n37475), .Y(n37474) );
+  sky130_fd_sc_hd__a21oi_1 U56109 ( .A1(n52948), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__countReset_feed_T_1[2]), .B1(n37146), .Y(n51442) );
+  sky130_fd_sc_hd__nand3_1 U56110 ( .A(n37479), .B(n49117), .C(n37158), .Y(
+        n52948) );
+  sky130_fd_sc_hd__nand2_1 U56113 ( .A(n38687), .B(n38685), .Y(n37641) );
+  sky130_fd_sc_hd__o21a_1 U56114 ( .A1(n38567), .A2(n59587), .B1(n37482), .X(
+        n38687) );
+  sky130_fd_sc_hd__nand2_1 U56115 ( .A(n59587), .B(n38568), .Y(n37482) );
+  sky130_fd_sc_hd__mux2i_1 U56116 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_31[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_32[0]), 
+        .S(n37484), .Y(n38561) );
+  sky130_fd_sc_hd__mux2i_1 U56117 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_31[2]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_32[2]), 
+        .S(n37484), .Y(n38255) );
+  sky130_fd_sc_hd__o21ai_1 U56118 ( .A1(n37484), .A2(n59587), .B1(n37483), .Y(
+        n59594) );
+  sky130_fd_sc_hd__nand2_1 U56119 ( .A(n59587), .B(n59586), .Y(n37483) );
+  sky130_fd_sc_hd__nand2_2 U56120 ( .A(n38526), .B(n38525), .Y(n37484) );
+  sky130_fd_sc_hd__nand2_2 U56121 ( .A(n73149), .B(n37485), .Y(n39252) );
+  sky130_fd_sc_hd__nand2_1 U56122 ( .A(n73343), .B(n37485), .Y(n37528) );
+  sky130_fd_sc_hd__nand2_1 U56123 ( .A(n73248), .B(n37485), .Y(n39249) );
+  sky130_fd_sc_hd__nand2_1 U56124 ( .A(n63757), .B(n37485), .Y(n39264) );
+  sky130_fd_sc_hd__nand2_1 U56125 ( .A(n72750), .B(n37485), .Y(n39265) );
+  sky130_fd_sc_hd__nand2_1 U56126 ( .A(n63849), .B(n37485), .Y(n39278) );
+  sky130_fd_sc_hd__nand2_1 U56127 ( .A(n63843), .B(n37485), .Y(n39286) );
+  sky130_fd_sc_hd__nand2_1 U56128 ( .A(n63797), .B(n37485), .Y(n39272) );
+  sky130_fd_sc_hd__nand2_1 U56129 ( .A(n63833), .B(n37485), .Y(n39267) );
+  sky130_fd_sc_hd__inv_1 U56130 ( .A(n59443), .Y(n37487) );
+  sky130_fd_sc_hd__nand2_1 U56131 ( .A(n37488), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .Y(n38042) );
+  sky130_fd_sc_hd__nand2_1 U56132 ( .A(n37488), .B(n63057), .Y(n37989) );
+  sky130_fd_sc_hd__nand2_1 U56133 ( .A(n37488), .B(n76239), .Y(n63135) );
+  sky130_fd_sc_hd__nand2_1 U56134 ( .A(n37488), .B(n76241), .Y(n63139) );
+  sky130_fd_sc_hd__nor2_4 U56135 ( .A(n68709), .B(n37490), .Y(n39356) );
+  sky130_fd_sc_hd__nor2_4 U56136 ( .A(n37490), .B(n37934), .Y(n39936) );
+  sky130_fd_sc_hd__nor2_4 U56137 ( .A(n37490), .B(n39986), .Y(n54150) );
+  sky130_fd_sc_hd__nor2_1 U56138 ( .A(n37490), .B(n38111), .Y(n38110) );
+  sky130_fd_sc_hd__nand2_1 U56139 ( .A(n39939), .B(n37164), .Y(n39928) );
+  sky130_fd_sc_hd__nand2_1 U56140 ( .A(n54910), .B(n37489), .Y(n54912) );
+  sky130_fd_sc_hd__nand2_4 U56141 ( .A(n37920), .B(n70076), .Y(n37490) );
+  sky130_fd_sc_hd__nand2_1 U56142 ( .A(n37492), .B(n37131), .Y(n37491) );
+  sky130_fd_sc_hd__nand2_1 U56143 ( .A(n37493), .B(n63134), .Y(n37492) );
+  sky130_fd_sc_hd__nand2_1 U56144 ( .A(n37494), .B(n59260), .Y(n37493) );
+  sky130_fd_sc_hd__nand2_1 U56145 ( .A(n39452), .B(n59269), .Y(n37494) );
+  sky130_fd_sc_hd__o21ai_1 U56146 ( .A1(n38538), .A2(n59587), .B1(n37495), .Y(
+        n38560) );
+  sky130_fd_sc_hd__nand2_1 U56147 ( .A(n59587), .B(n38531), .Y(n37495) );
+  sky130_fd_sc_hd__nand4_1 U56148 ( .A(n37499), .B(n37497), .C(n37498), .D(
+        n38534), .Y(n37496) );
+  sky130_fd_sc_hd__nand2_1 U56149 ( .A(n38256), .B(n38567), .Y(n37497) );
+  sky130_fd_sc_hd__nand2_1 U56150 ( .A(n37163), .B(n38258), .Y(n37499) );
+  sky130_fd_sc_hd__nand2_1 U56151 ( .A(n37500), .B(n39558), .Y(n38107) );
+  sky130_fd_sc_hd__inv_2 U56152 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[1]), .Y(n37500) );
+  sky130_fd_sc_hd__nand2_1 U56153 ( .A(n39327), .B(n37501), .Y(n85530) );
+  sky130_fd_sc_hd__o22a_1 U56154 ( .A1(n68752), .A2(n36841), .B1(n37503), .B2(
+        n37502), .X(n37501) );
+  sky130_fd_sc_hd__nand2_1 U56155 ( .A(n37000), .B(n64278), .Y(n37503) );
+  sky130_fd_sc_hd__nand2_1 U56156 ( .A(n64329), .B(n39313), .Y(n59278) );
+  sky130_fd_sc_hd__nand2_2 U56157 ( .A(n39305), .B(n39307), .Y(n64329) );
+  sky130_fd_sc_hd__nand2_1 U56158 ( .A(n76499), .B(n58298), .Y(n58297) );
+  sky130_fd_sc_hd__nand2_1 U56159 ( .A(n37505), .B(n37504), .Y(n58298) );
+  sky130_fd_sc_hd__nand2_1 U56160 ( .A(n58829), .B(n58289), .Y(n37504) );
+  sky130_fd_sc_hd__nand2_1 U56161 ( .A(n37506), .B(n58286), .Y(n37505) );
+  sky130_fd_sc_hd__nand3_1 U56162 ( .A(n37724), .B(n37993), .C(n37183), .Y(
+        n37507) );
+  sky130_fd_sc_hd__inv_1 U56163 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_33[1]), 
+        .Y(n37510) );
+  sky130_fd_sc_hd__nor2_1 U56164 ( .A(n85493), .B(n85508), .Y(n39488) );
+  sky130_fd_sc_hd__a21oi_1 U56165 ( .A1(n57376), .A2(n85508), .B1(n37149), .Y(
+        n39383) );
+  sky130_fd_sc_hd__o21ai_1 U56167 ( .A1(n85508), .A2(n76200), .B1(n37512), .Y(
+        n53318) );
+  sky130_fd_sc_hd__mux2i_1 U56168 ( .A0(n76192), .A1(n76196), .S(n76208), .Y(
+        n43035) );
+  sky130_fd_sc_hd__inv_1 U56169 ( .A(n85508), .Y(n76208) );
+  sky130_fd_sc_hd__mux2i_1 U56170 ( .A0(n76192), .A1(n76200), .S(n85508), .Y(
+        n43045) );
+  sky130_fd_sc_hd__nand2_1 U56173 ( .A(n85508), .B(n85492), .Y(n37512) );
+  sky130_fd_sc_hd__mux2_1 U56174 ( .A0(n85508), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[14]), .S(n76241), .X(n86232) );
+  sky130_fd_sc_hd__nand2_4 U56175 ( .A(n39190), .B(n39189), .Y(n85508) );
+  sky130_fd_sc_hd__nand3_2 U56176 ( .A(n57781), .B(n57779), .C(n57780), .Y(
+        n59410) );
+  sky130_fd_sc_hd__nand2_1 U56177 ( .A(n57815), .B(n37513), .Y(n38229) );
+  sky130_fd_sc_hd__xnor2_1 U56178 ( .A(n54929), .B(n73099), .Y(n84794) );
+  sky130_fd_sc_hd__nor2_2 U56180 ( .A(n58294), .B(n76497), .Y(n68059) );
+  sky130_fd_sc_hd__nor2_1 U56181 ( .A(n38188), .B(n76497), .Y(n68064) );
+  sky130_fd_sc_hd__nand3_1 U56182 ( .A(n76497), .B(n58829), .C(n58844), .Y(
+        n58855) );
+  sky130_fd_sc_hd__nor2_1 U56184 ( .A(n37220), .B(n37516), .Y(n37515) );
+  sky130_fd_sc_hd__nand3_2 U56185 ( .A(n37517), .B(n39403), .C(n37931), .Y(
+        n85544) );
+  sky130_fd_sc_hd__nand2_1 U56186 ( .A(n37519), .B(n37985), .Y(n37518) );
+  sky130_fd_sc_hd__nand2_1 U56187 ( .A(n41832), .B(n41836), .Y(n37519) );
+  sky130_fd_sc_hd__o21ai_1 U56188 ( .A1(n70071), .A2(n64410), .B1(n37520), .Y(
+        n64411) );
+  sky130_fd_sc_hd__nand2_1 U56189 ( .A(n62976), .B(n36844), .Y(n37520) );
+  sky130_fd_sc_hd__clkinv_1 U56190 ( .A(n37521), .Y(n59185) );
+  sky130_fd_sc_hd__nand3_1 U56191 ( .A(n59184), .B(n85401), .C(n72680), .Y(
+        n37521) );
+  sky130_fd_sc_hd__nand2_1 U56192 ( .A(n37524), .B(n63945), .Y(n64218) );
+  sky130_fd_sc_hd__a21oi_1 U56193 ( .A1(n37524), .A2(n37218), .B1(n37523), .Y(
+        n37522) );
+  sky130_fd_sc_hd__nor2_1 U56194 ( .A(n37935), .B(n38084), .Y(n37523) );
+  sky130_fd_sc_hd__a21o_1 U56195 ( .A1(n63941), .A2(n37524), .B1(n63940), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N360) );
+  sky130_fd_sc_hd__nand2_1 U56196 ( .A(n37525), .B(n72706), .Y(n37922) );
+  sky130_fd_sc_hd__nand2_1 U56197 ( .A(n37526), .B(n59198), .Y(n37525) );
+  sky130_fd_sc_hd__o22ai_1 U56198 ( .A1(n59193), .A2(n59229), .B1(n59200), 
+        .B2(n37933), .Y(n37526) );
+  sky130_fd_sc_hd__nand2_1 U56199 ( .A(n59202), .B(n37104), .Y(n59229) );
+  sky130_fd_sc_hd__nand3_2 U56200 ( .A(n39968), .B(n37934), .C(n66297), .Y(
+        n39980) );
+  sky130_fd_sc_hd__nor2_1 U56201 ( .A(n39936), .B(n44193), .Y(n54152) );
+  sky130_fd_sc_hd__nor2_2 U56202 ( .A(n68709), .B(n54916), .Y(n44193) );
+  sky130_fd_sc_hd__nand2_1 U56203 ( .A(n37527), .B(n37949), .Y(n39339) );
+  sky130_fd_sc_hd__nand3_4 U56204 ( .A(n37530), .B(n37951), .C(n37528), .Y(
+        n68709) );
+  sky130_fd_sc_hd__nand2_1 U56205 ( .A(n39522), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[31]), .Y(n37529) );
+  sky130_fd_sc_hd__nand2_1 U56206 ( .A(n39522), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[15]), .Y(n37531) );
+  sky130_fd_sc_hd__nand2_1 U56207 ( .A(n37532), .B(n85402), .Y(n37653) );
+  sky130_fd_sc_hd__nand2_1 U56208 ( .A(n37535), .B(n37534), .Y(n37533) );
+  sky130_fd_sc_hd__nand3_1 U56209 ( .A(n59238), .B(n59232), .C(n59237), .Y(
+        n59230) );
+  sky130_fd_sc_hd__inv_1 U56210 ( .A(n59229), .Y(n37535) );
+  sky130_fd_sc_hd__inv_1 U56211 ( .A(n37625), .Y(n38807) );
+  sky130_fd_sc_hd__nand2_1 U56212 ( .A(n59490), .B(n38789), .Y(n37536) );
+  sky130_fd_sc_hd__inv_1 U56213 ( .A(n37538), .Y(n37537) );
+  sky130_fd_sc_hd__nand3_1 U56215 ( .A(n38059), .B(n38060), .C(n38058), .Y(
+        n37539) );
+  sky130_fd_sc_hd__nand3b_4 U56216 ( .A_N(n62976), .B(n63049), .C(n63151), .Y(
+        n70078) );
+  sky130_fd_sc_hd__nand3_1 U56217 ( .A(n72680), .B(n85544), .C(n37540), .Y(
+        n59186) );
+  sky130_fd_sc_hd__o21ai_0 U56218 ( .A1(n38350), .A2(n59444), .B1(n37541), .Y(
+        n39149) );
+  sky130_fd_sc_hd__nand2_1 U56219 ( .A(n59444), .B(n39144), .Y(n37541) );
+  sky130_fd_sc_hd__mux2_2 U56220 ( .A0(n47640), .A1(n37542), .S(n63663), .X(
+        n59622) );
+  sky130_fd_sc_hd__mux2_2 U56221 ( .A0(n47857), .A1(n37542), .S(n63672), .X(
+        n60086) );
+  sky130_fd_sc_hd__mux2_2 U56222 ( .A0(n47985), .A1(n37542), .S(n66789), .X(
+        n59989) );
+  sky130_fd_sc_hd__nor2_2 U56223 ( .A(n37155), .B(n37544), .Y(n47950) );
+  sky130_fd_sc_hd__nand4_1 U56224 ( .A(n37055), .B(n48751), .C(n37760), .D(
+        n48717), .Y(n37544) );
+  sky130_fd_sc_hd__nand2_1 U56226 ( .A(n53489), .B(n53491), .Y(n48715) );
+  sky130_fd_sc_hd__nand2_1 U56227 ( .A(n80707), .B(n61966), .Y(n61890) );
+  sky130_fd_sc_hd__nand2_1 U56228 ( .A(n60072), .B(n80681), .Y(n60931) );
+  sky130_fd_sc_hd__nand2b_1 U56229 ( .A_N(n55596), .B(n37546), .Y(n37545) );
+  sky130_fd_sc_hd__a21o_1 U56230 ( .A1(n37548), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[33]), .B1(n37549), .X(n48007) );
+  sky130_fd_sc_hd__a21o_1 U56231 ( .A1(n37548), .A2(n37551), .B1(n37550), .X(
+        n53539) );
+  sky130_fd_sc_hd__nor2_1 U56232 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[29]), .B(n37548), .Y(n37550) );
+  sky130_fd_sc_hd__a21o_1 U56233 ( .A1(n37548), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[48]), .B1(n37552), .X(n85589) );
+  sky130_fd_sc_hd__a21o_1 U56234 ( .A1(n37548), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[47]), .B1(n37553), .X(n85671) );
+  sky130_fd_sc_hd__nand2_1 U56235 ( .A(n37555), .B(n37554), .Y(n85016) );
+  sky130_fd_sc_hd__nand2_1 U56236 ( .A(n37548), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_source[3]), .Y(n37554) );
+  sky130_fd_sc_hd__nand2_1 U56237 ( .A(n55160), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_source[1]), .Y(n37555) );
+  sky130_fd_sc_hd__nand2_1 U56238 ( .A(n37557), .B(n37556), .Y(n85064) );
+  sky130_fd_sc_hd__nand2_1 U56239 ( .A(n37548), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[31]), .Y(n37556) );
+  sky130_fd_sc_hd__nand2_1 U56240 ( .A(n55160), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[1]), .Y(n37557) );
+  sky130_fd_sc_hd__nand3_2 U56241 ( .A(n61006), .B(n55003), .C(n60296), .Y(
+        n60263) );
+  sky130_fd_sc_hd__nand3_2 U56242 ( .A(n37559), .B(n47673), .C(n37059), .Y(
+        n61006) );
+  sky130_fd_sc_hd__nand2_1 U56243 ( .A(n61004), .B(n73771), .Y(n60296) );
+  sky130_fd_sc_hd__nand2_1 U56244 ( .A(n47681), .B(n47819), .Y(n61004) );
+  sky130_fd_sc_hd__nand2_1 U56245 ( .A(n37561), .B(n37560), .Y(n47812) );
+  sky130_fd_sc_hd__nand2_1 U56246 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_size[1]), .Y(n37560) );
+  sky130_fd_sc_hd__nand2_1 U56247 ( .A(n84998), .B(n60913), .Y(n37561) );
+  sky130_fd_sc_hd__nand2_1 U56248 ( .A(n37563), .B(n37190), .Y(n47687) );
+  sky130_fd_sc_hd__nand2_1 U56249 ( .A(n84998), .B(n47699), .Y(n37563) );
+  sky130_fd_sc_hd__nand2_1 U56250 ( .A(n37565), .B(n37192), .Y(n47774) );
+  sky130_fd_sc_hd__nand2_1 U56251 ( .A(n84998), .B(n60963), .Y(n37565) );
+  sky130_fd_sc_hd__nand2_1 U56252 ( .A(n37567), .B(n37196), .Y(n47655) );
+  sky130_fd_sc_hd__nand2_1 U56253 ( .A(n84998), .B(n73771), .Y(n37567) );
+  sky130_fd_sc_hd__nand2_1 U56254 ( .A(n38459), .B(n37141), .Y(n37568) );
+  sky130_fd_sc_hd__nor2_1 U56255 ( .A(n37569), .B(n51322), .Y(n51323) );
+  sky130_fd_sc_hd__nand4b_1 U56256 ( .A_N(n37147), .B(n51319), .C(n51318), .D(
+        n37570), .Y(n37569) );
+  sky130_fd_sc_hd__nand2_1 U56257 ( .A(n37573), .B(n37572), .Y(n37571) );
+  sky130_fd_sc_hd__a21oi_1 U56258 ( .A1(n56840), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[3]), .B1(n51314), .Y(n37572) );
+  sky130_fd_sc_hd__nand2_1 U56259 ( .A(n56930), .B(n67917), .Y(n37573) );
+  sky130_fd_sc_hd__a21boi_2 U56261 ( .A1(n63342), .A2(n49219), .B1_N(n48719), 
+        .Y(n55577) );
+  sky130_fd_sc_hd__nand2_1 U56262 ( .A(n47895), .B(n47896), .Y(n47978) );
+  sky130_fd_sc_hd__nand2_1 U56263 ( .A(n47538), .B(n37574), .Y(n47852) );
+  sky130_fd_sc_hd__nor2_1 U56264 ( .A(n37132), .B(n37575), .Y(n61089) );
+  sky130_fd_sc_hd__nand4_1 U56265 ( .A(n37191), .B(n47859), .C(n47948), .D(
+        n47889), .Y(n48018) );
+  sky130_fd_sc_hd__nand2_1 U56266 ( .A(n60260), .B(n47830), .Y(n47889) );
+  sky130_fd_sc_hd__nand2_1 U56267 ( .A(n60279), .B(n47893), .Y(n47948) );
+  sky130_fd_sc_hd__nor2_2 U56268 ( .A(n37577), .B(n48890), .Y(n47859) );
+  sky130_fd_sc_hd__nor2_2 U56269 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[29]), .B(n60265), .Y(n48890) );
+  sky130_fd_sc_hd__nand3_2 U56270 ( .A(n55310), .B(n60065), .C(n55313), .Y(
+        n60265) );
+  sky130_fd_sc_hd__nand2_1 U56271 ( .A(n37578), .B(n47858), .Y(n37970) );
+  sky130_fd_sc_hd__nor2_2 U56272 ( .A(n81221), .B(n62950), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N30) );
+  sky130_fd_sc_hd__nand2_1 U56273 ( .A(n59496), .B(n81219), .Y(n62950) );
+  sky130_fd_sc_hd__inv_2 U56274 ( .A(n47520), .Y(n55203) );
+  sky130_fd_sc_hd__nor2_1 U56275 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[17]), .B(n47520), .Y(n37819) );
+  sky130_fd_sc_hd__inv_1 U56276 ( .A(n37579), .Y(n38163) );
+  sky130_fd_sc_hd__nand2_1 U56277 ( .A(n58098), .B(n37580), .Y(n58824) );
+  sky130_fd_sc_hd__inv_1 U56278 ( .A(n58100), .Y(n37580) );
+  sky130_fd_sc_hd__nand2_1 U56279 ( .A(n38334), .B(n58272), .Y(n58823) );
+  sky130_fd_sc_hd__a21oi_2 U56280 ( .A1(n69447), .A2(n69446), .B1(n53919), .Y(
+        n69279) );
+  sky130_fd_sc_hd__nand2b_1 U56282 ( .A_N(n69505), .B(n37212), .Y(n37581) );
+  sky130_fd_sc_hd__a21oi_2 U56283 ( .A1(n69332), .A2(n69331), .B1(n53912), .Y(
+        n69505) );
+  sky130_fd_sc_hd__o21ai_2 U56284 ( .A1(n69159), .A2(n69163), .B1(n69160), .Y(
+        n69332) );
+  sky130_fd_sc_hd__a21o_1 U56285 ( .A1(n53896), .A2(n54255), .B1(n53895), .X(
+        n68800) );
+  sky130_fd_sc_hd__nand2_1 U56287 ( .A(n53895), .B(n37089), .Y(n37583) );
+  sky130_fd_sc_hd__nand2_1 U56288 ( .A(n37585), .B(n37584), .Y(n72561) );
+  sky130_fd_sc_hd__o21ai_1 U56289 ( .A1(n70084), .A2(n85624), .B1(n69843), .Y(
+        n37584) );
+  sky130_fd_sc_hd__o21ai_1 U56290 ( .A1(n69846), .A2(n85624), .B1(n69845), .Y(
+        n37585) );
+  sky130_fd_sc_hd__a21oi_2 U56291 ( .A1(n57938), .A2(n57937), .B1(n57955), .Y(
+        n59416) );
+  sky130_fd_sc_hd__nand3_1 U56292 ( .A(n37589), .B(n38457), .C(n37587), .Y(
+        n84412) );
+  sky130_fd_sc_hd__nand3b_1 U56293 ( .A_N(n49126), .B(n48940), .C(n48941), .Y(
+        n37588) );
+  sky130_fd_sc_hd__nand2_1 U56294 ( .A(n37128), .B(n48942), .Y(n37590) );
+  sky130_fd_sc_hd__nand2_1 U56295 ( .A(n37124), .B(n48939), .Y(n37591) );
+  sky130_fd_sc_hd__nand2_1 U56296 ( .A(n37592), .B(n53941), .Y(n53789) );
+  sky130_fd_sc_hd__nand2_1 U56297 ( .A(n37592), .B(n86393), .Y(n65990) );
+  sky130_fd_sc_hd__nand2_1 U56298 ( .A(n53786), .B(n37115), .Y(n37592) );
+  sky130_fd_sc_hd__o21ai_1 U56299 ( .A1(n47609), .A2(n49032), .B1(n49034), .Y(
+        n83645) );
+  sky130_fd_sc_hd__nand2_1 U56300 ( .A(n49021), .B(n37593), .Y(n49032) );
+  sky130_fd_sc_hd__nand2_1 U56301 ( .A(n37594), .B(n49029), .Y(n37593) );
+  sky130_fd_sc_hd__nand2_1 U56302 ( .A(n47806), .B(n49029), .Y(n49021) );
+  sky130_fd_sc_hd__nand2_1 U56303 ( .A(n37597), .B(n37595), .Y(n49029) );
+  sky130_fd_sc_hd__nor2b_1 U56304 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_size[2]), .A(n59992), .Y(n37596) );
+  sky130_fd_sc_hd__nand2_1 U56305 ( .A(n47614), .B(n59992), .Y(n37597) );
+  sky130_fd_sc_hd__nand2_1 U56306 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_bypass_0), .Y(n53598) );
+  sky130_fd_sc_hd__a211o_1 U56307 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[64]), .A2(n70082), .B1(n70080), .C1(n70081), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N544) );
+  sky130_fd_sc_hd__nand2_1 U56308 ( .A(n73562), .B(n60250), .Y(n63365) );
+  sky130_fd_sc_hd__nand2_1 U56310 ( .A(n38085), .B(n37598), .Y(n58826) );
+  sky130_fd_sc_hd__nand2_1 U56311 ( .A(n36793), .B(n38335), .Y(n37598) );
+  sky130_fd_sc_hd__nand3_2 U56312 ( .A(n37599), .B(n58095), .C(n57990), .Y(
+        n58850) );
+  sky130_fd_sc_hd__nand4_1 U56313 ( .A(n58001), .B(n58003), .C(n58000), .D(
+        n58002), .Y(n37599) );
+  sky130_fd_sc_hd__inv_2 U56314 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .Y(n47502) );
+  sky130_fd_sc_hd__nand2_1 U56315 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_size[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .Y(n37600) );
+  sky130_fd_sc_hd__nand2_1 U56316 ( .A(n47502), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_size[5]), .Y(n37601) );
+  sky130_fd_sc_hd__nand2_1 U56317 ( .A(n37603), .B(n37602), .Y(n85030) );
+  sky130_fd_sc_hd__nand2_1 U56318 ( .A(n47502), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[40]), .Y(n37602) );
+  sky130_fd_sc_hd__nand2b_1 U56319 ( .A_N(n47502), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[10]), .Y(n37603) );
+  sky130_fd_sc_hd__nand2_1 U56320 ( .A(n37605), .B(n37604), .Y(n85528) );
+  sky130_fd_sc_hd__nand2b_1 U56321 ( .A_N(n73760), .B(n63304), .Y(n37604) );
+  sky130_fd_sc_hd__nand2_1 U56322 ( .A(n55537), .B(n83600), .Y(n84986) );
+  sky130_fd_sc_hd__a21oi_2 U56323 ( .A1(n47965), .A2(n47728), .B1(n47966), .Y(
+        n83605) );
+  sky130_fd_sc_hd__nand2b_1 U56325 ( .A_N(n84990), .B(n53524), .Y(n84432) );
+  sky130_fd_sc_hd__nand2_1 U56326 ( .A(n37609), .B(n37608), .Y(n84990) );
+  sky130_fd_sc_hd__nand2_1 U56327 ( .A(n73753), .B(n63342), .Y(n37608) );
+  sky130_fd_sc_hd__clkinv_1 U56328 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_42[2]), 
+        .Y(n38619) );
+  sky130_fd_sc_hd__nand2_1 U56329 ( .A(n57913), .B(n57912), .Y(n37610) );
+  sky130_fd_sc_hd__nand2_1 U56330 ( .A(n57735), .B(n37612), .Y(n57734) );
+  sky130_fd_sc_hd__nand2_1 U56331 ( .A(n36822), .B(n37612), .Y(n37844) );
+  sky130_fd_sc_hd__nand2_1 U56333 ( .A(n37614), .B(n37613), .Y(n57736) );
+  sky130_fd_sc_hd__nand2_1 U56334 ( .A(n59331), .B(n57726), .Y(n37613) );
+  sky130_fd_sc_hd__nand2_1 U56335 ( .A(n37615), .B(n57727), .Y(n37614) );
+  sky130_fd_sc_hd__nand3_1 U56336 ( .A(n37817), .B(n37617), .C(n37816), .Y(
+        n37616) );
+  sky130_fd_sc_hd__nand2_1 U56337 ( .A(n37817), .B(n37816), .Y(n37618) );
+  sky130_fd_sc_hd__nand2_1 U56338 ( .A(n37618), .B(n38620), .Y(n37815) );
+  sky130_fd_sc_hd__o2bb2ai_1 U56339 ( .B1(n57737), .B2(n58846), .A1_N(n58846), 
+        .A2_N(n57751), .Y(n57833) );
+  sky130_fd_sc_hd__nand2_1 U56340 ( .A(n37621), .B(n37619), .Y(n37735) );
+  sky130_fd_sc_hd__nand2_1 U56341 ( .A(n37620), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_36[0]), 
+        .Y(n37619) );
+  sky130_fd_sc_hd__nand2_1 U56342 ( .A(n37622), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_36[1]), 
+        .Y(n37621) );
+  sky130_fd_sc_hd__o21ai_1 U56343 ( .A1(n57910), .A2(n59490), .B1(n37623), .Y(
+        n39154) );
+  sky130_fd_sc_hd__nand2_1 U56344 ( .A(n59490), .B(n37624), .Y(n37623) );
+  sky130_fd_sc_hd__o21a_1 U56345 ( .A1(n37634), .A2(n59604), .B1(n37626), .X(
+        n59467) );
+  sky130_fd_sc_hd__nand2_1 U56346 ( .A(n37629), .B(n38554), .Y(n59604) );
+  sky130_fd_sc_hd__nand2_1 U56347 ( .A(n37631), .B(n37630), .Y(n38564) );
+  sky130_fd_sc_hd__nand2_1 U56348 ( .A(n59603), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_38[0]), 
+        .Y(n37630) );
+  sky130_fd_sc_hd__nand2_1 U56349 ( .A(n37632), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_37[0]), 
+        .Y(n37631) );
+  sky130_fd_sc_hd__o21a_1 U56350 ( .A1(n38551), .A2(n37620), .B1(n37633), .X(
+        n38566) );
+  sky130_fd_sc_hd__nand2_1 U56351 ( .A(n38551), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_36[0]), 
+        .Y(n37633) );
+  sky130_fd_sc_hd__nand2_1 U56352 ( .A(n37635), .B(n59605), .Y(n37634) );
+  sky130_fd_sc_hd__nand2_1 U56353 ( .A(n38115), .B(n38569), .Y(n37635) );
+  sky130_fd_sc_hd__o21a_1 U56354 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_37[2]), 
+        .A2(n59603), .B1(n37636), .X(n38569) );
+  sky130_fd_sc_hd__nand2_1 U56355 ( .A(n59603), .B(n46430), .Y(n37636) );
+  sky130_fd_sc_hd__mux2_2 U56356 ( .A0(n37929), .A1(n46371), .S(n59611), .X(
+        n38115) );
+  sky130_fd_sc_hd__nand2_1 U56357 ( .A(n38250), .B(n38540), .Y(n59611) );
+  sky130_fd_sc_hd__mux2i_1 U56358 ( .A0(n38560), .A1(n37645), .S(n59464), .Y(
+        n38698) );
+  sky130_fd_sc_hd__nand3_2 U56359 ( .A(n37643), .B(n37639), .C(n37637), .Y(
+        n59464) );
+  sky130_fd_sc_hd__nand2_1 U56360 ( .A(n38128), .B(n37638), .Y(n37637) );
+  sky130_fd_sc_hd__nand3_1 U56361 ( .A(n37642), .B(n37641), .C(n37640), .Y(
+        n37639) );
+  sky130_fd_sc_hd__o21a_1 U56362 ( .A1(n38560), .A2(n38574), .B1(n38128), .X(
+        n37640) );
+  sky130_fd_sc_hd__nand2b_1 U56363 ( .A_N(n38127), .B(n37644), .Y(n37643) );
+  sky130_fd_sc_hd__o21ai_2 U56364 ( .A1(n39522), .A2(n85510), .B1(n38038), .Y(
+        n73149) );
+  sky130_fd_sc_hd__nand2_1 U56365 ( .A(n37646), .B(n38582), .Y(n57685) );
+  sky130_fd_sc_hd__nand2_1 U56366 ( .A(n37647), .B(n36821), .Y(n38066) );
+  sky130_fd_sc_hd__nand2_1 U56367 ( .A(n40166), .B(n62983), .Y(n63035) );
+  sky130_fd_sc_hd__nor2b_1 U56368 ( .B_N(n37194), .A(n63050), .Y(n37650) );
+  sky130_fd_sc_hd__nand4_1 U56369 ( .A(n37653), .B(n37921), .C(n59241), .D(
+        n59242), .Y(n37652) );
+  sky130_fd_sc_hd__o21a_1 U56370 ( .A1(n38688), .A2(n38690), .B1(n37655), .X(
+        n38000) );
+  sky130_fd_sc_hd__nand2_1 U56371 ( .A(n37656), .B(n39946), .Y(n39937) );
+  sky130_fd_sc_hd__o21a_1 U56372 ( .A1(n39951), .A2(n37656), .B1(n66246), .X(
+        n54906) );
+  sky130_fd_sc_hd__nand2_1 U56373 ( .A(n37657), .B(n37656), .Y(n54980) );
+  sky130_fd_sc_hd__nor2_4 U56374 ( .A(n39373), .B(n37891), .Y(n54149) );
+  sky130_fd_sc_hd__nor2_2 U56375 ( .A(n37658), .B(n37659), .Y(n39343) );
+  sky130_fd_sc_hd__nand2_1 U56376 ( .A(n39249), .B(n39252), .Y(n37658) );
+  sky130_fd_sc_hd__nand3_1 U56377 ( .A(n39250), .B(n39248), .C(n39251), .Y(
+        n37659) );
+  sky130_fd_sc_hd__nand2_2 U56378 ( .A(n39249), .B(n39248), .Y(n70076) );
+  sky130_fd_sc_hd__nand3_2 U56379 ( .A(n39250), .B(n39252), .C(n39251), .Y(
+        n37920) );
+  sky130_fd_sc_hd__nand2_1 U56380 ( .A(n37661), .B(n38262), .Y(n37662) );
+  sky130_fd_sc_hd__inv_2 U56381 ( .A(n38238), .Y(n37661) );
+  sky130_fd_sc_hd__nand2_1 U56382 ( .A(n38262), .B(n37234), .Y(n37660) );
+  sky130_fd_sc_hd__nand3_1 U56383 ( .A(n37661), .B(n38262), .C(n59467), .Y(
+        n38261) );
+  sky130_fd_sc_hd__nand3_1 U56384 ( .A(n62949), .B(n62946), .C(n37662), .Y(
+        n38008) );
+  sky130_fd_sc_hd__nand3_1 U56386 ( .A(n37665), .B(n37664), .C(n37663), .Y(
+        n59573) );
+  sky130_fd_sc_hd__nand3_1 U56387 ( .A(n38924), .B(n37667), .C(n38925), .Y(
+        n37663) );
+  sky130_fd_sc_hd__nand2_1 U56388 ( .A(n37666), .B(n37667), .Y(n37665) );
+  sky130_fd_sc_hd__nand2b_1 U56389 ( .A_N(n59571), .B(n58058), .Y(n37671) );
+  sky130_fd_sc_hd__nand2_1 U56390 ( .A(n37669), .B(n37668), .Y(n37667) );
+  sky130_fd_sc_hd__nand2_1 U56391 ( .A(n37671), .B(n37670), .Y(n38928) );
+  sky130_fd_sc_hd__nand2_1 U56392 ( .A(n59571), .B(n58059), .Y(n37670) );
+  sky130_fd_sc_hd__nand3_1 U56393 ( .A(n38280), .B(n37674), .C(n37672), .Y(
+        n39140) );
+  sky130_fd_sc_hd__nand3_1 U56394 ( .A(n37673), .B(n76519), .C(n39145), .Y(
+        n37672) );
+  sky130_fd_sc_hd__nand2_1 U56395 ( .A(n37676), .B(n37675), .Y(n37674) );
+  sky130_fd_sc_hd__inv_1 U56397 ( .A(n39141), .Y(n37676) );
+  sky130_fd_sc_hd__nand3_1 U56398 ( .A(n38975), .B(n36819), .C(n37874), .Y(
+        n37860) );
+  sky130_fd_sc_hd__nand2_1 U56399 ( .A(n38319), .B(n59454), .Y(n38975) );
+  sky130_fd_sc_hd__nand3_1 U56400 ( .A(n37722), .B(n73140), .C(n73141), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_csb0[0]) );
+  sky130_fd_sc_hd__nand2_1 U56401 ( .A(n37678), .B(n48023), .Y(n53487) );
+  sky130_fd_sc_hd__nand2_1 U56402 ( .A(n48022), .B(n48716), .Y(n37678) );
+  sky130_fd_sc_hd__xnor2_1 U56403 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[25]), .B(n69238), .Y(n39840) );
+  sky130_fd_sc_hd__xnor2_1 U56404 ( .A(n85392), .B(n69238), .Y(n39853) );
+  sky130_fd_sc_hd__nand2_1 U56405 ( .A(n37680), .B(n37679), .Y(n69238) );
+  sky130_fd_sc_hd__nand2_1 U56406 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[25]), .Y(n37679) );
+  sky130_fd_sc_hd__nand2_1 U56407 ( .A(n62869), .B(n37462), .Y(n37680) );
+  sky130_fd_sc_hd__nand2b_1 U56408 ( .A_N(n39561), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[6]), .Y(n39675) );
+  sky130_fd_sc_hd__nand2_1 U56409 ( .A(n39561), .B(n37682), .Y(n39676) );
+  sky130_fd_sc_hd__nand2_1 U56410 ( .A(n37684), .B(n39786), .Y(n39834) );
+  sky130_fd_sc_hd__nand2_1 U56411 ( .A(n39806), .B(n39781), .Y(n37686) );
+  sky130_fd_sc_hd__nand2_1 U56413 ( .A(n39806), .B(n37174), .Y(n37687) );
+  sky130_fd_sc_hd__nand2_1 U56414 ( .A(n39806), .B(n39619), .Y(n37688) );
+  sky130_fd_sc_hd__nand2_1 U56415 ( .A(n37689), .B(n39624), .Y(n39799) );
+  sky130_fd_sc_hd__nand2_1 U56416 ( .A(n39806), .B(n37181), .Y(n37689) );
+  sky130_fd_sc_hd__nand2_4 U56417 ( .A(n37690), .B(n37081), .Y(n39806) );
+  sky130_fd_sc_hd__nand2_2 U56418 ( .A(n39687), .B(n39610), .Y(n37690) );
+  sky130_fd_sc_hd__nand4bb_1 U56419 ( .A_N(n51219), .B_N(n51218), .C(n37692), 
+        .D(n51215), .Y(n37691) );
+  sky130_fd_sc_hd__nand2_1 U56420 ( .A(n51216), .B(n37694), .Y(n37693) );
+  sky130_fd_sc_hd__nand2_1 U56422 ( .A(n36770), .B(n56616), .Y(n37698) );
+  sky130_fd_sc_hd__nand2_1 U56423 ( .A(n48748), .B(n48751), .Y(n37804) );
+  sky130_fd_sc_hd__nand2_1 U56424 ( .A(n37696), .B(n48801), .Y(n48751) );
+  sky130_fd_sc_hd__nand2_1 U56425 ( .A(n37697), .B(n53512), .Y(n60252) );
+  sky130_fd_sc_hd__nand2_1 U56426 ( .A(n53514), .B(n73789), .Y(n37697) );
+  sky130_fd_sc_hd__nand2_1 U56427 ( .A(n37700), .B(n37943), .Y(n37722) );
+  sky130_fd_sc_hd__nand2_1 U56428 ( .A(n37703), .B(n37702), .Y(n37701) );
+  sky130_fd_sc_hd__nand2_1 U56429 ( .A(n73325), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24[11]), .Y(n37702) );
+  sky130_fd_sc_hd__nand2_1 U56430 ( .A(n43127), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27[11]), .Y(n37703) );
+  sky130_fd_sc_hd__nand2b_1 U56431 ( .A_N(n84978), .B(n84982), .Y(n47818) );
+  sky130_fd_sc_hd__nand2_1 U56432 ( .A(n55321), .B(n53486), .Y(n49841) );
+  sky130_fd_sc_hd__nand3_2 U56433 ( .A(n55321), .B(n53486), .C(n49392), .Y(
+        n52530) );
+  sky130_fd_sc_hd__nand2_1 U56434 ( .A(n37706), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[2]), .Y(n39655) );
+  sky130_fd_sc_hd__nand2_1 U56435 ( .A(n39566), .B(n37195), .Y(n37706) );
+  sky130_fd_sc_hd__nand4_1 U56436 ( .A(n37707), .B(n37711), .C(n37182), .D(
+        n37058), .Y(n39791) );
+  sky130_fd_sc_hd__nand3_1 U56437 ( .A(n37710), .B(n39790), .C(n39789), .Y(
+        n37708) );
+  sky130_fd_sc_hd__nor2_1 U56438 ( .A(n37714), .B(n37713), .Y(n37710) );
+  sky130_fd_sc_hd__nand2_1 U56439 ( .A(n39765), .B(n39738), .Y(n37712) );
+  sky130_fd_sc_hd__xnor2_1 U56440 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[8]), .B(n61930), .Y(n37713) );
+  sky130_fd_sc_hd__xnor2_1 U56441 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[18]), .B(n68952), .Y(n37714) );
+  sky130_fd_sc_hd__xnor2_1 U56442 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[10]), .B(n61093), .Y(n37715) );
+  sky130_fd_sc_hd__o21a_4 U56443 ( .A1(n37717), .A2(n38776), .B1(n37716), .X(
+        n59452) );
+  sky130_fd_sc_hd__nand4_1 U56444 ( .A(n38772), .B(n38770), .C(n38787), .D(
+        n38771), .Y(n37716) );
+  sky130_fd_sc_hd__a21oi_2 U56446 ( .A1(n37718), .A2(n57835), .B1(n57891), .Y(
+        n59403) );
+  sky130_fd_sc_hd__nand2_1 U56447 ( .A(n38201), .B(n38707), .Y(n37718) );
+  sky130_fd_sc_hd__nand2_1 U56448 ( .A(n37719), .B(n39734), .Y(n39736) );
+  sky130_fd_sc_hd__nand2_1 U56449 ( .A(n37720), .B(n36847), .Y(n37719) );
+  sky130_fd_sc_hd__xnor2_1 U56450 ( .A(n39725), .B(n37720), .Y(n69561) );
+  sky130_fd_sc_hd__nand2_1 U56451 ( .A(n37721), .B(n39722), .Y(n37720) );
+  sky130_fd_sc_hd__nand2_1 U56452 ( .A(n39724), .B(n39723), .Y(n37721) );
+  sky130_fd_sc_hd__nand2_1 U56453 ( .A(n72712), .B(n86306), .Y(n43012) );
+  sky130_fd_sc_hd__nand3_2 U56454 ( .A(n43013), .B(n72712), .C(n37145), .Y(
+        n43128) );
+  sky130_fd_sc_hd__nor2_1 U56455 ( .A(n37723), .B(n37722), .Y(n59438) );
+  sky130_fd_sc_hd__nand3_1 U56456 ( .A(n37724), .B(n37993), .C(n37184), .Y(
+        n38200) );
+  sky130_fd_sc_hd__nand2_1 U56457 ( .A(n37729), .B(n57900), .Y(n37725) );
+  sky130_fd_sc_hd__nand2_1 U56458 ( .A(n57880), .B(n57881), .Y(n37726) );
+  sky130_fd_sc_hd__nand3_1 U56459 ( .A(n37732), .B(n57754), .C(n37730), .Y(
+        n38123) );
+  sky130_fd_sc_hd__nand2_1 U56460 ( .A(n37731), .B(n38125), .Y(n37730) );
+  sky130_fd_sc_hd__nand2_1 U56461 ( .A(n37177), .B(n37735), .Y(n37732) );
+  sky130_fd_sc_hd__nand2_1 U56462 ( .A(n38227), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_35[1]), 
+        .Y(n37733) );
+  sky130_fd_sc_hd__nand2_1 U56463 ( .A(n37738), .B(n37737), .Y(n59402) );
+  sky130_fd_sc_hd__o21ai_1 U56464 ( .A1(n57844), .A2(n37739), .B1(n57846), .Y(
+        n37737) );
+  sky130_fd_sc_hd__nand2_1 U56466 ( .A(n37741), .B(n37740), .Y(n37739) );
+  sky130_fd_sc_hd__nand2_1 U56467 ( .A(n57876), .B(n38212), .Y(n37740) );
+  sky130_fd_sc_hd__nand3_1 U56468 ( .A(n38208), .B(n57848), .C(n57847), .Y(
+        n37741) );
+  sky130_fd_sc_hd__nand2_1 U56469 ( .A(n58841), .B(n57797), .Y(n37748) );
+  sky130_fd_sc_hd__nand3_1 U56471 ( .A(n37745), .B(n37744), .C(n37747), .Y(
+        n37742) );
+  sky130_fd_sc_hd__nand2_1 U56473 ( .A(n57761), .B(n37747), .Y(n37746) );
+  sky130_fd_sc_hd__nand2_1 U56474 ( .A(n57799), .B(n37748), .Y(n57825) );
+  sky130_fd_sc_hd__inv_2 U56475 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_23[1]), 
+        .Y(n38922) );
+  sky130_fd_sc_hd__nand3_1 U56476 ( .A(n37749), .B(n38964), .C(n38960), .Y(
+        n38318) );
+  sky130_fd_sc_hd__nand2_1 U56477 ( .A(n38952), .B(n38951), .Y(n37749) );
+  sky130_fd_sc_hd__nand2_1 U56478 ( .A(n37750), .B(n37754), .Y(n37753) );
+  sky130_fd_sc_hd__nand2_1 U56479 ( .A(n37751), .B(n58043), .Y(n37787) );
+  sky130_fd_sc_hd__nand2_1 U56480 ( .A(n37753), .B(n37752), .Y(n37751) );
+  sky130_fd_sc_hd__nand2_1 U56481 ( .A(n58048), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_24[2]), 
+        .Y(n37754) );
+  sky130_fd_sc_hd__nor2_1 U56482 ( .A(n49067), .B(n48845), .Y(n48839) );
+  sky130_fd_sc_hd__nand2_1 U56483 ( .A(n49068), .B(n48932), .Y(n48845) );
+  sky130_fd_sc_hd__nand2_1 U56484 ( .A(n48707), .B(n47699), .Y(n37755) );
+  sky130_fd_sc_hd__nand2_1 U56485 ( .A(n37875), .B(n37759), .Y(n37756) );
+  sky130_fd_sc_hd__nand2_1 U56486 ( .A(n37865), .B(n37759), .Y(n37758) );
+  sky130_fd_sc_hd__nand2_1 U56487 ( .A(n55301), .B(n55303), .Y(n48021) );
+  sky130_fd_sc_hd__nand2_1 U56488 ( .A(n47859), .B(n37970), .Y(n47890) );
+  sky130_fd_sc_hd__nand2b_1 U56489 ( .A_N(n48017), .B(n48016), .Y(n37762) );
+  sky130_fd_sc_hd__nand2_1 U56490 ( .A(n53543), .B(n37763), .Y(n47710) );
+  sky130_fd_sc_hd__nand2_1 U56491 ( .A(n47686), .B(n47689), .Y(n37763) );
+  sky130_fd_sc_hd__nand2_1 U56492 ( .A(n47688), .B(n47689), .Y(n53543) );
+  sky130_fd_sc_hd__nor2_2 U56493 ( .A(n37768), .B(n84197), .Y(n81064) );
+  sky130_fd_sc_hd__nand2_1 U56494 ( .A(n37766), .B(n61069), .Y(n84197) );
+  sky130_fd_sc_hd__or3_1 U56495 ( .A(n37769), .B(n56618), .C(n56619), .X(
+        n37768) );
+  sky130_fd_sc_hd__nand2_1 U56496 ( .A(n58163), .B(n37770), .Y(n58169) );
+  sky130_fd_sc_hd__nand2b_1 U56497 ( .A_N(n59334), .B(n58161), .Y(n37770) );
+  sky130_fd_sc_hd__o21a_1 U56500 ( .A1(n37774), .A2(n37772), .B1(n37771), .X(
+        n58204) );
+  sky130_fd_sc_hd__nand2_1 U56501 ( .A(n37773), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_6[1]), 
+        .Y(n37771) );
+  sky130_fd_sc_hd__nand2_1 U56502 ( .A(n37775), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_6[0]), 
+        .Y(n37774) );
+  sky130_fd_sc_hd__o21a_1 U56503 ( .A1(n58161), .A2(n58116), .B1(n58167), .X(
+        n58121) );
+  sky130_fd_sc_hd__nand2_1 U56504 ( .A(n37776), .B(n58113), .Y(n58116) );
+  sky130_fd_sc_hd__nand2_1 U56505 ( .A(n37778), .B(n37777), .Y(n58109) );
+  sky130_fd_sc_hd__nand2_1 U56506 ( .A(n59333), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_14[0]), 
+        .Y(n37777) );
+  sky130_fd_sc_hd__nand2_1 U56507 ( .A(n37779), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_13[0]), 
+        .Y(n37778) );
+  sky130_fd_sc_hd__nor2_1 U56508 ( .A(n58856), .B(n58855), .Y(n59337) );
+  sky130_fd_sc_hd__a21oi_1 U56509 ( .A1(n58246), .A2(n58247), .B1(n58234), .Y(
+        n38090) );
+  sky130_fd_sc_hd__nand2_1 U56510 ( .A(n37781), .B(n37780), .Y(n58247) );
+  sky130_fd_sc_hd__nand2_1 U56511 ( .A(n59341), .B(n58225), .Y(n37780) );
+  sky130_fd_sc_hd__nand2b_1 U56512 ( .A_N(n59341), .B(n58226), .Y(n37781) );
+  sky130_fd_sc_hd__nand2b_1 U56513 ( .A_N(n58218), .B(n37783), .Y(n37782) );
+  sky130_fd_sc_hd__nand2_1 U56514 ( .A(n37785), .B(n37784), .Y(n59342) );
+  sky130_fd_sc_hd__nand3_1 U56515 ( .A(n38179), .B(n38178), .C(n58274), .Y(
+        n37786) );
+  sky130_fd_sc_hd__nand2_1 U56516 ( .A(n38179), .B(n38178), .Y(n58276) );
+  sky130_fd_sc_hd__clkinv_1 U56517 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_24[1]), 
+        .Y(n38923) );
+  sky130_fd_sc_hd__nand2_2 U56518 ( .A(n37787), .B(n58045), .Y(n59383) );
+  sky130_fd_sc_hd__inv_1 U56519 ( .A(n58855), .Y(n68063) );
+  sky130_fd_sc_hd__nor2_1 U56520 ( .A(n59347), .B(n59346), .Y(n37788) );
+  sky130_fd_sc_hd__nand2_1 U56521 ( .A(n59337), .B(n37229), .Y(n37790) );
+  sky130_fd_sc_hd__nand2_1 U56522 ( .A(n68063), .B(n58856), .Y(n59346) );
+  sky130_fd_sc_hd__nand2_1 U56523 ( .A(n37792), .B(n37791), .Y(n58272) );
+  sky130_fd_sc_hd__nand4_1 U56524 ( .A(n58826), .B(n37791), .C(n58822), .D(
+        n37792), .Y(n38338) );
+  sky130_fd_sc_hd__nand2_1 U56525 ( .A(n58849), .B(n58091), .Y(n37791) );
+  sky130_fd_sc_hd__nand2_1 U56526 ( .A(n38336), .B(n58092), .Y(n37792) );
+  sky130_fd_sc_hd__nand2b_1 U56527 ( .A_N(n58086), .B(n37794), .Y(n37793) );
+  sky130_fd_sc_hd__inv_1 U56528 ( .A(n58085), .Y(n37794) );
+  sky130_fd_sc_hd__nand2_1 U56529 ( .A(n37798), .B(n37796), .Y(n37795) );
+  sky130_fd_sc_hd__nand2_1 U56530 ( .A(n58074), .B(n58084), .Y(n37796) );
+  sky130_fd_sc_hd__mux2i_1 U56531 ( .A0(n37157), .A1(n37797), .S(n59385), .Y(
+        n58074) );
+  sky130_fd_sc_hd__nand2_1 U56532 ( .A(n58086), .B(n58085), .Y(n37798) );
+  sky130_fd_sc_hd__a21oi_1 U56533 ( .A1(n59381), .A2(n58066), .B1(n37799), .Y(
+        n58085) );
+  sky130_fd_sc_hd__nor2_1 U56534 ( .A(n58027), .B(n59381), .Y(n37799) );
+  sky130_fd_sc_hd__mux2i_1 U56535 ( .A0(n58055), .A1(n58054), .S(n59385), .Y(
+        n58086) );
+  sky130_fd_sc_hd__nand2_2 U56536 ( .A(n59386), .B(n58078), .Y(n59385) );
+  sky130_fd_sc_hd__nand3_1 U56537 ( .A(n38171), .B(n38173), .C(n38172), .Y(
+        n59386) );
+  sky130_fd_sc_hd__nand2b_1 U56538 ( .A_N(n59443), .B(n38698), .Y(n37800) );
+  sky130_fd_sc_hd__nand2b_1 U56539 ( .A_N(n59443), .B(n38803), .Y(n37801) );
+  sky130_fd_sc_hd__nand2_1 U56540 ( .A(n48840), .B(n37055), .Y(n48752) );
+  sky130_fd_sc_hd__nand2_1 U56541 ( .A(n49217), .B(n49221), .Y(n48775) );
+  sky130_fd_sc_hd__nand3_2 U56542 ( .A(n49217), .B(n49221), .C(n47773), .Y(
+        n48868) );
+  sky130_fd_sc_hd__nand2_1 U56543 ( .A(n48868), .B(n37805), .Y(n48779) );
+  sky130_fd_sc_hd__nand2_1 U56544 ( .A(n37806), .B(n47786), .Y(n37805) );
+  sky130_fd_sc_hd__inv_1 U56545 ( .A(n60256), .Y(n37806) );
+  sky130_fd_sc_hd__nand2_1 U56546 ( .A(n56633), .B(n56630), .Y(n60256) );
+  sky130_fd_sc_hd__nand2_1 U56547 ( .A(n47785), .B(n60963), .Y(n56633) );
+  sky130_fd_sc_hd__nand3_1 U56548 ( .A(n37809), .B(n37807), .C(n37056), .Y(
+        n84411) );
+  sky130_fd_sc_hd__nand4b_1 U56549 ( .A_N(n52673), .B(n52598), .C(n52677), .D(
+        n52623), .Y(n37808) );
+  sky130_fd_sc_hd__inv_2 U56550 ( .A(n37810), .Y(n37809) );
+  sky130_fd_sc_hd__nand4b_1 U56551 ( .A_N(n52624), .B(n37814), .C(n37811), .D(
+        n52676), .Y(n37810) );
+  sky130_fd_sc_hd__nand4b_1 U56552 ( .A_N(n52657), .B(n52685), .C(n52686), .D(
+        n37813), .Y(n37812) );
+  sky130_fd_sc_hd__nand2_1 U56553 ( .A(n48880), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[5]), .Y(n37813) );
+  sky130_fd_sc_hd__nand2_1 U56554 ( .A(n37815), .B(n38621), .Y(n59508) );
+  sky130_fd_sc_hd__nand3_1 U56555 ( .A(n38617), .B(n38239), .C(n38616), .Y(
+        n37817) );
+  sky130_fd_sc_hd__inv_1 U56556 ( .A(n48035), .Y(n47958) );
+  sky130_fd_sc_hd__nand2_1 U56557 ( .A(n67391), .B(n61077), .Y(n37818) );
+  sky130_fd_sc_hd__nand2b_1 U56558 ( .A_N(n84966), .B(n47713), .Y(n47750) );
+  sky130_fd_sc_hd__nor2_2 U56559 ( .A(n37820), .B(n37819), .Y(n84966) );
+  sky130_fd_sc_hd__o21a_1 U56560 ( .A1(n38649), .A2(n38642), .B1(n38667), .X(
+        n37996) );
+  sky130_fd_sc_hd__nand2_1 U56561 ( .A(n38624), .B(n38623), .Y(n38642) );
+  sky130_fd_sc_hd__nand2_1 U56562 ( .A(n38640), .B(n38641), .Y(n38649) );
+  sky130_fd_sc_hd__nand2_1 U56563 ( .A(n55287), .B(n60279), .Y(n63492) );
+  sky130_fd_sc_hd__nand2_2 U56564 ( .A(n37821), .B(n47899), .Y(n55511) );
+  sky130_fd_sc_hd__clkinv_1 U56565 ( .A(n37824), .Y(n63140) );
+  sky130_fd_sc_hd__nand2_1 U56566 ( .A(n37824), .B(n73152), .Y(n38040) );
+  sky130_fd_sc_hd__o22ai_2 U56567 ( .A1(n63057), .A2(n63142), .B1(n37822), 
+        .B2(n73150), .Y(n86300) );
+  sky130_fd_sc_hd__o22ai_2 U56568 ( .A1(n63141), .A2(n63142), .B1(n37823), 
+        .B2(n73153), .Y(n63143) );
+  sky130_fd_sc_hd__o22ai_2 U56569 ( .A1(n63136), .A2(n63142), .B1(n37823), 
+        .B2(n63724), .Y(n63137) );
+  sky130_fd_sc_hd__o22ai_1 U56570 ( .A1(n63059), .A2(n63142), .B1(n37822), 
+        .B2(n63058), .Y(n63060) );
+  sky130_fd_sc_hd__nand2_2 U56571 ( .A(n37824), .B(n73258), .Y(n63142) );
+  sky130_fd_sc_hd__nand2_1 U56572 ( .A(n39921), .B(n37825), .Y(n37886) );
+  sky130_fd_sc_hd__nand2_1 U56573 ( .A(n54149), .B(n44197), .Y(n37825) );
+  sky130_fd_sc_hd__inv_2 U56574 ( .A(n66591), .Y(n44197) );
+  sky130_fd_sc_hd__nand2_1 U56575 ( .A(n36799), .B(n39425), .Y(n39978) );
+  sky130_fd_sc_hd__inv_2 U56576 ( .A(n39373), .Y(n39425) );
+  sky130_fd_sc_hd__nor2_4 U56577 ( .A(n47865), .B(n49087), .Y(n56695) );
+  sky130_fd_sc_hd__nor2_1 U56578 ( .A(n47863), .B(n55564), .Y(n49007) );
+  sky130_fd_sc_hd__nand2_1 U56579 ( .A(n47979), .B(n47889), .Y(n47861) );
+  sky130_fd_sc_hd__nand3_2 U56580 ( .A(n47950), .B(n47948), .C(n48016), .Y(
+        n47897) );
+  sky130_fd_sc_hd__nand3_1 U56581 ( .A(n37830), .B(n37826), .C(n37116), .Y(
+        n84407) );
+  sky130_fd_sc_hd__nand4b_1 U56582 ( .A_N(n49991), .B(n49988), .C(n49989), .D(
+        n37828), .Y(n37827) );
+  sky130_fd_sc_hd__nand2_1 U56583 ( .A(n50014), .B(n50013), .Y(n37829) );
+  sky130_fd_sc_hd__nand2b_1 U56584 ( .A_N(n49986), .B(n49990), .Y(n37831) );
+  sky130_fd_sc_hd__nand2_2 U56585 ( .A(n37833), .B(n37832), .Y(n76388) );
+  sky130_fd_sc_hd__nand2b_1 U56586 ( .A_N(n47784), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_io_full), .Y(n37832) );
+  sky130_fd_sc_hd__nand2b_1 U56587 ( .A_N(n47827), .B(n60963), .Y(n37833) );
+  sky130_fd_sc_hd__nor2_4 U56588 ( .A(n47815), .B(n55040), .Y(n47827) );
+  sky130_fd_sc_hd__nand2_1 U56589 ( .A(n36765), .B(n37834), .Y(n55040) );
+  sky130_fd_sc_hd__nand2_1 U56590 ( .A(n55203), .B(n47670), .Y(n37834) );
+  sky130_fd_sc_hd__nand2_1 U56591 ( .A(n37836), .B(n37835), .Y(n47815) );
+  sky130_fd_sc_hd__inv_2 U56592 ( .A(n85014), .Y(n37835) );
+  sky130_fd_sc_hd__inv_2 U56593 ( .A(n85013), .Y(n37836) );
+  sky130_fd_sc_hd__a21oi_2 U56594 ( .A1(n47496), .A2(n47503), .B1(n37837), .Y(
+        n85013) );
+  sky130_fd_sc_hd__nand2_1 U56595 ( .A(n39383), .B(n37901), .Y(n37838) );
+  sky130_fd_sc_hd__nand2_2 U56596 ( .A(n41102), .B(n41104), .Y(n38109) );
+  sky130_fd_sc_hd__nand2_1 U56597 ( .A(n72786), .B(n37485), .Y(n37839) );
+  sky130_fd_sc_hd__nand2_1 U56598 ( .A(n39518), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[30]), .Y(n37840) );
+  sky130_fd_sc_hd__nand2_1 U56599 ( .A(n39191), .B(n39192), .Y(n85506) );
+  sky130_fd_sc_hd__nand2_1 U56600 ( .A(n37842), .B(n37841), .Y(n63675) );
+  sky130_fd_sc_hd__nand2_1 U56601 ( .A(n62072), .B(n63672), .Y(n37841) );
+  sky130_fd_sc_hd__nand2_1 U56602 ( .A(n63501), .B(n60084), .Y(n84164) );
+  sky130_fd_sc_hd__nand2_1 U56603 ( .A(n60086), .B(n60085), .Y(n37843) );
+  sky130_fd_sc_hd__nand2_1 U56604 ( .A(n38103), .B(n38066), .Y(n38102) );
+  sky130_fd_sc_hd__nand2_1 U56605 ( .A(n62980), .B(n36832), .Y(n62999) );
+  sky130_fd_sc_hd__nand2_1 U56606 ( .A(n57830), .B(n57912), .Y(n57828) );
+  sky130_fd_sc_hd__nand2_1 U56607 ( .A(n57703), .B(n37846), .Y(n37845) );
+  sky130_fd_sc_hd__a21oi_1 U56608 ( .A1(n37849), .A2(n57728), .B1(n57743), .Y(
+        n37847) );
+  sky130_fd_sc_hd__a2bb2oi_1 U56610 ( .B1(n57704), .B2(n57695), .A1_N(n57725), 
+        .A2_N(n57694), .Y(n37849) );
+  sky130_fd_sc_hd__nand2_1 U56611 ( .A(n37851), .B(n37850), .Y(n57695) );
+  sky130_fd_sc_hd__nand2_1 U56612 ( .A(n59365), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_46[2]), 
+        .Y(n37850) );
+  sky130_fd_sc_hd__nand2_1 U56613 ( .A(n57686), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_45[2]), 
+        .Y(n37851) );
+  sky130_fd_sc_hd__nand2_1 U56614 ( .A(n37856), .B(n37854), .Y(n39049) );
+  sky130_fd_sc_hd__nand2_1 U56615 ( .A(n37855), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_12[0]), 
+        .Y(n37854) );
+  sky130_fd_sc_hd__nand2_1 U56616 ( .A(n37857), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_12[1]), 
+        .Y(n37856) );
+  sky130_fd_sc_hd__o22a_1 U56617 ( .A1(n59542), .A2(n62947), .B1(n59544), .B2(
+        n59543), .X(n59551) );
+  sky130_fd_sc_hd__nand2_1 U56618 ( .A(n59482), .B(n59481), .Y(n59543) );
+  sky130_fd_sc_hd__inv_2 U56620 ( .A(n76524), .Y(n85418) );
+  sky130_fd_sc_hd__nand2_2 U56621 ( .A(n37871), .B(n37870), .Y(n37867) );
+  sky130_fd_sc_hd__nand3_1 U56622 ( .A(n37870), .B(n37871), .C(n39128), .Y(
+        n37872) );
+  sky130_fd_sc_hd__nand2_1 U56623 ( .A(n37860), .B(n37859), .Y(n39145) );
+  sky130_fd_sc_hd__nand2_1 U56624 ( .A(n59471), .B(n39130), .Y(n37859) );
+  sky130_fd_sc_hd__nand3_1 U56625 ( .A(n37864), .B(n37863), .C(n37861), .Y(
+        n39143) );
+  sky130_fd_sc_hd__nand2_1 U56626 ( .A(n37865), .B(n38976), .Y(n37864) );
+  sky130_fd_sc_hd__nand2_1 U56627 ( .A(n37871), .B(n37868), .Y(n37873) );
+  sky130_fd_sc_hd__nand2_1 U56628 ( .A(n38974), .B(n39137), .Y(n37875) );
+  sky130_fd_sc_hd__nand2_1 U56629 ( .A(n37878), .B(n37876), .Y(n59556) );
+  sky130_fd_sc_hd__nand2b_1 U56630 ( .A_N(n39064), .B(n37877), .Y(n37876) );
+  sky130_fd_sc_hd__nand2_1 U56631 ( .A(n37880), .B(n37879), .Y(n37878) );
+  sky130_fd_sc_hd__nand2_1 U56632 ( .A(n39064), .B(n39058), .Y(n37879) );
+  sky130_fd_sc_hd__mux2i_1 U56634 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_11[1]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_12[1]), 
+        .S(n59557), .Y(n39064) );
+  sky130_fd_sc_hd__nand2_1 U56635 ( .A(n39093), .B(n39094), .Y(n37880) );
+  sky130_fd_sc_hd__mux2i_1 U56636 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_11[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_12[0]), 
+        .S(n59557), .Y(n39094) );
+  sky130_fd_sc_hd__o21a_1 U56637 ( .A1(n39054), .A2(n58102), .B1(n39053), .X(
+        n59557) );
+  sky130_fd_sc_hd__nand2b_1 U56638 ( .A_N(n39135), .B(n39133), .Y(n39126) );
+  sky130_fd_sc_hd__a21oi_1 U56639 ( .A1(n59484), .A2(n39117), .B1(n37882), .Y(
+        n39135) );
+  sky130_fd_sc_hd__nor2_1 U56640 ( .A(n39102), .B(n59484), .Y(n37882) );
+  sky130_fd_sc_hd__inv_1 U56641 ( .A(n37883), .Y(n59476) );
+  sky130_fd_sc_hd__nor2_1 U56642 ( .A(n59475), .B(n37883), .Y(n62945) );
+  sky130_fd_sc_hd__nor2_1 U56643 ( .A(n38264), .B(n37883), .Y(n62943) );
+  sky130_fd_sc_hd__o22ai_1 U56644 ( .A1(n76520), .A2(n37883), .B1(n39144), 
+        .B2(n59488), .Y(n76521) );
+  sky130_fd_sc_hd__nand2_2 U56645 ( .A(n76524), .B(n59458), .Y(n37883) );
+  sky130_fd_sc_hd__nand2_1 U56646 ( .A(n38560), .B(n38574), .Y(n38126) );
+  sky130_fd_sc_hd__mux2i_1 U56647 ( .A0(n38559), .A1(n38558), .S(n59467), .Y(
+        n38574) );
+  sky130_fd_sc_hd__nand2_1 U56648 ( .A(n59443), .B(n38697), .Y(n37884) );
+  sky130_fd_sc_hd__nand3b_1 U56649 ( .A_N(n62937), .B(n62938), .C(n37887), .Y(
+        n37904) );
+  sky130_fd_sc_hd__nand4_1 U56650 ( .A(n62935), .B(n62936), .C(n62934), .D(
+        n62933), .Y(n37888) );
+  sky130_fd_sc_hd__nand2_1 U56651 ( .A(n40089), .B(n37232), .Y(n62936) );
+  sky130_fd_sc_hd__nand2_1 U56652 ( .A(n38062), .B(n37890), .Y(n62937) );
+  sky130_fd_sc_hd__nand2_1 U56653 ( .A(n37895), .B(n39495), .Y(n39494) );
+  sky130_fd_sc_hd__nand2_1 U56654 ( .A(n37898), .B(n37896), .Y(n73251) );
+  sky130_fd_sc_hd__nand2_1 U56655 ( .A(n37894), .B(n37899), .Y(n37898) );
+  sky130_fd_sc_hd__nor2_1 U56656 ( .A(n37895), .B(n42932), .Y(n42933) );
+  sky130_fd_sc_hd__inv_2 U56657 ( .A(n63021), .Y(n40191) );
+  sky130_fd_sc_hd__nand2_1 U56658 ( .A(n63746), .B(n37901), .Y(n39242) );
+  sky130_fd_sc_hd__nand2_1 U56659 ( .A(n63776), .B(n37901), .Y(n39254) );
+  sky130_fd_sc_hd__nand2_1 U56660 ( .A(n63842), .B(n37901), .Y(n39285) );
+  sky130_fd_sc_hd__nand2_1 U56661 ( .A(n63796), .B(n37901), .Y(n39273) );
+  sky130_fd_sc_hd__nand2_1 U56662 ( .A(n39947), .B(n37901), .Y(n39291) );
+  sky130_fd_sc_hd__nand2_1 U56663 ( .A(n63806), .B(n37901), .Y(n39271) );
+  sky130_fd_sc_hd__nand2_1 U56664 ( .A(n63766), .B(n37901), .Y(n39258) );
+  sky130_fd_sc_hd__nand2_1 U56665 ( .A(n63848), .B(n37901), .Y(n39276) );
+  sky130_fd_sc_hd__nand2_1 U56666 ( .A(n72749), .B(n37901), .Y(n39266) );
+  sky130_fd_sc_hd__nand2_1 U56667 ( .A(n63832), .B(n37901), .Y(n39268) );
+  sky130_fd_sc_hd__nand2_1 U56668 ( .A(n63786), .B(n37901), .Y(n39330) );
+  sky130_fd_sc_hd__nand2_1 U56669 ( .A(n63756), .B(n37901), .Y(n39262) );
+  sky130_fd_sc_hd__nand2_1 U56670 ( .A(n39990), .B(n39921), .Y(n37902) );
+  sky130_fd_sc_hd__nand2_1 U56671 ( .A(n39964), .B(n54921), .Y(n39990) );
+  sky130_fd_sc_hd__nand2_1 U56672 ( .A(n37907), .B(n36829), .Y(n37906) );
+  sky130_fd_sc_hd__nand2_1 U56673 ( .A(n37909), .B(n37908), .Y(n37907) );
+  sky130_fd_sc_hd__nand2_1 U56674 ( .A(n37913), .B(n40126), .Y(n37908) );
+  sky130_fd_sc_hd__nand2_1 U56675 ( .A(n37912), .B(n40108), .Y(n37909) );
+  sky130_fd_sc_hd__nand2_1 U56676 ( .A(n36829), .B(n72585), .Y(n37914) );
+  sky130_fd_sc_hd__nand2_1 U56677 ( .A(n40019), .B(n40059), .Y(n37915) );
+  sky130_fd_sc_hd__nor2_1 U56678 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[1]), .B(n40032), .Y(n37916) );
+  sky130_fd_sc_hd__nand2_1 U56679 ( .A(n37918), .B(n41836), .Y(n37917) );
+  sky130_fd_sc_hd__nand3_1 U56680 ( .A(n37931), .B(n41835), .C(n37919), .Y(
+        n37918) );
+  sky130_fd_sc_hd__nand2_1 U56681 ( .A(n41832), .B(n54979), .Y(n37919) );
+  sky130_fd_sc_hd__o21a_2 U56682 ( .A1(n39386), .A2(n39537), .B1(n39388), .X(
+        n37931) );
+  sky130_fd_sc_hd__nand2_2 U56683 ( .A(n39970), .B(n37920), .Y(n54916) );
+  sky130_fd_sc_hd__nand3_1 U56684 ( .A(n37091), .B(n55601), .C(n37920), .Y(
+        n55602) );
+  sky130_fd_sc_hd__nand2_1 U56685 ( .A(n39396), .B(n37920), .Y(n39398) );
+  sky130_fd_sc_hd__nand2_1 U56686 ( .A(n37922), .B(n37932), .Y(n37921) );
+  sky130_fd_sc_hd__nand2_1 U56687 ( .A(n69523), .B(n69081), .Y(n37923) );
+  sky130_fd_sc_hd__nor2_2 U56688 ( .A(n36841), .B(n37924), .Y(n69523) );
+  sky130_fd_sc_hd__nand2_1 U56689 ( .A(n69082), .B(n69540), .Y(n37926) );
+  sky130_fd_sc_hd__nand2_1 U56690 ( .A(n70119), .B(n85600), .Y(n37927) );
+  sky130_fd_sc_hd__nand2_1 U56691 ( .A(n59182), .B(n59278), .Y(n37928) );
+  sky130_fd_sc_hd__inv_2 U56692 ( .A(n72680), .Y(n59183) );
+  sky130_fd_sc_hd__nor2_1 U56693 ( .A(n59181), .B(n59186), .Y(n59191) );
+  sky130_fd_sc_hd__inv_2 U56694 ( .A(n57913), .Y(n58860) );
+  sky130_fd_sc_hd__mux2i_1 U56695 ( .A0(n57834), .A1(n58832), .S(n57913), .Y(
+        n58301) );
+  sky130_fd_sc_hd__nand3_2 U56696 ( .A(n37930), .B(n57930), .C(n38251), .Y(
+        n57913) );
+  sky130_fd_sc_hd__nand3_1 U56697 ( .A(n37931), .B(n39367), .C(n39368), .Y(
+        n39372) );
+  sky130_fd_sc_hd__nand2_1 U56698 ( .A(n59203), .B(n85402), .Y(n37932) );
+  sky130_fd_sc_hd__inv_1 U56699 ( .A(n58817), .Y(n58294) );
+  sky130_fd_sc_hd__a21boi_2 U56700 ( .A1(n41839), .A2(n37000), .B1_N(n41842), 
+        .Y(n72680) );
+  sky130_fd_sc_hd__inv_2 U56701 ( .A(n54915), .Y(n54908) );
+  sky130_fd_sc_hd__nand2_1 U56702 ( .A(n37934), .B(n39314), .Y(n54915) );
+  sky130_fd_sc_hd__nand2_1 U56703 ( .A(n37940), .B(n37937), .Y(n37936) );
+  sky130_fd_sc_hd__nand2_1 U56704 ( .A(n37941), .B(n72683), .Y(n37940) );
+  sky130_fd_sc_hd__nand2_1 U56705 ( .A(n40258), .B(n40257), .Y(n37941) );
+  sky130_fd_sc_hd__nand2_1 U56706 ( .A(n37138), .B(n62971), .Y(n37943) );
+  sky130_fd_sc_hd__nand2_1 U56707 ( .A(n64030), .B(n36843), .Y(n59435) );
+  sky130_fd_sc_hd__o31ai_2 U56708 ( .A1(n40032), .A2(n39995), .A3(n40248), 
+        .B1(n84263), .Y(n40241) );
+  sky130_fd_sc_hd__nand2_1 U56709 ( .A(n39535), .B(n37947), .Y(n84263) );
+  sky130_fd_sc_hd__nand2_1 U56710 ( .A(n59314), .B(n59316), .Y(n37948) );
+  sky130_fd_sc_hd__nor2_2 U56711 ( .A(n63934), .B(n64168), .Y(n63864) );
+  sky130_fd_sc_hd__nand2_1 U56712 ( .A(n39428), .B(n39427), .Y(n39429) );
+  sky130_fd_sc_hd__inv_2 U56713 ( .A(n39315), .Y(n37950) );
+  sky130_fd_sc_hd__nor2_1 U56714 ( .A(n39314), .B(n39316), .Y(n39967) );
+  sky130_fd_sc_hd__nand2_1 U56715 ( .A(n59177), .B(n37952), .Y(n37951) );
+  sky130_fd_sc_hd__inv_2 U56716 ( .A(n66297), .Y(n39314) );
+  sky130_fd_sc_hd__nand2_1 U56717 ( .A(n59177), .B(n37954), .Y(n37953) );
+  sky130_fd_sc_hd__nor2_2 U56718 ( .A(n37956), .B(n37955), .Y(n42989) );
+  sky130_fd_sc_hd__nand2_2 U56719 ( .A(n38070), .B(n38159), .Y(n58848) );
+  sky130_fd_sc_hd__nand2_1 U56720 ( .A(n37960), .B(n37959), .Y(n58825) );
+  sky130_fd_sc_hd__nand2_1 U56721 ( .A(n37961), .B(n37963), .Y(n37960) );
+  sky130_fd_sc_hd__nand2_1 U56722 ( .A(n37962), .B(n37964), .Y(n37961) );
+  sky130_fd_sc_hd__nand2_1 U56723 ( .A(n58849), .B(n58084), .Y(n37962) );
+  sky130_fd_sc_hd__nand2_1 U56724 ( .A(n38336), .B(n58083), .Y(n37964) );
+  sky130_fd_sc_hd__nand2_1 U56725 ( .A(n37969), .B(n38882), .Y(n58012) );
+  sky130_fd_sc_hd__o21ai_1 U56726 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_29[1]), 
+        .A2(n59369), .B1(n37965), .Y(n58066) );
+  sky130_fd_sc_hd__nand2_1 U56727 ( .A(n59369), .B(n58021), .Y(n37965) );
+  sky130_fd_sc_hd__inv_1 U56729 ( .A(n37969), .Y(n37966) );
+  sky130_fd_sc_hd__nand2_1 U56730 ( .A(n37968), .B(n38882), .Y(n37967) );
+  sky130_fd_sc_hd__nand2_1 U56732 ( .A(n60084), .B(n60082), .Y(n47895) );
+  sky130_fd_sc_hd__nand2_1 U56733 ( .A(n48733), .B(n37972), .Y(n37971) );
+  sky130_fd_sc_hd__nand2_1 U56735 ( .A(n48718), .B(n48717), .Y(n48749) );
+  sky130_fd_sc_hd__nand2_1 U56736 ( .A(n48716), .B(n48715), .Y(n48778) );
+  sky130_fd_sc_hd__nand2_1 U56737 ( .A(n37973), .B(n49643), .Y(n84413) );
+  sky130_fd_sc_hd__nand4b_1 U56739 ( .A_N(n49535), .B(n37140), .C(n37975), .D(
+        n49640), .Y(n37974) );
+  sky130_fd_sc_hd__nand2_1 U56740 ( .A(n49537), .B(n59619), .Y(n37976) );
+  sky130_fd_sc_hd__nand2_1 U56741 ( .A(n37977), .B(n55552), .Y(n61010) );
+  sky130_fd_sc_hd__nand2_1 U56742 ( .A(n47502), .B(n47489), .Y(n37978) );
+  sky130_fd_sc_hd__nand2b_1 U56743 ( .A_N(n47502), .B(n47488), .Y(n37979) );
+  sky130_fd_sc_hd__nand2_1 U56744 ( .A(n37980), .B(n85517), .Y(n39501) );
+  sky130_fd_sc_hd__nand2_1 U56745 ( .A(n39518), .B(n37982), .Y(n37981) );
+  sky130_fd_sc_hd__nand2_1 U56746 ( .A(n37988), .B(n37987), .Y(n73150) );
+  sky130_fd_sc_hd__nand2_1 U56747 ( .A(n37989), .B(n73152), .Y(n37988) );
+  sky130_fd_sc_hd__o21a_1 U56748 ( .A1(n40007), .A2(n63878), .B1(n40006), .X(
+        n62940) );
+  sky130_fd_sc_hd__inv_2 U56749 ( .A(n64155), .Y(n64369) );
+  sky130_fd_sc_hd__o2bb2ai_2 U56750 ( .B1(n36841), .B2(n41831), .A1_N(n37134), 
+        .A2_N(n37990), .Y(n64155) );
+  sky130_fd_sc_hd__nand4_1 U56751 ( .A(n39441), .B(n36840), .C(n54172), .D(
+        n39978), .Y(n37990) );
+  sky130_fd_sc_hd__o21a_1 U56752 ( .A1(n39434), .A2(n39921), .B1(n37176), .X(
+        n37991) );
+  sky130_fd_sc_hd__nand2_1 U56753 ( .A(n59463), .B(n38696), .Y(n37992) );
+  sky130_fd_sc_hd__nand2_1 U56754 ( .A(n38684), .B(n38683), .Y(n59463) );
+  sky130_fd_sc_hd__nand2b_1 U56755 ( .A_N(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_50[1]), 
+        .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_49[1]), 
+        .Y(n38205) );
+  sky130_fd_sc_hd__inv_2 U56756 ( .A(n37995), .Y(n59564) );
+  sky130_fd_sc_hd__nand2_1 U56758 ( .A(n37995), .B(n38659), .Y(n37994) );
+  sky130_fd_sc_hd__nand3_1 U56759 ( .A(n37998), .B(n37999), .C(n37997), .Y(
+        n37995) );
+  sky130_fd_sc_hd__nand4_1 U56760 ( .A(n38637), .B(n37996), .C(n38658), .D(
+        n38659), .Y(n37997) );
+  sky130_fd_sc_hd__nand2_1 U56761 ( .A(n38643), .B(n37996), .Y(n37999) );
+  sky130_fd_sc_hd__a21boi_2 U56762 ( .A1(n38669), .A2(n38000), .B1_N(n38001), 
+        .Y(n38691) );
+  sky130_fd_sc_hd__nand2b_1 U56763 ( .A_N(n38669), .B(n38005), .Y(n38004) );
+  sky130_fd_sc_hd__nand2_1 U56764 ( .A(n38671), .B(n38001), .Y(n38006) );
+  sky130_fd_sc_hd__nand2_1 U56765 ( .A(n62948), .B(n38007), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_dev[2]) );
+  sky130_fd_sc_hd__nor2_1 U56766 ( .A(n38009), .B(n38008), .Y(n38007) );
+  sky130_fd_sc_hd__nand3b_1 U56767 ( .A_N(n62943), .B(n38010), .C(n62947), .Y(
+        n38009) );
+  sky130_fd_sc_hd__nand2_1 U56768 ( .A(n62945), .B(n62944), .Y(n38010) );
+  sky130_fd_sc_hd__nand2_1 U56769 ( .A(n58858), .B(n57897), .Y(n57898) );
+  sky130_fd_sc_hd__nand2_1 U56770 ( .A(n38011), .B(n38634), .Y(n59563) );
+  sky130_fd_sc_hd__nand3_1 U56771 ( .A(n38013), .B(n38012), .C(n38633), .Y(
+        n38011) );
+  sky130_fd_sc_hd__nand2_1 U56772 ( .A(n38639), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_39[2]), 
+        .Y(n38012) );
+  sky130_fd_sc_hd__nand2_1 U56773 ( .A(n38632), .B(n38631), .Y(n38013) );
+  sky130_fd_sc_hd__inv_1 U56774 ( .A(n39159), .Y(n76526) );
+  sky130_fd_sc_hd__nand2_1 U56775 ( .A(n76526), .B(n39160), .Y(n39161) );
+  sky130_fd_sc_hd__inv_1 U56776 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_3[1]), 
+        .Y(n45524) );
+  sky130_fd_sc_hd__nand2_1 U56777 ( .A(n38015), .B(n38014), .Y(n59454) );
+  sky130_fd_sc_hd__nand2_1 U56778 ( .A(n38016), .B(n38977), .Y(n38014) );
+  sky130_fd_sc_hd__nand3_1 U56779 ( .A(n38017), .B(n39130), .C(n39129), .Y(
+        n38015) );
+  sky130_fd_sc_hd__nand2_1 U56780 ( .A(n38976), .B(n38967), .Y(n38017) );
+  sky130_fd_sc_hd__nand2_1 U56781 ( .A(n38321), .B(n37154), .Y(n39130) );
+  sky130_fd_sc_hd__nand2_2 U56782 ( .A(n38018), .B(n59445), .Y(n38238) );
+  sky130_fd_sc_hd__nand3_1 U56783 ( .A(n38018), .B(n59445), .C(n37062), .Y(
+        n59593) );
+  sky130_fd_sc_hd__inv_2 U56784 ( .A(n76524), .Y(n38018) );
+  sky130_fd_sc_hd__nand2_1 U56785 ( .A(n39116), .B(n38020), .Y(n38019) );
+  sky130_fd_sc_hd__nand2_1 U56786 ( .A(n38923), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_23[1]), 
+        .Y(n38022) );
+  sky130_fd_sc_hd__nand2_1 U56787 ( .A(n38910), .B(n38911), .Y(n38023) );
+  sky130_fd_sc_hd__o22ai_1 U56788 ( .A1(n39034), .A2(n38025), .B1(n38137), 
+        .B2(n38132), .Y(n38024) );
+  sky130_fd_sc_hd__nand2b_1 U56789 ( .A_N(n39036), .B(n39119), .Y(n38025) );
+  sky130_fd_sc_hd__nand3_1 U56790 ( .A(n37165), .B(n38080), .C(n38998), .Y(
+        n38029) );
+  sky130_fd_sc_hd__nand2_1 U56791 ( .A(n38079), .B(n38998), .Y(n38030) );
+  sky130_fd_sc_hd__nand2b_1 U56792 ( .A_N(n38035), .B(n39314), .Y(n39929) );
+  sky130_fd_sc_hd__nand2_1 U56793 ( .A(n37949), .B(n37179), .Y(n39310) );
+  sky130_fd_sc_hd__nand2_1 U56794 ( .A(n39337), .B(n38031), .Y(n39338) );
+  sky130_fd_sc_hd__nand2_1 U56795 ( .A(n39348), .B(n38033), .Y(n39336) );
+  sky130_fd_sc_hd__nand2_1 U56796 ( .A(n54909), .B(n38035), .Y(n38034) );
+  sky130_fd_sc_hd__inv_2 U56797 ( .A(n38036), .Y(n64305) );
+  sky130_fd_sc_hd__nor2_1 U56798 ( .A(n38037), .B(n54167), .Y(n54168) );
+  sky130_fd_sc_hd__nand2_1 U56799 ( .A(n39522), .B(n38039), .Y(n38038) );
+  sky130_fd_sc_hd__nand2_1 U56800 ( .A(n38041), .B(n38040), .Y(n63058) );
+  sky130_fd_sc_hd__nand2_1 U56801 ( .A(n38042), .B(n72748), .Y(n38041) );
+  sky130_fd_sc_hd__nand2_1 U56802 ( .A(n39934), .B(n37000), .Y(n38044) );
+  sky130_fd_sc_hd__nand2_1 U56803 ( .A(n38046), .B(n38782), .Y(n38053) );
+  sky130_fd_sc_hd__nand2b_1 U56805 ( .A_N(n38045), .B(n38056), .Y(n38793) );
+  sky130_fd_sc_hd__nand2_1 U56806 ( .A(n59452), .B(n38775), .Y(n38056) );
+  sky130_fd_sc_hd__a21oi_1 U56807 ( .A1(n38045), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_55[2]), 
+        .B1(n38047), .Y(n38059) );
+  sky130_fd_sc_hd__nand2_1 U56808 ( .A(n38048), .B(n38794), .Y(n38047) );
+  sky130_fd_sc_hd__nand3_1 U56809 ( .A(n59452), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_55[2]), 
+        .C(n38775), .Y(n38048) );
+  sky130_fd_sc_hd__nand2_1 U56810 ( .A(n59452), .B(n38781), .Y(n38057) );
+  sky130_fd_sc_hd__nor2_1 U56811 ( .A(n38051), .B(n38049), .Y(n38054) );
+  sky130_fd_sc_hd__nand2_1 U56812 ( .A(n38050), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_55[0]), 
+        .Y(n38049) );
+  sky130_fd_sc_hd__nand3_1 U56813 ( .A(n59452), .B(n38781), .C(n57917), .Y(
+        n38050) );
+  sky130_fd_sc_hd__nor2_1 U56814 ( .A(n38052), .B(n59452), .Y(n38051) );
+  sky130_fd_sc_hd__nand2_1 U56815 ( .A(n38053), .B(n38057), .Y(n38789) );
+  sky130_fd_sc_hd__nand2_1 U56816 ( .A(n38061), .B(n37173), .Y(n38058) );
+  sky130_fd_sc_hd__nor2b_1 U56817 ( .B_N(n57917), .A(n38769), .Y(n38055) );
+  sky130_fd_sc_hd__nand2_1 U56818 ( .A(n40208), .B(n40207), .Y(n38062) );
+  sky130_fd_sc_hd__inv_2 U56819 ( .A(n86300), .Y(n38063) );
+  sky130_fd_sc_hd__nand2_1 U56820 ( .A(n38065), .B(n59316), .Y(n38105) );
+  sky130_fd_sc_hd__nand2_1 U56821 ( .A(n39534), .B(n64157), .Y(n72679) );
+  sky130_fd_sc_hd__nand2_1 U56822 ( .A(n39420), .B(n39419), .Y(n39534) );
+  sky130_fd_sc_hd__nand2_1 U56823 ( .A(n38104), .B(n70199), .Y(n38103) );
+  sky130_fd_sc_hd__inv_1 U56824 ( .A(n58285), .Y(n58289) );
+  sky130_fd_sc_hd__o21ai_1 U56825 ( .A1(n58279), .A2(n58815), .B1(n38068), .Y(
+        n58285) );
+  sky130_fd_sc_hd__nand2_1 U56826 ( .A(n58815), .B(n58262), .Y(n38068) );
+  sky130_fd_sc_hd__nand3_1 U56828 ( .A(n38268), .B(n38267), .C(n38266), .Y(
+        n38069) );
+  sky130_fd_sc_hd__nand2_1 U56829 ( .A(n38071), .B(n59448), .Y(n59491) );
+  sky130_fd_sc_hd__nand2_1 U56830 ( .A(n57959), .B(n57949), .Y(n57950) );
+  sky130_fd_sc_hd__nor2_1 U56831 ( .A(n38075), .B(n38073), .Y(n38072) );
+  sky130_fd_sc_hd__nand2_1 U56832 ( .A(n37165), .B(n38080), .Y(n38073) );
+  sky130_fd_sc_hd__nand2_1 U56833 ( .A(n38078), .B(n38998), .Y(n38075) );
+  sky130_fd_sc_hd__nand2_1 U56834 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_4[2]), 
+        .B(n38076), .Y(n38077) );
+  sky130_fd_sc_hd__nand2_2 U56835 ( .A(n54166), .B(n37949), .Y(n41832) );
+  sky130_fd_sc_hd__nand2_2 U56836 ( .A(n37091), .B(n39408), .Y(n54166) );
+  sky130_fd_sc_hd__nor2_1 U56837 ( .A(n64169), .B(n38084), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N350) );
+  sky130_fd_sc_hd__nor2_1 U56838 ( .A(n38081), .B(n38084), .Y(n63940) );
+  sky130_fd_sc_hd__nand2_1 U56839 ( .A(n63939), .B(n64215), .Y(n38082) );
+  sky130_fd_sc_hd__nand2_1 U56840 ( .A(n63935), .B(n62961), .Y(n38083) );
+  sky130_fd_sc_hd__o22ai_1 U56841 ( .A1(n64166), .A2(n64165), .B1(n64164), 
+        .B2(n38084), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N349) );
+  sky130_fd_sc_hd__o21ai_1 U56842 ( .A1(n64219), .A2(n38084), .B1(n64218), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N359) );
+  sky130_fd_sc_hd__o22ai_1 U56843 ( .A1(n63946), .A2(n38084), .B1(n65105), 
+        .B2(n64218), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N362) );
+  sky130_fd_sc_hd__nand2_1 U56844 ( .A(n58850), .B(n57999), .Y(n38085) );
+  sky130_fd_sc_hd__nand2_1 U56845 ( .A(n58277), .B(n58278), .Y(n58254) );
+  sky130_fd_sc_hd__nand2_1 U56846 ( .A(n38087), .B(n38086), .Y(n58278) );
+  sky130_fd_sc_hd__nand2b_1 U56847 ( .A_N(n58816), .B(n58251), .Y(n38086) );
+  sky130_fd_sc_hd__nand2_1 U56848 ( .A(n58816), .B(n58250), .Y(n38087) );
+  sky130_fd_sc_hd__nand2_1 U56849 ( .A(n38089), .B(n38088), .Y(n58816) );
+  sky130_fd_sc_hd__nand2_1 U56850 ( .A(n38091), .B(n38090), .Y(n38088) );
+  sky130_fd_sc_hd__nand2b_1 U56851 ( .A_N(n38337), .B(n57991), .Y(n57998) );
+  sky130_fd_sc_hd__nand2_1 U56852 ( .A(n38093), .B(n38092), .Y(n38337) );
+  sky130_fd_sc_hd__nand2_1 U56853 ( .A(n59427), .B(n57958), .Y(n38092) );
+  sky130_fd_sc_hd__nand2_1 U56854 ( .A(n38094), .B(n57959), .Y(n38093) );
+  sky130_fd_sc_hd__nand3_1 U56855 ( .A(n59191), .B(n37168), .C(n59211), .Y(
+        n38095) );
+  sky130_fd_sc_hd__nand2_1 U56856 ( .A(n59191), .B(n59269), .Y(n59233) );
+  sky130_fd_sc_hd__nand2_1 U56857 ( .A(n59204), .B(n59232), .Y(n38096) );
+  sky130_fd_sc_hd__nand2_1 U56858 ( .A(n38097), .B(n38583), .Y(n38590) );
+  sky130_fd_sc_hd__nand2b_1 U56859 ( .A_N(n39317), .B(n41838), .Y(n38099) );
+  sky130_fd_sc_hd__nand2_1 U56860 ( .A(n38101), .B(n36840), .Y(n38100) );
+  sky130_fd_sc_hd__nand2_1 U56861 ( .A(n39964), .B(n41838), .Y(n39971) );
+  sky130_fd_sc_hd__nand2_1 U56863 ( .A(n39317), .B(n39973), .Y(n39964) );
+  sky130_fd_sc_hd__nand2_1 U56864 ( .A(n39428), .B(n37167), .Y(n39414) );
+  sky130_fd_sc_hd__nand2b_1 U56865 ( .A_N(n38102), .B(n38474), .Y(n40225) );
+  sky130_fd_sc_hd__o21a_1 U56866 ( .A1(n85534), .A2(n64369), .B1(n63937), .X(
+        n70199) );
+  sky130_fd_sc_hd__nand2_1 U56867 ( .A(n38106), .B(n38105), .Y(n38104) );
+  sky130_fd_sc_hd__nand2_1 U56868 ( .A(n63936), .B(n39955), .Y(n38106) );
+  sky130_fd_sc_hd__nand2_2 U56869 ( .A(n38107), .B(n38108), .Y(n41104) );
+  sky130_fd_sc_hd__nand2b_1 U56870 ( .A_N(n39558), .B(n39240), .Y(n38108) );
+  sky130_fd_sc_hd__inv_2 U56871 ( .A(n39558), .Y(n63057) );
+  sky130_fd_sc_hd__o21a_1 U56872 ( .A1(n41102), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[1]), .B1(n38109), .X(n85394) );
+  sky130_fd_sc_hd__o21a_1 U56873 ( .A1(n38109), .A2(n73251), .B1(n41101), .X(
+        n39248) );
+  sky130_fd_sc_hd__inv_2 U56874 ( .A(n70071), .Y(n69540) );
+  sky130_fd_sc_hd__nand2_1 U56875 ( .A(n38110), .B(n39314), .Y(n39347) );
+  sky130_fd_sc_hd__nand2_1 U56876 ( .A(n38112), .B(n39923), .Y(n38111) );
+  sky130_fd_sc_hd__nand2_1 U56877 ( .A(n39936), .B(n39314), .Y(n39981) );
+  sky130_fd_sc_hd__nand2_1 U56878 ( .A(n38114), .B(n59605), .Y(n38113) );
+  sky130_fd_sc_hd__nand2_1 U56879 ( .A(n59604), .B(n38115), .Y(n38114) );
+  sky130_fd_sc_hd__a21oi_1 U56880 ( .A1(n38988), .A2(n38121), .B1(n38116), .Y(
+        n38990) );
+  sky130_fd_sc_hd__nand2_1 U56881 ( .A(n38118), .B(n38117), .Y(n38116) );
+  sky130_fd_sc_hd__nand2_1 U56882 ( .A(n39023), .B(n38121), .Y(n38118) );
+  sky130_fd_sc_hd__nand2_1 U56883 ( .A(n39027), .B(n39028), .Y(n38988) );
+  sky130_fd_sc_hd__o21ai_1 U56884 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_1[2]), 
+        .A2(n59537), .B1(n38119), .Y(n38997) );
+  sky130_fd_sc_hd__nand2_1 U56885 ( .A(n59537), .B(n58184), .Y(n38119) );
+  sky130_fd_sc_hd__mux2i_1 U56886 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_1[1]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_2[1]), 
+        .S(n59537), .Y(n39023) );
+  sky130_fd_sc_hd__clkinv_1 U56887 ( .A(n38123), .Y(n59354) );
+  sky130_fd_sc_hd__nand3_1 U56888 ( .A(n38124), .B(n38226), .C(n38539), .Y(
+        n38250) );
+  sky130_fd_sc_hd__nand2b_1 U56889 ( .A_N(n38685), .B(n38128), .Y(n38127) );
+  sky130_fd_sc_hd__nand2_1 U56890 ( .A(n38673), .B(n38675), .Y(n38129) );
+  sky130_fd_sc_hd__nand2_1 U56891 ( .A(n39036), .B(n39034), .Y(n38133) );
+  sky130_fd_sc_hd__nand2_1 U56892 ( .A(n38135), .B(n38134), .Y(n39131) );
+  sky130_fd_sc_hd__nand2_1 U56893 ( .A(n38130), .B(n38136), .Y(n38135) );
+  sky130_fd_sc_hd__nand2_1 U56894 ( .A(n39107), .B(n39119), .Y(n38137) );
+  sky130_fd_sc_hd__nand2b_1 U56895 ( .A_N(n39031), .B(n38139), .Y(n38138) );
+  sky130_fd_sc_hd__nand2_1 U56896 ( .A(n58054), .B(n58069), .Y(n58053) );
+  sky130_fd_sc_hd__mux2i_1 U56897 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_26[1]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_25[1]), 
+        .S(n59382), .Y(n58069) );
+  sky130_fd_sc_hd__nand3_1 U56898 ( .A(n38141), .B(n58041), .C(n58042), .Y(
+        n59382) );
+  sky130_fd_sc_hd__nand2_1 U56899 ( .A(n58040), .B(n38142), .Y(n38141) );
+  sky130_fd_sc_hd__nand2_1 U56900 ( .A(n58039), .B(n58038), .Y(n38143) );
+  sky130_fd_sc_hd__nand2_1 U56901 ( .A(n38145), .B(n38144), .Y(n38878) );
+  sky130_fd_sc_hd__nand2_1 U56902 ( .A(n38872), .B(n38824), .Y(n38144) );
+  sky130_fd_sc_hd__nand2b_1 U56903 ( .A_N(n38872), .B(n38155), .Y(n38145) );
+  sky130_fd_sc_hd__a21oi_2 U56904 ( .A1(n38839), .A2(n38838), .B1(n38837), .Y(
+        n38872) );
+  sky130_fd_sc_hd__o21ai_1 U56905 ( .A1(n38861), .A2(n59588), .B1(n38146), .Y(
+        n38864) );
+  sky130_fd_sc_hd__nand2_1 U56906 ( .A(n59588), .B(n38851), .Y(n38146) );
+  sky130_fd_sc_hd__nand2_1 U56908 ( .A(n59588), .B(n38148), .Y(n38147) );
+  sky130_fd_sc_hd__nand3_1 U56909 ( .A(n38152), .B(n38151), .C(n38149), .Y(
+        n38314) );
+  sky130_fd_sc_hd__nand2b_1 U56910 ( .A_N(n38963), .B(n38962), .Y(n38149) );
+  sky130_fd_sc_hd__o21a_1 U56911 ( .A1(n38878), .A2(n38864), .B1(n38316), .X(
+        n38151) );
+  sky130_fd_sc_hd__nand2_1 U56912 ( .A(n38969), .B(n38153), .Y(n38152) );
+  sky130_fd_sc_hd__mux2i_1 U56913 ( .A0(n38154), .A1(n38868), .S(n59588), .Y(
+        n38968) );
+  sky130_fd_sc_hd__a21boi_1 U56914 ( .A1(n38872), .A2(n38867), .B1_N(n38156), 
+        .Y(n38969) );
+  sky130_fd_sc_hd__nand2_1 U56915 ( .A(n38865), .B(n38866), .Y(n38156) );
+  sky130_fd_sc_hd__o211ai_1 U56916 ( .A1(n58825), .A2(n38160), .B1(n58273), 
+        .C1(n38158), .Y(n38161) );
+  sky130_fd_sc_hd__nand2_1 U56917 ( .A(n37579), .B(n38159), .Y(n38158) );
+  sky130_fd_sc_hd__nand2_1 U56919 ( .A(n38162), .B(n38161), .Y(n58304) );
+  sky130_fd_sc_hd__nand2_1 U56920 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_16[0]), 
+        .B(n57968), .Y(n38167) );
+  sky130_fd_sc_hd__nand2_1 U56921 ( .A(n38164), .B(n38168), .Y(n57961) );
+  sky130_fd_sc_hd__nand3_1 U56922 ( .A(n38166), .B(n38847), .C(n38165), .Y(
+        n38164) );
+  sky130_fd_sc_hd__nand2_1 U56923 ( .A(n57964), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_15[2]), 
+        .Y(n38165) );
+  sky130_fd_sc_hd__o21ai_1 U56924 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_15[1]), 
+        .A2(n38846), .B1(n38167), .Y(n38166) );
+  sky130_fd_sc_hd__nand2_1 U56925 ( .A(n57965), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_16[2]), 
+        .Y(n38168) );
+  sky130_fd_sc_hd__o21ai_1 U56926 ( .A1(n38170), .A2(n59385), .B1(n38169), .Y(
+        n58076) );
+  sky130_fd_sc_hd__nand2_1 U56927 ( .A(n59385), .B(n58071), .Y(n38169) );
+  sky130_fd_sc_hd__nand2_1 U56928 ( .A(n58060), .B(n58072), .Y(n38171) );
+  sky130_fd_sc_hd__nand2_1 U56929 ( .A(n58060), .B(n58070), .Y(n38173) );
+  sky130_fd_sc_hd__nand2_1 U56930 ( .A(n38175), .B(n38174), .Y(n58277) );
+  sky130_fd_sc_hd__nand2_1 U56931 ( .A(n58856), .B(n58176), .Y(n38174) );
+  sky130_fd_sc_hd__nand2b_1 U56932 ( .A_N(n58856), .B(n58177), .Y(n38175) );
+  sky130_fd_sc_hd__nand2_1 U56933 ( .A(n38177), .B(n38176), .Y(n58269) );
+  sky130_fd_sc_hd__nand2_1 U56934 ( .A(n58856), .B(n58174), .Y(n38176) );
+  sky130_fd_sc_hd__nand2b_1 U56935 ( .A_N(n58856), .B(n58175), .Y(n38177) );
+  sky130_fd_sc_hd__nand2_1 U56936 ( .A(n58856), .B(n58255), .Y(n38178) );
+  sky130_fd_sc_hd__nand2b_1 U56937 ( .A_N(n58856), .B(n58256), .Y(n38179) );
+  sky130_fd_sc_hd__a21oi_2 U56938 ( .A1(n58173), .A2(n58172), .B1(n58264), .Y(
+        n58856) );
+  sky130_fd_sc_hd__nor2_1 U56939 ( .A(n38185), .B(n58158), .Y(n38181) );
+  sky130_fd_sc_hd__nand3_1 U56940 ( .A(n58139), .B(n58138), .C(n38276), .Y(
+        n38182) );
+  sky130_fd_sc_hd__a21oi_1 U56941 ( .A1(n58159), .A2(n58158), .B1(n38185), .Y(
+        n38276) );
+  sky130_fd_sc_hd__nand2_1 U56942 ( .A(n58139), .B(n58138), .Y(n58142) );
+  sky130_fd_sc_hd__nand2_1 U56943 ( .A(n59343), .B(n58131), .Y(n38186) );
+  sky130_fd_sc_hd__nand2_1 U56944 ( .A(n58294), .B(n57913), .Y(n38188) );
+  sky130_fd_sc_hd__nand2_1 U56945 ( .A(n68064), .B(n58846), .Y(n68049) );
+  sky130_fd_sc_hd__nand2b_1 U56946 ( .A_N(n57886), .B(n38189), .Y(n38194) );
+  sky130_fd_sc_hd__nand2_1 U56947 ( .A(n36845), .B(n57870), .Y(n38190) );
+  sky130_fd_sc_hd__nand2_1 U56948 ( .A(n59357), .B(n57869), .Y(n38191) );
+  sky130_fd_sc_hd__nand4_1 U56949 ( .A(n57866), .B(n57875), .C(n57865), .D(
+        n38194), .Y(n38193) );
+  sky130_fd_sc_hd__nand2_1 U56950 ( .A(n57885), .B(n57884), .Y(n38195) );
+  sky130_fd_sc_hd__nand2_1 U56951 ( .A(n57886), .B(n57887), .Y(n38196) );
+  sky130_fd_sc_hd__o21ai_1 U56952 ( .A1(n37005), .A2(n58300), .B1(n58312), .Y(
+        n38197) );
+  sky130_fd_sc_hd__nand2_1 U56953 ( .A(n38199), .B(n38198), .Y(n58300) );
+  sky130_fd_sc_hd__nand2_1 U56954 ( .A(n68061), .B(n38218), .Y(n38198) );
+  sky130_fd_sc_hd__nand2_1 U56955 ( .A(n38213), .B(n57910), .Y(n38199) );
+  sky130_fd_sc_hd__nand2_1 U56956 ( .A(n58295), .B(n38200), .Y(n76492) );
+  sky130_fd_sc_hd__nand2_1 U56957 ( .A(n38706), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_50[1]), 
+        .Y(n38202) );
+  sky130_fd_sc_hd__nand2_1 U56958 ( .A(n46442), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_50[2]), 
+        .Y(n38203) );
+  sky130_fd_sc_hd__clkinv_1 U56959 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_49[2]), 
+        .Y(n46442) );
+  sky130_fd_sc_hd__nand3_1 U56960 ( .A(n45788), .B(n38205), .C(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_50[0]), 
+        .Y(n38204) );
+  sky130_fd_sc_hd__nand2_1 U56961 ( .A(n57894), .B(n57895), .Y(n57889) );
+  sky130_fd_sc_hd__mux2i_1 U56962 ( .A0(n57886), .A1(n38189), .S(n59358), .Y(
+        n57895) );
+  sky130_fd_sc_hd__mux2i_1 U56963 ( .A0(n38206), .A1(n57844), .S(n59401), .Y(
+        n57894) );
+  sky130_fd_sc_hd__nand2_2 U56964 ( .A(n59402), .B(n57845), .Y(n59401) );
+  sky130_fd_sc_hd__nand2_1 U56965 ( .A(n57843), .B(n57877), .Y(n38208) );
+  sky130_fd_sc_hd__nand2_1 U56966 ( .A(n59403), .B(n57838), .Y(n38207) );
+  sky130_fd_sc_hd__nand2_1 U56967 ( .A(n38210), .B(n38706), .Y(n38209) );
+  sky130_fd_sc_hd__mux2i_1 U56968 ( .A0(n45788), .A1(n38211), .S(n59403), .Y(
+        n57847) );
+  sky130_fd_sc_hd__inv_1 U56969 ( .A(n68061), .Y(n38213) );
+  sky130_fd_sc_hd__nand3_2 U56970 ( .A(n38215), .B(n57931), .C(n38214), .Y(
+        n68061) );
+  sky130_fd_sc_hd__nand2_1 U56971 ( .A(n57911), .B(n38216), .Y(n38214) );
+  sky130_fd_sc_hd__nand3_2 U56974 ( .A(n38222), .B(n38221), .C(n38220), .Y(
+        n58829) );
+  sky130_fd_sc_hd__nand3_1 U56975 ( .A(n58287), .B(n58289), .C(n58288), .Y(
+        n38220) );
+  sky130_fd_sc_hd__o21a_1 U56976 ( .A1(n58305), .A2(n58304), .B1(n58303), .X(
+        n38221) );
+  sky130_fd_sc_hd__nand3_1 U56977 ( .A(n58281), .B(n58280), .C(n58288), .Y(
+        n38222) );
+  sky130_fd_sc_hd__nand2_1 U56978 ( .A(n38225), .B(n38224), .Y(n38223) );
+  sky130_fd_sc_hd__nand2_1 U56979 ( .A(n46371), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_36[2]), 
+        .Y(n38226) );
+  sky130_fd_sc_hd__nand2_1 U56980 ( .A(n38253), .B(n38254), .Y(n58833) );
+  sky130_fd_sc_hd__nand2_1 U56981 ( .A(n38231), .B(n38230), .Y(n57815) );
+  sky130_fd_sc_hd__nand2_1 U56982 ( .A(n59353), .B(n37745), .Y(n38230) );
+  sky130_fd_sc_hd__nand2b_1 U56983 ( .A_N(n59353), .B(n57768), .Y(n38231) );
+  sky130_fd_sc_hd__nand2_1 U56984 ( .A(n86743), .B(n38233), .Y(n57809) );
+  sky130_fd_sc_hd__nand2_1 U56985 ( .A(n59412), .B(n57795), .Y(n38233) );
+  sky130_fd_sc_hd__nand2_1 U56986 ( .A(n57824), .B(n57800), .Y(n38237) );
+  sky130_fd_sc_hd__nand2_1 U56987 ( .A(n46425), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_38[2]), 
+        .Y(n38544) );
+  sky130_fd_sc_hd__nand2_1 U56988 ( .A(n38619), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_41[2]), 
+        .Y(n38239) );
+  sky130_fd_sc_hd__nand2_1 U56989 ( .A(n38241), .B(n38240), .Y(n39164) );
+  sky130_fd_sc_hd__nand2_1 U56990 ( .A(n59444), .B(n39154), .Y(n38240) );
+  sky130_fd_sc_hd__nand2_1 U56991 ( .A(n38550), .B(n38558), .Y(n38552) );
+  sky130_fd_sc_hd__nand2b_1 U56992 ( .A_N(n38250), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_35[1]), 
+        .Y(n38243) );
+  sky130_fd_sc_hd__o21ai_1 U56993 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_37[1]), 
+        .A2(n59603), .B1(n38244), .Y(n38558) );
+  sky130_fd_sc_hd__nand2_1 U56994 ( .A(n59603), .B(n57755), .Y(n38244) );
+  sky130_fd_sc_hd__nand2_1 U56995 ( .A(n38247), .B(n38245), .Y(n59603) );
+  sky130_fd_sc_hd__nand2_1 U56996 ( .A(n38556), .B(n38246), .Y(n38245) );
+  sky130_fd_sc_hd__nand2_1 U56997 ( .A(n38547), .B(n38248), .Y(n38247) );
+  sky130_fd_sc_hd__nand2_1 U56999 ( .A(n58832), .B(n58834), .Y(n38252) );
+  sky130_fd_sc_hd__nand2_1 U57000 ( .A(n57914), .B(n57831), .Y(n38253) );
+  sky130_fd_sc_hd__nand2_1 U57001 ( .A(n38255), .B(n38257), .Y(n38258) );
+  sky130_fd_sc_hd__nand2_1 U57002 ( .A(n38260), .B(n38257), .Y(n38256) );
+  sky130_fd_sc_hd__nand2_1 U57003 ( .A(n38531), .B(n38538), .Y(n38260) );
+  sky130_fd_sc_hd__o211ai_1 U57004 ( .A1(n59468), .A2(n59614), .B1(n38263), 
+        .C1(n38261), .Y(n59469) );
+  sky130_fd_sc_hd__nand2_1 U57005 ( .A(n62943), .B(n38872), .Y(n38263) );
+  sky130_fd_sc_hd__nand2_1 U57006 ( .A(n58276), .B(n58261), .Y(n38266) );
+  sky130_fd_sc_hd__nand2_1 U57007 ( .A(n58279), .B(n58262), .Y(n38267) );
+  sky130_fd_sc_hd__a21oi_2 U57009 ( .A1(n58127), .A2(n58126), .B1(n58144), .Y(
+        n59345) );
+  sky130_fd_sc_hd__nand2_1 U57010 ( .A(n38270), .B(n39078), .Y(n58127) );
+  sky130_fd_sc_hd__nand2_1 U57011 ( .A(n39077), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_10[1]), 
+        .Y(n38271) );
+  sky130_fd_sc_hd__o21ai_1 U57012 ( .A1(n58137), .A2(n58843), .B1(n38274), .Y(
+        n58176) );
+  sky130_fd_sc_hd__nand2_1 U57013 ( .A(n58843), .B(n58147), .Y(n38274) );
+  sky130_fd_sc_hd__nand2_1 U57014 ( .A(n38275), .B(n38276), .Y(n59344) );
+  sky130_fd_sc_hd__nand2_1 U57015 ( .A(n58142), .B(n37233), .Y(n38275) );
+  sky130_fd_sc_hd__clkinv_1 U57016 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_7[1]), 
+        .Y(n39065) );
+  sky130_fd_sc_hd__nand2_1 U57017 ( .A(n85418), .B(n59449), .Y(n59488) );
+  sky130_fd_sc_hd__inv_2 U57018 ( .A(n38277), .Y(n59453) );
+  sky130_fd_sc_hd__nand3_1 U57019 ( .A(n85418), .B(n59449), .C(n59490), .Y(
+        n38277) );
+  sky130_fd_sc_hd__nand2_1 U57020 ( .A(n76524), .B(n59457), .Y(n76518) );
+  sky130_fd_sc_hd__nand2_1 U57021 ( .A(n39158), .B(n39136), .Y(n38280) );
+  sky130_fd_sc_hd__nand2_1 U57022 ( .A(n38284), .B(n38281), .Y(n38288) );
+  sky130_fd_sc_hd__a21oi_1 U57023 ( .A1(n39102), .A2(n39117), .B1(n39124), .Y(
+        n38281) );
+  sky130_fd_sc_hd__inv_1 U57024 ( .A(n59552), .Y(n38283) );
+  sky130_fd_sc_hd__nand3_1 U57025 ( .A(n38287), .B(n38286), .C(n38285), .Y(
+        n38284) );
+  sky130_fd_sc_hd__nand2_1 U57026 ( .A(n39100), .B(n39106), .Y(n38285) );
+  sky130_fd_sc_hd__nand2_1 U57027 ( .A(n39099), .B(n39118), .Y(n38286) );
+  sky130_fd_sc_hd__nand2_1 U57028 ( .A(n39097), .B(n39096), .Y(n38287) );
+  sky130_fd_sc_hd__nand2_2 U57029 ( .A(n38288), .B(n39123), .Y(n59484) );
+  sky130_fd_sc_hd__nand2_1 U57030 ( .A(n38292), .B(n38291), .Y(n59522) );
+  sky130_fd_sc_hd__nand2_1 U57031 ( .A(n38298), .B(n38297), .Y(n38291) );
+  sky130_fd_sc_hd__nand2_1 U57032 ( .A(n37169), .B(n38296), .Y(n38292) );
+  sky130_fd_sc_hd__nand3_2 U57033 ( .A(n38295), .B(n38294), .C(n38293), .Y(
+        n59483) );
+  sky130_fd_sc_hd__nand2_1 U57034 ( .A(n38299), .B(n38306), .Y(n38293) );
+  sky130_fd_sc_hd__nand3_1 U57035 ( .A(n38298), .B(n38299), .C(n38297), .Y(
+        n38294) );
+  sky130_fd_sc_hd__nand3_1 U57036 ( .A(n37169), .B(n38296), .C(n38299), .Y(
+        n38295) );
+  sky130_fd_sc_hd__nand2_1 U57037 ( .A(n39088), .B(n38302), .Y(n38296) );
+  sky130_fd_sc_hd__nand2_1 U57038 ( .A(n39090), .B(n39091), .Y(n38302) );
+  sky130_fd_sc_hd__nand2_1 U57039 ( .A(n38304), .B(n38303), .Y(n39091) );
+  sky130_fd_sc_hd__a21oi_1 U57040 ( .A1(n38290), .A2(n38300), .B1(n39087), .Y(
+        n38299) );
+  sky130_fd_sc_hd__nand2_1 U57041 ( .A(n59523), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_10[0]), 
+        .Y(n38303) );
+  sky130_fd_sc_hd__nand2_1 U57042 ( .A(n38305), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_9[0]), 
+        .Y(n38304) );
+  sky130_fd_sc_hd__nand2_1 U57043 ( .A(n38307), .B(n59525), .Y(n38306) );
+  sky130_fd_sc_hd__nand2_1 U57044 ( .A(n59521), .B(n59520), .Y(n38307) );
+  sky130_fd_sc_hd__nand2_1 U57045 ( .A(n59523), .B(n39080), .Y(n38308) );
+  sky130_fd_sc_hd__nand2_1 U57047 ( .A(n59529), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_8[2]), 
+        .Y(n38309) );
+  sky130_fd_sc_hd__o21ai_1 U57048 ( .A1(n38962), .A2(n59474), .B1(n38310), .Y(
+        n59455) );
+  sky130_fd_sc_hd__nand2_1 U57049 ( .A(n59474), .B(n38311), .Y(n38310) );
+  sky130_fd_sc_hd__o21a_1 U57050 ( .A1(n38962), .A2(n38315), .B1(n38313), .X(
+        n38312) );
+  sky130_fd_sc_hd__nand2_1 U57051 ( .A(n38963), .B(n38316), .Y(n38315) );
+  sky130_fd_sc_hd__o21a_1 U57052 ( .A1(n59455), .A2(n59456), .B1(n59460), .X(
+        n38319) );
+  sky130_fd_sc_hd__nand2_1 U57053 ( .A(n38318), .B(n38317), .Y(n59456) );
+  sky130_fd_sc_hd__nand2_1 U57054 ( .A(n62944), .B(n38320), .Y(n38317) );
+  sky130_fd_sc_hd__nand2_1 U57055 ( .A(n62944), .B(n38970), .Y(n38321) );
+  sky130_fd_sc_hd__nand2_1 U57057 ( .A(n38323), .B(n38324), .Y(n38322) );
+  sky130_fd_sc_hd__nand2_1 U57058 ( .A(n37180), .B(n38921), .Y(n38323) );
+  sky130_fd_sc_hd__nand2_1 U57059 ( .A(n38920), .B(n38919), .Y(n58040) );
+  sky130_fd_sc_hd__nand2_1 U57060 ( .A(n38354), .B(n38842), .Y(n38326) );
+  sky130_fd_sc_hd__o22a_1 U57061 ( .A1(n38841), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_17[1]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_17[0]), 
+        .B2(n45778), .X(n38356) );
+  sky130_fd_sc_hd__nand2_1 U57062 ( .A(n38329), .B(n38328), .Y(n38327) );
+  sky130_fd_sc_hd__nand2_1 U57063 ( .A(n38354), .B(n38330), .Y(n38329) );
+  sky130_fd_sc_hd__nand2_1 U57064 ( .A(n57975), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_17[2]), 
+        .Y(n38354) );
+  sky130_fd_sc_hd__nand2_1 U57066 ( .A(n58850), .B(n58004), .Y(n38331) );
+  sky130_fd_sc_hd__nand2_1 U57067 ( .A(n36793), .B(n38333), .Y(n38332) );
+  sky130_fd_sc_hd__inv_1 U57068 ( .A(n58826), .Y(n38334) );
+  sky130_fd_sc_hd__inv_2 U57069 ( .A(n58849), .Y(n38336) );
+  sky130_fd_sc_hd__nand2_1 U57070 ( .A(n38340), .B(n38339), .Y(n59390) );
+  sky130_fd_sc_hd__o21a_1 U57071 ( .A1(n57981), .A2(n57982), .B1(n57984), .X(
+        n38339) );
+  sky130_fd_sc_hd__nand2_1 U57072 ( .A(n57981), .B(n57982), .Y(n38341) );
+  sky130_fd_sc_hd__nand2_1 U57073 ( .A(n59390), .B(n57983), .Y(n59389) );
+  sky130_fd_sc_hd__nor2_1 U57074 ( .A(n38345), .B(n38344), .Y(n38343) );
+  sky130_fd_sc_hd__nand3_1 U57075 ( .A(n38854), .B(n38348), .C(n38347), .Y(
+        n38346) );
+  sky130_fd_sc_hd__nand2_1 U57076 ( .A(n38871), .B(n38870), .Y(n38347) );
+  sky130_fd_sc_hd__nand2b_1 U57077 ( .A_N(n76521), .B(n38349), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_max[0]) );
+  sky130_fd_sc_hd__nand2_1 U57078 ( .A(n59443), .B(n38802), .Y(n38351) );
+  sky130_fd_sc_hd__nand2_1 U57079 ( .A(n57976), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_18[2]), 
+        .Y(n38357) );
+  sky130_fd_sc_hd__nand2_1 U57080 ( .A(n38353), .B(n38357), .Y(n38352) );
+  sky130_fd_sc_hd__nand2_1 U57081 ( .A(n38356), .B(n38357), .Y(n38355) );
+  sky130_fd_sc_hd__nand3_1 U57082 ( .A(n38355), .B(n37185), .C(n38352), .Y(
+        n38845) );
+  sky130_fd_sc_hd__nand2_1 U57083 ( .A(n59932), .B(n83149), .Y(n83178) );
+  sky130_fd_sc_hd__nand2b_1 U57084 ( .A_N(n73552), .B(n81266), .Y(n81267) );
+  sky130_fd_sc_hd__clkinv_1 U57086 ( .A(n54134), .Y(n84525) );
+  sky130_fd_sc_hd__inv_1 U57087 ( .A(n41570), .Y(n41567) );
+  sky130_fd_sc_hd__fa_2 U57088 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_15[1]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[1]), .CIN(n60565), .COUT(n60567), .SUM(n80898) );
+  sky130_fd_sc_hd__nor2_1 U57089 ( .A(n62537), .B(n62538), .Y(n62734) );
+  sky130_fd_sc_hd__o21ai_1 U57090 ( .A1(n54222), .A2(n54226), .B1(n54223), .Y(
+        n52297) );
+  sky130_fd_sc_hd__nand2_1 U57091 ( .A(n40660), .B(n54098), .Y(n40667) );
+  sky130_fd_sc_hd__inv_2 U57092 ( .A(n56247), .Y(n56254) );
+  sky130_fd_sc_hd__nand2_2 U57093 ( .A(n51665), .B(n49707), .Y(n56391) );
+  sky130_fd_sc_hd__inv_1 U57094 ( .A(n54255), .Y(n64882) );
+  sky130_fd_sc_hd__nand2_1 U57095 ( .A(n51162), .B(n48830), .Y(n56756) );
+  sky130_fd_sc_hd__a21o_1 U57096 ( .A1(n63319), .A2(n73753), .B1(n84989), .X(
+        n84434) );
+  sky130_fd_sc_hd__fah_1 U57097 ( .A(n62750), .B(n62749), .CI(n62748), .COUT(
+        n62770), .SUM(n62769) );
+  sky130_fd_sc_hd__nor3_2 U57098 ( .A(n36802), .B(n67405), .C(n67414), .Y(
+        n67403) );
+  sky130_fd_sc_hd__nand3_2 U57099 ( .A(n38362), .B(n61825), .C(n61824), .Y(
+        n67414) );
+  sky130_fd_sc_hd__nor2_2 U57100 ( .A(n62168), .B(n62169), .Y(n62371) );
+  sky130_fd_sc_hd__fah_1 U57101 ( .A(n62765), .B(n62764), .CI(n62763), .COUT(
+        n62776), .SUM(n62773) );
+  sky130_fd_sc_hd__nand2b_1 U57102 ( .A_N(n60256), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_1), .Y(n60262)
+         );
+  sky130_fd_sc_hd__nand2b_1 U57103 ( .A_N(n84169), .B(n86753), .Y(n83672) );
+  sky130_fd_sc_hd__inv_4 U57104 ( .A(n41595), .Y(n55037) );
+  sky130_fd_sc_hd__nand2_1 U57105 ( .A(n85484), .B(n36843), .Y(n59439) );
+  sky130_fd_sc_hd__a21oi_2 U57106 ( .A1(n66080), .A2(n66079), .B1(n53905), .Y(
+        n69163) );
+  sky130_fd_sc_hd__fah_1 U57107 ( .A(n62741), .B(n62740), .CI(n62739), .COUT(
+        n62759), .SUM(n62754) );
+  sky130_fd_sc_hd__nor2_1 U57108 ( .A(n83792), .B(n60886), .Y(n56744) );
+  sky130_fd_sc_hd__buf_6 U57109 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[2]), .X(n62512) );
+  sky130_fd_sc_hd__nand2_1 U57110 ( .A(n83351), .B(n83740), .Y(n83759) );
+  sky130_fd_sc_hd__fah_1 U57111 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[5]), 
+        .B(n68209), .CI(n68208), .COUT(n68210), .SUM() );
+  sky130_fd_sc_hd__a22o_1 U57112 ( .A1(n81039), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_io_link_fmt_proto[0]), .B1(n60064), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_15[0]), .X(n49443) );
+  sky130_fd_sc_hd__ha_2 U57113 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_15[0]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[0]), .COUT(n60565), .SUM(n80897) );
+  sky130_fd_sc_hd__xnor2_1 U57114 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[12]), .B(n62737), .Y(n62137) );
+  sky130_fd_sc_hd__nor2_1 U57115 ( .A(n60288), .B(n60287), .Y(n63450) );
+  sky130_fd_sc_hd__o21ai_1 U57116 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_io_full), .A2(n63526), .B1(n63521), .Y(n63527) );
+  sky130_fd_sc_hd__a21oi_1 U57117 ( .A1(n68218), .A2(n68217), .B1(n68216), .Y(
+        n68219) );
+  sky130_fd_sc_hd__inv_2 U57118 ( .A(n56930), .Y(n56680) );
+  sky130_fd_sc_hd__a22o_1 U57119 ( .A1(n36973), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_size[2]), .B1(n36974), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_size[5]), .X(n85895) );
+  sky130_fd_sc_hd__a22o_1 U57120 ( .A1(n36973), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_size[0]), .B1(n36974), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_size[3]), .X(n85896) );
+  sky130_fd_sc_hd__inv_2 U57121 ( .A(n48880), .Y(n56796) );
+  sky130_fd_sc_hd__nand2b_1 U57122 ( .A_N(n83557), .B(n47721), .Y(n47723) );
+  sky130_fd_sc_hd__nor2_4 U57123 ( .A(n61036), .B(n48975), .Y(n56817) );
+  sky130_fd_sc_hd__nand2_1 U57124 ( .A(n85773), .B(n36843), .Y(n59323) );
+  sky130_fd_sc_hd__a22o_1 U57125 ( .A1(n58462), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[5]), .B1(n72504), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[5]), .X(n58409) );
+  sky130_fd_sc_hd__nand2b_1 U57126 ( .A_N(n64835), .B(n72547), .Y(n64837) );
+  sky130_fd_sc_hd__nand2_1 U57127 ( .A(n47731), .B(n63314), .Y(n55227) );
+  sky130_fd_sc_hd__nor2_2 U57128 ( .A(n45480), .B(n50210), .Y(n52810) );
+  sky130_fd_sc_hd__and3_1 U57129 ( .A(n60265), .B(n48775), .C(n60256), .X(
+        n48776) );
+  sky130_fd_sc_hd__nand2_2 U57131 ( .A(n61088), .B(n75733), .Y(n49221) );
+  sky130_fd_sc_hd__nand2_1 U57132 ( .A(n47768), .B(n83763), .Y(n61088) );
+  sky130_fd_sc_hd__o2bb2ai_1 U57133 ( .B1(n52003), .B2(n56284), .A1_N(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[18]), 
+        .A2_N(n56279), .Y(n52004) );
+  sky130_fd_sc_hd__o2bb2ai_1 U57134 ( .B1(n67185), .B2(n56284), .A1_N(n48917), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[14]), 
+        .Y(n51650) );
+  sky130_fd_sc_hd__o2bb2ai_1 U57135 ( .B1(n67247), .B2(n56284), .A1_N(n48917), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[10]), 
+        .Y(n51648) );
+  sky130_fd_sc_hd__o2bb2ai_1 U57136 ( .B1(n61722), .B2(n56284), .A1_N(n48917), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[23]), 
+        .Y(n51415) );
+  sky130_fd_sc_hd__o2bb2ai_1 U57137 ( .B1(n73465), .B2(n56284), .A1_N(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[16]), 
+        .A2_N(n48917), .Y(n51100) );
+  sky130_fd_sc_hd__o2bb2ai_1 U57138 ( .B1(n67292), .B2(n56284), .A1_N(n56279), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[9]), 
+        .Y(n49878) );
+  sky130_fd_sc_hd__o2bb2ai_1 U57139 ( .B1(n49882), .B2(n56284), .A1_N(n48917), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[4]), 
+        .Y(n49372) );
+  sky130_fd_sc_hd__o2bb2ai_1 U57140 ( .B1(n67171), .B2(n56284), .A1_N(n48917), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[11]), 
+        .Y(n48925) );
+  sky130_fd_sc_hd__inv_1 U57141 ( .A(n56284), .Y(n56278) );
+  sky130_fd_sc_hd__a21oi_2 U57143 ( .A1(n62822), .A2(n65848), .B1(n62821), .Y(
+        n69734) );
+  sky130_fd_sc_hd__a21oi_1 U57144 ( .A1(n73777), .A2(n68437), .B1(n61977), .Y(
+        n63696) );
+  sky130_fd_sc_hd__nand4_1 U57145 ( .A(n58416), .B(n58415), .C(n58414), .D(
+        n58413), .Y(n85520) );
+  sky130_fd_sc_hd__o21bai_2 U57146 ( .A1(n41636), .A2(n41683), .B1_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_gennum[0]), .Y(n83417) );
+  sky130_fd_sc_hd__nor3_4 U57147 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_MPORT_addr[1]), .B(n76252), .C(n76251), .Y(n86474) );
+  sky130_fd_sc_hd__nor3_4 U57148 ( .A(n76248), .B(n76252), .C(n76251), .Y(
+        n86477) );
+  sky130_fd_sc_hd__nor3_4 U57149 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_MPORT_addr[2]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_MPORT_addr[1]), .C(n76251), .Y(n86480) );
+  sky130_fd_sc_hd__nor3_4 U57150 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_MPORT_addr[2]), .B(n76248), .C(n76251), .Y(n86479) );
+  sky130_fd_sc_hd__and4b_1 U57151 ( .B(n58363), .C(n58362), .D(n58361), .A_N(
+        n58360), .X(n58366) );
+  sky130_fd_sc_hd__o21a_1 U57152 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[17]), .A2(n48866), .B1(n48867), .X(n49222) );
+  sky130_fd_sc_hd__o211ai_2 U57153 ( .A1(n56261), .A2(n52318), .B1(n51725), 
+        .C1(n51724), .Y(n67918) );
+  sky130_fd_sc_hd__xnor2_1 U57154 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[3]), .B(n62512), .Y(n62436) );
+  sky130_fd_sc_hd__nor2b_1 U57155 ( .B_N(n81064), .A(n36624), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N52) );
+  sky130_fd_sc_hd__a21o_1 U57156 ( .A1(n63298), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N145), .B1(n84434), 
+        .X(n84433) );
+  sky130_fd_sc_hd__nand2_1 U57157 ( .A(n76548), .B(n37942), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_csb0[3]) );
+  sky130_fd_sc_hd__nand2_1 U57159 ( .A(n41752), .B(n61450), .Y(n83899) );
+  sky130_fd_sc_hd__nor3_4 U57160 ( .A(n56637), .B(n56636), .C(n81350), .Y(
+        n76253) );
+  sky130_fd_sc_hd__nor4_2 U57161 ( .A(n60730), .B(n60762), .C(n60743), .D(
+        n60741), .Y(n60716) );
+  sky130_fd_sc_hd__ha_4 U57162 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[10]), .B(n60714), .COUT(n60715), .SUM(n60743) );
+  sky130_fd_sc_hd__nor2_1 U57163 ( .A(n49001), .B(n56765), .Y(n50877) );
+  sky130_fd_sc_hd__xnor2_2 U57164 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[9]), .B(n62715), .Y(n62537) );
+  sky130_fd_sc_hd__inv_2 U57165 ( .A(n57096), .Y(n57347) );
+  sky130_fd_sc_hd__nor2_1 U57166 ( .A(n61082), .B(n49602), .Y(n49600) );
+  sky130_fd_sc_hd__nor2_2 U57167 ( .A(n65760), .B(n62812), .Y(n69729) );
+  sky130_fd_sc_hd__nand2_1 U57168 ( .A(n47912), .B(n47954), .Y(n47920) );
+  sky130_fd_sc_hd__clkinv_1 U57169 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_alu1[0]), .Y(n53615) );
+  sky130_fd_sc_hd__a22o_1 U57170 ( .A1(n36113), .A2(n81227), .B1(n81213), .B2(
+        n79048), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N51) );
+  sky130_fd_sc_hd__nor2_2 U57171 ( .A(n62224), .B(n62225), .Y(n62401) );
+  sky130_fd_sc_hd__xnor2_1 U57172 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[23]), .Y(n62224) );
+  sky130_fd_sc_hd__nand3_2 U57173 ( .A(n48913), .B(n59988), .C(n49283), .Y(
+        n56349) );
+  sky130_fd_sc_hd__nand2_1 U57174 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[0]), .Y(n53947) );
+  sky130_fd_sc_hd__nand2_1 U57175 ( .A(n60064), .B(n81038), .Y(n81023) );
+  sky130_fd_sc_hd__nand2_1 U57176 ( .A(n81038), .B(n63697), .Y(n81027) );
+  sky130_fd_sc_hd__nand2_1 U57177 ( .A(n81038), .B(n63695), .Y(n81028) );
+  sky130_fd_sc_hd__xnor2_1 U57179 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[15]), .B(n62729), .Y(n62128) );
+  sky130_fd_sc_hd__inv_4 U57180 ( .A(n52956), .Y(n56252) );
+  sky130_fd_sc_hd__and2_4 U57181 ( .A(n61568), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[1]), .X(n52956) );
+  sky130_fd_sc_hd__a21o_1 U57182 ( .A1(n69216), .A2(n61896), .B1(n61897), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[12]) );
+  sky130_fd_sc_hd__nand2b_2 U57183 ( .A_N(n49282), .B(n61034), .Y(n48975) );
+  sky130_fd_sc_hd__nand2_1 U57184 ( .A(n55570), .B(n59989), .Y(n49282) );
+  sky130_fd_sc_hd__nor2_1 U57185 ( .A(n48841), .B(n48888), .Y(n55311) );
+  sky130_fd_sc_hd__inv_2 U57186 ( .A(n49497), .Y(n49825) );
+  sky130_fd_sc_hd__nand2_2 U57187 ( .A(n55580), .B(n60980), .Y(n49497) );
+  sky130_fd_sc_hd__nor4_1 U57188 ( .A(n60577), .B(n60597), .C(n60624), .D(
+        n60593), .Y(n60574) );
+  sky130_fd_sc_hd__ha_4 U57189 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[10]), .B(n60572), .COUT(n60573), .SUM(n60624) );
+  sky130_fd_sc_hd__nand3_1 U57190 ( .A(n55314), .B(n55313), .C(n55312), .Y(
+        n61031) );
+  sky130_fd_sc_hd__nor2_1 U57191 ( .A(n48752), .B(n48778), .Y(n48803) );
+  sky130_fd_sc_hd__nor2_1 U57192 ( .A(n53518), .B(n55240), .Y(n63310) );
+  sky130_fd_sc_hd__buf_4 U57193 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[8]), .X(n62715) );
+  sky130_fd_sc_hd__nand2_2 U57194 ( .A(n39562), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jal), .Y(n39591) );
+  sky130_fd_sc_hd__nand2_2 U57195 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_taken), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_branch), .Y(n39562) );
+  sky130_fd_sc_hd__nand2_1 U57198 ( .A(n53548), .B(n47733), .Y(n47943) );
+  sky130_fd_sc_hd__a21oi_1 U57199 ( .A1(n48002), .A2(n47791), .B1(n47999), .Y(
+        n83725) );
+  sky130_fd_sc_hd__nand2_2 U57200 ( .A(n45408), .B(n57040), .Y(n48062) );
+  sky130_fd_sc_hd__inv_6 U57201 ( .A(n57422), .Y(n57040) );
+  sky130_fd_sc_hd__inv_2 U57202 ( .A(n41626), .Y(n57177) );
+  sky130_fd_sc_hd__nand2b_1 U57203 ( .A_N(n59183), .B(n59260), .Y(n59261) );
+  sky130_fd_sc_hd__nor2_1 U57204 ( .A(n59215), .B(n59226), .Y(n59238) );
+  sky130_fd_sc_hd__nand2_1 U57205 ( .A(n37120), .B(n62119), .Y(n62120) );
+  sky130_fd_sc_hd__inv_1 U57206 ( .A(n39504), .Y(n39505) );
+  sky130_fd_sc_hd__nand2_1 U57207 ( .A(n72712), .B(n37037), .Y(n73256) );
+  sky130_fd_sc_hd__nor3_1 U57208 ( .A(n80584), .B(n36848), .C(n60721), .Y(
+        n60867) );
+  sky130_fd_sc_hd__nand2_2 U57209 ( .A(n80584), .B(n80583), .Y(n61653) );
+  sky130_fd_sc_hd__inv_2 U57210 ( .A(n53010), .Y(n56356) );
+  sky130_fd_sc_hd__nand3_2 U57211 ( .A(n63459), .B(n60980), .C(n76307), .Y(
+        n63519) );
+  sky130_fd_sc_hd__a21o_1 U57212 ( .A1(n73753), .A2(n73752), .B1(n85703), .X(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N159) );
+  sky130_fd_sc_hd__a21o_1 U57213 ( .A1(n73753), .A2(n53535), .B1(n84435), .X(
+        n85703) );
+  sky130_fd_sc_hd__a21o_1 U57214 ( .A1(n73753), .A2(n53534), .B1(n84434), .X(
+        n84435) );
+  sky130_fd_sc_hd__inv_2 U57215 ( .A(n54906), .Y(n39952) );
+  sky130_fd_sc_hd__buf_4 U57216 ( .A(n67473), .X(n67528) );
+  sky130_fd_sc_hd__a211oi_1 U57217 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_5[0]), .A2(n56380), .B1(n49505), .C1(n49504), .Y(n49532) );
+  sky130_fd_sc_hd__nor2_2 U57219 ( .A(n62426), .B(n62427), .Y(n62711) );
+  sky130_fd_sc_hd__nand2_2 U57220 ( .A(n73373), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[17]), .Y(n68240) );
+  sky130_fd_sc_hd__nand4_4 U57221 ( .A(n52953), .B(n52952), .C(n52951), .D(
+        n52950), .Y(n73373) );
+  sky130_fd_sc_hd__o21ai_2 U57222 ( .A1(n39583), .A2(n39645), .B1(n39582), .Y(
+        n39687) );
+  sky130_fd_sc_hd__xnor2_1 U57224 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[18]), .B(n62695), .Y(n62116) );
+  sky130_fd_sc_hd__nor3_1 U57225 ( .A(n80894), .B(n36802), .C(n80905), .Y(
+        n80900) );
+  sky130_fd_sc_hd__a211oi_1 U57226 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__receivedData_T[1]), .A2(n56870), .B1(n49528), .C1(n49527), .Y(n49529) );
+  sky130_fd_sc_hd__o31a_1 U57228 ( .A1(n39927), .A2(n39936), .A3(n41834), .B1(
+        n39366), .X(n39368) );
+  sky130_fd_sc_hd__mux2i_1 U57229 ( .A0(n57704), .A1(n57703), .S(n58845), .Y(
+        n57751) );
+  sky130_fd_sc_hd__o21ai_2 U57230 ( .A1(n62812), .A2(n65761), .B1(n62811), .Y(
+        n69738) );
+  sky130_fd_sc_hd__a21oi_1 U57231 ( .A1(n65739), .A2(n62800), .B1(n62799), .Y(
+        n65761) );
+  sky130_fd_sc_hd__nand2b_1 U57232 ( .A_N(n39992), .B(n39447), .Y(n39449) );
+  sky130_fd_sc_hd__o21a_1 U57233 ( .A1(n39425), .A2(n39386), .B1(n39980), .X(
+        n39922) );
+  sky130_fd_sc_hd__a21o_1 U57234 ( .A1(n54896), .A2(n37949), .B1(n39973), .X(
+        n39966) );
+  sky130_fd_sc_hd__o211ai_2 U57235 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data[7]), .A2(n41102), .B1(n39273), .C1(n39272), .Y(n69586) );
+  sky130_fd_sc_hd__nand2_2 U57236 ( .A(n62961), .B(n64156), .Y(n64168) );
+  sky130_fd_sc_hd__nand2b_1 U57237 ( .A_N(n39971), .B(n39970), .Y(n39974) );
+  sky130_fd_sc_hd__nand3_1 U57238 ( .A(n38681), .B(n38801), .C(n38802), .Y(
+        n38684) );
+  sky130_fd_sc_hd__xor2_1 U57239 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[25]), 
+        .B(n73355), .X(n38359) );
+  sky130_fd_sc_hd__xor2_1 U57240 ( .A(n61714), .B(n67410), .X(n38361) );
+  sky130_fd_sc_hd__and2_1 U57241 ( .A(n61712), .B(n61711), .X(n38362) );
+  sky130_fd_sc_hd__clkinv_1 U57242 ( .A(n61752), .Y(n61753) );
+  sky130_fd_sc_hd__or3_1 U57243 ( .A(n67114), .B(n81350), .C(n81351), .X(
+        n38363) );
+  sky130_fd_sc_hd__or3_1 U57244 ( .A(n67114), .B(n81350), .C(n73477), .X(
+        n38364) );
+  sky130_fd_sc_hd__o21a_1 U57246 ( .A1(n58772), .A2(n73106), .B1(n58771), .X(
+        n38365) );
+  sky130_fd_sc_hd__nor3_1 U57247 ( .A(n74033), .B(n36350), .C(n82616), .Y(
+        n82617) );
+  sky130_fd_sc_hd__o22a_1 U57248 ( .A1(n56467), .A2(n36838), .B1(n57140), .B2(
+        n57433), .X(n38367) );
+  sky130_fd_sc_hd__xor2_1 U57249 ( .A(n62142), .B(n62183), .X(n38368) );
+  sky130_fd_sc_hd__and4_1 U57250 ( .A(n41975), .B(n41974), .C(n41973), .D(
+        n41972), .X(n38369) );
+  sky130_fd_sc_hd__nor2_1 U57251 ( .A(n59705), .B(n54747), .Y(n38371) );
+  sky130_fd_sc_hd__and2_1 U57252 ( .A(n48020), .B(n48019), .X(n38374) );
+  sky130_fd_sc_hd__mux2_2 U57253 ( .A0(n58267), .A1(n58266), .S(n58820), .X(
+        n38375) );
+  sky130_fd_sc_hd__and2_0 U57254 ( .A(n74366), .B(n74215), .X(n38380) );
+  sky130_fd_sc_hd__clkinv_1 U57255 ( .A(n50050), .Y(n56205) );
+  sky130_fd_sc_hd__clkinv_1 U57256 ( .A(n71575), .Y(n85633) );
+  sky130_fd_sc_hd__clkinv_1 U57257 ( .A(n53056), .Y(n56802) );
+  sky130_fd_sc_hd__and4_1 U57258 ( .A(n42894), .B(n42893), .C(n42892), .D(
+        n42891), .X(n38381) );
+  sky130_fd_sc_hd__and4_1 U57259 ( .A(n41960), .B(n41959), .C(n41958), .D(
+        n41957), .X(n38382) );
+  sky130_fd_sc_hd__and4_1 U57260 ( .A(n53268), .B(n53267), .C(n53266), .D(
+        n53265), .X(n38384) );
+  sky130_fd_sc_hd__o22a_1 U57261 ( .A1(n56570), .A2(n46820), .B1(n56411), .B2(
+        n48257), .X(n38385) );
+  sky130_fd_sc_hd__o21a_1 U57262 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[10]), .A2(n80656), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[11]), .X(n38386) );
+  sky130_fd_sc_hd__and4_1 U57263 ( .A(n49469), .B(n49468), .C(n49467), .D(
+        n49466), .X(n38387) );
+  sky130_fd_sc_hd__clkinv_1 U57264 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[11]), .Y(n73511) );
+  sky130_fd_sc_hd__clkinv_1 U57265 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[9]), .Y(n44767) );
+  sky130_fd_sc_hd__a22oi_1 U57266 ( .A1(n56816), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[0]), 
+        .B1(n48974), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[0]), 
+        .Y(n38389) );
+  sky130_fd_sc_hd__xor2_1 U57267 ( .A(n73879), .B(n85708), .X(n38390) );
+  sky130_fd_sc_hd__xor2_1 U57268 ( .A(n73878), .B(n85707), .X(n38391) );
+  sky130_fd_sc_hd__xor2_1 U57269 ( .A(n73892), .B(n85713), .X(n38392) );
+  sky130_fd_sc_hd__xor2_1 U57270 ( .A(n73957), .B(n85732), .X(n38393) );
+  sky130_fd_sc_hd__xor2_1 U57271 ( .A(n73961), .B(n85733), .X(n38394) );
+  sky130_fd_sc_hd__clkinv_1 U57276 ( .A(n37072), .Y(n86363) );
+  sky130_fd_sc_hd__clkinv_1 U57277 ( .A(n37072), .Y(n86361) );
+  sky130_fd_sc_hd__and2_1 U57279 ( .A(n58860), .B(n58837), .X(n38395) );
+  sky130_fd_sc_hd__nor2_1 U57280 ( .A(n47236), .B(n41717), .Y(n38396) );
+  sky130_fd_sc_hd__nor2_1 U57281 ( .A(n47236), .B(n41716), .Y(n38397) );
+  sky130_fd_sc_hd__clkinv_1 U57282 ( .A(n85386), .Y(n58917) );
+  sky130_fd_sc_hd__clkinv_1 U57283 ( .A(n85376), .Y(n39888) );
+  sky130_fd_sc_hd__clkinv_1 U57284 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[12]), .Y(n66600) );
+  sky130_fd_sc_hd__xor2_1 U57285 ( .A(n68254), .B(n68251), .X(n38398) );
+  sky130_fd_sc_hd__xnor2_1 U57286 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[30]), .B(n73503), .Y(n38399) );
+  sky130_fd_sc_hd__clkinv_1 U57287 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[46]), .Y(n62706) );
+  sky130_fd_sc_hd__clkinv_1 U57288 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[10]), .Y(n67327) );
+  sky130_fd_sc_hd__clkinv_1 U57289 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[12]), .Y(n67214) );
+  sky130_fd_sc_hd__clkinv_1 U57290 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .Y(n70804) );
+  sky130_fd_sc_hd__clkinv_1 U57291 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[16]), .Y(n67236) );
+  sky130_fd_sc_hd__nor2_1 U57292 ( .A(n41716), .B(n44297), .Y(n38401) );
+  sky130_fd_sc_hd__clkinv_1 U57293 ( .A(n85370), .Y(n39847) );
+  sky130_fd_sc_hd__clkinv_1 U57294 ( .A(n73123), .Y(n64978) );
+  sky130_fd_sc_hd__clkinv_1 U57295 ( .A(n80406), .Y(n59507) );
+  sky130_fd_sc_hd__nand2_1 U57296 ( .A(n62577), .B(n62690), .Y(n38403) );
+  sky130_fd_sc_hd__a22oi_1 U57297 ( .A1(n62726), .A2(n37032), .B1(n62725), 
+        .B2(n62690), .Y(n38404) );
+  sky130_fd_sc_hd__a22oi_1 U57298 ( .A1(n62577), .A2(n37032), .B1(n62576), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[0]), .Y(n38405) );
+  sky130_fd_sc_hd__a22oi_1 U57299 ( .A1(n62734), .A2(n37032), .B1(n62733), 
+        .B2(n62690), .Y(n38406) );
+  sky130_fd_sc_hd__clkinv_1 U57300 ( .A(n75859), .Y(n85287) );
+  sky130_fd_sc_hd__clkinv_1 U57301 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[8]), 
+        .Y(n67318) );
+  sky130_fd_sc_hd__clkinv_1 U57302 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[17]), .Y(n63671) );
+  sky130_fd_sc_hd__clkinv_1 U57303 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[18]), .Y(n67117) );
+  sky130_fd_sc_hd__clkinv_1 U57304 ( .A(n76383), .Y(n85286) );
+  sky130_fd_sc_hd__clkinv_1 U57305 ( .A(n85391), .Y(n39852) );
+  sky130_fd_sc_hd__clkinv_1 U57306 ( .A(n85394), .Y(n59057) );
+  sky130_fd_sc_hd__clkinv_1 U57307 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .Y(n66438) );
+  sky130_fd_sc_hd__clkinv_1 U57308 ( .A(n85378), .Y(n58907) );
+  sky130_fd_sc_hd__clkinv_1 U57309 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .Y(n78318) );
+  sky130_fd_sc_hd__clkinv_1 U57310 ( .A(n57427), .Y(n52237) );
+  sky130_fd_sc_hd__clkinv_1 U57311 ( .A(n45406), .Y(n57186) );
+  sky130_fd_sc_hd__clkinv_1 U57312 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[29]), .Y(n71702) );
+  sky130_fd_sc_hd__clkinv_1 U57313 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[16]), .Y(n72276) );
+  sky130_fd_sc_hd__clkinv_1 U57314 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[14]), .Y(n67225) );
+  sky130_fd_sc_hd__clkinv_1 U57315 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[25]), .Y(n71568) );
+  sky130_fd_sc_hd__clkinv_1 U57316 ( .A(n37069), .Y(n86365) );
+  sky130_fd_sc_hd__clkinv_1 U57317 ( .A(n37069), .Y(n86364) );
+  sky130_fd_sc_hd__clkinv_1 U57318 ( .A(n61075), .Y(n86354) );
+  sky130_fd_sc_hd__clkinv_1 U57320 ( .A(n61075), .Y(n86355) );
+  sky130_fd_sc_hd__clkinv_1 U57321 ( .A(n85392), .Y(n58870) );
+  sky130_fd_sc_hd__clkinv_1 U57322 ( .A(n85393), .Y(n58893) );
+  sky130_fd_sc_hd__clkinv_1 U57323 ( .A(n83821), .Y(n59913) );
+  sky130_fd_sc_hd__clkinv_1 U57324 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[0]), .Y(n62420) );
+  sky130_fd_sc_hd__clkinv_1 U57325 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[7]), 
+        .Y(n67367) );
+  sky130_fd_sc_hd__clkinv_1 U57326 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[23]), .Y(n59114) );
+  sky130_fd_sc_hd__clkinv_1 U57327 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[3]), .Y(n59005) );
+  sky130_fd_sc_hd__clkinv_1 U57328 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[7]), .Y(n59115) );
+  sky130_fd_sc_hd__clkinv_1 U57329 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[9]), .Y(n55690) );
+  sky130_fd_sc_hd__clkinv_1 U57330 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[6]), 
+        .Y(n67361) );
+  sky130_fd_sc_hd__clkinv_1 U57331 ( .A(n85374), .Y(n58872) );
+  sky130_fd_sc_hd__clkinv_1 U57332 ( .A(n85396), .Y(n58891) );
+  sky130_fd_sc_hd__clkinv_1 U57333 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[13]), .Y(n67221) );
+  sky130_fd_sc_hd__clkinv_1 U57334 ( .A(n76385), .Y(n85285) );
+  sky130_fd_sc_hd__clkinv_1 U57335 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[9]), 
+        .Y(n67324) );
+  sky130_fd_sc_hd__clkinv_1 U57336 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[16]), .Y(n72309) );
+  sky130_fd_sc_hd__clkinv_1 U57337 ( .A(n55472), .Y(n55046) );
+  sky130_fd_sc_hd__clkinv_1 U57338 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[42]), .Y(n62582) );
+  sky130_fd_sc_hd__and2_1 U57339 ( .A(n63066), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[17]), .X(n38409) );
+  sky130_fd_sc_hd__clkinv_1 U57340 ( .A(n85373), .Y(n58885) );
+  sky130_fd_sc_hd__clkinv_1 U57341 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .Y(n58559) );
+  sky130_fd_sc_hd__clkinv_1 U57342 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[5]), .Y(n39464) );
+  sky130_fd_sc_hd__clkinv_1 U57343 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[6]), .Y(n65182) );
+  sky130_fd_sc_hd__clkinv_1 U57344 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_ctrl_csr[1]), .Y(n57607) );
+  sky130_fd_sc_hd__clkinv_1 U57345 ( .A(n57607), .Y(n70399) );
+  sky130_fd_sc_hd__clkinv_1 U57346 ( .A(n58631), .Y(n61403) );
+  sky130_fd_sc_hd__clkinv_1 U57347 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[31]), .Y(n60090) );
+  sky130_fd_sc_hd__clkinv_1 U57348 ( .A(n85369), .Y(n59083) );
+  sky130_fd_sc_hd__inv_2 U57349 ( .A(n50828), .Y(n56372) );
+  sky130_fd_sc_hd__clkinv_1 U57350 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .Y(n53207) );
+  sky130_fd_sc_hd__clkinv_1 U57351 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[15]), .Y(n67231) );
+  sky130_fd_sc_hd__o21a_1 U57352 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[10]), .A2(n80634), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[11]), .X(n38412) );
+  sky130_fd_sc_hd__clkinv_1 U57353 ( .A(n61080), .Y(n86385) );
+  sky130_fd_sc_hd__clkinv_1 U57354 ( .A(n61080), .Y(n86384) );
+  sky130_fd_sc_hd__clkinv_1 U57355 ( .A(n61080), .Y(n86383) );
+  sky130_fd_sc_hd__clkinv_1 U57359 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .Y(n81583) );
+  sky130_fd_sc_hd__clkinv_1 U57360 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[3]), .Y(n81628) );
+  sky130_fd_sc_hd__clkbuf_1 U57361 ( .A(n60901), .X(n86451) );
+  sky130_fd_sc_hd__clkinv_1 U57363 ( .A(n76823), .Y(n76692) );
+  sky130_fd_sc_hd__clkinv_1 U57364 ( .A(n85366), .Y(n58336) );
+  sky130_fd_sc_hd__and4_1 U57365 ( .A(n41947), .B(n41946), .C(n41945), .D(
+        n41944), .X(n38417) );
+  sky130_fd_sc_hd__and4_1 U57366 ( .A(n42288), .B(n42287), .C(n42286), .D(
+        n42285), .X(n38418) );
+  sky130_fd_sc_hd__nor3_2 U57367 ( .A(n75874), .B(n65639), .C(n65609), .Y(
+        n38422) );
+  sky130_fd_sc_hd__clkinv_1 U57368 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .Y(n64007) );
+  sky130_fd_sc_hd__o21a_1 U57369 ( .A1(n59490), .A2(n59488), .B1(n59489), .X(
+        n38423) );
+  sky130_fd_sc_hd__clkbuf_1 U57370 ( .A(n59763), .X(n86449) );
+  sky130_fd_sc_hd__buf_4 U57371 ( .A(n43308), .X(n54277) );
+  sky130_fd_sc_hd__a22o_1 U57372 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address[8]), .B1(n83355), .B2(n84864), .X(n38426) );
+  sky130_fd_sc_hd__inv_2 U57373 ( .A(n38426), .Y(n57549) );
+  sky130_fd_sc_hd__and4_1 U57374 ( .A(n43658), .B(n43657), .C(n46818), .D(
+        n48291), .X(n38427) );
+  sky130_fd_sc_hd__clkinv_1 U57375 ( .A(n79620), .Y(n78111) );
+  sky130_fd_sc_hd__clkinv_1 U57376 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_MPORT_addr[0]), .Y(n81013) );
+  sky130_fd_sc_hd__nor2_1 U57377 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[2]), .B(n83684), .Y(n38433) );
+  sky130_fd_sc_hd__o21a_1 U57378 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[10]), .A2(n80972), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[11]), .X(n38434) );
+  sky130_fd_sc_hd__o21a_1 U57379 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[10]), .A2(n80950), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[11]), .X(n38435) );
+  sky130_fd_sc_hd__o21a_1 U57380 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[10]), .A2(n60626), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[11]), .X(n38436) );
+  sky130_fd_sc_hd__clkinv_1 U57381 ( .A(n80750), .Y(n80751) );
+  sky130_fd_sc_hd__clkinv_1 U57382 ( .A(n47741), .Y(n47557) );
+  sky130_fd_sc_hd__o211a_2 U57383 ( .A1(n63365), .A2(n63346), .B1(n63364), 
+        .C1(n63363), .X(n38437) );
+  sky130_fd_sc_hd__o21a_1 U57384 ( .A1(n60700), .A2(n60699), .B1(n60674), .X(
+        n38438) );
+  sky130_fd_sc_hd__a22oi_1 U57385 ( .A1(n49086), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[2]), 
+        .B1(n49101), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[2]), 
+        .Y(n38439) );
+  sky130_fd_sc_hd__clkinv_1 U57386 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[7]), 
+        .Y(n67374) );
+  sky130_fd_sc_hd__clkinv_1 U57387 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[6]), 
+        .Y(n67370) );
+  sky130_fd_sc_hd__clkinv_1 U57388 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[10]), .Y(n67314) );
+  sky130_fd_sc_hd__clkinv_1 U57389 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[9]), 
+        .Y(n67311) );
+  sky130_fd_sc_hd__clkinv_1 U57390 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[8]), 
+        .Y(n67308) );
+  sky130_fd_sc_hd__clkinv_1 U57391 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[11]), .Y(n67243) );
+  sky130_fd_sc_hd__clkinv_1 U57392 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[14]), .Y(n67206) );
+  sky130_fd_sc_hd__clkinv_1 U57393 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[13]), .Y(n67199) );
+  sky130_fd_sc_hd__clkinv_1 U57394 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[12]), .Y(n67198) );
+  sky130_fd_sc_hd__clkinv_1 U57395 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[16]), .Y(n67111) );
+  sky130_fd_sc_hd__clkinv_1 U57396 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[15]), .Y(n67108) );
+  sky130_fd_sc_hd__clkinv_1 U57397 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[25]), .Y(n61599) );
+  sky130_fd_sc_hd__o22a_1 U57398 ( .A1(n51726), .A2(n52871), .B1(n56680), .B2(
+        n67918), .X(n38441) );
+  sky130_fd_sc_hd__clkinv_1 U57399 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[17]), .Y(n63679) );
+  sky130_fd_sc_hd__clkinv_1 U57400 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .Y(n55485) );
+  sky130_fd_sc_hd__clkinv_1 U57401 ( .A(n55485), .Y(n55502) );
+  sky130_fd_sc_hd__clkinv_1 U57402 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[1]), 
+        .Y(n78089) );
+  sky130_fd_sc_hd__clkinv_1 U57403 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_gennum[0]), .Y(n47833) );
+  sky130_fd_sc_hd__clkinv_1 U57404 ( .A(n47617), .Y(n47823) );
+  sky130_fd_sc_hd__clkinv_1 U57405 ( .A(n63667), .Y(n63656) );
+  sky130_fd_sc_hd__and3_1 U57406 ( .A(n49332), .B(n49331), .C(n49330), .X(
+        n38442) );
+  sky130_fd_sc_hd__and3_1 U57407 ( .A(n47643), .B(n47642), .C(n47641), .X(
+        n49219) );
+  sky130_fd_sc_hd__clkinv_1 U57408 ( .A(n49219), .Y(n47981) );
+  sky130_fd_sc_hd__clkinv_1 U57409 ( .A(n52790), .Y(n50528) );
+  sky130_fd_sc_hd__nor2_2 U57410 ( .A(n55996), .B(n83777), .Y(n38443) );
+  sky130_fd_sc_hd__o22a_1 U57411 ( .A1(n57317), .A2(n52477), .B1(n57240), .B2(
+        n50973), .X(n38444) );
+  sky130_fd_sc_hd__clkinv_1 U57412 ( .A(n79917), .Y(n76449) );
+  sky130_fd_sc_hd__and4_1 U57413 ( .A(n43598), .B(n43597), .C(n43596), .D(
+        n43595), .X(n38446) );
+  sky130_fd_sc_hd__clkinv_1 U57414 ( .A(n76447), .Y(n67091) );
+  sky130_fd_sc_hd__mux2i_2 U57415 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[55]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[23]), .S(n67148), .Y(n76447) );
+  sky130_fd_sc_hd__clkinv_1 U57416 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_MPORT_addr[2]), .Y(n80408) );
+  sky130_fd_sc_hd__o21a_1 U57417 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[10]), .A2(n60744), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[11]), .X(n38447) );
+  sky130_fd_sc_hd__and4_1 U57418 ( .A(n49125), .B(n49124), .C(n49123), .D(
+        n49122), .X(n38457) );
+  sky130_fd_sc_hd__and4_1 U57419 ( .A(n56936), .B(n56935), .C(n56934), .D(
+        n56933), .X(n38458) );
+  sky130_fd_sc_hd__a21oi_1 U57420 ( .A1(n51688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[3]), .B1(n51317), .Y(n38459) );
+  sky130_fd_sc_hd__o21a_1 U57421 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[10]), .A2(n80346), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[11]), .X(n38460) );
+  sky130_fd_sc_hd__clkinv_1 U57422 ( .A(n76446), .Y(n73367) );
+  sky130_fd_sc_hd__mux2i_2 U57423 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[54]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[22]), .S(n67148), .Y(n76446) );
+  sky130_fd_sc_hd__o21a_1 U57424 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[10]), .A2(n60702), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[11]), .X(n38462) );
+  sky130_fd_sc_hd__o21a_1 U57425 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[10]), .A2(n80324), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[11]), .X(n38463) );
+  sky130_fd_sc_hd__o22a_1 U57426 ( .A1(n59518), .A2(n62946), .B1(n59528), .B2(
+        n59530), .X(n38464) );
+  sky130_fd_sc_hd__clkinv_1 U57427 ( .A(n39168), .Y(n38796) );
+  sky130_fd_sc_hd__o21a_1 U57428 ( .A1(n58013), .A2(n59580), .B1(n38946), .X(
+        n38970) );
+  sky130_fd_sc_hd__o21a_1 U57429 ( .A1(n59615), .A2(n59614), .B1(n59613), .X(
+        n38468) );
+  sky130_fd_sc_hd__o21a_1 U57430 ( .A1(n38691), .A2(n38690), .B1(n38689), .X(
+        n38469) );
+  sky130_fd_sc_hd__inv_2 U57431 ( .A(n39014), .Y(n59513) );
+  sky130_fd_sc_hd__clkinv_1 U57432 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_opcode_io_deq_bits_MPORT_addr), .Y(n83029) );
+  sky130_fd_sc_hd__nand2_1 U57433 ( .A(n86595), .B(n82618), .Y(n82868) );
+  sky130_fd_sc_hd__clkinv_1 U57434 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[31]), .Y(n59031) );
+  sky130_fd_sc_hd__clkinv_1 U57437 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[23]), .Y(n55668) );
+  sky130_fd_sc_hd__clkinv_1 U57438 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[31]), .Y(n55663) );
+  sky130_fd_sc_hd__clkinv_1 U57439 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[19]), .Y(n55849) );
+  sky130_fd_sc_hd__clkinv_1 U57440 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[10]), .Y(n59053) );
+  sky130_fd_sc_hd__clkinv_1 U57441 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[16]), .Y(n55842) );
+  sky130_fd_sc_hd__clkinv_1 U57442 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[16]), .Y(n58900) );
+  sky130_fd_sc_hd__clkinv_1 U57443 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[27]), .Y(n43431) );
+  sky130_fd_sc_hd__nor2_1 U57444 ( .A(n64978), .B(n78276), .Y(n73183) );
+  sky130_fd_sc_hd__clkinv_1 U57445 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[1]), .Y(n62422) );
+  sky130_fd_sc_hd__clkinv_1 U57446 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[15]), .Y(n72368) );
+  sky130_fd_sc_hd__clkinv_1 U57447 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[17]), .Y(n66475) );
+  sky130_fd_sc_hd__clkinv_1 U57448 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[11]), .Y(n65363) );
+  sky130_fd_sc_hd__clkinv_1 U57449 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[10]), .Y(n65963) );
+  sky130_fd_sc_hd__clkinv_1 U57450 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[8]), .Y(n68540) );
+  sky130_fd_sc_hd__clkinv_1 U57451 ( .A(n64339), .Y(n64613) );
+  sky130_fd_sc_hd__clkinv_1 U57452 ( .A(n64613), .Y(n70234) );
+  sky130_fd_sc_hd__clkinv_1 U57453 ( .A(n64318), .Y(n64619) );
+  sky130_fd_sc_hd__clkinv_1 U57454 ( .A(n64619), .Y(n70238) );
+  sky130_fd_sc_hd__nor2_1 U57455 ( .A(n64349), .B(n64342), .Y(n70213) );
+  sky130_fd_sc_hd__clkinv_1 U57456 ( .A(n64341), .Y(n65051) );
+  sky130_fd_sc_hd__clkinv_1 U57457 ( .A(n65051), .Y(n69952) );
+  sky130_fd_sc_hd__clkinv_1 U57458 ( .A(n40248), .Y(n40243) );
+  sky130_fd_sc_hd__mux2_2 U57459 ( .A0(n39966), .A1(n39965), .S(n39990), .X(
+        n40248) );
+  sky130_fd_sc_hd__and4_1 U57460 ( .A(n40223), .B(n40222), .C(n40221), .D(
+        n40220), .X(n38474) );
+  sky130_fd_sc_hd__nor2_1 U57461 ( .A(n63036), .B(n63035), .Y(n70107) );
+  sky130_fd_sc_hd__clkinv_1 U57462 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[7]), .Y(n54966) );
+  sky130_fd_sc_hd__and4_1 U57463 ( .A(n42017), .B(n42016), .C(n42015), .D(
+        n42014), .X(n38475) );
+  sky130_fd_sc_hd__and4_1 U57464 ( .A(n42322), .B(n42321), .C(n42320), .D(
+        n42319), .X(n38476) );
+  sky130_fd_sc_hd__and4_1 U57465 ( .A(n41964), .B(n41963), .C(n41962), .D(
+        n41961), .X(n38477) );
+  sky130_fd_sc_hd__and4_1 U57466 ( .A(n42087), .B(n42086), .C(n42085), .D(
+        n42084), .X(n38478) );
+  sky130_fd_sc_hd__clkinv_1 U57467 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[3]), .Y(n39467) );
+  sky130_fd_sc_hd__clkinv_1 U57468 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[9]), .Y(n54963) );
+  sky130_fd_sc_hd__and4_1 U57469 ( .A(n42013), .B(n42012), .C(n42011), .D(
+        n42010), .X(n38479) );
+  sky130_fd_sc_hd__and4_1 U57470 ( .A(n42292), .B(n42291), .C(n42290), .D(
+        n42289), .X(n38480) );
+  sky130_fd_sc_hd__and4_1 U57471 ( .A(n41943), .B(n41942), .C(n41941), .D(
+        n41940), .X(n38481) );
+  sky130_fd_sc_hd__and4_1 U57472 ( .A(n41979), .B(n41978), .C(n41977), .D(
+        n41976), .X(n38482) );
+  sky130_fd_sc_hd__clkinv_1 U57473 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[4]), .Y(n54973) );
+  sky130_fd_sc_hd__clkinv_1 U57474 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[13]), .Y(n54954) );
+  sky130_fd_sc_hd__o21a_1 U57475 ( .A1(n73105), .A2(n73104), .B1(n73103), .X(
+        n38483) );
+  sky130_fd_sc_hd__clkinv_1 U57476 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[14]), .Y(n72409) );
+  sky130_fd_sc_hd__xor2_1 U57477 ( .A(n85380), .B(n69012), .X(n38489) );
+  sky130_fd_sc_hd__or2_2 U57478 ( .A(n41571), .B(n41570), .X(n38490) );
+  sky130_fd_sc_hd__clkinv_1 U57479 ( .A(n38491), .Y(n52494) );
+  sky130_fd_sc_hd__o21a_1 U57480 ( .A1(n41584), .A2(n41583), .B1(n77473), .X(
+        n38491) );
+  sky130_fd_sc_hd__xor2_1 U57481 ( .A(n70267), .B(n70266), .X(n38492) );
+  sky130_fd_sc_hd__nand2_1 U57482 ( .A(n72979), .B(n73010), .Y(n72978) );
+  sky130_fd_sc_hd__clkbuf_1 U57483 ( .A(n57676), .X(n85364) );
+  sky130_fd_sc_hd__clkbuf_1 U57484 ( .A(n57676), .X(n85362) );
+  sky130_fd_sc_hd__o21a_1 U57485 ( .A1(n40231), .A2(n43697), .B1(n40087), .X(
+        n38500) );
+  sky130_fd_sc_hd__and4_1 U57486 ( .A(n59256), .B(n59255), .C(n63048), .D(
+        n63047), .X(n38501) );
+  sky130_fd_sc_hd__and4_1 U57487 ( .A(n41876), .B(n41875), .C(n41874), .D(
+        n41873), .X(n38502) );
+  sky130_fd_sc_hd__clkinv_1 U57488 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n71585) );
+  sky130_fd_sc_hd__clkinv_1 U57489 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n71652) );
+  sky130_fd_sc_hd__nor2_1 U57490 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[5]), .B(n74212), .Y(n74213) );
+  sky130_fd_sc_hd__o211ai_1 U57491 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[1]), 
+        .A2(n59630), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[0]), 
+        .C1(n59629), .Y(n59631) );
+  sky130_fd_sc_hd__nor2_1 U57492 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[20]), .B(n74240), .Y(n74241) );
+  sky130_fd_sc_hd__xor2_1 U57494 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]), .X(n42386) );
+  sky130_fd_sc_hd__and3_1 U57495 ( .A(n49770), .B(n76345), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_8[0]), .X(n49478) );
+  sky130_fd_sc_hd__nand2b_1 U57496 ( .A_N(n56132), .B(n60151), .Y(n51313) );
+  sky130_fd_sc_hd__clkinv_1 U57497 ( .A(n38550), .Y(n38559) );
+  sky130_fd_sc_hd__nor4b_1 U57498 ( .D_N(n51547), .A(n46825), .B(n46824), .C(
+        n46823), .Y(n46827) );
+  sky130_fd_sc_hd__clkinv_1 U57499 ( .A(n45777), .Y(n46462) );
+  sky130_fd_sc_hd__nand2b_1 U57500 ( .A_N(n40172), .B(n40191), .Y(n63025) );
+  sky130_fd_sc_hd__nand3_1 U57501 ( .A(n39887), .B(n39886), .C(n39885), .Y(
+        n39900) );
+  sky130_fd_sc_hd__a2bb2oi_1 U57502 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[3]), .B2(n73097), .A1_N(n58437), .A2_N(n58436), .Y(n58439) );
+  sky130_fd_sc_hd__nand2_1 U57503 ( .A(n47379), .B(n47277), .Y(n47401) );
+  sky130_fd_sc_hd__nor2_1 U57504 ( .A(n46815), .B(n46814), .Y(n46830) );
+  sky130_fd_sc_hd__nor2_1 U57505 ( .A(n38652), .B(n38651), .Y(n38643) );
+  sky130_fd_sc_hd__nor2_1 U57506 ( .A(n38614), .B(n38612), .Y(n38601) );
+  sky130_fd_sc_hd__nand2b_1 U57507 ( .A_N(n54157), .B(n39993), .Y(n39994) );
+  sky130_fd_sc_hd__nand2b_1 U57508 ( .A_N(n40188), .B(n40192), .Y(n63008) );
+  sky130_fd_sc_hd__nand2b_1 U57509 ( .A_N(n62999), .B(n40171), .Y(n63000) );
+  sky130_fd_sc_hd__nand2_1 U57510 ( .A(n41479), .B(n41375), .Y(n41501) );
+  sky130_fd_sc_hd__a21oi_1 U57511 ( .A1(n73090), .A2(n73229), .B1(n73089), .Y(
+        n73104) );
+  sky130_fd_sc_hd__a22o_1 U57512 ( .A1(n56876), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[5]), 
+        .B1(n56875), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[5]), 
+        .X(n52610) );
+  sky130_fd_sc_hd__clkinv_1 U57513 ( .A(n56662), .Y(n56323) );
+  sky130_fd_sc_hd__nand2_1 U57514 ( .A(n55007), .B(n48844), .Y(n49069) );
+  sky130_fd_sc_hd__nand2b_1 U57515 ( .A_N(n49588), .B(n61049), .Y(n49525) );
+  sky130_fd_sc_hd__nor2_1 U57516 ( .A(n52219), .B(n37029), .Y(n57450) );
+  sky130_fd_sc_hd__a2bb2oi_1 U57517 ( .B1(n42759), .B2(n42758), .A1_N(n42757), 
+        .A2_N(n42756), .Y(n42822) );
+  sky130_fd_sc_hd__nand2b_1 U57518 ( .A_N(n66297), .B(n69144), .Y(n39935) );
+  sky130_fd_sc_hd__nand3_1 U57519 ( .A(n40200), .B(n40199), .C(n63011), .Y(
+        n40201) );
+  sky130_fd_sc_hd__clkinv_1 U57520 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[19]), .Y(n74873) );
+  sky130_fd_sc_hd__a2bb2oi_1 U57521 ( .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_gennum[0]), .B2(n41701), .A1_N(n36803), .A2_N(n41700), .Y(n41702) );
+  sky130_fd_sc_hd__clkinv_1 U57522 ( .A(n73322), .Y(n58769) );
+  sky130_fd_sc_hd__nor2_1 U57524 ( .A(n45529), .B(n45532), .Y(n45700) );
+  sky130_fd_sc_hd__nor3_1 U57525 ( .A(n54464), .B(n54463), .C(n54462), .Y(
+        n59874) );
+  sky130_fd_sc_hd__nor3_1 U57526 ( .A(n54522), .B(n54521), .C(n54520), .Y(
+        n59867) );
+  sky130_fd_sc_hd__nor3_1 U57527 ( .A(n54493), .B(n54492), .C(n54491), .Y(
+        n59870) );
+  sky130_fd_sc_hd__a21oi_1 U57528 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_sent_d), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_got_e), .B1(n59875), .Y(n59886) );
+  sky130_fd_sc_hd__clkinv_1 U57529 ( .A(n52897), .Y(n52899) );
+  sky130_fd_sc_hd__nand2b_1 U57530 ( .A_N(n43802), .B(n43380), .Y(n52791) );
+  sky130_fd_sc_hd__nor2_1 U57531 ( .A(n44860), .B(n41707), .Y(n46079) );
+  sky130_fd_sc_hd__nand2_1 U57532 ( .A(n77488), .B(n41725), .Y(n41716) );
+  sky130_fd_sc_hd__nor2_1 U57533 ( .A(n60068), .B(n49069), .Y(n56769) );
+  sky130_fd_sc_hd__nor2_1 U57534 ( .A(n76392), .B(n49499), .Y(n49905) );
+  sky130_fd_sc_hd__nand3_1 U57535 ( .A(n52827), .B(n41709), .C(n43801), .Y(
+        n43393) );
+  sky130_fd_sc_hd__o21ai_1 U57537 ( .A1(n41696), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_io_full), .B1(n41695), .Y(n45480) );
+  sky130_fd_sc_hd__nor2_1 U57538 ( .A(n50436), .B(n43363), .Y(n57473) );
+  sky130_fd_sc_hd__nand3_1 U57539 ( .A(n45831), .B(n45830), .C(n45829), .Y(
+        n45847) );
+  sky130_fd_sc_hd__clkinv_1 U57540 ( .A(n46429), .Y(n45555) );
+  sky130_fd_sc_hd__nor2_1 U57541 ( .A(n83355), .B(n56005), .Y(n55348) );
+  sky130_fd_sc_hd__inv_2 U57542 ( .A(n80540), .Y(n80538) );
+  sky130_fd_sc_hd__and3_1 U57543 ( .A(n39998), .B(n39997), .C(n39996), .X(
+        n40002) );
+  sky130_fd_sc_hd__clkinv_1 U57544 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_tmatch[0]), .Y(n59158) );
+  sky130_fd_sc_hd__nor2_1 U57545 ( .A(n64351), .B(n64320), .Y(n70235) );
+  sky130_fd_sc_hd__clkinv_1 U57546 ( .A(n63039), .Y(n70058) );
+  sky130_fd_sc_hd__nand2b_1 U57548 ( .A_N(n60916), .B(n83614), .Y(n55290) );
+  sky130_fd_sc_hd__nand2_1 U57550 ( .A(n64496), .B(n64494), .Y(n72597) );
+  sky130_fd_sc_hd__o21ai_1 U57551 ( .A1(n65746), .A2(n65750), .B1(n65747), .Y(
+        n65755) );
+  sky130_fd_sc_hd__a21oi_1 U57552 ( .A1(n65458), .A2(n64549), .B1(n64548), .Y(
+        n65750) );
+  sky130_fd_sc_hd__nor3_1 U57553 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[2]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[1]), .Y(n41307) );
+  sky130_fd_sc_hd__clkinv_1 U57554 ( .A(n61569), .Y(n67202) );
+  sky130_fd_sc_hd__inv_2 U57555 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_io_full), .Y(n59992) );
+  sky130_fd_sc_hd__nand2b_1 U57556 ( .A_N(n53551), .B(n47746), .Y(n61169) );
+  sky130_fd_sc_hd__inv_2 U57557 ( .A(n47667), .Y(n53541) );
+  sky130_fd_sc_hd__o31ai_1 U57558 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_opcode[1]), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_opcode[0]), .A3(n59811), .B1(n41637), .Y(n83895) );
+  sky130_fd_sc_hd__nor2_1 U57560 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_alu2[0]), .B(n53623), .Y(n53954) );
+  sky130_fd_sc_hd__a21oi_1 U57561 ( .A1(n52308), .A2(n52307), .B1(n47433), .Y(
+        n52901) );
+  sky130_fd_sc_hd__nor2_1 U57562 ( .A(n46758), .B(n46757), .Y(n46759) );
+  sky130_fd_sc_hd__o21ai_1 U57563 ( .A1(n43703), .A2(n43707), .B1(n43704), .Y(
+        n46706) );
+  sky130_fd_sc_hd__nand3_1 U57564 ( .A(n68059), .B(n58858), .C(n68061), .Y(
+        n68042) );
+  sky130_fd_sc_hd__nand2_1 U57565 ( .A(n70352), .B(n40691), .Y(n57619) );
+  sky130_fd_sc_hd__nand2_1 U57566 ( .A(n45408), .B(n57120), .Y(n50462) );
+  sky130_fd_sc_hd__clkinv_1 U57567 ( .A(n57158), .Y(n50990) );
+  sky130_fd_sc_hd__o21ai_1 U57568 ( .A1(n43543), .A2(n43547), .B1(n43544), .Y(
+        n44211) );
+  sky130_fd_sc_hd__nand2b_1 U57569 ( .A_N(n39380), .B(n66536), .Y(n39447) );
+  sky130_fd_sc_hd__nand2_1 U57571 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_requestor_0_resp_bits_replay), .B(n40042), .Y(n64494) );
+  sky130_fd_sc_hd__nand2b_1 U57572 ( .A_N(n66814), .B(n83351), .Y(n67285) );
+  sky130_fd_sc_hd__clkinv_1 U57573 ( .A(n63713), .Y(n63714) );
+  sky130_fd_sc_hd__nor2_1 U57574 ( .A(n58513), .B(n58512), .Y(n58514) );
+  sky130_fd_sc_hd__clkinv_1 U57575 ( .A(n69029), .Y(n72509) );
+  sky130_fd_sc_hd__nor2_1 U57576 ( .A(n72972), .B(n72971), .Y(n72975) );
+  sky130_fd_sc_hd__clkinv_1 U57577 ( .A(n78933), .Y(n81386) );
+  sky130_fd_sc_hd__nor2_1 U57578 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[10]), .B(n81334), .Y(n81340) );
+  sky130_fd_sc_hd__nor2_1 U57579 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[4]), .B(n60422), .Y(n81171) );
+  sky130_fd_sc_hd__nand2_1 U57580 ( .A(n66897), .B(n66888), .Y(n67342) );
+  sky130_fd_sc_hd__nand2_1 U57581 ( .A(n49027), .B(n49026), .Y(n73473) );
+  sky130_fd_sc_hd__nor2_1 U57582 ( .A(n37041), .B(n67025), .Y(n68365) );
+  sky130_fd_sc_hd__nor2b_1 U57583 ( .B_N(n82361), .A(n82373), .Y(n82365) );
+  sky130_fd_sc_hd__a21oi_1 U57584 ( .A1(n83457), .A2(n36803), .B1(n82298), .Y(
+        n82395) );
+  sky130_fd_sc_hd__o21ai_1 U57585 ( .A1(n65770), .A2(n65774), .B1(n65771), .Y(
+        n65784) );
+  sky130_fd_sc_hd__clkinv_1 U57586 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__releaseDataBeat_T_1_0_), .Y(n41327) );
+  sky130_fd_sc_hd__nor2_1 U57587 ( .A(n59623), .B(n61015), .Y(n62859) );
+  sky130_fd_sc_hd__a21oi_1 U57588 ( .A1(n60948), .A2(n60947), .B1(n83814), .Y(
+        n84015) );
+  sky130_fd_sc_hd__nor2_1 U57589 ( .A(n40730), .B(n40717), .Y(n64977) );
+  sky130_fd_sc_hd__nand2_1 U57590 ( .A(n59326), .B(n62858), .Y(n62072) );
+  sky130_fd_sc_hd__nor2_1 U57591 ( .A(n73475), .B(n49046), .Y(n59966) );
+  sky130_fd_sc_hd__nand2b_1 U57592 ( .A_N(n47725), .B(n47976), .Y(n47961) );
+  sky130_fd_sc_hd__clkinv_1 U57593 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[8]), .Y(n81822) );
+  sky130_fd_sc_hd__o22ai_1 U57594 ( .A1(n78319), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_1), .B1(n83989), .B2(n78320), .Y(n83777) );
+  sky130_fd_sc_hd__nor3_1 U57595 ( .A(n60364), .B(n85436), .C(n84867), .Y(
+        n63187) );
+  sky130_fd_sc_hd__nor2_1 U57597 ( .A(n63147), .B(n41815), .Y(n59898) );
+  sky130_fd_sc_hd__nor2_1 U57598 ( .A(n78319), .B(n83779), .Y(n61448) );
+  sky130_fd_sc_hd__nor2_1 U57599 ( .A(n49428), .B(n49393), .Y(n67057) );
+  sky130_fd_sc_hd__nand3_1 U57600 ( .A(n55228), .B(n55227), .C(n55226), .Y(
+        n60893) );
+  sky130_fd_sc_hd__nand2_1 U57601 ( .A(n60285), .B(n49219), .Y(n73567) );
+  sky130_fd_sc_hd__a21oi_1 U57602 ( .A1(n60556), .A2(n40669), .B1(n83065), .Y(
+        n59922) );
+  sky130_fd_sc_hd__clkinv_1 U57603 ( .A(n59933), .Y(n59991) );
+  sky130_fd_sc_hd__nor4_1 U57604 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt[2]), .B(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt[7]), 
+        .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt[6]), .D(n53113), .Y(n80891) );
+  sky130_fd_sc_hd__nor2b_1 U57605 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_lut[3]), .A(
+        n47467), .Y(n56146) );
+  sky130_fd_sc_hd__nor2_1 U57606 ( .A(n51835), .B(n57239), .Y(n50972) );
+  sky130_fd_sc_hd__nor2_1 U57607 ( .A(n64179), .B(n85816), .Y(n64476) );
+  sky130_fd_sc_hd__nand2_1 U57608 ( .A(n67987), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_16[16]), .Y(n67446) );
+  sky130_fd_sc_hd__nor2_2 U57609 ( .A(n45826), .B(n41687), .Y(n57587) );
+  sky130_fd_sc_hd__clkbuf_1 U57610 ( .A(n83865), .X(n83844) );
+  sky130_fd_sc_hd__nor2_1 U57611 ( .A(n70492), .B(n65609), .Y(n78557) );
+  sky130_fd_sc_hd__clkbuf_1 U57612 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .X(n63814) );
+  sky130_fd_sc_hd__nor2_1 U57613 ( .A(n37038), .B(n66862), .Y(n68425) );
+  sky130_fd_sc_hd__o31ai_1 U57614 ( .A1(n67267), .A2(n85564), .A3(n60875), 
+        .B1(n66840), .Y(n66814) );
+  sky130_fd_sc_hd__a21oi_1 U57615 ( .A1(n64890), .A2(n64889), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[0]), .Y(n70187) );
+  sky130_fd_sc_hd__clkinv_1 U57616 ( .A(n59431), .Y(n59432) );
+  sky130_fd_sc_hd__nand3_1 U57617 ( .A(n53216), .B(n53215), .C(n53214), .Y(
+        n82498) );
+  sky130_fd_sc_hd__and3_1 U57618 ( .A(n58567), .B(n58566), .C(n58565), .X(
+        n58570) );
+  sky130_fd_sc_hd__a21oi_1 U57619 ( .A1(n70609), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[17]), .B1(n58812), .Y(n58813) );
+  sky130_fd_sc_hd__nand2_1 U57620 ( .A(n72979), .B(n73008), .Y(n72980) );
+  sky130_fd_sc_hd__nand2_1 U57621 ( .A(n72984), .B(n73000), .Y(n72977) );
+  sky130_fd_sc_hd__nand2_1 U57622 ( .A(n72989), .B(n73008), .Y(n72988) );
+  sky130_fd_sc_hd__nand2_1 U57623 ( .A(n72956), .B(n72973), .Y(n73020) );
+  sky130_fd_sc_hd__nand2_1 U57624 ( .A(n72581), .B(n86752), .Y(n72669) );
+  sky130_fd_sc_hd__o21a_1 U57625 ( .A1(n58307), .A2(n58306), .B1(n58305), .X(
+        n76500) );
+  sky130_fd_sc_hd__nand2_1 U57626 ( .A(n61206), .B(n61205), .Y(n81084) );
+  sky130_fd_sc_hd__clkinv_1 U57627 ( .A(n67714), .Y(n67715) );
+  sky130_fd_sc_hd__nor2_1 U57630 ( .A(n85523), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_slaveWait), 
+        .Y(n79660) );
+  sky130_fd_sc_hd__nor2_1 U57631 ( .A(n56015), .B(n80510), .Y(n80669) );
+  sky130_fd_sc_hd__nand2_1 U57632 ( .A(n82617), .B(n82930), .Y(n82978) );
+  sky130_fd_sc_hd__nand2_1 U57633 ( .A(n82617), .B(n82909), .Y(n82927) );
+  sky130_fd_sc_hd__nand2_1 U57634 ( .A(n82617), .B(n82889), .Y(n82907) );
+  sky130_fd_sc_hd__nand2_1 U57635 ( .A(n82617), .B(n82869), .Y(n82887) );
+  sky130_fd_sc_hd__nand2_1 U57636 ( .A(n82617), .B(n82849), .Y(n82867) );
+  sky130_fd_sc_hd__nand2_1 U57637 ( .A(n82617), .B(n82829), .Y(n82847) );
+  sky130_fd_sc_hd__nand2_1 U57638 ( .A(n82617), .B(n82809), .Y(n82827) );
+  sky130_fd_sc_hd__nand2_1 U57639 ( .A(n82617), .B(n82790), .Y(n82808) );
+  sky130_fd_sc_hd__nand2_1 U57640 ( .A(n82617), .B(n82770), .Y(n82788) );
+  sky130_fd_sc_hd__nand2_1 U57641 ( .A(n82617), .B(n82750), .Y(n82768) );
+  sky130_fd_sc_hd__nand2_1 U57642 ( .A(n82617), .B(n82731), .Y(n82749) );
+  sky130_fd_sc_hd__nand2_1 U57643 ( .A(n82617), .B(n82711), .Y(n82729) );
+  sky130_fd_sc_hd__nand2_1 U57644 ( .A(n82617), .B(n82691), .Y(n82709) );
+  sky130_fd_sc_hd__nand2_1 U57645 ( .A(n82617), .B(n82672), .Y(n82690) );
+  sky130_fd_sc_hd__nand2_1 U57646 ( .A(n82617), .B(n82653), .Y(n82671) );
+  sky130_fd_sc_hd__nand2_1 U57647 ( .A(n82617), .B(n82620), .Y(n82651) );
+  sky130_fd_sc_hd__clkinv_1 U57648 ( .A(n85743), .Y(n78258) );
+  sky130_fd_sc_hd__nor2_1 U57649 ( .A(n39908), .B(n78251), .Y(n64081) );
+  sky130_fd_sc_hd__nand2_1 U57650 ( .A(n82395), .B(n82370), .Y(n82369) );
+  sky130_fd_sc_hd__nand2_1 U57651 ( .A(n82406), .B(n82354), .Y(n82355) );
+  sky130_fd_sc_hd__nand2_1 U57652 ( .A(n82375), .B(n82337), .Y(n82334) );
+  sky130_fd_sc_hd__nand2_1 U57653 ( .A(n82385), .B(n82319), .Y(n82317) );
+  sky130_fd_sc_hd__nand2_1 U57654 ( .A(n82395), .B(n82294), .Y(n82293) );
+  sky130_fd_sc_hd__clkbuf_1 U57655 ( .A(n60171), .X(n78453) );
+  sky130_fd_sc_hd__or2_2 U57656 ( .A(n65662), .B(n69604), .X(n65281) );
+  sky130_fd_sc_hd__nand2_1 U57657 ( .A(n67253), .B(n36801), .Y(n68186) );
+  sky130_fd_sc_hd__nand2_1 U57658 ( .A(n63157), .B(n63156), .Y(n80415) );
+  sky130_fd_sc_hd__nor2_1 U57659 ( .A(n85524), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_slaveWait), .Y(
+        n79821) );
+  sky130_fd_sc_hd__clkinv_1 U57660 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N43), 
+        .Y(n59971) );
+  sky130_fd_sc_hd__nand2_1 U57661 ( .A(n59967), .B(n80420), .Y(n80419) );
+  sky130_fd_sc_hd__nand2_1 U57662 ( .A(n63182), .B(n80736), .Y(n80728) );
+  sky130_fd_sc_hd__nand2_1 U57663 ( .A(n36846), .B(n61969), .Y(n76430) );
+  sky130_fd_sc_hd__nand2_1 U57664 ( .A(n67053), .B(n86752), .Y(n76435) );
+  sky130_fd_sc_hd__nand2_1 U57665 ( .A(n63165), .B(n67263), .Y(n80718) );
+  sky130_fd_sc_hd__mux2_2 U57666 ( .A0(n47493), .A1(n47492), .S(n67148), .X(
+        n49022) );
+  sky130_fd_sc_hd__inv_2 U57667 ( .A(n47520), .Y(n49226) );
+  sky130_fd_sc_hd__nand2_1 U57668 ( .A(n47477), .B(n47476), .Y(n55472) );
+  sky130_fd_sc_hd__a22oi_1 U57669 ( .A1(n63711), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[25]), .B1(n36850), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[55]), .Y(n78337) );
+  sky130_fd_sc_hd__nand2_1 U57670 ( .A(n83351), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N26), .Y(n83091) );
+  sky130_fd_sc_hd__a22oi_1 U57671 ( .A1(n63711), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[11]), .B1(n36850), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[41]), .Y(n78345) );
+  sky130_fd_sc_hd__a22oi_1 U57672 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[19]), .B1(n54312), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[50]), .Y(n83235) );
+  sky130_fd_sc_hd__a22oi_1 U57673 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[10]), .B1(n54312), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[41]), .Y(n83227) );
+  sky130_fd_sc_hd__a22oi_1 U57674 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[6]), .B1(n54277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[37]), .Y(n83223) );
+  sky130_fd_sc_hd__a22oi_1 U57675 ( .A1(n63711), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_opcode[2]), .B1(n36850), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_opcode[5]), .Y(n63188) );
+  sky130_fd_sc_hd__nand2_1 U57676 ( .A(n78371), .B(n78373), .Y(n54117) );
+  sky130_fd_sc_hd__clkinv_1 U57677 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_cmd[1]), .Y(n78373) );
+  sky130_fd_sc_hd__nand2_1 U57678 ( .A(n81038), .B(n67057), .Y(n73515) );
+  sky130_fd_sc_hd__nand2_1 U57679 ( .A(n63472), .B(n86752), .Y(n83773) );
+  sky130_fd_sc_hd__nand2_1 U57680 ( .A(n63459), .B(n86752), .Y(n76309) );
+  sky130_fd_sc_hd__nand2_1 U57682 ( .A(n85527), .B(n86402), .Y(n81040) );
+  sky130_fd_sc_hd__nand2_1 U57683 ( .A(n67435), .B(n36801), .Y(n73486) );
+  sky130_fd_sc_hd__nor2_1 U57684 ( .A(n64158), .B(n64165), .Y(n70197) );
+  sky130_fd_sc_hd__clkinv_1 U57685 ( .A(n80537), .Y(n80546) );
+  sky130_fd_sc_hd__and3_1 U57686 ( .A(n44188), .B(n44187), .C(n44186), .X(
+        n44191) );
+  sky130_fd_sc_hd__nor2_1 U57687 ( .A(n64160), .B(n38388), .Y(n39535) );
+  sky130_fd_sc_hd__nand2_1 U57688 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_valid), .B(n39905), .Y(n39533) );
+  sky130_fd_sc_hd__nand2_1 U57689 ( .A(n64797), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[0]), .Y(n72147) );
+  sky130_fd_sc_hd__clkinv_1 U57690 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_io_capture_bits_dmiStatus_1_), .Y(n84250) );
+  sky130_fd_sc_hd__nand3_1 U57691 ( .A(n38365), .B(n58814), .C(n58813), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[31]) );
+  sky130_fd_sc_hd__a21oi_1 U57692 ( .A1(n57393), .A2(n57392), .B1(n57391), .Y(
+        n85541) );
+  sky130_fd_sc_hd__clkbuf_1 U57693 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[9]), .X(n85366) );
+  sky130_fd_sc_hd__o2bb2ai_1 U57694 ( .B1(n60397), .B2(n59700), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[15]), .A2_N(n81347), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N43) );
+  sky130_fd_sc_hd__o2bb2ai_1 U57695 ( .B1(n60420), .B2(n59749), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[15]), .A2_N(n81190), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N43) );
+  sky130_fd_sc_hd__clkinv_1 U57696 ( .A(n56131), .Y(n85302) );
+  sky130_fd_sc_hd__a21oi_1 U57697 ( .A1(n56057), .A2(n56056), .B1(n56055), .Y(
+        n85554) );
+  sky130_fd_sc_hd__clkinv_1 U57698 ( .A(n76584), .Y(n85778) );
+  sky130_fd_sc_hd__nand2_1 U57699 ( .A(n80735), .B(n86752), .Y(n36168) );
+  sky130_fd_sc_hd__nand2_1 U57700 ( .A(n36846), .B(n60294), .Y(n36141) );
+  sky130_fd_sc_hd__o21a_1 U57701 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[11]), .A2(n44128), .B1(n72566), .X(n85794) );
+  sky130_fd_sc_hd__nor3_2 U57702 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_MPORT_addr[2]), .B(n61891), .C(n61889), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N32) );
+  sky130_fd_sc_hd__clkinv_1 U57703 ( .A(n80532), .Y(n85843) );
+  sky130_fd_sc_hd__nand2_1 U57704 ( .A(n36846), .B(n60293), .Y(n36140) );
+  sky130_fd_sc_hd__o21ai_1 U57705 ( .A1(n68437), .A2(n63509), .B1(n63508), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__elapsed_center_T_3) );
+  sky130_fd_sc_hd__clkbuf_1 U57706 ( .A(n47690), .X(n85061) );
+  sky130_fd_sc_hd__and3_1 U57707 ( .A(n73812), .B(n60920), .C(n60919), .X(
+        n85915) );
+  sky130_fd_sc_hd__clkbuf_1 U57708 ( .A(n60780), .X(n86304) );
+  sky130_fd_sc_hd__clkbuf_1 U57709 ( .A(n60956), .X(n86377) );
+  sky130_fd_sc_hd__clkbuf_1 U57711 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N141), .X(n86455) );
+  sky130_fd_sc_hd__clkbuf_1 U57712 ( .A(n60901), .X(n86452) );
+  sky130_fd_sc_hd__clkbuf_1 U57713 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N16), .X(n86414) );
+  sky130_fd_sc_hd__o21ai_1 U57714 ( .A1(n54829), .A2(n83392), .B1(n63702), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source__widx_T_1) );
+  sky130_fd_sc_hd__o2bb2ai_1 U57715 ( .B1(n83252), .B2(n77583), .A1_N(n83249), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_shared), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N25) );
+  sky130_fd_sc_hd__clkinv_1 U57716 ( .A(n83137), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N26) );
+  sky130_fd_sc_hd__nand3_1 U57717 ( .A(n83435), .B(n83428), .C(n83420), .Y(
+        n84645) );
+  sky130_fd_sc_hd__clkinv_1 U57718 ( .A(n78333), .Y(n85435) );
+  sky130_fd_sc_hd__nand3_1 U57719 ( .A(n54332), .B(n83411), .C(n83400), .Y(
+        n85525) );
+  sky130_fd_sc_hd__clkinv_1 U57720 ( .A(n83220), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_coherent_jbar_auto_out_a_bits_address[3]) );
+  sky130_fd_sc_hd__nand2_1 U57721 ( .A(n73515), .B(n36801), .Y(n36151) );
+  sky130_fd_sc_hd__clkbuf_1 U57722 ( .A(n59763), .X(n86450) );
+  sky130_fd_sc_hd__clkbuf_1 U57723 ( .A(n59694), .X(n86375) );
+  sky130_fd_sc_hd__nor2_2 U57724 ( .A(n59969), .B(n59968), .Y(n86567) );
+  sky130_fd_sc_hd__clkinv_1 U57725 ( .A(n82476), .Y(n85167) );
+  sky130_fd_sc_hd__clkbuf_1 U57726 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .X(n86412) );
+  sky130_fd_sc_hd__a21oi_1 U57727 ( .A1(n45283), .A2(n68642), .B1(n45282), .Y(
+        n85877) );
+  sky130_fd_sc_hd__a21oi_1 U57728 ( .A1(n44742), .A2(n44741), .B1(n44740), .Y(
+        n85596) );
+  sky130_fd_sc_hd__nor2_1 U57729 ( .A(n63937), .B(n39544), .Y(n85537) );
+  sky130_fd_sc_hd__buf_2 U57730 ( .A(n61995), .X(n86397) );
+  sky130_fd_sc_hd__buf_4 U57731 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N388), .X(n86422) );
+  sky130_fd_sc_hd__buf_4 U57732 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N388), .X(n86423) );
+  sky130_fd_sc_hd__o2bb2ai_1 U57733 ( .B1(n60339), .B2(n78391), .A1_N(n78402), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[28]), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_out_bits_data[16]) );
+  sky130_fd_sc_hd__clkbuf_1 U57734 ( .A(io_oeb[13]), .X(io_oeb[12]) );
+  sky130_fd_sc_hd__inv_2 U57735 ( .A(n61499), .Y(n61614) );
+  sky130_fd_sc_hd__nand2_1 U57736 ( .A(n38504), .B(n63501), .Y(n63506) );
+  sky130_fd_sc_hd__nand2_1 U57737 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_currState[3]), 
+        .B(n60349), .Y(n78127) );
+  sky130_fd_sc_hd__nor2_1 U57738 ( .A(n79543), .B(n78127), .Y(n36646) );
+  sky130_fd_sc_hd__nand2_1 U57739 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_currState[3]), 
+        .B(MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_currState[0]), .Y(n38506) );
+  sky130_fd_sc_hd__nor2_1 U57740 ( .A(n61166), .B(n38506), .Y(n79544) );
+  sky130_fd_sc_hd__nor2_1 U57741 ( .A(n79543), .B(n84258), .Y(n84255) );
+  sky130_fd_sc_hd__nand2_1 U57742 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_currState[0]), 
+        .B(n76335), .Y(n61001) );
+  sky130_fd_sc_hd__nand2_1 U57743 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_currState[2]), 
+        .B(n79543), .Y(n38505) );
+  sky130_fd_sc_hd__a21oi_1 U57744 ( .A1(n61001), .A2(n78127), .B1(n38505), .Y(
+        n79541) );
+  sky130_fd_sc_hd__nor3_1 U57745 ( .A(n36648), .B(n84255), .C(n79541), .Y(
+        n38513) );
+  sky130_fd_sc_hd__a211oi_1 U57746 ( .A1(n79543), .A2(n78127), .B1(n36646), 
+        .C1(n61166), .Y(n79538) );
+  sky130_fd_sc_hd__nand2_1 U57747 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_currState[0]), 
+        .B(n79543), .Y(n60350) );
+  sky130_fd_sc_hd__a21oi_1 U57748 ( .A1(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_currState[2]), 
+        .A2(n60350), .B1(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_currState[3]), 
+        .Y(n79537) );
+  sky130_fd_sc_hd__nor2_1 U57749 ( .A(n38506), .B(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_currState[2]), 
+        .Y(n38508) );
+  sky130_fd_sc_hd__nand2_1 U57750 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_currState[1]), 
+        .B(io_in[3]), .Y(n38507) );
+  sky130_fd_sc_hd__o21ai_1 U57751 ( .A1(n38508), .A2(io_in[3]), .B1(n38507), 
+        .Y(n38510) );
+  sky130_fd_sc_hd__o21ai_1 U57752 ( .A1(n79543), .A2(n79540), .B1(n61166), .Y(
+        n38509) );
+  sky130_fd_sc_hd__o22ai_1 U57753 ( .A1(n79537), .A2(n38510), .B1(n61001), 
+        .B2(n38509), .Y(n38511) );
+  sky130_fd_sc_hd__a21oi_1 U57754 ( .A1(n60349), .A2(io_in[3]), .B1(n38511), 
+        .Y(n38512) );
+  sky130_fd_sc_hd__o22ai_1 U57755 ( .A1(n38513), .A2(n79540), .B1(n79538), 
+        .B2(n38512), .Y(n85831) );
+  sky130_fd_sc_hd__nand2_1 U57756 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_currState[1]), 
+        .B(n61166), .Y(n78128) );
+  sky130_fd_sc_hd__nor2_1 U57757 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_currState[0]), 
+        .B(n78128), .Y(n85763) );
+  sky130_fd_sc_hd__nand2_1 U57758 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_op[1]), .B(n78305), .Y(
+        n78304) );
+  sky130_fd_sc_hd__nor2_1 U57759 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_addr[0]), .B(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_addr[2]), .Y(n38515) );
+  sky130_fd_sc_hd__nor3_1 U57760 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_addr[5]), .B(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_addr[6]), .C(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_addr[3]), .Y(n38514) );
+  sky130_fd_sc_hd__nand3_1 U57761 ( .A(n38515), .B(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_addr[4]), .C(n38514), 
+        .Y(n79552) );
+  sky130_fd_sc_hd__nor4_1 U57762 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_addr[1]), .B(n78304), 
+        .C(n79552), .D(n78132), .Y(n84239) );
+  sky130_fd_sc_hd__nor2_1 U57763 ( .A(n84239), .B(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_dmOuter_innerCtrlValidReg), 
+        .Y(n60352) );
+  sky130_fd_sc_hd__nand2_1 U57764 ( .A(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_sink_ready), .B(MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_ready_reg), 
+        .Y(n61189) );
+  sky130_fd_sc_hd__nor2_1 U57765 ( .A(n60352), .B(n61189), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source__widx_T_1) );
+  sky130_fd_sc_hd__xnor2_1 U57766 ( .A(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source__widx_T_1), .B(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_widx_widx_bin), .Y(n77652) );
+  sky130_fd_sc_hd__nor2b_1 U57767 ( .B_N(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_sink_ready), .A(n77652), .Y(n84260) );
+  sky130_fd_sc_hd__o21ai_1 U57768 ( .A1(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_valid_reg), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_ridx_ridx_bin), .B1(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_source_ready), .Y(n38516) );
+  sky130_fd_sc_hd__a21oi_1 U57769 ( .A1(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_valid_reg), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_ridx_ridx_bin), .B1(n38516), .Y(n84261) );
+  sky130_fd_sc_hd__nand3_1 U57770 ( .A(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_widx), .B(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_source_ready), .C(n38518), .Y(n38517) );
+  sky130_fd_sc_hd__o21ai_1 U57771 ( .A1(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_widx), .A2(n38518), .B1(n38517), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_io_deq_bits_deq_bits_reg_io_en) );
+  sky130_fd_sc_hd__a21o_1 U57772 ( .A1(n84239), .A2(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_data[30]), .B1(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_dmOuter_innerCtrlResumeReqReg), .X(n85473) );
+  sky130_fd_sc_hd__nand2_1 U57773 ( .A(n45770), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_32[0]), 
+        .Y(n38520) );
+  sky130_fd_sc_hd__nand2_1 U57774 ( .A(n57782), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_32[1]), 
+        .Y(n38519) );
+  sky130_fd_sc_hd__nand2_1 U57775 ( .A(n38520), .B(n38519), .Y(n38522) );
+  sky130_fd_sc_hd__nand2_1 U57776 ( .A(n45547), .B(n57783), .Y(n38521) );
+  sky130_fd_sc_hd__nand2_1 U57777 ( .A(n38522), .B(n38521), .Y(n57769) );
+  sky130_fd_sc_hd__nand2_1 U57778 ( .A(n46456), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_32[2]), 
+        .Y(n57770) );
+  sky130_fd_sc_hd__nand2_1 U57779 ( .A(n57769), .B(n57770), .Y(n38524) );
+  sky130_fd_sc_hd__nand2_1 U57780 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[32]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[4]), .Y(n38537) );
+  sky130_fd_sc_hd__nor2_1 U57781 ( .A(n38537), .B(n57772), .Y(n38523) );
+  sky130_fd_sc_hd__nand2_1 U57782 ( .A(n38524), .B(n38523), .Y(n38526) );
+  sky130_fd_sc_hd__nand2_1 U57783 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[31]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[3]), .Y(n38536) );
+  sky130_fd_sc_hd__mux2_2 U57784 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_32[1]), 
+        .A1(n57783), .S(n38535), .X(n38538) );
+  sky130_fd_sc_hd__nand3_1 U57785 ( .A(n38527), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_34[0]), 
+        .C(n57785), .Y(n57776) );
+  sky130_fd_sc_hd__nand2_1 U57786 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[33]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[5]), .Y(n38533) );
+  sky130_fd_sc_hd__nand2_1 U57787 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[34]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[6]), .Y(n38532) );
+  sky130_fd_sc_hd__mux2i_1 U57788 ( .A0(n57786), .A1(n57785), .S(n59586), .Y(
+        n38562) );
+  sky130_fd_sc_hd__nand2_1 U57789 ( .A(n38562), .B(n38561), .Y(n38530) );
+  sky130_fd_sc_hd__nand2_1 U57790 ( .A(n38537), .B(n38536), .Y(n38570) );
+  sky130_fd_sc_hd__nand2_1 U57791 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[35]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[7]), 
+        .Y(n38549) );
+  sky130_fd_sc_hd__nand2_1 U57792 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[36]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[8]), 
+        .Y(n38548) );
+  sky130_fd_sc_hd__nand2_1 U57794 ( .A(n57755), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_37[1]), 
+        .Y(n38541) );
+  sky130_fd_sc_hd__nand3_1 U57795 ( .A(n38541), .B(n45759), .C(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_38[0]), 
+        .Y(n38545) );
+  sky130_fd_sc_hd__nand2_1 U57796 ( .A(n38542), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_38[1]), 
+        .Y(n38543) );
+  sky130_fd_sc_hd__nand3_1 U57797 ( .A(n38545), .B(n38544), .C(n38543), .Y(
+        n38547) );
+  sky130_fd_sc_hd__nand2_1 U57798 ( .A(n46430), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_37[2]), 
+        .Y(n38546) );
+  sky130_fd_sc_hd__nand2_1 U57799 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[37]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[9]), 
+        .Y(n38556) );
+  sky130_fd_sc_hd__nand2_1 U57800 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[38]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[10]), .Y(n38555) );
+  sky130_fd_sc_hd__nand2_1 U57801 ( .A(n38549), .B(n38548), .Y(n59605) );
+  sky130_fd_sc_hd__nand2_1 U57802 ( .A(n38559), .B(n38553), .Y(n38554) );
+  sky130_fd_sc_hd__nand2_1 U57803 ( .A(n38556), .B(n38555), .Y(n38573) );
+  sky130_fd_sc_hd__mux2i_1 U57804 ( .A0(n38563), .A1(n38562), .S(n59587), .Y(
+        n38675) );
+  sky130_fd_sc_hd__mux2i_1 U57805 ( .A0(n38566), .A1(n38565), .S(n59467), .Y(
+        n38673) );
+  sky130_fd_sc_hd__mux2i_1 U57806 ( .A0(n59607), .A1(n38569), .S(n59467), .Y(
+        n38685) );
+  sky130_fd_sc_hd__nand2_1 U57807 ( .A(n38572), .B(n38571), .Y(n38804) );
+  sky130_fd_sc_hd__nor2_1 U57808 ( .A(n38573), .B(n59605), .Y(n39166) );
+  sky130_fd_sc_hd__nand2_1 U57809 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[46]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[4]), .Y(n38606) );
+  sky130_fd_sc_hd__nand2_1 U57810 ( .A(n57690), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_45[1]), 
+        .Y(n38576) );
+  sky130_fd_sc_hd__nand3_1 U57811 ( .A(n38576), .B(n38575), .C(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_46[0]), 
+        .Y(n38580) );
+  sky130_fd_sc_hd__nand2_1 U57813 ( .A(n38577), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_46[2]), 
+        .Y(n38579) );
+  sky130_fd_sc_hd__nand2_1 U57814 ( .A(n57689), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_46[1]), 
+        .Y(n38578) );
+  sky130_fd_sc_hd__nand2_1 U57815 ( .A(n38581), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_45[2]), 
+        .Y(n38582) );
+  sky130_fd_sc_hd__nand2_1 U57816 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[45]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[3]), .Y(n38605) );
+  sky130_fd_sc_hd__mux2i_1 U57817 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_46[1]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_45[1]), 
+        .S(n59547), .Y(n38592) );
+  sky130_fd_sc_hd__nand2_1 U57818 ( .A(n57688), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_43[1]), 
+        .Y(n38584) );
+  sky130_fd_sc_hd__nand3_1 U57819 ( .A(n38584), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_44[0]), 
+        .C(n57700), .Y(n38586) );
+  sky130_fd_sc_hd__nand2_1 U57820 ( .A(n57687), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_44[1]), 
+        .Y(n38585) );
+  sky130_fd_sc_hd__nand2_1 U57821 ( .A(n38586), .B(n38585), .Y(n57683) );
+  sky130_fd_sc_hd__nand2_1 U57823 ( .A(n57679), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_43[2]), 
+        .Y(n38587) );
+  sky130_fd_sc_hd__nand2_1 U57824 ( .A(n57683), .B(n38587), .Y(n38589) );
+  sky130_fd_sc_hd__nand2_1 U57825 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[43]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[1]), .Y(n38599) );
+  sky130_fd_sc_hd__nor2_1 U57826 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_43[2]), 
+        .B(n57679), .Y(n57678) );
+  sky130_fd_sc_hd__nor2_1 U57827 ( .A(n38599), .B(n57678), .Y(n38588) );
+  sky130_fd_sc_hd__nand2_1 U57828 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[44]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[2]), .Y(n38600) );
+  sky130_fd_sc_hd__a21oi_2 U57829 ( .A1(n38589), .A2(n38588), .B1(n38600), .Y(
+        n38596) );
+  sky130_fd_sc_hd__mux2_2 U57830 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_43[1]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_44[1]), 
+        .S(n38596), .X(n38608) );
+  sky130_fd_sc_hd__nand2_1 U57831 ( .A(n38592), .B(n38608), .Y(n38591) );
+  sky130_fd_sc_hd__mux2_2 U57832 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_45[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_46[0]), 
+        .S(n38590), .X(n38655) );
+  sky130_fd_sc_hd__mux2i_1 U57833 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_44[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_43[0]), 
+        .S(n59546), .Y(n38656) );
+  sky130_fd_sc_hd__nand3_1 U57834 ( .A(n38591), .B(n38655), .C(n38656), .Y(
+        n38595) );
+  sky130_fd_sc_hd__nor2_1 U57835 ( .A(n38592), .B(n38608), .Y(n38593) );
+  sky130_fd_sc_hd__nand2_1 U57836 ( .A(n38595), .B(n38594), .Y(n38598) );
+  sky130_fd_sc_hd__mux2i_1 U57837 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_46[2]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_45[2]), 
+        .S(n59547), .Y(n38614) );
+  sky130_fd_sc_hd__mux2_2 U57838 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_43[2]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_44[2]), 
+        .S(n38596), .X(n38612) );
+  sky130_fd_sc_hd__nand2_1 U57839 ( .A(n38614), .B(n38612), .Y(n38597) );
+  sky130_fd_sc_hd__nand2_1 U57840 ( .A(n38598), .B(n38597), .Y(n38604) );
+  sky130_fd_sc_hd__nand2_1 U57841 ( .A(n38600), .B(n38599), .Y(n38666) );
+  sky130_fd_sc_hd__nor2_1 U57842 ( .A(n38602), .B(n38601), .Y(n38603) );
+  sky130_fd_sc_hd__nand2_1 U57843 ( .A(n38604), .B(n38603), .Y(n38607) );
+  sky130_fd_sc_hd__nand2_1 U57844 ( .A(n38606), .B(n38605), .Y(n38665) );
+  sky130_fd_sc_hd__nand2_2 U57845 ( .A(n38607), .B(n38665), .Y(n59545) );
+  sky130_fd_sc_hd__nand2_1 U57846 ( .A(n59545), .B(n38609), .Y(n38610) );
+  sky130_fd_sc_hd__o21ai_2 U57847 ( .A1(n38611), .A2(n59545), .B1(n38610), .Y(
+        n38654) );
+  sky130_fd_sc_hd__mux2i_1 U57848 ( .A0(n38614), .A1(n38613), .S(n59545), .Y(
+        n38690) );
+  sky130_fd_sc_hd__o22ai_1 U57849 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_41[0]), 
+        .A2(n38615), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_41[1]), 
+        .B2(n57709), .Y(n38617) );
+  sky130_fd_sc_hd__nand2_1 U57850 ( .A(n57709), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_41[1]), 
+        .Y(n38616) );
+  sky130_fd_sc_hd__nand2_1 U57851 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[42]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[0]), .Y(n38645) );
+  sky130_fd_sc_hd__nand2_1 U57852 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[41]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[13]), .Y(n38644) );
+  sky130_fd_sc_hd__nand2_1 U57853 ( .A(n38622), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_41[2]), 
+        .Y(n38624) );
+  sky130_fd_sc_hd__nand2_1 U57854 ( .A(n59508), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_42[2]), 
+        .Y(n38623) );
+  sky130_fd_sc_hd__nand2b_1 U57855 ( .A_N(n59508), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_41[0]), 
+        .Y(n38626) );
+  sky130_fd_sc_hd__nand2_1 U57856 ( .A(n59508), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_42[0]), 
+        .Y(n38625) );
+  sky130_fd_sc_hd__nand2_1 U57857 ( .A(n38626), .B(n38625), .Y(n38659) );
+  sky130_fd_sc_hd__mux2_2 U57858 ( .A0(n57710), .A1(n57709), .S(n59508), .X(
+        n38651) );
+  sky130_fd_sc_hd__nand2_1 U57859 ( .A(n57711), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_39[1]), 
+        .Y(n38630) );
+  sky130_fd_sc_hd__nand2_1 U57860 ( .A(n38636), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_40[0]), 
+        .Y(n38628) );
+  sky130_fd_sc_hd__nand2_1 U57861 ( .A(n57712), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_40[1]), 
+        .Y(n38627) );
+  sky130_fd_sc_hd__nand2_1 U57862 ( .A(n38628), .B(n38627), .Y(n38629) );
+  sky130_fd_sc_hd__nand2_1 U57863 ( .A(n38630), .B(n38629), .Y(n38632) );
+  sky130_fd_sc_hd__nand2_1 U57864 ( .A(n38638), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_40[2]), 
+        .Y(n38631) );
+  sky130_fd_sc_hd__nand2_1 U57865 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[40]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[12]), .Y(n38647) );
+  sky130_fd_sc_hd__nand2_1 U57866 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[39]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[11]), .Y(n38646) );
+  sky130_fd_sc_hd__mux2_2 U57867 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_39[1]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_40[1]), 
+        .S(n59563), .X(n38652) );
+  sky130_fd_sc_hd__nand2_1 U57868 ( .A(n38651), .B(n38652), .Y(n38637) );
+  sky130_fd_sc_hd__nand2_1 U57869 ( .A(n59563), .B(n38639), .Y(n38640) );
+  sky130_fd_sc_hd__nand2_1 U57870 ( .A(n38645), .B(n38644), .Y(n38667) );
+  sky130_fd_sc_hd__nand2_1 U57871 ( .A(n38647), .B(n38646), .Y(n38668) );
+  sky130_fd_sc_hd__nand2_1 U57872 ( .A(n59564), .B(n38649), .Y(n38650) );
+  sky130_fd_sc_hd__a2bb2oi_1 U57874 ( .B1(n38690), .B2(n38688), .A1_N(n38670), 
+        .A2_N(n38654), .Y(n38664) );
+  sky130_fd_sc_hd__nand2_1 U57875 ( .A(n38670), .B(n38654), .Y(n38662) );
+  sky130_fd_sc_hd__mux2i_1 U57876 ( .A0(n38657), .A1(n38656), .S(n59545), .Y(
+        n38676) );
+  sky130_fd_sc_hd__nor2_1 U57877 ( .A(n38660), .B(n38678), .Y(n38661) );
+  sky130_fd_sc_hd__nand2_1 U57878 ( .A(n38662), .B(n38661), .Y(n38663) );
+  sky130_fd_sc_hd__nand2_1 U57879 ( .A(n38664), .B(n38663), .Y(n38669) );
+  sky130_fd_sc_hd__nor2_1 U57880 ( .A(n38666), .B(n38665), .Y(n38692) );
+  sky130_fd_sc_hd__nor2_1 U57881 ( .A(n38668), .B(n38667), .Y(n38693) );
+  sky130_fd_sc_hd__nand2_1 U57882 ( .A(n38698), .B(n38672), .Y(n38681) );
+  sky130_fd_sc_hd__mux2_2 U57883 ( .A0(n38675), .A1(n38674), .S(n59464), .X(
+        n38801) );
+  sky130_fd_sc_hd__nand2_1 U57884 ( .A(n38677), .B(n38676), .Y(n38680) );
+  sky130_fd_sc_hd__nand2_1 U57885 ( .A(n38691), .B(n38678), .Y(n38679) );
+  sky130_fd_sc_hd__nand2_1 U57886 ( .A(n38680), .B(n38679), .Y(n38802) );
+  sky130_fd_sc_hd__inv_1 U57887 ( .A(n38698), .Y(n38682) );
+  sky130_fd_sc_hd__nand2_1 U57888 ( .A(n38682), .B(n38697), .Y(n38683) );
+  sky130_fd_sc_hd__mux2_2 U57889 ( .A0(n38687), .A1(n38686), .S(n59464), .X(
+        n38790) );
+  sky130_fd_sc_hd__nand2_1 U57890 ( .A(n38691), .B(n38688), .Y(n38689) );
+  sky130_fd_sc_hd__nand2_1 U57891 ( .A(n38693), .B(n38692), .Y(n59466) );
+  sky130_fd_sc_hd__a21oi_1 U57892 ( .A1(n38790), .A2(n38791), .B1(n38694), .Y(
+        n38696) );
+  sky130_fd_sc_hd__nand2_1 U57893 ( .A(n38469), .B(n59466), .Y(n38695) );
+  sky130_fd_sc_hd__nand2_1 U57895 ( .A(n45787), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_48[0]), 
+        .Y(n38700) );
+  sky130_fd_sc_hd__nand2_1 U57896 ( .A(n57840), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_48[1]), 
+        .Y(n38699) );
+  sky130_fd_sc_hd__nand2_1 U57897 ( .A(n38700), .B(n38699), .Y(n38701) );
+  sky130_fd_sc_hd__nand2_1 U57898 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[47]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[5]), .Y(n38718) );
+  sky130_fd_sc_hd__nand2_1 U57899 ( .A(n57837), .B(n38703), .Y(n38705) );
+  sky130_fd_sc_hd__nand2_1 U57900 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[48]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[6]), .Y(n38719) );
+  sky130_fd_sc_hd__nand2_1 U57901 ( .A(n38705), .B(n38704), .Y(n38710) );
+  sky130_fd_sc_hd__mux2_2 U57902 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_48[1]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_47[1]), 
+        .S(n38710), .X(n38709) );
+  sky130_fd_sc_hd__nand2_1 U57903 ( .A(n46401), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_49[2]), 
+        .Y(n38707) );
+  sky130_fd_sc_hd__nand2_1 U57904 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[49]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[49]), .Y(
+        n38713) );
+  sky130_fd_sc_hd__nand2_1 U57905 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[50]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[50]), .Y(
+        n38714) );
+  sky130_fd_sc_hd__mux2_4 U57906 ( .A0(n38706), .A1(n57838), .S(n59597), .X(
+        n38720) );
+  sky130_fd_sc_hd__nand2_1 U57907 ( .A(n38709), .B(n38720), .Y(n38711) );
+  sky130_fd_sc_hd__mux2_2 U57908 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_49[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_50[0]), 
+        .S(n59597), .X(n38757) );
+  sky130_fd_sc_hd__inv_1 U57909 ( .A(n38710), .Y(n59600) );
+  sky130_fd_sc_hd__mux2i_1 U57910 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_47[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_48[0]), 
+        .S(n59600), .Y(n38756) );
+  sky130_fd_sc_hd__mux2i_1 U57912 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_47[2]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_48[2]), 
+        .S(n59600), .Y(n38764) );
+  sky130_fd_sc_hd__mux2_2 U57913 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_49[2]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_50[2]), 
+        .S(n59597), .X(n38715) );
+  sky130_fd_sc_hd__nand2_1 U57914 ( .A(n38714), .B(n38713), .Y(n38778) );
+  sky130_fd_sc_hd__nand2_1 U57916 ( .A(n38716), .B(n38763), .Y(n38717) );
+  sky130_fd_sc_hd__nand2_1 U57917 ( .A(n38719), .B(n38718), .Y(n38777) );
+  sky130_fd_sc_hd__nand2_1 U57918 ( .A(n38730), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_51[1]), 
+        .Y(n38723) );
+  sky130_fd_sc_hd__nand3_1 U57919 ( .A(n38723), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_52[0]), 
+        .C(n38722), .Y(n38726) );
+  sky130_fd_sc_hd__nand2_1 U57920 ( .A(n57870), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_52[2]), 
+        .Y(n38725) );
+  sky130_fd_sc_hd__nand2_1 U57921 ( .A(n38731), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_52[1]), 
+        .Y(n38724) );
+  sky130_fd_sc_hd__nand3_1 U57922 ( .A(n38726), .B(n38725), .C(n38724), .Y(
+        n57862) );
+  sky130_fd_sc_hd__nand2_1 U57923 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[52]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[52]), .Y(
+        n38750) );
+  sky130_fd_sc_hd__nand2_1 U57924 ( .A(n57869), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_51[2]), 
+        .Y(n57861) );
+  sky130_fd_sc_hd__nand3_1 U57925 ( .A(n57862), .B(n38727), .C(n57861), .Y(
+        n38729) );
+  sky130_fd_sc_hd__nand2_1 U57926 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[51]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[51]), .Y(
+        n38751) );
+  sky130_fd_sc_hd__mux2i_1 U57927 ( .A0(n38731), .A1(n38730), .S(n59541), .Y(
+        n38743) );
+  sky130_fd_sc_hd__inv_1 U57928 ( .A(n38743), .Y(n38754) );
+  sky130_fd_sc_hd__nand2_1 U57929 ( .A(n45597), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_54[1]), 
+        .Y(n38733) );
+  sky130_fd_sc_hd__nand2_1 U57930 ( .A(n57859), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_54[0]), 
+        .Y(n38732) );
+  sky130_fd_sc_hd__nand2_1 U57931 ( .A(n38733), .B(n38732), .Y(n38736) );
+  sky130_fd_sc_hd__nand2_1 U57932 ( .A(n38734), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_53[1]), 
+        .Y(n38735) );
+  sky130_fd_sc_hd__nand2_1 U57933 ( .A(n38736), .B(n38735), .Y(n57851) );
+  sky130_fd_sc_hd__nand2_1 U57934 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[53]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[53]), .Y(
+        n38741) );
+  sky130_fd_sc_hd__nand2_1 U57935 ( .A(n57871), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_54[2]), 
+        .Y(n57850) );
+  sky130_fd_sc_hd__nand3_1 U57936 ( .A(n57851), .B(n38737), .C(n57850), .Y(
+        n38740) );
+  sky130_fd_sc_hd__nand2_1 U57937 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[54]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[54]), .Y(
+        n38742) );
+  sky130_fd_sc_hd__nor2_1 U57938 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_54[2]), 
+        .B(n57871), .Y(n57852) );
+  sky130_fd_sc_hd__nand2_1 U57939 ( .A(n57852), .B(n38737), .Y(n38738) );
+  sky130_fd_sc_hd__nand3_2 U57940 ( .A(n38740), .B(n38739), .C(n38738), .Y(
+        n59538) );
+  sky130_fd_sc_hd__mux2i_1 U57941 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_54[1]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_53[1]), 
+        .S(n59538), .Y(n38753) );
+  sky130_fd_sc_hd__mux2i_1 U57942 ( .A0(n57872), .A1(n57871), .S(n59538), .Y(
+        n38765) );
+  sky130_fd_sc_hd__mux2i_1 U57943 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_51[2]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_52[2]), 
+        .S(n59541), .Y(n38767) );
+  sky130_fd_sc_hd__nand2_1 U57944 ( .A(n38742), .B(n38741), .Y(n38774) );
+  sky130_fd_sc_hd__nand2_1 U57945 ( .A(n38767), .B(n38765), .Y(n38748) );
+  sky130_fd_sc_hd__nand2_1 U57946 ( .A(n38743), .B(n38753), .Y(n38744) );
+  sky130_fd_sc_hd__mux2i_1 U57947 ( .A0(n57860), .A1(n57859), .S(n59538), .Y(
+        n38760) );
+  sky130_fd_sc_hd__mux2i_1 U57948 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_51[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_52[0]), 
+        .S(n59541), .Y(n38759) );
+  sky130_fd_sc_hd__nand3_1 U57949 ( .A(n38744), .B(n38760), .C(n38759), .Y(
+        n38747) );
+  sky130_fd_sc_hd__nand2_1 U57951 ( .A(n38754), .B(n38745), .Y(n38746) );
+  sky130_fd_sc_hd__nand3_1 U57952 ( .A(n38748), .B(n38747), .C(n38746), .Y(
+        n38749) );
+  sky130_fd_sc_hd__o211ai_1 U57953 ( .A1(n38765), .A2(n38767), .B1(n38774), 
+        .C1(n38749), .Y(n38752) );
+  sky130_fd_sc_hd__nand2_1 U57954 ( .A(n38751), .B(n38750), .Y(n38773) );
+  sky130_fd_sc_hd__nand2_1 U57955 ( .A(n38752), .B(n38773), .Y(n59539) );
+  sky130_fd_sc_hd__mux2_2 U57956 ( .A0(n38754), .A1(n38753), .S(n59539), .X(
+        n38755) );
+  sky130_fd_sc_hd__nand2_1 U57957 ( .A(n38782), .B(n38755), .Y(n38762) );
+  sky130_fd_sc_hd__mux2i_1 U57958 ( .A0(n38758), .A1(n38757), .S(n59598), .Y(
+        n38799) );
+  sky130_fd_sc_hd__mux2_2 U57959 ( .A0(n38761), .A1(n38760), .S(n59539), .X(
+        n38797) );
+  sky130_fd_sc_hd__nand3_1 U57960 ( .A(n38762), .B(n38799), .C(n38797), .Y(
+        n38772) );
+  sky130_fd_sc_hd__mux2i_1 U57961 ( .A0(n38764), .A1(n38763), .S(n36977), .Y(
+        n38784) );
+  sky130_fd_sc_hd__mux2_2 U57962 ( .A0(n38767), .A1(n38766), .S(n59539), .X(
+        n38775) );
+  sky130_fd_sc_hd__nand2_1 U57963 ( .A(n38768), .B(n38783), .Y(n38771) );
+  sky130_fd_sc_hd__nand2_1 U57964 ( .A(n38769), .B(n38781), .Y(n38770) );
+  sky130_fd_sc_hd__nor2_1 U57965 ( .A(n38774), .B(n38773), .Y(n38788) );
+  sky130_fd_sc_hd__a21oi_1 U57966 ( .A1(n38784), .A2(n38775), .B1(n38788), .Y(
+        n38776) );
+  sky130_fd_sc_hd__nand2_1 U57967 ( .A(n38780), .B(n38779), .Y(n38787) );
+  sky130_fd_sc_hd__mux2i_1 U57969 ( .A0(n38785), .A1(n38797), .S(n59452), .Y(
+        n38786) );
+  sky130_fd_sc_hd__nand2_1 U57970 ( .A(n37717), .B(n38788), .Y(n38794) );
+  sky130_fd_sc_hd__nand2_1 U57971 ( .A(n59443), .B(n59462), .Y(n38792) );
+  sky130_fd_sc_hd__nor2_1 U57972 ( .A(n38795), .B(n38794), .Y(n39168) );
+  sky130_fd_sc_hd__mux2i_1 U57973 ( .A0(n38799), .A1(n38798), .S(n59452), .Y(
+        n38800) );
+  sky130_fd_sc_hd__nor2_1 U57974 ( .A(n38804), .B(n59466), .Y(n39167) );
+  sky130_fd_sc_hd__nand2_1 U57975 ( .A(n39167), .B(n39166), .Y(n38805) );
+  sky130_fd_sc_hd__nand2_1 U57976 ( .A(n57935), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_19[1]), 
+        .Y(n38809) );
+  sky130_fd_sc_hd__nand3_1 U57977 ( .A(n38809), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_20[0]), 
+        .C(n45783), .Y(n38811) );
+  sky130_fd_sc_hd__a22oi_1 U57978 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_20[2]), 
+        .A2(n57942), .B1(n57936), .B2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_20[1]), 
+        .Y(n38810) );
+  sky130_fd_sc_hd__nand2_1 U57979 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[20]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[6]), .Y(n38831) );
+  sky130_fd_sc_hd__nand2_1 U57980 ( .A(n57934), .B(n38812), .Y(n38814) );
+  sky130_fd_sc_hd__nand2_1 U57981 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[19]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[5]), .Y(n38832) );
+  sky130_fd_sc_hd__nand2_1 U57982 ( .A(n38814), .B(n38813), .Y(n38825) );
+  sky130_fd_sc_hd__mux2_2 U57983 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_19[1]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_20[1]), 
+        .S(n38825), .X(n38840) );
+  sky130_fd_sc_hd__nand2_1 U57984 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[22]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[22]), 
+        .Y(n38835) );
+  sky130_fd_sc_hd__nand2_1 U57985 ( .A(n57939), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_21[1]), 
+        .Y(n38815) );
+  sky130_fd_sc_hd__clkinv_1 U57986 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_21[0]), 
+        .Y(n45785) );
+  sky130_fd_sc_hd__nand3_1 U57987 ( .A(n38815), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_22[0]), 
+        .C(n45785), .Y(n38818) );
+  sky130_fd_sc_hd__nand2_1 U57988 ( .A(n57944), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_22[2]), 
+        .Y(n38817) );
+  sky130_fd_sc_hd__clkinv_1 U57989 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_21[1]), 
+        .Y(n57940) );
+  sky130_fd_sc_hd__nand2_1 U57990 ( .A(n57940), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_22[1]), 
+        .Y(n38816) );
+  sky130_fd_sc_hd__nand2_1 U57991 ( .A(n57943), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_21[2]), 
+        .Y(n38819) );
+  sky130_fd_sc_hd__nand2_1 U57992 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[21]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[21]), 
+        .Y(n38836) );
+  sky130_fd_sc_hd__nand2_1 U57993 ( .A(n38840), .B(n38824), .Y(n38822) );
+  sky130_fd_sc_hd__inv_1 U57994 ( .A(n38825), .Y(n59602) );
+  sky130_fd_sc_hd__mux2i_1 U57995 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_20[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_19[0]), 
+        .S(n59602), .Y(n38866) );
+  sky130_fd_sc_hd__mux2i_1 U57996 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_22[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_21[0]), 
+        .S(n59601), .Y(n38867) );
+  sky130_fd_sc_hd__nand2_1 U57997 ( .A(n38822), .B(n37126), .Y(n38823) );
+  sky130_fd_sc_hd__o21ai_1 U57998 ( .A1(n38840), .A2(n38824), .B1(n38823), .Y(
+        n38829) );
+  sky130_fd_sc_hd__nand2b_1 U57999 ( .A_N(n38825), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_19[2]), 
+        .Y(n38827) );
+  sky130_fd_sc_hd__nand2_1 U58000 ( .A(n38825), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_20[2]), 
+        .Y(n38826) );
+  sky130_fd_sc_hd__nand2_1 U58001 ( .A(n38827), .B(n38826), .Y(n38830) );
+  sky130_fd_sc_hd__mux2i_1 U58002 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_22[2]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_21[2]), 
+        .S(n59601), .Y(n38873) );
+  sky130_fd_sc_hd__nand2_1 U58003 ( .A(n38830), .B(n38873), .Y(n38828) );
+  sky130_fd_sc_hd__nand2_1 U58004 ( .A(n38829), .B(n38828), .Y(n38839) );
+  sky130_fd_sc_hd__nand2_1 U58005 ( .A(n38832), .B(n38831), .Y(n38875) );
+  sky130_fd_sc_hd__a21oi_1 U58006 ( .A1(n38874), .A2(n38834), .B1(n38833), .Y(
+        n38838) );
+  sky130_fd_sc_hd__nand2_1 U58007 ( .A(n38836), .B(n38835), .Y(n38876) );
+  sky130_fd_sc_hd__nand2_1 U58008 ( .A(n38841), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_17[1]), 
+        .Y(n38842) );
+  sky130_fd_sc_hd__nand2_1 U58009 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[18]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[4]), .Y(n38855) );
+  sky130_fd_sc_hd__nand2_1 U58010 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[17]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[3]), .Y(n38856) );
+  sky130_fd_sc_hd__nand2_1 U58011 ( .A(n38845), .B(n38844), .Y(n59590) );
+  sky130_fd_sc_hd__mux2_2 U58012 ( .A0(n57963), .A1(n38841), .S(n59590), .X(
+        n38861) );
+  sky130_fd_sc_hd__nand2_1 U58013 ( .A(n38846), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_15[1]), 
+        .Y(n38847) );
+  sky130_fd_sc_hd__nand2_1 U58014 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[16]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[2]), .Y(n38857) );
+  sky130_fd_sc_hd__nand2_1 U58015 ( .A(n57961), .B(n38848), .Y(n38850) );
+  sky130_fd_sc_hd__nand2_1 U58016 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[15]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[1]), .Y(n38858) );
+  sky130_fd_sc_hd__mux2_2 U58017 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_15[1]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_16[1]), 
+        .S(n59589), .X(n38851) );
+  sky130_fd_sc_hd__nand2_1 U58018 ( .A(n38851), .B(n38861), .Y(n38852) );
+  sky130_fd_sc_hd__mux2_2 U58019 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_17[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_18[0]), 
+        .S(n59590), .X(n38869) );
+  sky130_fd_sc_hd__mux2_2 U58020 ( .A0(n57968), .A1(n57967), .S(n59589), .X(
+        n38868) );
+  sky130_fd_sc_hd__mux2_2 U58021 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_17[2]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_18[2]), 
+        .S(n59590), .X(n38871) );
+  sky130_fd_sc_hd__nand2_1 U58022 ( .A(n38853), .B(n38860), .Y(n38854) );
+  sky130_fd_sc_hd__nand2_1 U58023 ( .A(n38856), .B(n38855), .Y(n38862) );
+  sky130_fd_sc_hd__nand2_1 U58024 ( .A(n38858), .B(n38857), .Y(n38863) );
+  sky130_fd_sc_hd__nor2_1 U58025 ( .A(n38863), .B(n38862), .Y(n38973) );
+  sky130_fd_sc_hd__nor2_1 U58027 ( .A(n38876), .B(n38875), .Y(n38972) );
+  sky130_fd_sc_hd__nand2_1 U58028 ( .A(n59474), .B(n38864), .Y(n38877) );
+  sky130_fd_sc_hd__nor2_1 U58029 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_30[1]), 
+        .B(n58022), .Y(n38881) );
+  sky130_fd_sc_hd__a2bb2oi_1 U58030 ( .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_30[1]), 
+        .B2(n58022), .A1_N(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_29[0]), 
+        .A2_N(n38879), .Y(n38880) );
+  sky130_fd_sc_hd__nand2_1 U58031 ( .A(n58014), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_29[2]), 
+        .Y(n38882) );
+  sky130_fd_sc_hd__nand2_1 U58032 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[29]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[1]), .Y(n38894) );
+  sky130_fd_sc_hd__nand2_1 U58033 ( .A(n58012), .B(n38883), .Y(n38885) );
+  sky130_fd_sc_hd__nand2_1 U58034 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[30]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[2]), .Y(n38895) );
+  sky130_fd_sc_hd__mux2_2 U58035 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_29[2]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_30[2]), 
+        .S(n38943), .X(n59577) );
+  sky130_fd_sc_hd__nand2_1 U58036 ( .A(n38897), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_28[0]), 
+        .Y(n38887) );
+  sky130_fd_sc_hd__nand2_1 U58037 ( .A(n58024), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_28[1]), 
+        .Y(n38886) );
+  sky130_fd_sc_hd__nand2_1 U58038 ( .A(n38887), .B(n38886), .Y(n38890) );
+  sky130_fd_sc_hd__nand2_1 U58039 ( .A(n58016), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_27[2]), 
+        .Y(n38889) );
+  sky130_fd_sc_hd__nand2_1 U58040 ( .A(n58023), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_27[1]), 
+        .Y(n38888) );
+  sky130_fd_sc_hd__nand3_1 U58041 ( .A(n38890), .B(n38889), .C(n38888), .Y(
+        n38892) );
+  sky130_fd_sc_hd__nand2_1 U58042 ( .A(n58017), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_28[2]), 
+        .Y(n38891) );
+  sky130_fd_sc_hd__nand3_1 U58043 ( .A(n38892), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[27]), 
+        .C(n38891), .Y(n58009) );
+  sky130_fd_sc_hd__nand2_1 U58044 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[28]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[0]), .Y(n38903) );
+  sky130_fd_sc_hd__o21a_2 U58045 ( .A1(n43819), .A2(n58009), .B1(n38893), .X(
+        n59583) );
+  sky130_fd_sc_hd__mux2i_1 U58046 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_27[2]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_28[2]), 
+        .S(n59583), .Y(n59575) );
+  sky130_fd_sc_hd__nand2_1 U58047 ( .A(n38895), .B(n38894), .Y(n59578) );
+  sky130_fd_sc_hd__mux2i_1 U58048 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_30[1]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_29[1]), 
+        .S(n38906), .Y(n38936) );
+  sky130_fd_sc_hd__mux2i_1 U58049 ( .A0(n38897), .A1(n38896), .S(n59583), .Y(
+        n38899) );
+  sky130_fd_sc_hd__clkbuf_1 U58050 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_30[0]), 
+        .X(n58013) );
+  sky130_fd_sc_hd__mux2i_1 U58051 ( .A0(n58013), .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_29[0]), 
+        .S(n38906), .Y(n38898) );
+  sky130_fd_sc_hd__nor2_1 U58052 ( .A(n38899), .B(n38898), .Y(n38901) );
+  sky130_fd_sc_hd__nand2_1 U58053 ( .A(n38936), .B(n38935), .Y(n38900) );
+  sky130_fd_sc_hd__o2bb2ai_1 U58054 ( .B1(n38935), .B2(n38936), .A1_N(n38901), 
+        .A2_N(n38900), .Y(n59576) );
+  sky130_fd_sc_hd__nand2_1 U58055 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[27]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[27]), 
+        .Y(n38902) );
+  sky130_fd_sc_hd__nand2_1 U58056 ( .A(n38903), .B(n38902), .Y(n38950) );
+  sky130_fd_sc_hd__nand3_1 U58057 ( .A(n59577), .B(n59578), .C(n59575), .Y(
+        n38904) );
+  sky130_fd_sc_hd__nand3_2 U58058 ( .A(n38905), .B(n38950), .C(n38904), .Y(
+        n59472) );
+  sky130_fd_sc_hd__nand2_1 U58059 ( .A(n59472), .B(n38943), .Y(n59580) );
+  sky130_fd_sc_hd__nor2_1 U58060 ( .A(n58014), .B(n59580), .Y(n38909) );
+  sky130_fd_sc_hd__nand2_1 U58061 ( .A(n38906), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_29[2]), 
+        .Y(n38907) );
+  sky130_fd_sc_hd__mux2i_1 U58062 ( .A0(n59575), .A1(n38907), .S(n59472), .Y(
+        n38908) );
+  sky130_fd_sc_hd__nor2_1 U58063 ( .A(n38909), .B(n38908), .Y(n38961) );
+  sky130_fd_sc_hd__nand2_1 U58064 ( .A(n58046), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_24[0]), 
+        .Y(n38911) );
+  sky130_fd_sc_hd__nand2_1 U58065 ( .A(n38922), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_24[1]), 
+        .Y(n38910) );
+  sky130_fd_sc_hd__nand2_1 U58066 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[23]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[23]), 
+        .Y(n38929) );
+  sky130_fd_sc_hd__nand2_1 U58067 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[24]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[24]), 
+        .Y(n38930) );
+  sky130_fd_sc_hd__nor2_1 U58068 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_24[2]), 
+        .B(n58048), .Y(n58044) );
+  sky130_fd_sc_hd__nand2_1 U58069 ( .A(n58044), .B(n38912), .Y(n38913) );
+  sky130_fd_sc_hd__mux2_2 U58070 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_24[2]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_23[2]), 
+        .S(n59570), .X(n38931) );
+  sky130_fd_sc_hd__nand2_1 U58072 ( .A(n38915), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_26[1]), 
+        .Y(n38917) );
+  sky130_fd_sc_hd__clkinv_1 U58073 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_25[0]), 
+        .Y(n58050) );
+  sky130_fd_sc_hd__nand2_1 U58074 ( .A(n58050), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_26[0]), 
+        .Y(n38916) );
+  sky130_fd_sc_hd__nand2_1 U58075 ( .A(n38917), .B(n38916), .Y(n38920) );
+  sky130_fd_sc_hd__nand2_1 U58076 ( .A(n38918), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_25[1]), 
+        .Y(n38919) );
+  sky130_fd_sc_hd__nand2_1 U58077 ( .A(n58058), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_26[2]), 
+        .Y(n58038) );
+  sky130_fd_sc_hd__nand2_1 U58078 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[25]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[25]), 
+        .Y(n38926) );
+  sky130_fd_sc_hd__nand2_1 U58079 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[26]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[26]), 
+        .Y(n38927) );
+  sky130_fd_sc_hd__mux2_2 U58080 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_25[1]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_26[1]), 
+        .S(n59571), .X(n38933) );
+  sky130_fd_sc_hd__mux2_2 U58081 ( .A0(n38923), .A1(n38922), .S(n59570), .X(
+        n38932) );
+  sky130_fd_sc_hd__mux2_2 U58082 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_25[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_26[0]), 
+        .S(n59571), .X(n38940) );
+  sky130_fd_sc_hd__mux2_2 U58083 ( .A0(n58047), .A1(n58046), .S(n59570), .X(
+        n38942) );
+  sky130_fd_sc_hd__nand2_1 U58084 ( .A(n38940), .B(n38942), .Y(n38925) );
+  sky130_fd_sc_hd__nand2_1 U58085 ( .A(n38933), .B(n38932), .Y(n38924) );
+  sky130_fd_sc_hd__nand2_1 U58086 ( .A(n38927), .B(n38926), .Y(n38953) );
+  sky130_fd_sc_hd__nand2_1 U58087 ( .A(n38930), .B(n38929), .Y(n38954) );
+  sky130_fd_sc_hd__mux2_2 U58088 ( .A0(n38931), .A1(n37669), .S(n59572), .X(
+        n38960) );
+  sky130_fd_sc_hd__mux2_2 U58089 ( .A0(n38934), .A1(n38933), .S(n59572), .X(
+        n38957) );
+  sky130_fd_sc_hd__nand2_1 U58090 ( .A(n59472), .B(n38936), .Y(n38937) );
+  sky130_fd_sc_hd__o21ai_1 U58091 ( .A1(n59472), .A2(n38935), .B1(n38937), .Y(
+        n38939) );
+  sky130_fd_sc_hd__nand2_1 U58092 ( .A(n38938), .B(n38958), .Y(n38949) );
+  sky130_fd_sc_hd__nand2_1 U58093 ( .A(n38957), .B(n38939), .Y(n38947) );
+  sky130_fd_sc_hd__mux2_2 U58094 ( .A0(n38942), .A1(n38941), .S(n59572), .X(
+        n38971) );
+  sky130_fd_sc_hd__mux2i_1 U58095 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_27[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_28[0]), 
+        .S(n59583), .Y(n38945) );
+  sky130_fd_sc_hd__nor2_1 U58096 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_29[0]), 
+        .B(n38943), .Y(n38944) );
+  sky130_fd_sc_hd__mux2i_1 U58097 ( .A0(n38945), .A1(n38944), .S(n59472), .Y(
+        n38946) );
+  sky130_fd_sc_hd__nand3_1 U58098 ( .A(n38947), .B(n38971), .C(n38970), .Y(
+        n38948) );
+  sky130_fd_sc_hd__nor2_1 U58099 ( .A(n38950), .B(n59578), .Y(n38965) );
+  sky130_fd_sc_hd__a21oi_1 U58100 ( .A1(n38960), .A2(n38961), .B1(n38965), .Y(
+        n38951) );
+  sky130_fd_sc_hd__nand2_1 U58101 ( .A(n38956), .B(n38955), .Y(n38964) );
+  sky130_fd_sc_hd__o21a_1 U58103 ( .A1(n62944), .A2(n38938), .B1(n38959), .X(
+        n38976) );
+  sky130_fd_sc_hd__nand2_1 U58104 ( .A(n38966), .B(n38965), .Y(n59460) );
+  sky130_fd_sc_hd__nand2_1 U58105 ( .A(n38973), .B(n38972), .Y(n39137) );
+  sky130_fd_sc_hd__nand3_1 U58106 ( .A(n59456), .B(n59460), .C(n59455), .Y(
+        n38974) );
+  sky130_fd_sc_hd__nand2_1 U58107 ( .A(n58182), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_2[0]), 
+        .Y(n38979) );
+  sky130_fd_sc_hd__nand2_1 U58108 ( .A(n45703), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_2[1]), 
+        .Y(n38978) );
+  sky130_fd_sc_hd__nand2_1 U58109 ( .A(n38979), .B(n38978), .Y(n38983) );
+  sky130_fd_sc_hd__nand2_1 U58110 ( .A(n58184), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_1[2]), 
+        .Y(n38982) );
+  sky130_fd_sc_hd__nand2_1 U58111 ( .A(n38980), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_1[1]), 
+        .Y(n38981) );
+  sky130_fd_sc_hd__nand3_1 U58112 ( .A(n38983), .B(n38982), .C(n38981), .Y(
+        n38985) );
+  sky130_fd_sc_hd__nand2_1 U58113 ( .A(n58185), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_2[2]), 
+        .Y(n38984) );
+  sky130_fd_sc_hd__nand3_1 U58114 ( .A(n38985), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[1]), .C(n38984), .Y(n58179) );
+  sky130_fd_sc_hd__nand2_1 U58115 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[2]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[2]), .Y(n38993) );
+  sky130_fd_sc_hd__o21a_1 U58116 ( .A1(n46415), .A2(n58179), .B1(n38986), .X(
+        n59537) );
+  sky130_fd_sc_hd__nand2_1 U58117 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[0]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[0]), .Y(n39021) );
+  sky130_fd_sc_hd__nor2_1 U58118 ( .A(n58228), .B(n39021), .Y(n38995) );
+  sky130_fd_sc_hd__mux2i_1 U58119 ( .A0(n58182), .A1(n58181), .S(n59537), .Y(
+        n39027) );
+  sky130_fd_sc_hd__nand2_1 U58120 ( .A(n38987), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_0[0]), 
+        .Y(n39028) );
+  sky130_fd_sc_hd__nand2_1 U58121 ( .A(n38988), .B(n39023), .Y(n38991) );
+  sky130_fd_sc_hd__nor2_1 U58122 ( .A(n39021), .B(n38989), .Y(n59534) );
+  sky130_fd_sc_hd__o2bb2ai_1 U58123 ( .B1(n38995), .B2(n38997), .A1_N(n38991), 
+        .A2_N(n38990), .Y(n59536) );
+  sky130_fd_sc_hd__nand2_1 U58124 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[1]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[1]), .Y(n38992) );
+  sky130_fd_sc_hd__nand2_1 U58125 ( .A(n38993), .B(n38992), .Y(n38994) );
+  sky130_fd_sc_hd__nand2_1 U58126 ( .A(n59536), .B(n38994), .Y(n59535) );
+  sky130_fd_sc_hd__o21a_1 U58127 ( .A1(n38997), .A2(n59535), .B1(n38996), .X(
+        n39121) );
+  sky130_fd_sc_hd__nand2_1 U58128 ( .A(n58215), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_3[2]), 
+        .Y(n38998) );
+  sky130_fd_sc_hd__nand2_1 U58129 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[3]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[3]), .Y(n39004) );
+  sky130_fd_sc_hd__nand2_1 U58130 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[4]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[4]), .Y(n39005) );
+  sky130_fd_sc_hd__mux2_2 U58131 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_3[2]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_4[2]), 
+        .S(n59519), .X(n39014) );
+  sky130_fd_sc_hd__nand2_1 U58132 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[5]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[5]), .Y(n39012) );
+  sky130_fd_sc_hd__nand2_1 U58133 ( .A(n58216), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_6[2]), 
+        .Y(n58202) );
+  sky130_fd_sc_hd__nand2_1 U58134 ( .A(n58204), .B(n38376), .Y(n39003) );
+  sky130_fd_sc_hd__nand2_1 U58135 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[6]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[6]), .Y(n39013) );
+  sky130_fd_sc_hd__nor2_1 U58136 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_6[2]), 
+        .B(n58216), .Y(n58205) );
+  sky130_fd_sc_hd__nand2_1 U58137 ( .A(n39000), .B(n58205), .Y(n39001) );
+  sky130_fd_sc_hd__nand3_1 U58138 ( .A(n39003), .B(n39002), .C(n39001), .Y(
+        n59514) );
+  sky130_fd_sc_hd__mux2_2 U58139 ( .A0(n58217), .A1(n58216), .S(n59514), .X(
+        n39017) );
+  sky130_fd_sc_hd__nand2_1 U58140 ( .A(n59513), .B(n59511), .Y(n39006) );
+  sky130_fd_sc_hd__nand2_1 U58141 ( .A(n39005), .B(n39004), .Y(n39032) );
+  sky130_fd_sc_hd__nand2_1 U58142 ( .A(n39006), .B(n39032), .Y(n39016) );
+  sky130_fd_sc_hd__mux2_2 U58143 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_3[1]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_4[1]), 
+        .S(n59519), .X(n39020) );
+  sky130_fd_sc_hd__mux2_2 U58144 ( .A0(n58208), .A1(n37773), .S(n59514), .X(
+        n39008) );
+  sky130_fd_sc_hd__nand2_1 U58145 ( .A(n39020), .B(n39008), .Y(n39007) );
+  sky130_fd_sc_hd__mux2_2 U58146 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_6[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_5[0]), 
+        .S(n59514), .X(n39024) );
+  sky130_fd_sc_hd__mux2i_1 U58147 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_4[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_3[0]), 
+        .S(n38026), .Y(n39026) );
+  sky130_fd_sc_hd__nand3_1 U58148 ( .A(n39007), .B(n39024), .C(n39026), .Y(
+        n39011) );
+  sky130_fd_sc_hd__nand2_1 U58149 ( .A(n39009), .B(n39019), .Y(n39010) );
+  sky130_fd_sc_hd__a31oi_1 U58150 ( .A1(n39014), .A2(n39032), .A3(n39017), 
+        .B1(n39033), .Y(n39015) );
+  sky130_fd_sc_hd__nand2_1 U58151 ( .A(n59480), .B(n39017), .Y(n39018) );
+  sky130_fd_sc_hd__o21a_1 U58152 ( .A1(n59480), .A2(n39014), .B1(n39018), .X(
+        n39120) );
+  sky130_fd_sc_hd__mux2i_1 U58153 ( .A0(n39020), .A1(n39019), .S(n59480), .Y(
+        n39034) );
+  sky130_fd_sc_hd__mux2i_1 U58154 ( .A0(n39023), .A1(n39022), .S(n59535), .Y(
+        n39036) );
+  sky130_fd_sc_hd__mux2_2 U58155 ( .A0(n39029), .A1(n39028), .S(n59535), .X(
+        n39108) );
+  sky130_fd_sc_hd__nand2_1 U58156 ( .A(n59512), .B(n39033), .Y(n39119) );
+  sky130_fd_sc_hd__nand2_1 U58157 ( .A(n38130), .B(n39035), .Y(n39038) );
+  sky130_fd_sc_hd__nand2_1 U58158 ( .A(n59481), .B(n39036), .Y(n39037) );
+  sky130_fd_sc_hd__nand2_1 U58159 ( .A(n39038), .B(n39037), .Y(n39114) );
+  sky130_fd_sc_hd__nand2_1 U58160 ( .A(n58106), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_13[1]), 
+        .Y(n39039) );
+  sky130_fd_sc_hd__nand3_1 U58161 ( .A(n39039), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_14[0]), 
+        .C(n45760), .Y(n39042) );
+  sky130_fd_sc_hd__nand2_1 U58162 ( .A(n58118), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_14[2]), 
+        .Y(n39041) );
+  sky130_fd_sc_hd__nand2_1 U58163 ( .A(n58107), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_14[1]), 
+        .Y(n39040) );
+  sky130_fd_sc_hd__nand3_1 U58164 ( .A(n39042), .B(n39041), .C(n39040), .Y(
+        n39044) );
+  sky130_fd_sc_hd__nand2_1 U58165 ( .A(n58117), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_13[2]), 
+        .Y(n39043) );
+  sky130_fd_sc_hd__nand2_1 U58166 ( .A(n39044), .B(n39043), .Y(n58105) );
+  sky130_fd_sc_hd__nand2_1 U58167 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[13]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[13]), .Y(n39056) );
+  sky130_fd_sc_hd__nand2_1 U58168 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[14]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[0]), .Y(n39055) );
+  sky130_fd_sc_hd__nand2_1 U58169 ( .A(n39046), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_11[2]), 
+        .Y(n39048) );
+  sky130_fd_sc_hd__nand2_1 U58170 ( .A(n58108), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_11[1]), 
+        .Y(n39047) );
+  sky130_fd_sc_hd__nand3_1 U58171 ( .A(n39049), .B(n39048), .C(n39047), .Y(
+        n39052) );
+  sky130_fd_sc_hd__nand2_1 U58172 ( .A(n39050), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_12[2]), 
+        .Y(n39051) );
+  sky130_fd_sc_hd__nand3_1 U58173 ( .A(n39052), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[11]), .C(n39051), .Y(n58102) );
+  sky130_fd_sc_hd__nand2_1 U58174 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[12]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[12]), .Y(n39060) );
+  sky130_fd_sc_hd__mux2_2 U58175 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_13[2]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_14[2]), 
+        .S(n59562), .X(n39061) );
+  sky130_fd_sc_hd__mux2i_1 U58176 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_11[2]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_12[2]), 
+        .S(n59557), .Y(n39098) );
+  sky130_fd_sc_hd__nand2_1 U58177 ( .A(n59555), .B(n59553), .Y(n39057) );
+  sky130_fd_sc_hd__nand2_1 U58178 ( .A(n39056), .B(n39055), .Y(n39103) );
+  sky130_fd_sc_hd__nand2_1 U58179 ( .A(n39057), .B(n39103), .Y(n39063) );
+  sky130_fd_sc_hd__mux2_2 U58180 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_13[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_14[0]), 
+        .S(n59562), .X(n39093) );
+  sky130_fd_sc_hd__nand2_1 U58181 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[11]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[11]), .Y(n39059) );
+  sky130_fd_sc_hd__a31oi_1 U58182 ( .A1(n39061), .A2(n39103), .A3(n39098), 
+        .B1(n39104), .Y(n39062) );
+  sky130_fd_sc_hd__mux2i_1 U58183 ( .A0(n37877), .A1(n39064), .S(n59552), .Y(
+        n39089) );
+  sky130_fd_sc_hd__nand2_1 U58185 ( .A(n39065), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_8[1]), 
+        .Y(n39068) );
+  sky130_fd_sc_hd__nand2_1 U58186 ( .A(n39066), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_8[0]), 
+        .Y(n39067) );
+  sky130_fd_sc_hd__nand2_1 U58187 ( .A(n39068), .B(n39067), .Y(n39071) );
+  sky130_fd_sc_hd__nand2_1 U58188 ( .A(n39069), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_7[1]), 
+        .Y(n39070) );
+  sky130_fd_sc_hd__nand2_1 U58189 ( .A(n39071), .B(n39070), .Y(n39073) );
+  sky130_fd_sc_hd__nand2_1 U58190 ( .A(n58132), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_8[2]), 
+        .Y(n39072) );
+  sky130_fd_sc_hd__nand2_1 U58191 ( .A(n39073), .B(n39072), .Y(n39074) );
+  sky130_fd_sc_hd__nand2_1 U58192 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[7]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[7]), 
+        .Y(n39082) );
+  sky130_fd_sc_hd__nand2_1 U58193 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[8]), 
+        .B(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[8]), 
+        .Y(n39083) );
+  sky130_fd_sc_hd__a21oi_2 U58194 ( .A1(n58129), .A2(n39075), .B1(n39083), .Y(
+        n59529) );
+  sky130_fd_sc_hd__mux2_2 U58195 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_7[1]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_8[1]), 
+        .S(n59529), .X(n39088) );
+  sky130_fd_sc_hd__nand2_1 U58196 ( .A(n58133), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_9[1]), 
+        .Y(n39076) );
+  sky130_fd_sc_hd__nand2_1 U58197 ( .A(n39080), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_9[2]), 
+        .Y(n39078) );
+  sky130_fd_sc_hd__nand2_1 U58198 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[9]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[9]), 
+        .Y(n39085) );
+  sky130_fd_sc_hd__nand2_1 U58199 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[10]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[10]), .Y(n39086) );
+  sky130_fd_sc_hd__a21oi_1 U58200 ( .A1(n58127), .A2(n39079), .B1(n39086), .Y(
+        n59523) );
+  sky130_fd_sc_hd__nand2_1 U58201 ( .A(n39083), .B(n39082), .Y(n59525) );
+  sky130_fd_sc_hd__mux2i_1 U58202 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_8[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_7[0]), 
+        .S(n39084), .Y(n39090) );
+  sky130_fd_sc_hd__nand2_1 U58203 ( .A(n39086), .B(n39085), .Y(n39101) );
+  sky130_fd_sc_hd__mux2i_1 U58204 ( .A0(n39088), .A1(n37169), .S(n59483), .Y(
+        n39105) );
+  sky130_fd_sc_hd__nand2_1 U58205 ( .A(n39105), .B(n39089), .Y(n39097) );
+  sky130_fd_sc_hd__mux2i_1 U58206 ( .A0(n39092), .A1(n39091), .S(n59483), .Y(
+        n39110) );
+  sky130_fd_sc_hd__mux2i_1 U58207 ( .A0(n39095), .A1(n39094), .S(n59552), .Y(
+        n39109) );
+  sky130_fd_sc_hd__nand2_1 U58208 ( .A(n39110), .B(n39109), .Y(n39096) );
+  sky130_fd_sc_hd__mux2i_1 U58209 ( .A0(n38290), .A1(n59520), .S(n59483), .Y(
+        n39117) );
+  sky130_fd_sc_hd__inv_1 U58210 ( .A(n39117), .Y(n39099) );
+  sky130_fd_sc_hd__inv_1 U58211 ( .A(n39105), .Y(n39100) );
+  sky130_fd_sc_hd__nor2_1 U58212 ( .A(n59525), .B(n39101), .Y(n39124) );
+  sky130_fd_sc_hd__nand2_1 U58213 ( .A(n59554), .B(n39104), .Y(n39123) );
+  sky130_fd_sc_hd__mux2_2 U58214 ( .A0(n39106), .A1(n39105), .S(n59484), .X(
+        n39128) );
+  sky130_fd_sc_hd__nand2_1 U58216 ( .A(n59484), .B(n39111), .Y(n39112) );
+  sky130_fd_sc_hd__nand2_1 U58218 ( .A(n39115), .B(n39114), .Y(n39116) );
+  sky130_fd_sc_hd__nand2_1 U58219 ( .A(n39120), .B(n39119), .Y(n39122) );
+  sky130_fd_sc_hd__nand2_1 U58220 ( .A(n39122), .B(n39121), .Y(n39133) );
+  sky130_fd_sc_hd__nand2_1 U58221 ( .A(n39125), .B(n39124), .Y(n39134) );
+  sky130_fd_sc_hd__nand2_1 U58222 ( .A(n39126), .B(n39134), .Y(n39127) );
+  sky130_fd_sc_hd__a21oi_1 U58223 ( .A1(n39135), .A2(n39134), .B1(n39133), .Y(
+        n39136) );
+  sky130_fd_sc_hd__nor2_1 U58224 ( .A(n59460), .B(n39137), .Y(n39155) );
+  sky130_fd_sc_hd__a21oi_1 U58225 ( .A1(n39138), .A2(n39156), .B1(n39155), .Y(
+        n39139) );
+  sky130_fd_sc_hd__nand2_1 U58227 ( .A(n59441), .B(n39141), .Y(n39142) );
+  sky130_fd_sc_hd__nand2_1 U58228 ( .A(n76523), .B(n39159), .Y(n39151) );
+  sky130_fd_sc_hd__nand2_1 U58229 ( .A(n59441), .B(n39146), .Y(n39147) );
+  sky130_fd_sc_hd__o21ai_1 U58230 ( .A1(n76520), .A2(n59441), .B1(n39147), .Y(
+        n39148) );
+  sky130_fd_sc_hd__nor2_1 U58231 ( .A(n39149), .B(n39148), .Y(n39150) );
+  sky130_fd_sc_hd__nand2_1 U58232 ( .A(n39151), .B(n39150), .Y(n39163) );
+  sky130_fd_sc_hd__a21oi_1 U58233 ( .A1(n39158), .A2(n39157), .B1(n39156), .Y(
+        n76529) );
+  sky130_fd_sc_hd__nand2_1 U58234 ( .A(n39164), .B(n76529), .Y(n39162) );
+  sky130_fd_sc_hd__inv_1 U58235 ( .A(n76523), .Y(n39160) );
+  sky130_fd_sc_hd__nand3_1 U58236 ( .A(n39163), .B(n39162), .C(n39161), .Y(
+        n39170) );
+  sky130_fd_sc_hd__nand3_1 U58237 ( .A(n39168), .B(n39167), .C(n39166), .Y(
+        n76527) );
+  sky130_fd_sc_hd__nand2_2 U58238 ( .A(n39170), .B(n37103), .Y(n76524) );
+  sky130_fd_sc_hd__inv_2 U58239 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_tag_hit_1), .Y(n39174) );
+  sky130_fd_sc_hd__nand2_1 U58240 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[29]), .B(n39233), .Y(n39173) );
+  sky130_fd_sc_hd__inv_2 U58241 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_tag_hit_0), .Y(n39171) );
+  sky130_fd_sc_hd__inv_6 U58242 ( .A(n39171), .Y(n39237) );
+  sky130_fd_sc_hd__nand2_1 U58243 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[29]), .B(n39237), .Y(n39172) );
+  sky130_fd_sc_hd__nand2_2 U58244 ( .A(n39173), .B(n39172), .Y(n85753) );
+  sky130_fd_sc_hd__inv_4 U58245 ( .A(n39174), .Y(n39236) );
+  sky130_fd_sc_hd__nand2_1 U58246 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[13]), .B(n39236), .Y(n39176) );
+  sky130_fd_sc_hd__nand2_1 U58247 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[13]), .B(n39237), .Y(n39175) );
+  sky130_fd_sc_hd__nand2_1 U58248 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[31]), .B(n39236), .Y(n39178) );
+  sky130_fd_sc_hd__nand2_1 U58249 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[31]), .B(n39237), .Y(n39177) );
+  sky130_fd_sc_hd__nand2_2 U58250 ( .A(n39178), .B(n39177), .Y(n85505) );
+  sky130_fd_sc_hd__nand2_1 U58251 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[15]), .B(n39236), .Y(n39180) );
+  sky130_fd_sc_hd__nand2_4 U58252 ( .A(n39180), .B(n39179), .Y(n85509) );
+  sky130_fd_sc_hd__nand2_1 U58253 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[16]), .B(n39236), .Y(n39182) );
+  sky130_fd_sc_hd__nand2_1 U58254 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[16]), .B(n39237), .Y(n39181) );
+  sky130_fd_sc_hd__nand2_2 U58255 ( .A(n39182), .B(n39181), .Y(n85504) );
+  sky130_fd_sc_hd__nand2_1 U58256 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[0]), .B(n39233), .Y(n39184) );
+  sky130_fd_sc_hd__nand2_1 U58257 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_tag_hit_0), .Y(n39183) );
+  sky130_fd_sc_hd__nand2_1 U58258 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[17]), .B(n39233), .Y(n39186) );
+  sky130_fd_sc_hd__nand2_1 U58259 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[17]), .B(n39237), .Y(n39185) );
+  sky130_fd_sc_hd__nand2_1 U58260 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[1]), .B(n39233), .Y(n39188) );
+  sky130_fd_sc_hd__nand2_1 U58261 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[14]), .B(n39236), .Y(n39189) );
+  sky130_fd_sc_hd__nand2_1 U58262 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[30]), .B(n39236), .Y(n39192) );
+  sky130_fd_sc_hd__nand2_1 U58263 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[30]), .B(n39237), .Y(n39191) );
+  sky130_fd_sc_hd__nand2_1 U58264 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[26]), .B(n39236), .Y(n39194) );
+  sky130_fd_sc_hd__nand2_1 U58265 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[26]), .B(n39237), .Y(n39193) );
+  sky130_fd_sc_hd__nand2_1 U58266 ( .A(n39194), .B(n39193), .Y(n85491) );
+  sky130_fd_sc_hd__nand2_1 U58267 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[10]), .B(n39236), .Y(n39196) );
+  sky130_fd_sc_hd__nand2_1 U58268 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[10]), .B(n39237), .Y(n39195) );
+  sky130_fd_sc_hd__nand2_1 U58269 ( .A(n39196), .B(n39195), .Y(n85518) );
+  sky130_fd_sc_hd__nand2_1 U58270 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[27]), .B(n39233), .Y(n39198) );
+  sky130_fd_sc_hd__nand2_1 U58271 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[27]), .B(n39237), .Y(n39197) );
+  sky130_fd_sc_hd__nand2_1 U58272 ( .A(n39198), .B(n39197), .Y(n85494) );
+  sky130_fd_sc_hd__nand2_1 U58273 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[11]), .B(n39233), .Y(n39200) );
+  sky130_fd_sc_hd__nand2_1 U58274 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[11]), .B(n39237), .Y(n39199) );
+  sky130_fd_sc_hd__nand2_1 U58275 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[28]), .B(n39236), .Y(n39202) );
+  sky130_fd_sc_hd__nand2_1 U58276 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[28]), .B(n39237), .Y(n39201) );
+  sky130_fd_sc_hd__nand2_1 U58277 ( .A(n39202), .B(n39201), .Y(n85512) );
+  sky130_fd_sc_hd__nand2_1 U58278 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[12]), .B(n39236), .Y(n39204) );
+  sky130_fd_sc_hd__nand2_1 U58279 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[12]), .B(n39237), .Y(n39203) );
+  sky130_fd_sc_hd__nand2_1 U58280 ( .A(n39204), .B(n39203), .Y(n85492) );
+  sky130_fd_sc_hd__nand2_1 U58281 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[18]), .B(n39236), .Y(n39206) );
+  sky130_fd_sc_hd__nand2_1 U58282 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[18]), .B(n39237), .Y(n39205) );
+  sky130_fd_sc_hd__nand2_1 U58283 ( .A(n39206), .B(n39205), .Y(n85502) );
+  sky130_fd_sc_hd__nand2_1 U58284 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[2]), .B(n39236), .Y(n39208) );
+  sky130_fd_sc_hd__nand2_1 U58285 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[2]), .B(n39237), .Y(n39207) );
+  sky130_fd_sc_hd__nand2_1 U58286 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[20]), .B(n39233), .Y(n39210) );
+  sky130_fd_sc_hd__nand2_1 U58287 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[20]), .B(n39237), .Y(n39209) );
+  sky130_fd_sc_hd__nand2_1 U58288 ( .A(n39210), .B(n39209), .Y(n85507) );
+  sky130_fd_sc_hd__nand2_1 U58289 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[4]), .B(n39233), .Y(n39212) );
+  sky130_fd_sc_hd__nand2_1 U58290 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[4]), .B(n39237), .Y(n39211) );
+  sky130_fd_sc_hd__nand2_1 U58291 ( .A(n39212), .B(n39211), .Y(n85501) );
+  sky130_fd_sc_hd__nand2_1 U58292 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[19]), .B(n39236), .Y(n39214) );
+  sky130_fd_sc_hd__nand2_1 U58293 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[19]), .B(n39237), .Y(n39213) );
+  sky130_fd_sc_hd__nand2_1 U58294 ( .A(n39214), .B(n39213), .Y(n85498) );
+  sky130_fd_sc_hd__nand2_1 U58295 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[3]), .B(n39236), .Y(n39216) );
+  sky130_fd_sc_hd__nand2_1 U58296 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[3]), .B(n39237), .Y(n39215) );
+  sky130_fd_sc_hd__nand2_1 U58297 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[6]), .B(n39233), .Y(n39218) );
+  sky130_fd_sc_hd__nand2_1 U58298 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[6]), .B(n39237), .Y(n39217) );
+  sky130_fd_sc_hd__nand2_1 U58299 ( .A(n39218), .B(n39217), .Y(n85515) );
+  sky130_fd_sc_hd__nand2_1 U58300 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[22]), .B(n39236), .Y(n39220) );
+  sky130_fd_sc_hd__nand2_1 U58301 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[22]), .B(n39237), .Y(n39219) );
+  sky130_fd_sc_hd__nand2_1 U58302 ( .A(n39220), .B(n39219), .Y(n85511) );
+  sky130_fd_sc_hd__nand2_1 U58303 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[5]), .B(n39236), .Y(n39222) );
+  sky130_fd_sc_hd__nand2_1 U58304 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[5]), .B(n39237), .Y(n39221) );
+  sky130_fd_sc_hd__nand2_1 U58305 ( .A(n39222), .B(n39221), .Y(n85493) );
+  sky130_fd_sc_hd__nand2_1 U58306 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[21]), .B(n39236), .Y(n39224) );
+  sky130_fd_sc_hd__nand2_1 U58307 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[21]), .B(n39237), .Y(n39223) );
+  sky130_fd_sc_hd__nand2_1 U58308 ( .A(n39224), .B(n39223), .Y(n85752) );
+  sky130_fd_sc_hd__nand2_1 U58309 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[24]), .B(n39236), .Y(n39226) );
+  sky130_fd_sc_hd__nand2_1 U58310 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[24]), .B(n39237), .Y(n39225) );
+  sky130_fd_sc_hd__nand2_1 U58311 ( .A(n39226), .B(n39225), .Y(n85496) );
+  sky130_fd_sc_hd__nand2_1 U58312 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[8]), .B(n39233), .Y(n39228) );
+  sky130_fd_sc_hd__nand2_1 U58313 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[8]), .B(n39237), .Y(n39227) );
+  sky130_fd_sc_hd__nand2_1 U58314 ( .A(n39228), .B(n39227), .Y(n85516) );
+  sky130_fd_sc_hd__nand2_1 U58315 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[9]), .B(n39236), .Y(n39230) );
+  sky130_fd_sc_hd__nand2_1 U58316 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[9]), .B(n39237), .Y(n39229) );
+  sky130_fd_sc_hd__nand2_1 U58317 ( .A(n39230), .B(n39229), .Y(n85500) );
+  sky130_fd_sc_hd__nand2_1 U58318 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[25]), .B(n39236), .Y(n39232) );
+  sky130_fd_sc_hd__nand2_1 U58319 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[25]), .B(n39237), .Y(n39231) );
+  sky130_fd_sc_hd__nand2_1 U58320 ( .A(n39232), .B(n39231), .Y(n85495) );
+  sky130_fd_sc_hd__nand2_1 U58321 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[7]), .B(n39233), .Y(n39235) );
+  sky130_fd_sc_hd__nand2_1 U58322 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[7]), .B(n39237), .Y(n39234) );
+  sky130_fd_sc_hd__nand2_2 U58323 ( .A(n39235), .B(n39234), .Y(n85490) );
+  sky130_fd_sc_hd__nand2_1 U58324 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_1[23]), .B(n39236), .Y(n39239) );
+  sky130_fd_sc_hd__nand2_1 U58325 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_dout_0[23]), .B(n39237), .Y(n39238) );
+  sky130_fd_sc_hd__nand2_1 U58326 ( .A(n39239), .B(n39238), .Y(n85497) );
+  sky130_fd_sc_hd__buf_4 U58327 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__GEN_59_0_), .X(n59177) );
+  sky130_fd_sc_hd__mux2i_1 U58329 ( .A0(n85753), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[29]), .S(n39518), .Y(n63747) );
+  sky130_fd_sc_hd__nand2_1 U58330 ( .A(n37485), .B(n63747), .Y(n39244) );
+  sky130_fd_sc_hd__nand2_1 U58331 ( .A(n39241), .B(n59177), .Y(n39243) );
+  sky130_fd_sc_hd__nand3_2 U58332 ( .A(n39244), .B(n39243), .C(n39242), .Y(
+        n69144) );
+  sky130_fd_sc_hd__inv_4 U58333 ( .A(n39558), .Y(n39522) );
+  sky130_fd_sc_hd__nand2_1 U58334 ( .A(n85504), .B(n37899), .Y(n39247) );
+  sky130_fd_sc_hd__nand2_1 U58335 ( .A(n39522), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[16]), .Y(n39246) );
+  sky130_fd_sc_hd__nand2_1 U58336 ( .A(n39247), .B(n39246), .Y(n73248) );
+  sky130_fd_sc_hd__nand2_1 U58337 ( .A(n59177), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data[0]), .Y(n41101) );
+  sky130_fd_sc_hd__nand2_1 U58338 ( .A(n41100), .B(n59177), .Y(n39251) );
+  sky130_fd_sc_hd__mux2i_1 U58339 ( .A0(n85514), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[1]), .S(n39522), .Y(n39943) );
+  sky130_fd_sc_hd__mux2i_1 U58340 ( .A0(n85494), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[27]), .S(n39522), .Y(n63777) );
+  sky130_fd_sc_hd__nand2_1 U58341 ( .A(n37485), .B(n63777), .Y(n39256) );
+  sky130_fd_sc_hd__nand2_1 U58342 ( .A(n39253), .B(n59177), .Y(n39255) );
+  sky130_fd_sc_hd__mux2i_1 U58343 ( .A0(n85513), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[11]), .S(n39522), .Y(n63776) );
+  sky130_fd_sc_hd__mux2i_1 U58344 ( .A0(n85491), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[26]), .S(n39522), .Y(n63767) );
+  sky130_fd_sc_hd__nand2_1 U58345 ( .A(n37485), .B(n63767), .Y(n39260) );
+  sky130_fd_sc_hd__nand2_1 U58346 ( .A(n39257), .B(n59177), .Y(n39259) );
+  sky130_fd_sc_hd__mux2i_1 U58347 ( .A0(n85518), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[10]), .S(n39522), .Y(n63766) );
+  sky130_fd_sc_hd__nand3_1 U58348 ( .A(n39260), .B(n39259), .C(n39258), .Y(
+        n66031) );
+  sky130_fd_sc_hd__nand2_1 U58349 ( .A(n66536), .B(n54896), .Y(n39357) );
+  sky130_fd_sc_hd__nand2_1 U58350 ( .A(n37044), .B(n39357), .Y(n39337) );
+  sky130_fd_sc_hd__nand2b_4 U58351 ( .A_N(n69144), .B(n66297), .Y(n39986) );
+  sky130_fd_sc_hd__mux2i_1 U58352 ( .A0(n85512), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[28]), .S(n39518), .Y(n63757) );
+  sky130_fd_sc_hd__nand2_1 U58353 ( .A(n39261), .B(n59177), .Y(n39263) );
+  sky130_fd_sc_hd__inv_2 U58354 ( .A(n57376), .Y(n62941) );
+  sky130_fd_sc_hd__mux2i_1 U58355 ( .A0(n85492), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[12]), .S(n62941), .Y(n63756) );
+  sky130_fd_sc_hd__nand3_1 U58356 ( .A(n39264), .B(n39263), .C(n39262), .Y(
+        n66591) );
+  sky130_fd_sc_hd__nand2_1 U58357 ( .A(n54150), .B(n44197), .Y(n54978) );
+  sky130_fd_sc_hd__mux2i_1 U58358 ( .A0(n85515), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[6]), .S(n39518), .Y(n72749) );
+  sky130_fd_sc_hd__mux2i_1 U58359 ( .A0(n85511), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[22]), .S(n39518), .Y(n72750) );
+  sky130_fd_sc_hd__o211ai_1 U58360 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data[6]), .A2(n41102), .B1(n39266), .C1(n39265), .Y(n39374) );
+  sky130_fd_sc_hd__mux2i_1 U58361 ( .A0(n85493), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[5]), .S(n39518), .Y(n63832) );
+  sky130_fd_sc_hd__mux2i_1 U58362 ( .A0(n85752), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[21]), .S(n39518), .Y(n63833) );
+  sky130_fd_sc_hd__o211ai_1 U58363 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__data[5]), .A2(n41102), .B1(n39268), .C1(n39267), .Y(n66641) );
+  sky130_fd_sc_hd__nand2_1 U58364 ( .A(n39374), .B(n66641), .Y(n39407) );
+  sky130_fd_sc_hd__nor2_1 U58365 ( .A(n66297), .B(n37000), .Y(n39269) );
+  sky130_fd_sc_hd__a31oi_1 U58366 ( .A1(n37044), .A2(n39407), .A3(n66591), 
+        .B1(n39269), .Y(n39280) );
+  sky130_fd_sc_hd__mux2i_1 U58367 ( .A0(n85500), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[9]), .S(n39522), .Y(n63806) );
+  sky130_fd_sc_hd__mux2i_1 U58368 ( .A0(n85495), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[25]), .S(n39522), .Y(n63807) );
+  sky130_fd_sc_hd__nand2_1 U58369 ( .A(n37485), .B(n63807), .Y(n39270) );
+  sky130_fd_sc_hd__mux2i_1 U58370 ( .A0(n85490), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[7]), .S(n39522), .Y(n63796) );
+  sky130_fd_sc_hd__mux2i_1 U58371 ( .A0(n85497), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[23]), .S(n39522), .Y(n63797) );
+  sky130_fd_sc_hd__mux2i_1 U58372 ( .A0(n85507), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[20]), .S(n39518), .Y(n63849) );
+  sky130_fd_sc_hd__nand2_1 U58373 ( .A(n39275), .B(n59177), .Y(n39277) );
+  sky130_fd_sc_hd__mux2i_1 U58374 ( .A0(n85501), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[4]), .S(n39518), .Y(n63848) );
+  sky130_fd_sc_hd__nand3_1 U58375 ( .A(n39278), .B(n39277), .C(n39276), .Y(
+        n39911) );
+  sky130_fd_sc_hd__nand2_1 U58376 ( .A(n54976), .B(n64278), .Y(n39279) );
+  sky130_fd_sc_hd__nand3_1 U58377 ( .A(n39288), .B(n39280), .C(n39279), .Y(
+        n85534) );
+  sky130_fd_sc_hd__nor2_1 U58378 ( .A(n69144), .B(n66297), .Y(n39360) );
+  sky130_fd_sc_hd__inv_2 U58379 ( .A(n39374), .Y(n65175) );
+  sky130_fd_sc_hd__nand3_1 U58380 ( .A(n37044), .B(n65175), .C(n41833), .Y(
+        n39282) );
+  sky130_fd_sc_hd__nor2_1 U58381 ( .A(n39986), .B(n54916), .Y(n39319) );
+  sky130_fd_sc_hd__a21oi_1 U58382 ( .A1(n44197), .A2(n64910), .B1(n39319), .Y(
+        n39281) );
+  sky130_fd_sc_hd__inv_2 U58383 ( .A(n39986), .Y(n39399) );
+  sky130_fd_sc_hd__mux2i_1 U58384 ( .A0(n85502), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[18]), .S(n39518), .Y(n63843) );
+  sky130_fd_sc_hd__mux2i_1 U58385 ( .A0(n85499), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[2]), .S(n39518), .Y(n63842) );
+  sky130_fd_sc_hd__nand2_1 U58386 ( .A(n39283), .B(n59177), .Y(n39284) );
+  sky130_fd_sc_hd__nand3_1 U58387 ( .A(n39286), .B(n39285), .C(n39284), .Y(
+        n64455) );
+  sky130_fd_sc_hd__nand2_1 U58388 ( .A(n54976), .B(n41831), .Y(n39287) );
+  sky130_fd_sc_hd__nand3_1 U58389 ( .A(n39288), .B(n37171), .C(n39287), .Y(
+        n85536) );
+  sky130_fd_sc_hd__nand2_1 U58390 ( .A(n37044), .B(n65175), .Y(n39289) );
+  sky130_fd_sc_hd__a21oi_1 U58391 ( .A1(n39337), .A2(n39289), .B1(n39951), .Y(
+        n39299) );
+  sky130_fd_sc_hd__mux2i_1 U58392 ( .A0(n85498), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[19]), .S(n39518), .Y(n63819) );
+  sky130_fd_sc_hd__nand2_1 U58393 ( .A(n37485), .B(n63819), .Y(n39293) );
+  sky130_fd_sc_hd__nand2_1 U58394 ( .A(n39290), .B(n59177), .Y(n39292) );
+  sky130_fd_sc_hd__mux2i_1 U58395 ( .A0(n85503), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[3]), .S(n39518), .Y(n39947) );
+  sky130_fd_sc_hd__nand3_1 U58396 ( .A(n39293), .B(n39292), .C(n39291), .Y(
+        n69911) );
+  sky130_fd_sc_hd__nand2_1 U58397 ( .A(n54976), .B(n55601), .Y(n39296) );
+  sky130_fd_sc_hd__nand2_1 U58398 ( .A(n54908), .B(n39343), .Y(n39323) );
+  sky130_fd_sc_hd__nand2_2 U58399 ( .A(n69144), .B(n66297), .Y(n39373) );
+  sky130_fd_sc_hd__nor2_1 U58400 ( .A(n37934), .B(n39373), .Y(n39294) );
+  sky130_fd_sc_hd__nor2_1 U58401 ( .A(n39425), .B(n39316), .Y(n41830) );
+  sky130_fd_sc_hd__nor2_1 U58402 ( .A(n41834), .B(n41830), .Y(n39295) );
+  sky130_fd_sc_hd__nand4_1 U58403 ( .A(n39296), .B(n54921), .C(n39295), .D(
+        n54978), .Y(n39298) );
+  sky130_fd_sc_hd__nand2_1 U58404 ( .A(n64910), .B(n69144), .Y(n39297) );
+  sky130_fd_sc_hd__nand2_1 U58405 ( .A(n54911), .B(n39300), .Y(n39369) );
+  sky130_fd_sc_hd__nand2_1 U58406 ( .A(n54911), .B(n41833), .Y(n54984) );
+  sky130_fd_sc_hd__nand2_1 U58407 ( .A(n39369), .B(n54984), .Y(n39301) );
+  sky130_fd_sc_hd__nand2_1 U58408 ( .A(n39301), .B(n37000), .Y(n39305) );
+  sky130_fd_sc_hd__nand2_1 U58409 ( .A(n41104), .B(n59177), .Y(n39302) );
+  sky130_fd_sc_hd__mux2_2 U58410 ( .A0(n39304), .A1(n39303), .S(n39302), .X(
+        n64911) );
+  sky130_fd_sc_hd__nand2b_1 U58411 ( .A_N(n37000), .B(n64911), .Y(n39307) );
+  sky130_fd_sc_hd__nand2_1 U58412 ( .A(n54976), .B(n44197), .Y(n39352) );
+  sky130_fd_sc_hd__nor2_1 U58413 ( .A(n41833), .B(n41834), .Y(n39309) );
+  sky130_fd_sc_hd__nand3_1 U58414 ( .A(n39306), .B(n68709), .C(n39373), .Y(
+        n39408) );
+  sky130_fd_sc_hd__nand2_1 U58415 ( .A(n39968), .B(n54915), .Y(n39410) );
+  sky130_fd_sc_hd__o21ai_0 U58416 ( .A1(n54896), .A2(n39408), .B1(n39410), .Y(
+        n39308) );
+  sky130_fd_sc_hd__o21a_1 U58417 ( .A1(n39309), .A2(n39308), .B1(n39307), .X(
+        n39312) );
+  sky130_fd_sc_hd__nand2_1 U58418 ( .A(n39310), .B(n41833), .Y(n39311) );
+  sky130_fd_sc_hd__nand4_1 U58419 ( .A(n39352), .B(n39447), .C(n39312), .D(
+        n39311), .Y(n39313) );
+  sky130_fd_sc_hd__mux2_2 U58420 ( .A0(n72749), .A1(n72750), .S(n39302), .X(
+        n68752) );
+  sky130_fd_sc_hd__nand4_1 U58421 ( .A(n39929), .B(n37949), .C(n39978), .D(
+        n39980), .Y(n39318) );
+  sky130_fd_sc_hd__inv_2 U58422 ( .A(n39936), .Y(n39386) );
+  sky130_fd_sc_hd__nor2_2 U58423 ( .A(n36799), .B(n54149), .Y(n54910) );
+  sky130_fd_sc_hd__inv_2 U58424 ( .A(n54910), .Y(n39317) );
+  sky130_fd_sc_hd__nor2_1 U58425 ( .A(n39374), .B(n39319), .Y(n39322) );
+  sky130_fd_sc_hd__nand2_1 U58426 ( .A(n39936), .B(n39425), .Y(n54898) );
+  sky130_fd_sc_hd__nand2_1 U58427 ( .A(n39321), .B(n39911), .Y(n39320) );
+  sky130_fd_sc_hd__o211ai_1 U58428 ( .A1(n39322), .A2(n39321), .B1(n39922), 
+        .C1(n39320), .Y(n39326) );
+  sky130_fd_sc_hd__inv_1 U58429 ( .A(n39323), .Y(n39324) );
+  sky130_fd_sc_hd__a21oi_1 U58430 ( .A1(n39986), .A2(n39935), .B1(n39316), .Y(
+        n39423) );
+  sky130_fd_sc_hd__nor2_1 U58431 ( .A(n64910), .B(n39423), .Y(n39325) );
+  sky130_fd_sc_hd__a21o_1 U58432 ( .A1(n39352), .A2(n39326), .B1(n39414), .X(
+        n39327) );
+  sky130_fd_sc_hd__nand2b_1 U58433 ( .A_N(n54149), .B(n39978), .Y(n54167) );
+  sky130_fd_sc_hd__nor2_1 U58434 ( .A(n39376), .B(n54167), .Y(n39348) );
+  sky130_fd_sc_hd__mux2i_1 U58435 ( .A0(n85496), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[24]), .S(n62941), .Y(n63787) );
+  sky130_fd_sc_hd__nand2_1 U58436 ( .A(n37485), .B(n63787), .Y(n39331) );
+  sky130_fd_sc_hd__mux2i_1 U58437 ( .A0(n85516), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_data[8]), .S(n62941), .Y(n63786) );
+  sky130_fd_sc_hd__nand2_1 U58438 ( .A(n39328), .B(n59177), .Y(n39329) );
+  sky130_fd_sc_hd__nand3_1 U58439 ( .A(n39331), .B(n39330), .C(n39329), .Y(
+        n39927) );
+  sky130_fd_sc_hd__nor2_2 U58440 ( .A(n68586), .B(n39359), .Y(n44196) );
+  sky130_fd_sc_hd__nand2_1 U58441 ( .A(n44196), .B(n44197), .Y(n39431) );
+  sky130_fd_sc_hd__nand3_1 U58442 ( .A(n64455), .B(n39911), .C(n69911), .Y(
+        n39332) );
+  sky130_fd_sc_hd__nor2_1 U58443 ( .A(n39332), .B(n39407), .Y(n39421) );
+  sky130_fd_sc_hd__mux2_2 U58444 ( .A0(n39334), .A1(n39333), .S(n39302), .X(
+        n69081) );
+  sky130_fd_sc_hd__nand2b_1 U58445 ( .A_N(n36841), .B(n69081), .Y(n39913) );
+  sky130_fd_sc_hd__nand2_1 U58447 ( .A(n39352), .B(n54978), .Y(n54897) );
+  sky130_fd_sc_hd__a211o_1 U58448 ( .A1(n39336), .A2(n41831), .B1(n39335), 
+        .C1(n54897), .X(n85403) );
+  sky130_fd_sc_hd__nand2_1 U58449 ( .A(n39338), .B(n65175), .Y(n39341) );
+  sky130_fd_sc_hd__nand2_1 U58450 ( .A(n39339), .B(n66536), .Y(n39340) );
+  sky130_fd_sc_hd__nand3_1 U58451 ( .A(n39341), .B(n39352), .C(n39340), .Y(
+        n39342) );
+  sky130_fd_sc_hd__nand3_1 U58452 ( .A(n39342), .B(n41836), .C(n36841), .Y(
+        n39346) );
+  sky130_fd_sc_hd__mux2_2 U58453 ( .A0(n63786), .A1(n63787), .S(n39302), .X(
+        n39344) );
+  sky130_fd_sc_hd__o211ai_1 U58454 ( .A1(n39349), .A2(n54152), .B1(n39980), 
+        .C1(n39348), .Y(n39350) );
+  sky130_fd_sc_hd__nand2_1 U58455 ( .A(n39350), .B(n55601), .Y(n39353) );
+  sky130_fd_sc_hd__mux2i_1 U58456 ( .A0(n63832), .A1(n63833), .S(n39302), .Y(
+        n39351) );
+  sky130_fd_sc_hd__nand2b_1 U58457 ( .A_N(n36841), .B(n39351), .Y(n63053) );
+  sky130_fd_sc_hd__nand3_1 U58458 ( .A(n39353), .B(n39352), .C(n63053), .Y(
+        n85402) );
+  sky130_fd_sc_hd__mux2_2 U58459 ( .A0(n39355), .A1(n39354), .S(n39302), .X(
+        n69522) );
+  sky130_fd_sc_hd__o2bb2ai_1 U58460 ( .B1(n69144), .B2(n39410), .A1_N(n39356), 
+        .A2_N(n39358), .Y(n39362) );
+  sky130_fd_sc_hd__nand2_1 U58461 ( .A(n39360), .B(n39359), .Y(n39361) );
+  sky130_fd_sc_hd__nand2_1 U58462 ( .A(n39362), .B(n39361), .Y(n39363) );
+  sky130_fd_sc_hd__nand3_1 U58463 ( .A(n39363), .B(n44197), .C(n54911), .Y(
+        n39537) );
+  sky130_fd_sc_hd__nor2_1 U58464 ( .A(n66591), .B(n39923), .Y(n39365) );
+  sky130_fd_sc_hd__nor2_1 U58465 ( .A(n39951), .B(n54896), .Y(n39364) );
+  sky130_fd_sc_hd__nand3_1 U58466 ( .A(n39356), .B(n39365), .C(n39364), .Y(
+        n39388) );
+  sky130_fd_sc_hd__nand2_1 U58467 ( .A(n39399), .B(n65175), .Y(n39366) );
+  sky130_fd_sc_hd__nand2_1 U58468 ( .A(n37045), .B(n55601), .Y(n39367) );
+  sky130_fd_sc_hd__a21oi_1 U58469 ( .A1(n39370), .A2(n39388), .B1(n39369), .Y(
+        n39371) );
+  sky130_fd_sc_hd__nand2_1 U58470 ( .A(n39372), .B(n39371), .Y(n39378) );
+  sky130_fd_sc_hd__nand2_1 U58471 ( .A(n39356), .B(n39373), .Y(n54151) );
+  sky130_fd_sc_hd__o2bb2ai_1 U58472 ( .B1(n39374), .B2(n54151), .A1_N(n55601), 
+        .A2_N(n41830), .Y(n39375) );
+  sky130_fd_sc_hd__a21oi_1 U58473 ( .A1(n68586), .A2(n39376), .B1(n39375), .Y(
+        n39377) );
+  sky130_fd_sc_hd__nand2_1 U58474 ( .A(n39378), .B(n39377), .Y(n39379) );
+  sky130_fd_sc_hd__mux2_2 U58475 ( .A0(n69522), .A1(n39379), .S(n36841), .X(
+        n85545) );
+  sky130_fd_sc_hd__a21o_1 U58476 ( .A1(n66536), .A2(n39407), .B1(n39380), .X(
+        n39391) );
+  sky130_fd_sc_hd__nor2_1 U58477 ( .A(n39381), .B(n36841), .Y(n39950) );
+  sky130_fd_sc_hd__nand2_1 U58479 ( .A(n39950), .B(n39382), .Y(n39385) );
+  sky130_fd_sc_hd__nor2_1 U58480 ( .A(n39302), .B(n37000), .Y(n39948) );
+  sky130_fd_sc_hd__nand2_1 U58481 ( .A(n39948), .B(n72784), .Y(n39384) );
+  sky130_fd_sc_hd__nand2_1 U58482 ( .A(n39385), .B(n39384), .Y(n69765) );
+  sky130_fd_sc_hd__a21oi_1 U58483 ( .A1(n54150), .A2(n68586), .B1(n69765), .Y(
+        n39390) );
+  sky130_fd_sc_hd__nand2_1 U58484 ( .A(n54151), .B(n39386), .Y(n39387) );
+  sky130_fd_sc_hd__nand2_1 U58485 ( .A(n39387), .B(n44197), .Y(n39389) );
+  sky130_fd_sc_hd__nand2_1 U58486 ( .A(n39389), .B(n39388), .Y(n39393) );
+  sky130_fd_sc_hd__nand2_1 U58487 ( .A(n39393), .B(n37949), .Y(n39394) );
+  sky130_fd_sc_hd__nand3_1 U58488 ( .A(n39391), .B(n39390), .C(n39394), .Y(
+        n85399) );
+  sky130_fd_sc_hd__mux2i_1 U58489 ( .A0(n73342), .A1(n73343), .S(n39302), .Y(
+        n39392) );
+  sky130_fd_sc_hd__nand2b_1 U58490 ( .A_N(n37000), .B(n39392), .Y(n70116) );
+  sky130_fd_sc_hd__nand2b_1 U58491 ( .A_N(n39393), .B(n70116), .Y(n85415) );
+  sky130_fd_sc_hd__nand3_1 U58492 ( .A(n41832), .B(n54896), .C(n54911), .Y(
+        n39395) );
+  sky130_fd_sc_hd__nand2_1 U58493 ( .A(n39395), .B(n39394), .Y(n39396) );
+  sky130_fd_sc_hd__mux2i_1 U58494 ( .A0(n63746), .A1(n63747), .S(n39302), .Y(
+        n39397) );
+  sky130_fd_sc_hd__nand2b_1 U58495 ( .A_N(n36841), .B(n39397), .Y(n69319) );
+  sky130_fd_sc_hd__nand2_1 U58496 ( .A(n39398), .B(n69319), .Y(n85543) );
+  sky130_fd_sc_hd__mux2_2 U58497 ( .A0(n63756), .A1(n63757), .S(n39302), .X(
+        n69475) );
+  sky130_fd_sc_hd__nand2_1 U58498 ( .A(n39399), .B(n64278), .Y(n39400) );
+  sky130_fd_sc_hd__o22a_1 U58499 ( .A1(n36841), .A2(n69475), .B1(n39316), .B2(
+        n39400), .X(n39401) );
+  sky130_fd_sc_hd__o21ai_0 U58500 ( .A1(n66591), .A2(n54911), .B1(n39401), .Y(
+        n39402) );
+  sky130_fd_sc_hd__a21oi_1 U58501 ( .A1(n37045), .A2(n64278), .B1(n39402), .Y(
+        n39403) );
+  sky130_fd_sc_hd__clkinv_1 U58502 ( .A(n39447), .Y(n39406) );
+  sky130_fd_sc_hd__nand4_1 U58503 ( .A(n39404), .B(n39421), .C(n39923), .D(
+        n66591), .Y(n39405) );
+  sky130_fd_sc_hd__nand2_1 U58504 ( .A(n39405), .B(n37949), .Y(n39435) );
+  sky130_fd_sc_hd__a21oi_1 U58505 ( .A1(n39406), .A2(n44197), .B1(n39435), .Y(
+        n39413) );
+  sky130_fd_sc_hd__nand2_1 U58506 ( .A(n44196), .B(n66591), .Y(n39442) );
+  sky130_fd_sc_hd__nor2_1 U58507 ( .A(n39407), .B(n39442), .Y(n39436) );
+  sky130_fd_sc_hd__nand3_1 U58508 ( .A(n39436), .B(n54909), .C(n39408), .Y(
+        n39409) );
+  sky130_fd_sc_hd__nand2_1 U58509 ( .A(n44193), .B(n39425), .Y(n54914) );
+  sky130_fd_sc_hd__nand2_1 U58510 ( .A(n39409), .B(n54914), .Y(n39411) );
+  sky130_fd_sc_hd__nand2b_1 U58511 ( .A_N(n39410), .B(n39986), .Y(n55598) );
+  sky130_fd_sc_hd__nand2_1 U58512 ( .A(n39411), .B(n55598), .Y(n39412) );
+  sky130_fd_sc_hd__nand2_1 U58513 ( .A(n39413), .B(n39412), .Y(n39416) );
+  sky130_fd_sc_hd__nand2_1 U58514 ( .A(n39416), .B(n39415), .Y(n39420) );
+  sky130_fd_sc_hd__nand3_1 U58515 ( .A(n36799), .B(n44196), .C(n39417), .Y(
+        n39433) );
+  sky130_fd_sc_hd__mux2i_1 U58517 ( .A0(n39418), .A1(n55601), .S(n64910), .Y(
+        n39419) );
+  sky130_fd_sc_hd__inv_2 U58518 ( .A(n39534), .Y(n64167) );
+  sky130_fd_sc_hd__nand2_1 U58520 ( .A(n54149), .B(n39422), .Y(n44195) );
+  sky130_fd_sc_hd__nand2_1 U58521 ( .A(n44195), .B(n36841), .Y(n55599) );
+  sky130_fd_sc_hd__a21oi_1 U58522 ( .A1(n54149), .A2(n44196), .B1(n55599), .Y(
+        n39430) );
+  sky130_fd_sc_hd__nand2_1 U58525 ( .A(n41838), .B(n39316), .Y(n39541) );
+  sky130_fd_sc_hd__nand2_1 U58526 ( .A(n39541), .B(n39923), .Y(n39432) );
+  sky130_fd_sc_hd__inv_1 U58527 ( .A(n39436), .Y(n39437) );
+  sky130_fd_sc_hd__nand2_1 U58528 ( .A(n39437), .B(n39939), .Y(n39438) );
+  sky130_fd_sc_hd__nand2_1 U58529 ( .A(n39936), .B(n39986), .Y(n39993) );
+  sky130_fd_sc_hd__nand3_1 U58530 ( .A(n39438), .B(n39993), .C(n39935), .Y(
+        n39439) );
+  sky130_fd_sc_hd__nand3b_1 U58531 ( .A_N(n54976), .B(n39440), .C(n39439), .Y(
+        n39441) );
+  sky130_fd_sc_hd__nand2_1 U58532 ( .A(n39356), .B(n39986), .Y(n54172) );
+  sky130_fd_sc_hd__nand2_1 U58533 ( .A(n39987), .B(n39442), .Y(n39445) );
+  sky130_fd_sc_hd__nand2_1 U58534 ( .A(n64910), .B(n65175), .Y(n39443) );
+  sky130_fd_sc_hd__nand3_1 U58535 ( .A(n39445), .B(n39444), .C(n39443), .Y(
+        n64156) );
+  sky130_fd_sc_hd__nand2_1 U58536 ( .A(n64155), .B(n64157), .Y(n63937) );
+  sky130_fd_sc_hd__nor2_1 U58537 ( .A(n54150), .B(n44193), .Y(n39446) );
+  sky130_fd_sc_hd__nand4b_1 U58538 ( .A_N(n54976), .B(n39446), .C(n39445), .D(
+        n54911), .Y(n39450) );
+  sky130_fd_sc_hd__nand2_1 U58539 ( .A(n54921), .B(n44195), .Y(n39992) );
+  sky130_fd_sc_hd__nand2_1 U58540 ( .A(n64910), .B(n66641), .Y(n39448) );
+  sky130_fd_sc_hd__inv_2 U58541 ( .A(n65106), .Y(n64368) );
+  sky130_fd_sc_hd__nor2_1 U58542 ( .A(n64159), .B(n64368), .Y(n39451) );
+  sky130_fd_sc_hd__nor2_1 U58543 ( .A(n85403), .B(n85400), .Y(n59264) );
+  sky130_fd_sc_hd__nand3_1 U58544 ( .A(n59211), .B(n59264), .C(n72706), .Y(
+        n39452) );
+  sky130_fd_sc_hd__inv_2 U58545 ( .A(n85545), .Y(n59269) );
+  sky130_fd_sc_hd__nor2_1 U58546 ( .A(n59268), .B(n85543), .Y(n59260) );
+  sky130_fd_sc_hd__nand2_1 U58547 ( .A(n59269), .B(n63132), .Y(n63134) );
+  sky130_fd_sc_hd__nor2_1 U58548 ( .A(n59316), .B(n72679), .Y(n59254) );
+  sky130_fd_sc_hd__nor3_1 U58549 ( .A(n85534), .B(n64155), .C(n62961), .Y(
+        n59301) );
+  sky130_fd_sc_hd__mux2i_1 U58550 ( .A0(n65028), .A1(n39454), .S(n39522), .Y(
+        n84268) );
+  sky130_fd_sc_hd__mux2_2 U58551 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[22]), .A1(n84268), .S(n41102), .X(n85393) );
+  sky130_fd_sc_hd__mux2i_1 U58552 ( .A0(n73099), .A1(n39455), .S(n62941), .Y(
+        n84340) );
+  sky130_fd_sc_hd__mux2_2 U58553 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[30]), .A1(n84340), .S(n41102), .X(n85370) );
+  sky130_fd_sc_hd__mux2i_1 U58554 ( .A0(n70599), .A1(n39456), .S(n63057), .Y(
+        n84267) );
+  sky130_fd_sc_hd__mux2_2 U58555 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[21]), .A1(n84267), .S(n41102), .X(n85396) );
+  sky130_fd_sc_hd__mux2i_1 U58556 ( .A0(n70553), .A1(n39457), .S(n63057), .Y(
+        n84272) );
+  sky130_fd_sc_hd__mux2_2 U58557 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[27]), .A1(n84272), .S(n41102), .X(n85388) );
+  sky130_fd_sc_hd__mux2i_1 U58558 ( .A0(n72520), .A1(n39458), .S(n63057), .Y(
+        n84338) );
+  sky130_fd_sc_hd__mux2_2 U58559 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[29]), .A1(n84338), .S(n41102), .X(n85391) );
+  sky130_fd_sc_hd__mux2i_1 U58560 ( .A0(n69255), .A1(n39459), .S(n63057), .Y(
+        n84270) );
+  sky130_fd_sc_hd__mux2_2 U58561 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[25]), .A1(n84270), .S(n41102), .X(n85392) );
+  sky130_fd_sc_hd__mux2i_1 U58562 ( .A0(n72368), .A1(n39460), .S(n63057), .Y(
+        n84273) );
+  sky130_fd_sc_hd__mux2_2 U58563 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[15]), .A1(n84273), .S(n41102), .X(n85368) );
+  sky130_fd_sc_hd__mux2i_1 U58564 ( .A0(n65363), .A1(n39461), .S(n63057), .Y(
+        n84330) );
+  sky130_fd_sc_hd__mux2_2 U58565 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[11]), .A1(n84330), .S(n41102), .X(n85378) );
+  sky130_fd_sc_hd__mux2i_1 U58566 ( .A0(n72309), .A1(n39462), .S(n63057), .Y(
+        n85357) );
+  sky130_fd_sc_hd__mux2_2 U58567 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[16]), .A1(n85357), .S(n41102), .X(n85387) );
+  sky130_fd_sc_hd__mux2i_1 U58568 ( .A0(n39464), .A1(n39463), .S(n63057), .Y(
+        n84324) );
+  sky130_fd_sc_hd__mux2_2 U58569 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[5]), .A1(n84324), .S(n41102), .X(n85386) );
+  sky130_fd_sc_hd__mux2i_1 U58570 ( .A0(n54973), .A1(n39465), .S(n63057), .Y(
+        n84323) );
+  sky130_fd_sc_hd__mux2_2 U58571 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[4]), .A1(n84323), .S(n41102), .X(n85377) );
+  sky130_fd_sc_hd__mux2i_1 U58572 ( .A0(n39467), .A1(n39466), .S(n63057), .Y(
+        n84322) );
+  sky130_fd_sc_hd__mux2_2 U58573 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[3]), .A1(n84322), .S(n41102), .X(n85389) );
+  sky130_fd_sc_hd__mux2i_1 U58574 ( .A0(n39469), .A1(n39468), .S(n63057), .Y(
+        n84321) );
+  sky130_fd_sc_hd__mux2_2 U58575 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[2]), .A1(n84321), .S(n41102), .X(n85397) );
+  sky130_fd_sc_hd__mux2i_1 U58576 ( .A0(n65182), .A1(n39470), .S(n63057), .Y(
+        n84325) );
+  sky130_fd_sc_hd__mux2_2 U58577 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[6]), .A1(n84325), .S(n41102), .X(n85381) );
+  sky130_fd_sc_hd__mux2i_1 U58578 ( .A0(n54963), .A1(n39471), .S(n63057), .Y(
+        n84328) );
+  sky130_fd_sc_hd__mux2_2 U58579 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[9]), .A1(n84328), .S(n41102), .X(n85383) );
+  sky130_fd_sc_hd__mux2i_1 U58580 ( .A0(n72242), .A1(n39472), .S(n63057), .Y(
+        n84293) );
+  sky130_fd_sc_hd__mux2_2 U58581 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[19]), .A1(n84293), .S(n41102), .X(n85375) );
+  sky130_fd_sc_hd__inv_2 U58582 ( .A(n85514), .Y(n76184) );
+  sky130_fd_sc_hd__nor2_1 U58583 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_partial_insn_valid), .Y(n39495) );
+  sky130_fd_sc_hd__nor2_2 U58584 ( .A(n76184), .B(n39494), .Y(n39473) );
+  sky130_fd_sc_hd__buf_6 U58585 ( .A(n39473), .X(n59779) );
+  sky130_fd_sc_hd__nor2_1 U58586 ( .A(n85498), .B(n85752), .Y(n39476) );
+  sky130_fd_sc_hd__nor2_1 U58587 ( .A(n85502), .B(n85507), .Y(n39475) );
+  sky130_fd_sc_hd__nor2_1 U58588 ( .A(n85753), .B(n85511), .Y(n39474) );
+  sky130_fd_sc_hd__nand4_1 U58589 ( .A(n37170), .B(n39476), .C(n39475), .D(
+        n39474), .Y(n59777) );
+  sky130_fd_sc_hd__nand2_1 U58590 ( .A(n85499), .B(n85493), .Y(n39478) );
+  sky130_fd_sc_hd__nor2_1 U58591 ( .A(n85501), .B(n76194), .Y(n39480) );
+  sky130_fd_sc_hd__nor2_1 U58592 ( .A(n39478), .B(n39477), .Y(n59778) );
+  sky130_fd_sc_hd__o22ai_1 U58593 ( .A1(n59779), .A2(n59777), .B1(n39479), 
+        .B2(n53277), .Y(n39487) );
+  sky130_fd_sc_hd__nand3_1 U58594 ( .A(n39480), .B(n76186), .C(n85493), .Y(
+        n39482) );
+  sky130_fd_sc_hd__nand2_1 U58595 ( .A(n76212), .B(n85504), .Y(n39483) );
+  sky130_fd_sc_hd__nor2_1 U58596 ( .A(n76237), .B(n39483), .Y(n39481) );
+  sky130_fd_sc_hd__nor2_2 U58597 ( .A(n76232), .B(n59779), .Y(n53271) );
+  sky130_fd_sc_hd__nor2_1 U58598 ( .A(n85506), .B(n59779), .Y(n53278) );
+  sky130_fd_sc_hd__nand3_1 U58599 ( .A(n53278), .B(n39484), .C(n85753), .Y(
+        n59783) );
+  sky130_fd_sc_hd__nand2_2 U58600 ( .A(n59779), .B(n85503), .Y(n58641) );
+  sky130_fd_sc_hd__inv_2 U58601 ( .A(n58641), .Y(n53274) );
+  sky130_fd_sc_hd__nand2_1 U58602 ( .A(n53274), .B(n59778), .Y(n39485) );
+  sky130_fd_sc_hd__nand4_1 U58603 ( .A(n76190), .B(n76188), .C(n85509), .D(
+        n76186), .Y(n39491) );
+  sky130_fd_sc_hd__nand4_1 U58604 ( .A(n39489), .B(n39488), .C(n37894), .D(
+        n85514), .Y(n39490) );
+  sky130_fd_sc_hd__nand2_1 U58605 ( .A(n59767), .B(n39495), .Y(n39493) );
+  sky130_fd_sc_hd__nand2_1 U58606 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[5]), .Y(n39496) );
+  sky130_fd_sc_hd__nor2_1 U58607 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[4]), .B(n39496), .Y(n39492) );
+  sky130_fd_sc_hd__nand3_1 U58608 ( .A(n39492), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[2]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_partial_insn_valid), .Y(n59771) );
+  sky130_fd_sc_hd__nand2_1 U58609 ( .A(n76208), .B(n76184), .Y(n39502) );
+  sky130_fd_sc_hd__nor2_1 U58610 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[3]), .B(n59770), .Y(n39499) );
+  sky130_fd_sc_hd__nor2_1 U58611 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[4]), .Y(n39498) );
+  sky130_fd_sc_hd__nand3_1 U58612 ( .A(n39499), .B(n39498), .C(n39497), .Y(
+        n59790) );
+  sky130_fd_sc_hd__o21a_1 U58613 ( .A1(n39500), .A2(n59791), .B1(n59790), .X(
+        n72803) );
+  sky130_fd_sc_hd__nand2_1 U58614 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_valid), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_taken), .Y(n42922) );
+  sky130_fd_sc_hd__nand3_1 U58615 ( .A(n39504), .B(n72802), .C(n42922), .Y(
+        n85665) );
+  sky130_fd_sc_hd__nand2_1 U58616 ( .A(n39505), .B(n42922), .Y(n39506) );
+  sky130_fd_sc_hd__nand2_2 U58617 ( .A(n39506), .B(n39507), .Y(n72128) );
+  sky130_fd_sc_hd__mux2i_1 U58618 ( .A0(n69040), .A1(n39508), .S(n39518), .Y(
+        n84266) );
+  sky130_fd_sc_hd__mux2_2 U58619 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[20]), .A1(n84266), .S(n41102), .X(n85380) );
+  sky130_fd_sc_hd__mux2i_1 U58620 ( .A0(n72409), .A1(n39509), .S(n62941), .Y(
+        n84297) );
+  sky130_fd_sc_hd__mux2_2 U58621 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[14]), .A1(n84297), .S(n41102), .X(n85395) );
+  sky130_fd_sc_hd__mux2i_1 U58622 ( .A0(n72456), .A1(n39510), .S(n62941), .Y(
+        n84336) );
+  sky130_fd_sc_hd__mux2_2 U58623 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[28]), .A1(n84336), .S(n41102), .X(n85379) );
+  sky130_fd_sc_hd__mux2i_1 U58624 ( .A0(n69424), .A1(n39511), .S(n39522), .Y(
+        n84271) );
+  sky130_fd_sc_hd__mux2_2 U58625 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[26]), .A1(n84271), .S(n41102), .X(n85374) );
+  sky130_fd_sc_hd__mux2i_1 U58626 ( .A0(n72213), .A1(n39512), .S(n39518), .Y(
+        n84334) );
+  sky130_fd_sc_hd__mux2_2 U58627 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[24]), .A1(n84334), .S(n41102), .X(n85390) );
+  sky130_fd_sc_hd__mux2i_1 U58628 ( .A0(n66475), .A1(n39513), .S(n63057), .Y(
+        n84264) );
+  sky130_fd_sc_hd__mux2_2 U58629 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[17]), .A1(n84264), .S(n41102), .X(n85376) );
+  sky130_fd_sc_hd__mux2i_1 U58630 ( .A0(n68979), .A1(n39514), .S(n63057), .Y(
+        n84265) );
+  sky130_fd_sc_hd__mux2_2 U58631 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[18]), .A1(n84265), .S(n41102), .X(n85373) );
+  sky130_fd_sc_hd__mux2i_1 U58632 ( .A0(n66600), .A1(n39515), .S(n39518), .Y(
+        n84331) );
+  sky130_fd_sc_hd__mux2_2 U58633 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[12]), .A1(n84331), .S(n41102), .X(n85369) );
+  sky130_fd_sc_hd__mux2i_1 U58634 ( .A0(n54966), .A1(n39516), .S(n62941), .Y(
+        n84326) );
+  sky130_fd_sc_hd__mux2_2 U58635 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[7]), .A1(n84326), .S(n41102), .X(n85372) );
+  sky130_fd_sc_hd__mux2i_1 U58636 ( .A0(n68540), .A1(n39517), .S(n62941), .Y(
+        n84327) );
+  sky130_fd_sc_hd__mux2_2 U58637 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[8]), .A1(n84327), .S(n41102), .X(n85382) );
+  sky130_fd_sc_hd__mux2i_1 U58638 ( .A0(n65963), .A1(n39519), .S(n39518), .Y(
+        n84329) );
+  sky130_fd_sc_hd__mux2_2 U58639 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[10]), .A1(n84329), .S(n41102), .X(n85385) );
+  sky130_fd_sc_hd__mux2i_1 U58640 ( .A0(n54954), .A1(n39520), .S(n62941), .Y(
+        n84332) );
+  sky130_fd_sc_hd__mux2_2 U58641 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[13]), .A1(n84332), .S(n41102), .X(n85384) );
+  sky130_fd_sc_hd__mux2i_1 U58642 ( .A0(n63899), .A1(n39521), .S(n39522), .Y(
+        n84342) );
+  sky130_fd_sc_hd__mux2_2 U58643 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[31]), .A1(n84342), .S(n41102), .X(n85367) );
+  sky130_fd_sc_hd__mux2i_1 U58644 ( .A0(n68856), .A1(n39523), .S(n39522), .Y(
+        n84269) );
+  sky130_fd_sc_hd__mux2_2 U58645 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__pc[23]), .A1(n84269), .S(n41102), .X(n85371) );
+  sky130_fd_sc_hd__nand2_1 U58646 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_cmd[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_cmd[2]), .Y(n39524) );
+  sky130_fd_sc_hd__nand2_1 U58647 ( .A(n54117), .B(n39524), .Y(n41366) );
+  sky130_fd_sc_hd__or4_1 U58648 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_tlb_xcpt_ma_ld), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_tlb_xcpt_ae_ld), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_tlb_xcpt_ae_st), .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_tlb_xcpt_ma_st), .X(n39525) );
+  sky130_fd_sc_hd__nand2_1 U58649 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_s2_xcpt_REG), .B(n39525), .Y(n39905) );
+  sky130_fd_sc_hd__nor3_1 U58651 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_beatsLeft[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_beatsLeft[6]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_beatsLeft[2]), .Y(n39526) );
+  sky130_fd_sc_hd__nand2_1 U58652 ( .A(n41116), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_tlb_resp_cacheable), .Y(n39528) );
+  sky130_fd_sc_hd__nand2_1 U58653 ( .A(n39528), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_speculative), .Y(n39873) );
+  sky130_fd_sc_hd__nor2_1 U58654 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_hit), .B(n39529), .Y(n39530) );
+  sky130_fd_sc_hd__nand3_1 U58655 ( .A(n39873), .B(n39530), .C(n55621), .Y(
+        n72788) );
+  sky130_fd_sc_hd__nand2_1 U58656 ( .A(n60987), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar__readys_unready_T_4_2_), .Y(n40668) );
+  sky130_fd_sc_hd__a211oi_2 U58657 ( .A1(n59976), .A2(n41303), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N634), .C1(n39533), .Y(n84262) );
+  sky130_fd_sc_hd__nor2_1 U58658 ( .A(n64156), .B(n62961), .Y(n39954) );
+  sky130_fd_sc_hd__o211a_2 U58659 ( .A1(n64155), .A2(n39954), .B1(n65106), 
+        .C1(n64168), .X(n85485) );
+  sky130_fd_sc_hd__nand2_1 U58661 ( .A(n39538), .B(n39537), .Y(n39539) );
+  sky130_fd_sc_hd__nand3_1 U58662 ( .A(n39539), .B(n54910), .C(n36841), .Y(
+        n39543) );
+  sky130_fd_sc_hd__mux2i_1 U58663 ( .A0(n63806), .A1(n63807), .S(n39302), .Y(
+        n39540) );
+  sky130_fd_sc_hd__nand2b_1 U58664 ( .A_N(n36841), .B(n39540), .Y(n69205) );
+  sky130_fd_sc_hd__nand2_1 U58665 ( .A(n39541), .B(n44197), .Y(n39542) );
+  sky130_fd_sc_hd__nand3_1 U58666 ( .A(n39543), .B(n69205), .C(n39542), .Y(
+        n85401) );
+  sky130_fd_sc_hd__nand3_1 U58667 ( .A(n62961), .B(n65106), .C(n85401), .Y(
+        n39544) );
+  sky130_fd_sc_hd__nor2_1 U58668 ( .A(n73345), .B(n72679), .Y(n85531) );
+  sky130_fd_sc_hd__nor2_1 U58669 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_mie), .B(n78268), .Y(n76278) );
+  sky130_fd_sc_hd__nand2_1 U58670 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__read_hvip_T_5), .Y(n72687) );
+  sky130_fd_sc_hd__nor3_1 U58671 ( .A(n76278), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_mideleg_5), .C(n72687), .Y(n76284) );
+  sky130_fd_sc_hd__nand2_1 U58672 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__read_hvip_T_1), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie[1]), .Y(n72686) );
+  sky130_fd_sc_hd__nor3_1 U58673 ( .A(n76278), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_mideleg_1), .C(n72686), .Y(n76285) );
+  sky130_fd_sc_hd__nor2_1 U58674 ( .A(n76284), .B(n76285), .Y(n39551) );
+  sky130_fd_sc_hd__nor2_1 U58675 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_intXbar_auto_int_out_4), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__read_hvip_T_9_), .Y(n44761) );
+  sky130_fd_sc_hd__nand2_1 U58676 ( .A(n44748), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie[9]), .Y(n76282) );
+  sky130_fd_sc_hd__o21ai_1 U58677 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_sie), .A2(n64074), .B1(n78268), .Y(n76283) );
+  sky130_fd_sc_hd__a21oi_1 U58678 ( .A1(n72686), .A2(n76282), .B1(n76283), .Y(
+        n39545) );
+  sky130_fd_sc_hd__nor3_1 U58679 ( .A(n76278), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_mideleg_9_), .C(n76282), .Y(n76288) );
+  sky130_fd_sc_hd__a21oi_1 U58680 ( .A1(n39546), .A2(n39545), .B1(n76288), .Y(
+        n76272) );
+  sky130_fd_sc_hd__nand3_1 U58681 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_intXbar_auto_int_out_3), .C(n39547), .Y(n76275) );
+  sky130_fd_sc_hd__nand2_1 U58682 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_intXbar_auto_int_out_2), .Y(n76273) );
+  sky130_fd_sc_hd__nand2_1 U58683 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_intXbar_auto_int_out_1), .Y(n76277) );
+  sky130_fd_sc_hd__nand2_1 U58684 ( .A(n76273), .B(n76277), .Y(n72685) );
+  sky130_fd_sc_hd__nand2_1 U58685 ( .A(n39547), .B(n72685), .Y(n76286) );
+  sky130_fd_sc_hd__nand2_1 U58686 ( .A(n76275), .B(n76286), .Y(n39548) );
+  sky130_fd_sc_hd__a31oi_1 U58687 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__read_hvip_T_5), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie[5]), .A3(n39549), .B1(n39548), .Y(n39550) );
+  sky130_fd_sc_hd__nand3_1 U58688 ( .A(n39551), .B(n76272), .C(n39550), .Y(
+        n60942) );
+  sky130_fd_sc_hd__nand2_1 U58689 ( .A(n74165), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_lo_6_2), .Y(n70490) );
+  sky130_fd_sc_hd__nand2_1 U58691 ( .A(n39552), .B(n82483), .Y(n39553) );
+  sky130_fd_sc_hd__nand3_1 U58692 ( .A(n39553), .B(n74165), .C(n40145), .Y(
+        n63820) );
+  sky130_fd_sc_hd__nand2_1 U58693 ( .A(n60088), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_bridx), .Y(n39554) );
+  sky130_fd_sc_hd__o211ai_1 U58694 ( .A1(n59779), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_bridx), .B1(n39555), .C1(n39554), .Y(n73133) );
+  sky130_fd_sc_hd__nor2_1 U58695 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_tlb_resp_ae_inst), .B(n55620), .Y(n39874) );
+  sky130_fd_sc_hd__nand2_1 U58696 ( .A(n39556), .B(n39874), .Y(n39557) );
+  sky130_fd_sc_hd__nand2_1 U58697 ( .A(n73133), .B(n39557), .Y(n85662) );
+  sky130_fd_sc_hd__mux2_2 U58698 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_replay), .A1(n85662), .S(n37899), .X(n84274) );
+  sky130_fd_sc_hd__nand2_2 U58699 ( .A(n39562), .B(n59983), .Y(n39567) );
+  sky130_fd_sc_hd__nor2_1 U58700 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[21]), .B(n38499), .Y(n39807) );
+  sky130_fd_sc_hd__nor2_1 U58701 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[20]), .B(n38499), .Y(n39748) );
+  sky130_fd_sc_hd__nor2_1 U58702 ( .A(n39807), .B(n39748), .Y(n39619) );
+  sky130_fd_sc_hd__nor2_1 U58703 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[7]), .B(n37095), .Y(n39735) );
+  sky130_fd_sc_hd__nor2_1 U58704 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[8]), .B(n37096), .Y(n39559) );
+  sky130_fd_sc_hd__nand2_1 U58705 ( .A(n36847), .B(n39733), .Y(n39575) );
+  sky130_fd_sc_hd__nand2_1 U58706 ( .A(n39567), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b10_5[0]), .Y(n39560) );
+  sky130_fd_sc_hd__nand2_1 U58707 ( .A(n39567), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b10_5[1]), .Y(n39561) );
+  sky130_fd_sc_hd__nand2_1 U58708 ( .A(n37087), .B(n39676), .Y(n39721) );
+  sky130_fd_sc_hd__nor2_1 U58709 ( .A(n39575), .B(n39721), .Y(n39647) );
+  sky130_fd_sc_hd__a22o_1 U58710 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_br_target_T_3_11_), .A2(n39584), .B1(n39593), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_br_target_T_5_11), .X(n39579) );
+  sky130_fd_sc_hd__nor2_1 U58711 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[11]), .B(n39579), .Y(n39708) );
+  sky130_fd_sc_hd__nor2_1 U58712 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[9]), .B(n36772), .Y(n39762) );
+  sky130_fd_sc_hd__nand2_1 U58713 ( .A(n39567), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b10_5[5]), .Y(n39563) );
+  sky130_fd_sc_hd__nor2_1 U58714 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[10]), .B(n39576), .Y(n39564) );
+  sky130_fd_sc_hd__nand2_1 U58715 ( .A(n39644), .B(n39759), .Y(n39703) );
+  sky130_fd_sc_hd__nor2_1 U58716 ( .A(n39708), .B(n39703), .Y(n39581) );
+  sky130_fd_sc_hd__nand2_1 U58717 ( .A(n39647), .B(n39581), .Y(n39583) );
+  sky130_fd_sc_hd__a22oi_1 U58719 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b4_1[1]), .A2(n39584), .B1(n39593), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_br_target_T_5[2]), .Y(n39566) );
+  sky130_fd_sc_hd__a22o_1 U58720 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b4_1[2]), .A2(n39584), .B1(n39593), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_br_target_T_5[3]), .X(n39568) );
+  sky130_fd_sc_hd__nor2_1 U58721 ( .A(n39664), .B(n39669), .Y(n39570) );
+  sky130_fd_sc_hd__nand2_1 U58722 ( .A(n39568), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[3]), .Y(n39667) );
+  sky130_fd_sc_hd__nand2_1 U58724 ( .A(n37095), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[7]), .Y(n39734) );
+  sky130_fd_sc_hd__nand2_1 U58725 ( .A(n37096), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[8]), .Y(n39732) );
+  sky130_fd_sc_hd__a21oi_1 U58726 ( .A1(n39733), .A2(n39573), .B1(n39572), .Y(
+        n39574) );
+  sky130_fd_sc_hd__o21ai_1 U58727 ( .A1(n39575), .A2(n39722), .B1(n39574), .Y(
+        n39646) );
+  sky130_fd_sc_hd__nand2_1 U58728 ( .A(n36772), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[9]), .Y(n39760) );
+  sky130_fd_sc_hd__nand2_1 U58729 ( .A(n39576), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[10]), .Y(n39758) );
+  sky130_fd_sc_hd__nand2_1 U58731 ( .A(n39579), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[11]), .Y(n39709) );
+  sky130_fd_sc_hd__o21ai_1 U58732 ( .A1(n39708), .A2(n39704), .B1(n39709), .Y(
+        n39580) );
+  sky130_fd_sc_hd__nand2_1 U58733 ( .A(n39593), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_hi_lo_hi_1[5]), .Y(n39585) );
+  sky130_fd_sc_hd__nand2_1 U58734 ( .A(n39585), .B(n39594), .Y(n39603) );
+  sky130_fd_sc_hd__nor2_1 U58735 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[17]), .B(n39603), .Y(n39743) );
+  sky130_fd_sc_hd__o21ai_1 U58736 ( .A1(n39586), .A2(n39591), .B1(n39594), .Y(
+        n39602) );
+  sky130_fd_sc_hd__nor2_1 U58737 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[16]), .B(n39602), .Y(n39730) );
+  sky130_fd_sc_hd__nor2_1 U58738 ( .A(n39743), .B(n39730), .Y(n39631) );
+  sky130_fd_sc_hd__nor2_1 U58740 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[19]), .B(n39605), .Y(n39639) );
+  sky130_fd_sc_hd__o21ai_1 U58741 ( .A1(n39588), .A2(n39591), .B1(n39594), .Y(
+        n39604) );
+  sky130_fd_sc_hd__nor2_1 U58742 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[18]), .B(n39604), .Y(n39637) );
+  sky130_fd_sc_hd__nor2_1 U58743 ( .A(n39639), .B(n39637), .Y(n39607) );
+  sky130_fd_sc_hd__nand2_1 U58744 ( .A(n39631), .B(n39607), .Y(n39609) );
+  sky130_fd_sc_hd__nand2_1 U58745 ( .A(n39593), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_hi_lo_hi_1[1]), .Y(n39589) );
+  sky130_fd_sc_hd__nand2_1 U58746 ( .A(n39589), .B(n39594), .Y(n39597) );
+  sky130_fd_sc_hd__nor2_1 U58747 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[13]), .B(n39597), .Y(n39750) );
+  sky130_fd_sc_hd__nand2_1 U58748 ( .A(n39593), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_hi_lo_hi_1[0]), .Y(n39590) );
+  sky130_fd_sc_hd__nand2_1 U58749 ( .A(n39590), .B(n39594), .Y(n39596) );
+  sky130_fd_sc_hd__nor2_1 U58750 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[12]), .B(n39596), .Y(n39755) );
+  sky130_fd_sc_hd__nor2_1 U58751 ( .A(n39750), .B(n39755), .Y(n39686) );
+  sky130_fd_sc_hd__o21ai_1 U58752 ( .A1(n39592), .A2(n39591), .B1(n39594), .Y(
+        n39599) );
+  sky130_fd_sc_hd__nor2_1 U58753 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[15]), .B(n39599), .Y(n39698) );
+  sky130_fd_sc_hd__nand2_1 U58754 ( .A(n39593), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_hi_lo_hi_1[2]), .Y(n39595) );
+  sky130_fd_sc_hd__nand2_1 U58755 ( .A(n39595), .B(n39594), .Y(n39598) );
+  sky130_fd_sc_hd__nor2_1 U58756 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[14]), .B(n39598), .Y(n39685) );
+  sky130_fd_sc_hd__nor2_1 U58757 ( .A(n39698), .B(n39685), .Y(n39601) );
+  sky130_fd_sc_hd__nand2_1 U58758 ( .A(n39686), .B(n39601), .Y(n39632) );
+  sky130_fd_sc_hd__nor2_1 U58759 ( .A(n39609), .B(n39632), .Y(n39610) );
+  sky130_fd_sc_hd__nand2_1 U58760 ( .A(n39596), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[12]), .Y(n39753) );
+  sky130_fd_sc_hd__nand2_1 U58761 ( .A(n39597), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[13]), .Y(n39751) );
+  sky130_fd_sc_hd__o21ai_1 U58762 ( .A1(n39753), .A2(n39750), .B1(n39751), .Y(
+        n39688) );
+  sky130_fd_sc_hd__nand2_1 U58763 ( .A(n39598), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[14]), .Y(n39694) );
+  sky130_fd_sc_hd__nand2_1 U58764 ( .A(n39599), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[15]), .Y(n39699) );
+  sky130_fd_sc_hd__o21ai_1 U58765 ( .A1(n39694), .A2(n39698), .B1(n39699), .Y(
+        n39600) );
+  sky130_fd_sc_hd__a21oi_1 U58766 ( .A1(n39601), .A2(n39688), .B1(n39600), .Y(
+        n39633) );
+  sky130_fd_sc_hd__nand2_1 U58767 ( .A(n39602), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[16]), .Y(n39739) );
+  sky130_fd_sc_hd__nand2_1 U58768 ( .A(n39603), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[17]), .Y(n39744) );
+  sky130_fd_sc_hd__o21ai_1 U58769 ( .A1(n39739), .A2(n39743), .B1(n39744), .Y(
+        n39635) );
+  sky130_fd_sc_hd__nand2_1 U58770 ( .A(n39604), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[18]), .Y(n39717) );
+  sky130_fd_sc_hd__nand2_1 U58771 ( .A(n39605), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[19]), .Y(n39640) );
+  sky130_fd_sc_hd__o21ai_1 U58772 ( .A1(n39717), .A2(n39639), .B1(n39640), .Y(
+        n39606) );
+  sky130_fd_sc_hd__a21oi_1 U58773 ( .A1(n39607), .A2(n39635), .B1(n39606), .Y(
+        n39608) );
+  sky130_fd_sc_hd__nand2_1 U58774 ( .A(n38499), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[21]), .Y(n39808) );
+  sky130_fd_sc_hd__nand2_1 U58775 ( .A(n38499), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[20]), .Y(n39803) );
+  sky130_fd_sc_hd__nand2_1 U58776 ( .A(n39808), .B(n39803), .Y(n39622) );
+  sky130_fd_sc_hd__nor2_1 U58777 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[22]), .B(n38499), .Y(n39616) );
+  sky130_fd_sc_hd__nand2_1 U58778 ( .A(n38499), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[22]), .Y(n39793) );
+  sky130_fd_sc_hd__nor2_1 U58779 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[23]), .B(n38499), .Y(n39617) );
+  sky130_fd_sc_hd__nand2_1 U58780 ( .A(n38499), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[23]), .Y(n39620) );
+  sky130_fd_sc_hd__nand2_1 U58781 ( .A(n39613), .B(n39620), .Y(n39614) );
+  sky130_fd_sc_hd__xor2_1 U58782 ( .A(n39615), .B(n39614), .X(n62867) );
+  sky130_fd_sc_hd__xnor2_1 U58783 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[23]), .B(n68830), .Y(n39630) );
+  sky130_fd_sc_hd__nor2_1 U58784 ( .A(n39617), .B(n39616), .Y(n39618) );
+  sky130_fd_sc_hd__nand2_1 U58785 ( .A(n39619), .B(n39618), .Y(n39787) );
+  sky130_fd_sc_hd__nor2_1 U58786 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[25]), .B(n38499), .Y(n39835) );
+  sky130_fd_sc_hd__nor2_1 U58787 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[24]), .B(n38499), .Y(n39785) );
+  sky130_fd_sc_hd__nor2_1 U58788 ( .A(n39835), .B(n39785), .Y(n39769) );
+  sky130_fd_sc_hd__nand2_1 U58789 ( .A(n39620), .B(n39793), .Y(n39621) );
+  sky130_fd_sc_hd__nor2_1 U58790 ( .A(n39622), .B(n39621), .Y(n39786) );
+  sky130_fd_sc_hd__nand2_1 U58791 ( .A(n38499), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[25]), .Y(n39836) );
+  sky130_fd_sc_hd__nand2_1 U58792 ( .A(n38499), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[24]), .Y(n39831) );
+  sky130_fd_sc_hd__nand2_1 U58793 ( .A(n39836), .B(n39831), .Y(n39774) );
+  sky130_fd_sc_hd__nor2_1 U58794 ( .A(n39623), .B(n39774), .Y(n39624) );
+  sky130_fd_sc_hd__nor2_1 U58795 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[26]), .B(n38499), .Y(n39766) );
+  sky130_fd_sc_hd__nand2_1 U58796 ( .A(n38499), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[26]), .Y(n39797) );
+  sky130_fd_sc_hd__a21oi_1 U58797 ( .A1(n39799), .A2(n39798), .B1(n39625), .Y(
+        n39628) );
+  sky130_fd_sc_hd__nor2_1 U58798 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[27]), .B(n38499), .Y(n39767) );
+  sky130_fd_sc_hd__nand2_1 U58799 ( .A(n38499), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[27]), .Y(n39772) );
+  sky130_fd_sc_hd__nand2_1 U58800 ( .A(n39626), .B(n39772), .Y(n39627) );
+  sky130_fd_sc_hd__xor2_1 U58801 ( .A(n39628), .B(n39627), .X(n62870) );
+  sky130_fd_sc_hd__mux2i_1 U58802 ( .A0(n62870), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[27]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .Y(n70546) );
+  sky130_fd_sc_hd__xnor2_1 U58803 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[27]), .B(n70546), .Y(n39629) );
+  sky130_fd_sc_hd__nor2_1 U58804 ( .A(n39630), .B(n39629), .Y(n39846) );
+  sky130_fd_sc_hd__a21oi_1 U58805 ( .A1(n39719), .A2(n39718), .B1(n39638), .Y(
+        n39643) );
+  sky130_fd_sc_hd__nand2_1 U58806 ( .A(n39641), .B(n39640), .Y(n39642) );
+  sky130_fd_sc_hd__xor2_1 U58807 ( .A(n39643), .B(n39642), .X(n62896) );
+  sky130_fd_sc_hd__mux2i_1 U58808 ( .A0(n62896), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[19]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .Y(n72235) );
+  sky130_fd_sc_hd__xor2_1 U58809 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[19]), .B(n72235), .X(n39716) );
+  sky130_fd_sc_hd__nand2_1 U58810 ( .A(n39644), .B(n39760), .Y(n39648) );
+  sky130_fd_sc_hd__a21oi_1 U58811 ( .A1(n39724), .A2(n39647), .B1(n39646), .Y(
+        n39761) );
+  sky130_fd_sc_hd__xnor2_1 U58812 ( .A(n39648), .B(n39707), .Y(n62886) );
+  sky130_fd_sc_hd__mux2i_1 U58813 ( .A0(n62886), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[9]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .Y(n58348) );
+  sky130_fd_sc_hd__xor2_1 U58814 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[9]), .B(n58348), .X(n39684) );
+  sky130_fd_sc_hd__inv_1 U58815 ( .A(n39649), .Y(n39668) );
+  sky130_fd_sc_hd__nand2_1 U58816 ( .A(n39650), .B(n39667), .Y(n39651) );
+  sky130_fd_sc_hd__xor2_1 U58817 ( .A(n39668), .B(n39651), .X(n69891) );
+  sky130_fd_sc_hd__mux2i_1 U58818 ( .A0(n69891), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[3]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .Y(n58436) );
+  sky130_fd_sc_hd__xor2_1 U58819 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[3]), .B(n58436), .X(n39663) );
+  sky130_fd_sc_hd__nand3_1 U58820 ( .A(n39653), .B(n39652), .C(n40150), .Y(
+        n60153) );
+  sky130_fd_sc_hd__nand2_1 U58821 ( .A(n39656), .B(n39655), .Y(n39657) );
+  sky130_fd_sc_hd__xor2_1 U58822 ( .A(n39657), .B(n39659), .X(n69858) );
+  sky130_fd_sc_hd__mux2i_1 U58823 ( .A0(n69858), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[2]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .Y(n58533) );
+  sky130_fd_sc_hd__xor2_1 U58824 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[2]), .B(n58533), .X(n39662) );
+  sky130_fd_sc_hd__mux2i_1 U58825 ( .A0(n64213), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[1]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .Y(n73269) );
+  sky130_fd_sc_hd__xor2_1 U58826 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[1]), .B(n73269), .X(n39661) );
+  sky130_fd_sc_hd__nand4_1 U58827 ( .A(n39663), .B(n60153), .C(n39662), .D(
+        n39661), .Y(n39673) );
+  sky130_fd_sc_hd__nand2_1 U58828 ( .A(n39666), .B(n39665), .Y(n39671) );
+  sky130_fd_sc_hd__xnor2_1 U58830 ( .A(n39671), .B(n39670), .Y(n69971) );
+  sky130_fd_sc_hd__mux2i_1 U58831 ( .A0(n69971), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[4]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .Y(n44149) );
+  sky130_fd_sc_hd__xnor2_1 U58832 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[4]), .B(n44149), .Y(n39672) );
+  sky130_fd_sc_hd__nor2_1 U58833 ( .A(n39673), .B(n39672), .Y(n39683) );
+  sky130_fd_sc_hd__a21oi_1 U58834 ( .A1(n39724), .A2(n37087), .B1(n39674), .Y(
+        n39678) );
+  sky130_fd_sc_hd__nand2_1 U58835 ( .A(n39676), .B(n39675), .Y(n39677) );
+  sky130_fd_sc_hd__xor2_1 U58836 ( .A(n39678), .B(n39677), .X(n65225) );
+  sky130_fd_sc_hd__mux2i_1 U58837 ( .A0(n65225), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[6]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .Y(n43064) );
+  sky130_fd_sc_hd__xor2_1 U58838 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[6]), .B(n43064), .X(n39682) );
+  sky130_fd_sc_hd__nand2_1 U58839 ( .A(n37087), .B(n39679), .Y(n39680) );
+  sky130_fd_sc_hd__xnor2_1 U58840 ( .A(n39680), .B(n39724), .Y(n65237) );
+  sky130_fd_sc_hd__mux2i_1 U58841 ( .A0(n65237), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[5]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .Y(n58376) );
+  sky130_fd_sc_hd__xor2_1 U58842 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[5]), .B(n58376), .X(n39681) );
+  sky130_fd_sc_hd__nand4_1 U58843 ( .A(n39684), .B(n39683), .C(n39682), .D(
+        n39681), .Y(n39693) );
+  sky130_fd_sc_hd__nand2_1 U58844 ( .A(n39696), .B(n39694), .Y(n39691) );
+  sky130_fd_sc_hd__inv_1 U58845 ( .A(n39687), .Y(n39754) );
+  sky130_fd_sc_hd__xnor2_1 U58846 ( .A(n39691), .B(n39697), .Y(n62888) );
+  sky130_fd_sc_hd__mux2i_1 U58847 ( .A0(n62888), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[14]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .Y(n72402) );
+  sky130_fd_sc_hd__xnor2_1 U58848 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[14]), .B(n72402), .Y(n39692) );
+  sky130_fd_sc_hd__nor2_1 U58849 ( .A(n39693), .B(n39692), .Y(n39715) );
+  sky130_fd_sc_hd__a21oi_1 U58850 ( .A1(n39697), .A2(n39696), .B1(n39695), .Y(
+        n39702) );
+  sky130_fd_sc_hd__nand2_1 U58851 ( .A(n39700), .B(n39699), .Y(n39701) );
+  sky130_fd_sc_hd__xor2_1 U58852 ( .A(n39702), .B(n39701), .X(n62897) );
+  sky130_fd_sc_hd__xor2_1 U58853 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[15]), .B(n72341), .X(n39714) );
+  sky130_fd_sc_hd__a21oi_1 U58854 ( .A1(n39707), .A2(n39706), .B1(n39705), .Y(
+        n39712) );
+  sky130_fd_sc_hd__nand2_1 U58855 ( .A(n39710), .B(n39709), .Y(n39711) );
+  sky130_fd_sc_hd__xor2_1 U58856 ( .A(n39712), .B(n39711), .X(n62885) );
+  sky130_fd_sc_hd__mux2i_1 U58857 ( .A0(n62885), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[11]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .Y(n53378) );
+  sky130_fd_sc_hd__xor2_1 U58858 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[11]), .B(n53378), .X(n39713) );
+  sky130_fd_sc_hd__nand4_1 U58859 ( .A(n39716), .B(n39715), .C(n39714), .D(
+        n39713), .Y(n39792) );
+  sky130_fd_sc_hd__nand2_1 U58860 ( .A(n39718), .B(n39717), .Y(n39720) );
+  sky130_fd_sc_hd__xnor2_1 U58861 ( .A(n39720), .B(n39719), .Y(n62889) );
+  sky130_fd_sc_hd__nand2_1 U58862 ( .A(n36847), .B(n39734), .Y(n39725) );
+  sky130_fd_sc_hd__mux2i_1 U58863 ( .A0(n69561), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[7]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .Y(n58475) );
+  sky130_fd_sc_hd__xnor2_1 U58864 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[7]), .B(n58475), .Y(n39729) );
+  sky130_fd_sc_hd__nand2_1 U58865 ( .A(n39726), .B(n39753), .Y(n39727) );
+  sky130_fd_sc_hd__xor2_1 U58866 ( .A(n39754), .B(n39727), .X(n70192) );
+  sky130_fd_sc_hd__mux2i_1 U58867 ( .A0(n70192), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[12]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .Y(n53258) );
+  sky130_fd_sc_hd__xnor2_1 U58868 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[12]), .B(n53258), .Y(n39728) );
+  sky130_fd_sc_hd__nand2_1 U58869 ( .A(n39741), .B(n39739), .Y(n39731) );
+  sky130_fd_sc_hd__xnor2_1 U58870 ( .A(n39731), .B(n39742), .Y(n62882) );
+  sky130_fd_sc_hd__mux2i_1 U58871 ( .A0(n62882), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[16]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .Y(n72285) );
+  sky130_fd_sc_hd__xor2_1 U58872 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[16]), .B(n72285), .X(n39738) );
+  sky130_fd_sc_hd__nand2_1 U58873 ( .A(n39733), .B(n39732), .Y(n39737) );
+  sky130_fd_sc_hd__xnor2_1 U58874 ( .A(n39737), .B(n39736), .Y(n62883) );
+  sky130_fd_sc_hd__mux2i_1 U58875 ( .A0(n62883), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[8]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .Y(n61930) );
+  sky130_fd_sc_hd__a21oi_1 U58876 ( .A1(n39742), .A2(n39741), .B1(n39740), .Y(
+        n39747) );
+  sky130_fd_sc_hd__nand2_1 U58877 ( .A(n39745), .B(n39744), .Y(n39746) );
+  sky130_fd_sc_hd__xor2_1 U58878 ( .A(n39747), .B(n39746), .X(n62898) );
+  sky130_fd_sc_hd__nand2_1 U58879 ( .A(n39805), .B(n39803), .Y(n39749) );
+  sky130_fd_sc_hd__xnor2_1 U58880 ( .A(n39749), .B(n39806), .Y(n62891) );
+  sky130_fd_sc_hd__mux2i_1 U58881 ( .A0(n62891), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[20]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .Y(n69012) );
+  sky130_fd_sc_hd__nand2_1 U58882 ( .A(n39752), .B(n39751), .Y(n39757) );
+  sky130_fd_sc_hd__o21ai_1 U58883 ( .A1(n39755), .A2(n39754), .B1(n39753), .Y(
+        n39756) );
+  sky130_fd_sc_hd__xnor2_1 U58884 ( .A(n39757), .B(n39756), .Y(n62887) );
+  sky130_fd_sc_hd__mux2i_1 U58885 ( .A0(n62887), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[13]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .Y(n73197) );
+  sky130_fd_sc_hd__xor2_1 U58886 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[13]), .B(n73197), .X(n39765) );
+  sky130_fd_sc_hd__nand2_1 U58887 ( .A(n39759), .B(n39758), .Y(n39764) );
+  sky130_fd_sc_hd__xnor2_1 U58889 ( .A(n39764), .B(n39763), .Y(n62872) );
+  sky130_fd_sc_hd__nand2_1 U58890 ( .A(n38499), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[30]), .Y(n39823) );
+  sky130_fd_sc_hd__nand2_1 U58891 ( .A(n39825), .B(n39823), .Y(n39778) );
+  sky130_fd_sc_hd__nor2_1 U58892 ( .A(n39767), .B(n39766), .Y(n39768) );
+  sky130_fd_sc_hd__nand2_1 U58893 ( .A(n39769), .B(n39768), .Y(n39770) );
+  sky130_fd_sc_hd__nor2_1 U58894 ( .A(n39770), .B(n39787), .Y(n39781) );
+  sky130_fd_sc_hd__nor2_1 U58895 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[29]), .B(n38499), .Y(n39818) );
+  sky130_fd_sc_hd__nor2_1 U58896 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[28]), .B(n38499), .Y(n39780) );
+  sky130_fd_sc_hd__nor2_1 U58897 ( .A(n39818), .B(n39780), .Y(n39771) );
+  sky130_fd_sc_hd__nand2_1 U58898 ( .A(n39772), .B(n39797), .Y(n39773) );
+  sky130_fd_sc_hd__nor2_1 U58899 ( .A(n39774), .B(n39773), .Y(n39775) );
+  sky130_fd_sc_hd__nand2_1 U58900 ( .A(n39775), .B(n39786), .Y(n39782) );
+  sky130_fd_sc_hd__nand2_1 U58901 ( .A(n38499), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[29]), .Y(n39819) );
+  sky130_fd_sc_hd__nand2_1 U58902 ( .A(n38499), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[28]), .Y(n39814) );
+  sky130_fd_sc_hd__nand2_1 U58903 ( .A(n39819), .B(n39814), .Y(n39776) );
+  sky130_fd_sc_hd__nor2_1 U58904 ( .A(n39782), .B(n39776), .Y(n39777) );
+  sky130_fd_sc_hd__xnor2_1 U58905 ( .A(n39778), .B(n39826), .Y(n62890) );
+  sky130_fd_sc_hd__mux2i_1 U58906 ( .A0(n62890), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[30]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .Y(n73091) );
+  sky130_fd_sc_hd__xnor2_1 U58907 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[30]), .B(n73091), .Y(n39779) );
+  sky130_fd_sc_hd__nand2_1 U58908 ( .A(n39816), .B(n39814), .Y(n39784) );
+  sky130_fd_sc_hd__xnor2_1 U58909 ( .A(n39784), .B(n39817), .Y(n62895) );
+  sky130_fd_sc_hd__mux2i_1 U58910 ( .A0(n62895), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[28]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .Y(n72449) );
+  sky130_fd_sc_hd__xor2_1 U58911 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[28]), .B(n72449), .X(n39790) );
+  sky130_fd_sc_hd__nand2_1 U58912 ( .A(n39833), .B(n39831), .Y(n39788) );
+  sky130_fd_sc_hd__xnor2_1 U58913 ( .A(n39788), .B(n39834), .Y(n62893) );
+  sky130_fd_sc_hd__mux2i_1 U58914 ( .A0(n62893), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[24]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .Y(n72186) );
+  sky130_fd_sc_hd__xor2_1 U58915 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[24]), .B(n72186), .X(n39789) );
+  sky130_fd_sc_hd__nor2_1 U58916 ( .A(n39792), .B(n39791), .Y(n39845) );
+  sky130_fd_sc_hd__nand2_1 U58917 ( .A(n39794), .B(n39793), .Y(n39796) );
+  sky130_fd_sc_hd__xnor2_1 U58918 ( .A(n39796), .B(n39795), .Y(n62892) );
+  sky130_fd_sc_hd__mux2i_1 U58919 ( .A0(n62892), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[22]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .Y(n65003) );
+  sky130_fd_sc_hd__xor2_1 U58920 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[22]), .B(n65003), .X(n39802) );
+  sky130_fd_sc_hd__nand2_1 U58921 ( .A(n39798), .B(n39797), .Y(n39800) );
+  sky130_fd_sc_hd__xnor2_1 U58922 ( .A(n39800), .B(n39799), .Y(n62894) );
+  sky130_fd_sc_hd__xor2_1 U58924 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[26]), .B(n69397), .X(n39801) );
+  sky130_fd_sc_hd__nand2_1 U58925 ( .A(n39802), .B(n39801), .Y(n39813) );
+  sky130_fd_sc_hd__a21oi_1 U58926 ( .A1(n39806), .A2(n39805), .B1(n39804), .Y(
+        n39811) );
+  sky130_fd_sc_hd__nand2_1 U58927 ( .A(n39809), .B(n39808), .Y(n39810) );
+  sky130_fd_sc_hd__xor2_1 U58928 ( .A(n39811), .B(n39810), .X(n62899) );
+  sky130_fd_sc_hd__mux2i_1 U58929 ( .A0(n62899), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[21]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .Y(n70592) );
+  sky130_fd_sc_hd__xnor2_1 U58930 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[21]), .B(n70592), .Y(n39812) );
+  sky130_fd_sc_hd__nor2_1 U58931 ( .A(n39813), .B(n39812), .Y(n39843) );
+  sky130_fd_sc_hd__nand2_1 U58932 ( .A(n39820), .B(n39819), .Y(n39821) );
+  sky130_fd_sc_hd__xor2_1 U58933 ( .A(n39822), .B(n39821), .X(n62871) );
+  sky130_fd_sc_hd__mux2i_1 U58934 ( .A0(n62871), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[29]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .Y(n72491) );
+  sky130_fd_sc_hd__xor2_1 U58935 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[29]), .B(n72491), .X(n39842) );
+  sky130_fd_sc_hd__nand2_1 U58936 ( .A(n38499), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[31]), .Y(n39827) );
+  sky130_fd_sc_hd__nand2_1 U58937 ( .A(n39828), .B(n39827), .Y(n39829) );
+  sky130_fd_sc_hd__xor2_1 U58938 ( .A(n39830), .B(n39829), .X(n62868) );
+  sky130_fd_sc_hd__mux2i_1 U58939 ( .A0(n62868), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[31]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .Y(n58758) );
+  sky130_fd_sc_hd__xnor2_1 U58940 ( .A(n53943), .B(n58758), .Y(n39841) );
+  sky130_fd_sc_hd__a21oi_1 U58941 ( .A1(n39834), .A2(n39833), .B1(n39832), .Y(
+        n39839) );
+  sky130_fd_sc_hd__nand2_1 U58942 ( .A(n39837), .B(n39836), .Y(n39838) );
+  sky130_fd_sc_hd__xor2_1 U58943 ( .A(n39839), .B(n39838), .X(n62869) );
+  sky130_fd_sc_hd__and4_1 U58944 ( .A(n39843), .B(n39842), .C(n39841), .D(
+        n39840), .X(n39844) );
+  sky130_fd_sc_hd__xor2_1 U58945 ( .A(n85393), .B(n65003), .X(n39849) );
+  sky130_fd_sc_hd__xnor2_1 U58946 ( .A(n39847), .B(n73091), .Y(n39848) );
+  sky130_fd_sc_hd__nand2_1 U58947 ( .A(n39849), .B(n39848), .Y(n39851) );
+  sky130_fd_sc_hd__xnor2_1 U58948 ( .A(n85396), .B(n70592), .Y(n39850) );
+  sky130_fd_sc_hd__nor2_1 U58949 ( .A(n39851), .B(n39850), .Y(n39856) );
+  sky130_fd_sc_hd__xor2_1 U58950 ( .A(n85388), .B(n70546), .X(n39855) );
+  sky130_fd_sc_hd__xnor2_1 U58951 ( .A(n39852), .B(n72491), .Y(n39854) );
+  sky130_fd_sc_hd__nand4_1 U58952 ( .A(n39856), .B(n39855), .C(n39854), .D(
+        n39853), .Y(n39903) );
+  sky130_fd_sc_hd__xor2_1 U58953 ( .A(n85378), .B(n53378), .X(n39869) );
+  sky130_fd_sc_hd__xor2_1 U58954 ( .A(n85387), .B(n72285), .X(n39868) );
+  sky130_fd_sc_hd__xnor2_1 U58955 ( .A(n58917), .B(n58376), .Y(n39863) );
+  sky130_fd_sc_hd__xor2_1 U58956 ( .A(n85377), .B(n44149), .X(n39862) );
+  sky130_fd_sc_hd__xor2_1 U58957 ( .A(n85389), .B(n58436), .X(n39861) );
+  sky130_fd_sc_hd__xnor2_1 U58958 ( .A(n85394), .B(n73269), .Y(n39859) );
+  sky130_fd_sc_hd__xnor2_1 U58959 ( .A(n85397), .B(n58533), .Y(n39858) );
+  sky130_fd_sc_hd__nor3_1 U58960 ( .A(n39859), .B(n60153), .C(n39858), .Y(
+        n39860) );
+  sky130_fd_sc_hd__nand4_1 U58961 ( .A(n39863), .B(n39862), .C(n39861), .D(
+        n39860), .Y(n39866) );
+  sky130_fd_sc_hd__xnor2_1 U58962 ( .A(n85381), .B(n43064), .Y(n39865) );
+  sky130_fd_sc_hd__xnor2_1 U58963 ( .A(n85383), .B(n58348), .Y(n39864) );
+  sky130_fd_sc_hd__nor3_1 U58964 ( .A(n39866), .B(n39865), .C(n39864), .Y(
+        n39867) );
+  sky130_fd_sc_hd__nand4_1 U58965 ( .A(n39870), .B(n39869), .C(n39868), .D(
+        n39867), .Y(n39872) );
+  sky130_fd_sc_hd__xnor2_1 U58966 ( .A(n85375), .B(n72235), .Y(n39871) );
+  sky130_fd_sc_hd__nor2_1 U58967 ( .A(n39872), .B(n39871), .Y(n39901) );
+  sky130_fd_sc_hd__nand2_1 U58968 ( .A(n39874), .B(n39873), .Y(n39875) );
+  sky130_fd_sc_hd__nand3_1 U58969 ( .A(n39875), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_valid), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_valid_REG), .Y(n41843) );
+  sky130_fd_sc_hd__nand2_1 U58970 ( .A(n41843), .B(n37899), .Y(n61158) );
+  sky130_fd_sc_hd__nor2b_1 U58971 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_taken), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_bridx), .Y(n39877) );
+  sky130_fd_sc_hd__inv_1 U58972 ( .A(n41104), .Y(n39879) );
+  sky130_fd_sc_hd__a21oi_1 U58973 ( .A1(n62928), .A2(n39879), .B1(n39878), .Y(
+        n40263) );
+  sky130_fd_sc_hd__nand2_1 U58974 ( .A(n39881), .B(n38485), .Y(n39882) );
+  sky130_fd_sc_hd__nand2_1 U58975 ( .A(n59177), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__replay), .Y(n40264) );
+  sky130_fd_sc_hd__xnor2_1 U58976 ( .A(n39883), .B(n72402), .Y(n39884) );
+  sky130_fd_sc_hd__xor2_1 U58977 ( .A(n85379), .B(n72449), .X(n39887) );
+  sky130_fd_sc_hd__xor2_1 U58978 ( .A(n85374), .B(n69397), .X(n39886) );
+  sky130_fd_sc_hd__xor2_1 U58979 ( .A(n85390), .B(n72186), .X(n39885) );
+  sky130_fd_sc_hd__xor2_1 U58980 ( .A(n85373), .B(n68952), .X(n39897) );
+  sky130_fd_sc_hd__xor2_1 U58981 ( .A(n85369), .B(n53258), .X(n39890) );
+  sky130_fd_sc_hd__xor2_1 U58982 ( .A(n85372), .B(n58475), .X(n39889) );
+  sky130_fd_sc_hd__nand2_1 U58983 ( .A(n39890), .B(n39889), .Y(n39892) );
+  sky130_fd_sc_hd__xnor2_1 U58984 ( .A(n85382), .B(n61930), .Y(n39891) );
+  sky130_fd_sc_hd__nor2_1 U58985 ( .A(n39892), .B(n39891), .Y(n39896) );
+  sky130_fd_sc_hd__xnor2_1 U58986 ( .A(n85385), .B(n61093), .Y(n39894) );
+  sky130_fd_sc_hd__xnor2_1 U58987 ( .A(n85384), .B(n73197), .Y(n39893) );
+  sky130_fd_sc_hd__nor2_1 U58988 ( .A(n39894), .B(n39893), .Y(n39895) );
+  sky130_fd_sc_hd__nand4_1 U58989 ( .A(n39898), .B(n39897), .C(n39896), .D(
+        n39895), .Y(n39899) );
+  sky130_fd_sc_hd__nand2_1 U58990 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_ctrl_csr[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_valid), .Y(n44124) );
+  sky130_fd_sc_hd__nand3_1 U58991 ( .A(n72690), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[8]), .C(n43175), .Y(n78245) );
+  sky130_fd_sc_hd__nand2_1 U58992 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_valid), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_ctrl_mem), .Y(n39906) );
+  sky130_fd_sc_hd__o21a_1 U58993 ( .A1(n39906), .A2(n39905), .B1(n65607), .X(
+        n65605) );
+  sky130_fd_sc_hd__o21a_4 U58994 ( .A1(n39909), .A2(n59985), .B1(n77637), .X(
+        n72712) );
+  sky130_fd_sc_hd__nand2_1 U58995 ( .A(n72712), .B(n39910), .Y(n63822) );
+  sky130_fd_sc_hd__nor2_1 U58996 ( .A(n36796), .B(n40107), .Y(n64353) );
+  sky130_fd_sc_hd__nand2_1 U58997 ( .A(n64353), .B(n39914), .Y(n70258) );
+  sky130_fd_sc_hd__nand2_1 U58998 ( .A(n85485), .B(n70258), .Y(n40231) );
+  sky130_fd_sc_hd__xnor2_1 U58999 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b4_1[2]), .B(n64329), .Y(n39920) );
+  sky130_fd_sc_hd__nand2_1 U59000 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_wxd), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_valid), .Y(n39956) );
+  sky130_fd_sc_hd__xnor2_1 U59001 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b4_1[3]), .B(n64328), .Y(n39916) );
+  sky130_fd_sc_hd__xnor2_1 U59002 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b4_1[0]), .B(n36771), .Y(n39915) );
+  sky130_fd_sc_hd__nor3_1 U59003 ( .A(n39956), .B(n39916), .C(n39915), .Y(
+        n39919) );
+  sky130_fd_sc_hd__xnor2_1 U59004 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b4_1[1]), .B(n36796), .Y(n39918) );
+  sky130_fd_sc_hd__xnor2_1 U59005 ( .A(n63977), .B(n64305), .Y(n39917) );
+  sky130_fd_sc_hd__nand4_1 U59006 ( .A(n39920), .B(n39919), .C(n39918), .D(
+        n39917), .Y(n70254) );
+  sky130_fd_sc_hd__nand2_1 U59007 ( .A(n54166), .B(n39922), .Y(n54173) );
+  sky130_fd_sc_hd__nand2_1 U59008 ( .A(n39936), .B(n39923), .Y(n39924) );
+  sky130_fd_sc_hd__and3_1 U59009 ( .A(n39980), .B(n54911), .C(n39924), .X(
+        n39925) );
+  sky130_fd_sc_hd__nand2b_1 U59010 ( .A_N(n36841), .B(n37934), .Y(n54977) );
+  sky130_fd_sc_hd__nand2_1 U59011 ( .A(n39928), .B(n39927), .Y(n39930) );
+  sky130_fd_sc_hd__nand3_1 U59012 ( .A(n39930), .B(n36840), .C(n39929), .Y(
+        n39931) );
+  sky130_fd_sc_hd__nand2_1 U59013 ( .A(n54162), .B(n39931), .Y(n39934) );
+  sky130_fd_sc_hd__mux2i_1 U59014 ( .A0(n73251), .A1(n39932), .S(n39302), .Y(
+        n39933) );
+  sky130_fd_sc_hd__nand2_1 U59015 ( .A(n39936), .B(n39935), .Y(n39946) );
+  sky130_fd_sc_hd__nand2_1 U59016 ( .A(n39937), .B(n54896), .Y(n39941) );
+  sky130_fd_sc_hd__mux2_2 U59017 ( .A0(n63842), .A1(n63843), .S(n39302), .X(
+        n39938) );
+  sky130_fd_sc_hd__nor2_1 U59018 ( .A(n39938), .B(n36841), .Y(n68918) );
+  sky130_fd_sc_hd__nor2_1 U59019 ( .A(n39356), .B(n68918), .Y(n39940) );
+  sky130_fd_sc_hd__nand2_1 U59020 ( .A(n39942), .B(n54174), .Y(n39945) );
+  sky130_fd_sc_hd__a22oi_1 U59021 ( .A1(n39950), .A2(n39944), .B1(n73147), 
+        .B2(n39948), .Y(n66417) );
+  sky130_fd_sc_hd__nor2_1 U59022 ( .A(n39951), .B(n39946), .Y(n39953) );
+  sky130_fd_sc_hd__a22oi_1 U59023 ( .A1(n39950), .A2(n39949), .B1(n63817), 
+        .B2(n39948), .Y(n66246) );
+  sky130_fd_sc_hd__xnor2_1 U59024 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b4_1[1]), .B(n62998), .Y(n39958) );
+  sky130_fd_sc_hd__xor2_1 U59025 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b4_1[0]), .B(n62980), .X(n39957) );
+  sky130_fd_sc_hd__nand3_1 U59026 ( .A(n39958), .B(n39997), .C(n39957), .Y(
+        n39963) );
+  sky130_fd_sc_hd__xnor2_1 U59027 ( .A(n63977), .B(n36832), .Y(n39961) );
+  sky130_fd_sc_hd__xnor2_1 U59028 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b4_1[2]), .B(n62979), .Y(n39960) );
+  sky130_fd_sc_hd__xor2_1 U59029 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b4_1[3]), .B(n62983), .X(n39959) );
+  sky130_fd_sc_hd__nand3_1 U59030 ( .A(n39961), .B(n39960), .C(n39959), .Y(
+        n39962) );
+  sky130_fd_sc_hd__nor2_1 U59031 ( .A(n39963), .B(n39962), .Y(n70047) );
+  sky130_fd_sc_hd__nand2_1 U59032 ( .A(n40224), .B(n70047), .Y(n40005) );
+  sky130_fd_sc_hd__nand2_1 U59033 ( .A(n39968), .B(n39986), .Y(n39977) );
+  sky130_fd_sc_hd__nand2_1 U59034 ( .A(n39973), .B(n64278), .Y(n39972) );
+  sky130_fd_sc_hd__a2bb2oi_2 U59035 ( .B1(n54174), .B2(n39976), .A1_N(n39972), 
+        .A2_N(n39974), .Y(n40066) );
+  sky130_fd_sc_hd__nand2_1 U59036 ( .A(n39973), .B(n55601), .Y(n39975) );
+  sky130_fd_sc_hd__nand2_1 U59037 ( .A(n40066), .B(n40063), .Y(n40032) );
+  sky130_fd_sc_hd__a21oi_1 U59038 ( .A1(n39978), .A2(n39977), .B1(n69586), .Y(
+        n39985) );
+  sky130_fd_sc_hd__nand2_1 U59039 ( .A(n54898), .B(n41831), .Y(n39979) );
+  sky130_fd_sc_hd__nand2_1 U59040 ( .A(n39979), .B(n37949), .Y(n39982) );
+  sky130_fd_sc_hd__nand3_1 U59041 ( .A(n39982), .B(n39981), .C(n39980), .Y(
+        n44192) );
+  sky130_fd_sc_hd__nor2_1 U59042 ( .A(n39983), .B(n44192), .Y(n39984) );
+  sky130_fd_sc_hd__o22ai_1 U59043 ( .A1(n39986), .A2(n39316), .B1(n39985), 
+        .B2(n39984), .Y(n39991) );
+  sky130_fd_sc_hd__nand2_1 U59044 ( .A(n39987), .B(n44197), .Y(n39989) );
+  sky130_fd_sc_hd__o211a_2 U59046 ( .A1(n39991), .A2(n39990), .B1(n39989), 
+        .C1(n39988), .X(n40059) );
+  sky130_fd_sc_hd__nand2_1 U59047 ( .A(n39994), .B(n66536), .Y(n40060) );
+  sky130_fd_sc_hd__nand2_1 U59048 ( .A(n40059), .B(n40060), .Y(n39995) );
+  sky130_fd_sc_hd__inv_2 U59049 ( .A(n40241), .Y(n40070) );
+  sky130_fd_sc_hd__xnor2_1 U59050 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b4_1[1]), .B(n40235), .Y(n40003) );
+  sky130_fd_sc_hd__xnor2_1 U59051 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b4_1[3]), .B(n40236), .Y(n39998) );
+  sky130_fd_sc_hd__xnor2_1 U59052 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_br_target_T_3_11_), .B(n36829), .Y(n39996) );
+  sky130_fd_sc_hd__xnor2_1 U59053 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b4_1[2]), .B(n40243), .Y(n40000) );
+  sky130_fd_sc_hd__inv_2 U59054 ( .A(n40063), .Y(n40234) );
+  sky130_fd_sc_hd__xor2_1 U59055 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b4_1[0]), .B(n40234), .X(n39999) );
+  sky130_fd_sc_hd__nor2_1 U59056 ( .A(n40000), .B(n39999), .Y(n40001) );
+  sky130_fd_sc_hd__nand4_1 U59057 ( .A(n40070), .B(n40003), .C(n40002), .D(
+        n40001), .Y(n40004) );
+  sky130_fd_sc_hd__nand2_1 U59058 ( .A(n40208), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_mem), .Y(n63878) );
+  sky130_fd_sc_hd__nor2_1 U59059 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_reg_pause), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_wfi), .Y(n40006) );
+  sky130_fd_sc_hd__nand2_1 U59060 ( .A(n40066), .B(n40234), .Y(n40030) );
+  sky130_fd_sc_hd__o22ai_1 U59061 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[23]), .A2(n40031), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[19]), .B2(n40030), .Y(n40009) );
+  sky130_fd_sc_hd__o22ai_1 U59062 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[21]), .A2(n40033), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[17]), .B2(n40032), .Y(n40008) );
+  sky130_fd_sc_hd__nor2_1 U59063 ( .A(n40009), .B(n40008), .Y(n40017) );
+  sky130_fd_sc_hd__nand2_1 U59064 ( .A(n40010), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[18]), .Y(n40015) );
+  sky130_fd_sc_hd__nand2_1 U59065 ( .A(n37912), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[20]), .Y(n40014) );
+  sky130_fd_sc_hd__nand2_1 U59066 ( .A(n40011), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[16]), .Y(n40013) );
+  sky130_fd_sc_hd__nand2b_1 U59067 ( .A_N(n40031), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[22]), .Y(n40012) );
+  sky130_fd_sc_hd__nand4_1 U59068 ( .A(n40015), .B(n40014), .C(n40013), .D(
+        n40012), .Y(n40016) );
+  sky130_fd_sc_hd__mux2i_1 U59069 ( .A0(n40017), .A1(n40016), .S(n40059), .Y(
+        n40021) );
+  sky130_fd_sc_hd__o22a_1 U59070 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[2]), .A2(n40235), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[4]), .B2(n40234), .X(n40018) );
+  sky130_fd_sc_hd__o21ai_0 U59071 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[6]), .A2(n40031), .B1(n40018), .Y(n40019) );
+  sky130_fd_sc_hd__mux2i_1 U59072 ( .A0(n40021), .A1(n40020), .S(n40060), .Y(
+        n40041) );
+  sky130_fd_sc_hd__o22ai_1 U59073 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[15]), .A2(n40031), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[11]), .B2(n40030), .Y(n40023) );
+  sky130_fd_sc_hd__o22ai_1 U59074 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[13]), .A2(n40033), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[9]), .B2(n40032), .Y(n40022) );
+  sky130_fd_sc_hd__nor2_1 U59075 ( .A(n40023), .B(n40022), .Y(n40027) );
+  sky130_fd_sc_hd__o22ai_1 U59076 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[14]), .A2(n40031), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[10]), .B2(n40030), .Y(n40025) );
+  sky130_fd_sc_hd__o22ai_1 U59077 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[12]), .A2(n40033), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[8]), .B2(n40032), .Y(n40024) );
+  sky130_fd_sc_hd__nor2_1 U59078 ( .A(n40025), .B(n40024), .Y(n40026) );
+  sky130_fd_sc_hd__mux2i_1 U59079 ( .A0(n40027), .A1(n40026), .S(n40059), .Y(
+        n40039) );
+  sky130_fd_sc_hd__o22ai_1 U59080 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[31]), .A2(n40031), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[27]), .B2(n40030), .Y(n40029) );
+  sky130_fd_sc_hd__o22ai_1 U59081 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[29]), .A2(n40033), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[25]), .B2(n40032), .Y(n40028) );
+  sky130_fd_sc_hd__nor2_1 U59082 ( .A(n40029), .B(n40028), .Y(n40037) );
+  sky130_fd_sc_hd__o22ai_1 U59083 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[30]), .A2(n40031), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[26]), .B2(n40030), .Y(n40035) );
+  sky130_fd_sc_hd__o22ai_1 U59084 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[28]), .A2(n40033), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[24]), .B2(n40032), .Y(n40034) );
+  sky130_fd_sc_hd__nor2_1 U59085 ( .A(n40035), .B(n40034), .Y(n40036) );
+  sky130_fd_sc_hd__mux2i_1 U59086 ( .A0(n40037), .A1(n40036), .S(n40059), .Y(
+        n40038) );
+  sky130_fd_sc_hd__mux2i_1 U59087 ( .A0(n40039), .A1(n40038), .S(n40236), .Y(
+        n40040) );
+  sky130_fd_sc_hd__mux2i_1 U59088 ( .A0(n40041), .A1(n40040), .S(n40248), .Y(
+        n40056) );
+  sky130_fd_sc_hd__nand2_1 U59089 ( .A(n64494), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_io_resp_bits_tag[4]), .Y(n40043) );
+  sky130_fd_sc_hd__o21a_1 U59090 ( .A1(n64494), .A2(n64245), .B1(n40043), .X(
+        n72621) );
+  sky130_fd_sc_hd__xnor2_1 U59091 ( .A(n72648), .B(n40236), .Y(n40047) );
+  sky130_fd_sc_hd__nand2_1 U59092 ( .A(n64494), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_io_resp_bits_tag[0]), .Y(n40044) );
+  sky130_fd_sc_hd__o21a_1 U59093 ( .A1(n64494), .A2(n64249), .B1(n40044), .X(
+        n72598) );
+  sky130_fd_sc_hd__xnor2_1 U59094 ( .A(n40045), .B(n36829), .Y(n40046) );
+  sky130_fd_sc_hd__nand2_1 U59095 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_valid), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_ctrl_wxd), .Y(n40255) );
+  sky130_fd_sc_hd__nand3_1 U59096 ( .A(n40255), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_state[2]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_state[1]), .Y(n64496) );
+  sky130_fd_sc_hd__nand3_1 U59097 ( .A(n40047), .B(n40046), .C(n72597), .Y(
+        n40054) );
+  sky130_fd_sc_hd__nand2_1 U59098 ( .A(n64494), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_io_resp_bits_tag[2]), .Y(n40048) );
+  sky130_fd_sc_hd__o21a_1 U59099 ( .A1(n64494), .A2(n64247), .B1(n40048), .X(
+        n72650) );
+  sky130_fd_sc_hd__xnor2_1 U59100 ( .A(n72629), .B(n40235), .Y(n40053) );
+  sky130_fd_sc_hd__nand2_1 U59101 ( .A(n64494), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_io_resp_bits_tag[1]), .Y(n40049) );
+  sky130_fd_sc_hd__o21a_1 U59102 ( .A1(n64494), .A2(n64241), .B1(n40049), .X(
+        n72599) );
+  sky130_fd_sc_hd__xnor2_1 U59103 ( .A(n72584), .B(n40234), .Y(n40052) );
+  sky130_fd_sc_hd__nand2_1 U59104 ( .A(n64494), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_io_resp_bits_tag[3]), .Y(n40050) );
+  sky130_fd_sc_hd__o21a_1 U59105 ( .A1(n64494), .A2(n64243), .B1(n40050), .X(
+        n72640) );
+  sky130_fd_sc_hd__xnor2_1 U59106 ( .A(n72640), .B(n40243), .Y(n40051) );
+  sky130_fd_sc_hd__nand4b_1 U59107 ( .A_N(n40054), .B(n40053), .C(n40052), .D(
+        n40051), .Y(n40055) );
+  sky130_fd_sc_hd__nor2_1 U59108 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_div), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_csr[2]), .Y(n40058) );
+  sky130_fd_sc_hd__nor2_1 U59109 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_mem), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_jalr), .Y(n40057) );
+  sky130_fd_sc_hd__nand2_1 U59110 ( .A(n40058), .B(n40057), .Y(n40090) );
+  sky130_fd_sc_hd__xnor2_1 U59111 ( .A(n40081), .B(n40059), .Y(n40062) );
+  sky130_fd_sc_hd__xnor2_1 U59112 ( .A(n53654), .B(n40060), .Y(n40061) );
+  sky130_fd_sc_hd__nand2_1 U59113 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_wxd), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_valid), .Y(n40073) );
+  sky130_fd_sc_hd__nand3_1 U59114 ( .A(n40062), .B(n40061), .C(n40079), .Y(
+        n40065) );
+  sky130_fd_sc_hd__xnor2_1 U59115 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[2]), .B(n40063), .Y(n40064) );
+  sky130_fd_sc_hd__nor2_1 U59116 ( .A(n40065), .B(n40064), .Y(n40069) );
+  sky130_fd_sc_hd__xnor2_1 U59117 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[4]), .B(n40248), .Y(n40068) );
+  sky130_fd_sc_hd__xnor2_1 U59118 ( .A(n53637), .B(n40066), .Y(n40067) );
+  sky130_fd_sc_hd__nand4_1 U59119 ( .A(n40070), .B(n40069), .C(n40068), .D(
+        n40067), .Y(n40088) );
+  sky130_fd_sc_hd__xnor2_1 U59120 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[4]), .B(n64329), .Y(n40077) );
+  sky130_fd_sc_hd__xnor2_1 U59121 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[5]), .B(n64328), .Y(n40072) );
+  sky130_fd_sc_hd__xnor2_1 U59122 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[2]), .B(n36771), .Y(n40071) );
+  sky130_fd_sc_hd__nor3_1 U59123 ( .A(n40073), .B(n40072), .C(n40071), .Y(
+        n40076) );
+  sky130_fd_sc_hd__xnor2_1 U59124 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[3]), .B(n36796), .Y(n40075) );
+  sky130_fd_sc_hd__xnor2_1 U59125 ( .A(n40081), .B(n64305), .Y(n40074) );
+  sky130_fd_sc_hd__nand4_1 U59126 ( .A(n40077), .B(n40076), .C(n40075), .D(
+        n40074), .Y(n43697) );
+  sky130_fd_sc_hd__xnor2_1 U59127 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[3]), .B(n62998), .Y(n40080) );
+  sky130_fd_sc_hd__xnor2_1 U59128 ( .A(n53626), .B(n62980), .Y(n40078) );
+  sky130_fd_sc_hd__nand3_1 U59129 ( .A(n40080), .B(n40079), .C(n40078), .Y(
+        n40086) );
+  sky130_fd_sc_hd__xnor2_1 U59130 ( .A(n40081), .B(n36832), .Y(n40084) );
+  sky130_fd_sc_hd__xnor2_1 U59131 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[4]), .B(n62979), .Y(n40083) );
+  sky130_fd_sc_hd__xnor2_1 U59132 ( .A(n53654), .B(n62983), .Y(n40082) );
+  sky130_fd_sc_hd__nand3_1 U59133 ( .A(n40084), .B(n40083), .C(n40082), .Y(
+        n40085) );
+  sky130_fd_sc_hd__nor2_1 U59134 ( .A(n40086), .B(n40085), .Y(n70045) );
+  sky130_fd_sc_hd__nand2_1 U59135 ( .A(n40088), .B(n38500), .Y(n40089) );
+  sky130_fd_sc_hd__nand2_1 U59136 ( .A(n64329), .B(n37094), .Y(n40131) );
+  sky130_fd_sc_hd__inv_2 U59137 ( .A(n64357), .Y(n69943) );
+  sky130_fd_sc_hd__nand2_1 U59138 ( .A(n69943), .B(n72593), .Y(n40106) );
+  sky130_fd_sc_hd__xnor2_1 U59139 ( .A(n72649), .B(n64329), .Y(n40098) );
+  sky130_fd_sc_hd__xnor2_1 U59140 ( .A(n72621), .B(n64328), .Y(n40092) );
+  sky130_fd_sc_hd__nand2_1 U59141 ( .A(n40092), .B(n72597), .Y(n40094) );
+  sky130_fd_sc_hd__xnor2_1 U59142 ( .A(n72650), .B(n36796), .Y(n40093) );
+  sky130_fd_sc_hd__nor2_1 U59143 ( .A(n40094), .B(n40093), .Y(n40097) );
+  sky130_fd_sc_hd__xnor2_1 U59144 ( .A(n72598), .B(n64305), .Y(n40096) );
+  sky130_fd_sc_hd__xnor2_1 U59145 ( .A(n72599), .B(n36771), .Y(n40095) );
+  sky130_fd_sc_hd__nand4_1 U59146 ( .A(n40098), .B(n40097), .C(n40096), .D(
+        n40095), .Y(n40105) );
+  sky130_fd_sc_hd__nand2b_2 U59147 ( .A_N(n64305), .B(n36771), .Y(n64354) );
+  sky130_fd_sc_hd__o22ai_1 U59148 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[21]), .A2(n64354), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[23]), .B2(n64351), .Y(n40100) );
+  sky130_fd_sc_hd__o22ai_1 U59149 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[20]), .A2(n64349), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[22]), .B2(n64356), .Y(n40099) );
+  sky130_fd_sc_hd__nand2b_1 U59150 ( .A_N(n64329), .B(n37094), .Y(n64342) );
+  sky130_fd_sc_hd__o21bai_1 U59151 ( .A1(n40100), .A2(n40099), .B1_N(n64342), 
+        .Y(n40104) );
+  sky130_fd_sc_hd__o22ai_1 U59152 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[17]), .A2(n64354), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[19]), .B2(n64351), .Y(n40102) );
+  sky130_fd_sc_hd__o22ai_1 U59153 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[16]), .A2(n64349), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[18]), .B2(n64356), .Y(n40101) );
+  sky130_fd_sc_hd__nand2b_1 U59154 ( .A_N(n64329), .B(n40114), .Y(n64355) );
+  sky130_fd_sc_hd__o21bai_1 U59155 ( .A1(n40102), .A2(n40101), .B1_N(n64355), 
+        .Y(n40103) );
+  sky130_fd_sc_hd__nand4_1 U59156 ( .A(n40106), .B(n40105), .C(n40104), .D(
+        n40103), .Y(n40124) );
+  sky130_fd_sc_hd__nand2b_1 U59157 ( .A_N(n40107), .B(n36796), .Y(n40125) );
+  sky130_fd_sc_hd__nor2_1 U59158 ( .A(n64354), .B(n40125), .Y(n64348) );
+  sky130_fd_sc_hd__nand2_1 U59159 ( .A(n64348), .B(n40108), .Y(n40111) );
+  sky130_fd_sc_hd__nor2_1 U59160 ( .A(n64356), .B(n40125), .Y(n64331) );
+  sky130_fd_sc_hd__nand2_1 U59161 ( .A(n64331), .B(n40109), .Y(n40110) );
+  sky130_fd_sc_hd__nand2_1 U59162 ( .A(n40111), .B(n40110), .Y(n40122) );
+  sky130_fd_sc_hd__o22ai_1 U59163 ( .A1(n72589), .A2(n64354), .B1(n72585), 
+        .B2(n64351), .Y(n40112) );
+  sky130_fd_sc_hd__a21oi_1 U59164 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[2]), .A2(n64333), .B1(n40112), .Y(n40113) );
+  sky130_fd_sc_hd__nand2_1 U59165 ( .A(n64353), .B(n40113), .Y(n40120) );
+  sky130_fd_sc_hd__nand2_1 U59166 ( .A(n64329), .B(n40114), .Y(n40128) );
+  sky130_fd_sc_hd__nor2_1 U59167 ( .A(n64351), .B(n40128), .Y(n64318) );
+  sky130_fd_sc_hd__nand2_1 U59168 ( .A(n64318), .B(n40115), .Y(n40119) );
+  sky130_fd_sc_hd__nor2_1 U59169 ( .A(n64354), .B(n40128), .Y(n64339) );
+  sky130_fd_sc_hd__nand2_1 U59170 ( .A(n64339), .B(n40116), .Y(n40118) );
+  sky130_fd_sc_hd__nand2_1 U59171 ( .A(n37002), .B(n72656), .Y(n40117) );
+  sky130_fd_sc_hd__nand4_1 U59172 ( .A(n40120), .B(n40119), .C(n40118), .D(
+        n40117), .Y(n40121) );
+  sky130_fd_sc_hd__nor2_1 U59173 ( .A(n40122), .B(n40121), .Y(n40123) );
+  sky130_fd_sc_hd__nand2b_1 U59174 ( .A_N(n40124), .B(n40123), .Y(n40147) );
+  sky130_fd_sc_hd__nor2_1 U59175 ( .A(n64349), .B(n40125), .Y(n64340) );
+  sky130_fd_sc_hd__nor2_1 U59176 ( .A(n64351), .B(n40125), .Y(n64341) );
+  sky130_fd_sc_hd__a22oi_1 U59177 ( .A1(n64340), .A2(n40127), .B1(n64341), 
+        .B2(n40126), .Y(n40144) );
+  sky130_fd_sc_hd__nor2_1 U59178 ( .A(n64349), .B(n40131), .Y(n64343) );
+  sky130_fd_sc_hd__a22oi_1 U59179 ( .A1(n64343), .A2(n40130), .B1(n64319), 
+        .B2(n40129), .Y(n40143) );
+  sky130_fd_sc_hd__a22oi_1 U59180 ( .A1(n64326), .A2(n40133), .B1(n64325), 
+        .B2(n40132), .Y(n40142) );
+  sky130_fd_sc_hd__o22ai_1 U59181 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[8]), .A2(n64349), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[10]), .B2(n64356), .Y(n40135) );
+  sky130_fd_sc_hd__o22ai_1 U59182 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[9]), .A2(n64354), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[11]), .B2(n64351), .Y(n40134) );
+  sky130_fd_sc_hd__nor2_1 U59183 ( .A(n40135), .B(n40134), .Y(n40139) );
+  sky130_fd_sc_hd__o22ai_1 U59184 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[12]), .A2(n64349), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[14]), .B2(n64356), .Y(n40137) );
+  sky130_fd_sc_hd__o22ai_1 U59185 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[13]), .A2(n64354), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[15]), .B2(n64351), .Y(n40136) );
+  sky130_fd_sc_hd__nor2_1 U59186 ( .A(n40137), .B(n40136), .Y(n40138) );
+  sky130_fd_sc_hd__mux2i_1 U59187 ( .A0(n40139), .A1(n40138), .S(n36796), .Y(
+        n40140) );
+  sky130_fd_sc_hd__nand2_1 U59188 ( .A(n40140), .B(n64317), .Y(n40141) );
+  sky130_fd_sc_hd__nand4_1 U59189 ( .A(n40144), .B(n40143), .C(n40142), .D(
+        n40141), .Y(n40146) );
+  sky130_fd_sc_hd__nand3_1 U59190 ( .A(n64498), .B(n65310), .C(n72574), .Y(
+        n56030) );
+  sky130_fd_sc_hd__nand2_1 U59191 ( .A(n64496), .B(n56030), .Y(n40148) );
+  sky130_fd_sc_hd__nand2_1 U59192 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_div), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_valid), .Y(n56031) );
+  sky130_fd_sc_hd__nand2_1 U59193 ( .A(n40148), .B(n56031), .Y(n40149) );
+  sky130_fd_sc_hd__nand2_1 U59194 ( .A(n85537), .B(n40149), .Y(n62931) );
+  sky130_fd_sc_hd__nand3_1 U59195 ( .A(n40151), .B(n55953), .C(n40150), .Y(
+        n40152) );
+  sky130_fd_sc_hd__nand2_1 U59196 ( .A(n40153), .B(n40152), .Y(n62930) );
+  sky130_fd_sc_hd__nand2_1 U59197 ( .A(n62983), .B(n62979), .Y(n40155) );
+  sky130_fd_sc_hd__nor2_1 U59198 ( .A(n62998), .B(n40155), .Y(n40161) );
+  sky130_fd_sc_hd__nand2_1 U59199 ( .A(n40161), .B(n36825), .Y(n62995) );
+  sky130_fd_sc_hd__nand2_1 U59200 ( .A(n40161), .B(n40191), .Y(n63027) );
+  sky130_fd_sc_hd__o22ai_1 U59201 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[10]), .A2(n62995), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[11]), .B2(n63027), .Y(n40157) );
+  sky130_fd_sc_hd__nand2_1 U59202 ( .A(n40161), .B(n40192), .Y(n63028) );
+  sky130_fd_sc_hd__nor2_1 U59203 ( .A(n63010), .B(n40155), .Y(n40173) );
+  sky130_fd_sc_hd__nand2_1 U59204 ( .A(n40173), .B(n40191), .Y(n62996) );
+  sky130_fd_sc_hd__o22ai_1 U59205 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[9]), .A2(n63028), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[15]), .B2(n62996), .Y(n40156) );
+  sky130_fd_sc_hd__nor2_1 U59206 ( .A(n40157), .B(n40156), .Y(n40170) );
+  sky130_fd_sc_hd__inv_2 U59207 ( .A(n62979), .Y(n40226) );
+  sky130_fd_sc_hd__nand3_1 U59208 ( .A(n62983), .B(n40226), .C(n62998), .Y(
+        n40158) );
+  sky130_fd_sc_hd__nand3_1 U59209 ( .A(n40165), .B(n40226), .C(n62998), .Y(
+        n40172) );
+  sky130_fd_sc_hd__nand2_1 U59210 ( .A(n40162), .B(n36821), .Y(n63003) );
+  sky130_fd_sc_hd__o22ai_1 U59211 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[4]), .A2(n63000), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[20]), .B2(n63003), .Y(n40160) );
+  sky130_fd_sc_hd__nand2b_1 U59212 ( .A_N(n40172), .B(n40192), .Y(n62997) );
+  sky130_fd_sc_hd__nand2_1 U59213 ( .A(n40173), .B(n40192), .Y(n63001) );
+  sky130_fd_sc_hd__o22ai_1 U59214 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[21]), .A2(n62997), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[13]), .B2(n63001), .Y(n40159) );
+  sky130_fd_sc_hd__nor2_1 U59215 ( .A(n40160), .B(n40159), .Y(n40169) );
+  sky130_fd_sc_hd__nand2_1 U59216 ( .A(n40161), .B(n36821), .Y(n63013) );
+  sky130_fd_sc_hd__o22ai_1 U59217 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[6]), .A2(n69572), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[8]), .B2(n63013), .Y(n40164) );
+  sky130_fd_sc_hd__nand2_1 U59218 ( .A(n40191), .B(n40171), .Y(n63002) );
+  sky130_fd_sc_hd__nand2_1 U59219 ( .A(n40162), .B(n36825), .Y(n63009) );
+  sky130_fd_sc_hd__o22ai_1 U59220 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[7]), .A2(n63002), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[22]), .B2(n63009), .Y(n40163) );
+  sky130_fd_sc_hd__nor2_1 U59221 ( .A(n40164), .B(n40163), .Y(n40168) );
+  sky130_fd_sc_hd__nand2_1 U59222 ( .A(n40166), .B(n40165), .Y(n40188) );
+  sky130_fd_sc_hd__nand2b_1 U59223 ( .A_N(n40188), .B(n36825), .Y(n63037) );
+  sky130_fd_sc_hd__o22a_1 U59224 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[18]), .A2(n63037), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[17]), .B2(n63008), .X(n40167) );
+  sky130_fd_sc_hd__nand4_1 U59225 ( .A(n40170), .B(n40169), .C(n40168), .D(
+        n40167), .Y(n40203) );
+  sky130_fd_sc_hd__nand2_1 U59226 ( .A(n40192), .B(n40171), .Y(n63017) );
+  sky130_fd_sc_hd__nand2_1 U59227 ( .A(n40173), .B(n36821), .Y(n63018) );
+  sky130_fd_sc_hd__o22a_1 U59228 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[5]), .A2(n63017), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[12]), .B2(n63018), .X(n40187) );
+  sky130_fd_sc_hd__nand2_1 U59229 ( .A(n40173), .B(n36825), .Y(n63026) );
+  sky130_fd_sc_hd__o22a_1 U59230 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[23]), .A2(n63025), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[14]), .B2(n63026), .X(n40186) );
+  sky130_fd_sc_hd__xnor2_1 U59231 ( .A(n72599), .B(n62980), .Y(n40174) );
+  sky130_fd_sc_hd__nand2_1 U59232 ( .A(n40174), .B(n72597), .Y(n40176) );
+  sky130_fd_sc_hd__xnor2_1 U59233 ( .A(n72650), .B(n62998), .Y(n40175) );
+  sky130_fd_sc_hd__nor2_1 U59234 ( .A(n40176), .B(n40175), .Y(n40180) );
+  sky130_fd_sc_hd__xnor2_1 U59235 ( .A(n72621), .B(n62983), .Y(n40179) );
+  sky130_fd_sc_hd__xnor2_1 U59236 ( .A(n72598), .B(n36832), .Y(n40178) );
+  sky130_fd_sc_hd__xnor2_1 U59237 ( .A(n72649), .B(n62979), .Y(n40177) );
+  sky130_fd_sc_hd__nand4_1 U59238 ( .A(n40180), .B(n40179), .C(n40178), .D(
+        n40177), .Y(n40185) );
+  sky130_fd_sc_hd__nand2_1 U59239 ( .A(n36825), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[2]), .Y(n40183) );
+  sky130_fd_sc_hd__nand2_1 U59240 ( .A(n40191), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[3]), .Y(n40182) );
+  sky130_fd_sc_hd__nand2_1 U59241 ( .A(n40192), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[1]), .Y(n40181) );
+  sky130_fd_sc_hd__nand4b_1 U59242 ( .A_N(n63035), .B(n40183), .C(n40182), .D(
+        n40181), .Y(n40184) );
+  sky130_fd_sc_hd__nand4_1 U59243 ( .A(n40187), .B(n40186), .C(n40185), .D(
+        n40184), .Y(n40190) );
+  sky130_fd_sc_hd__nand2b_1 U59244 ( .A_N(n40188), .B(n36821), .Y(n63039) );
+  sky130_fd_sc_hd__nand2b_1 U59245 ( .A_N(n40188), .B(n40191), .Y(n63038) );
+  sky130_fd_sc_hd__o22ai_1 U59246 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[16]), .A2(n63039), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[19]), .B2(n63038), .Y(n40189) );
+  sky130_fd_sc_hd__nor2_1 U59247 ( .A(n40190), .B(n40189), .Y(n40202) );
+  sky130_fd_sc_hd__o22ai_1 U59248 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[28]), .A2(n62999), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[30]), .B2(n63036), .Y(n40194) );
+  sky130_fd_sc_hd__o22ai_1 U59249 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[31]), .A2(n63021), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[29]), .B2(n63023), .Y(n40193) );
+  sky130_fd_sc_hd__nor2_1 U59250 ( .A(n40194), .B(n40193), .Y(n40195) );
+  sky130_fd_sc_hd__nand2_1 U59251 ( .A(n40195), .B(n62998), .Y(n40200) );
+  sky130_fd_sc_hd__o22ai_1 U59252 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[24]), .A2(n62999), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[26]), .B2(n63036), .Y(n40197) );
+  sky130_fd_sc_hd__o22ai_1 U59253 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[27]), .A2(n63021), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[25]), .B2(n63023), .Y(n40196) );
+  sky130_fd_sc_hd__nor2_1 U59254 ( .A(n40197), .B(n40196), .Y(n40198) );
+  sky130_fd_sc_hd__nand2_1 U59255 ( .A(n40198), .B(n63010), .Y(n40199) );
+  sky130_fd_sc_hd__nor2_1 U59256 ( .A(n40226), .B(n62983), .Y(n63011) );
+  sky130_fd_sc_hd__nand4_1 U59257 ( .A(n62936), .B(n62933), .C(n40204), .D(
+        n62935), .Y(n40219) );
+  sky130_fd_sc_hd__nor2_1 U59258 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_div), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_csr[1]), .Y(n40206) );
+  sky130_fd_sc_hd__nor2_1 U59259 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_csr[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_csr[2]), .Y(n40205) );
+  sky130_fd_sc_hd__nand2_1 U59260 ( .A(n40206), .B(n40205), .Y(n40207) );
+  sky130_fd_sc_hd__a22o_1 U59261 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_size[0]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_size[3]), .X(n76588) );
+  sky130_fd_sc_hd__nand2_1 U59262 ( .A(n82466), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_size[4]), .Y(n40210) );
+  sky130_fd_sc_hd__nand2_1 U59263 ( .A(n36851), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_size[1]), .Y(n40209) );
+  sky130_fd_sc_hd__nand2_1 U59264 ( .A(n40210), .B(n40209), .Y(n82606) );
+  sky130_fd_sc_hd__nand2_1 U59265 ( .A(n76588), .B(n82606), .Y(n55649) );
+  sky130_fd_sc_hd__nand2_1 U59266 ( .A(n36851), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_size[2]), .Y(n40211) );
+  sky130_fd_sc_hd__o21a_1 U59267 ( .A1(n36851), .A2(n40212), .B1(n40211), .X(
+        n83004) );
+  sky130_fd_sc_hd__nand2_1 U59268 ( .A(n55649), .B(n83004), .Y(n74045) );
+  sky130_fd_sc_hd__nor2_1 U59269 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[6]), .Y(n40215) );
+  sky130_fd_sc_hd__nand4_1 U59271 ( .A(n41307), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[0]), .C(n40215), .D(n86749), .Y(n40218) );
+  sky130_fd_sc_hd__nand2_1 U59272 ( .A(n82466), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_opcode[3]), .Y(n40217) );
+  sky130_fd_sc_hd__nand2_1 U59273 ( .A(n36851), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_opcode[0]), .Y(n40216) );
+  sky130_fd_sc_hd__nand3_1 U59274 ( .A(n74045), .B(n40218), .C(n82999), .Y(
+        n83031) );
+  sky130_fd_sc_hd__o22ai_1 U59275 ( .A1(n36851), .A2(n59900), .B1(n82466), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_N43), .Y(n59897) );
+  sky130_fd_sc_hd__nor2_1 U59276 ( .A(n59897), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_maybe_full), .Y(n53212) );
+  sky130_fd_sc_hd__a22o_1 U59277 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_source[1]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_source[3]), .X(n53213) );
+  sky130_fd_sc_hd__nor2_1 U59278 ( .A(n53212), .B(n53213), .Y(n41334) );
+  sky130_fd_sc_hd__nand2_1 U59279 ( .A(n83031), .B(n41334), .Y(n72671) );
+  sky130_fd_sc_hd__nor2_1 U59280 ( .A(n40219), .B(n62937), .Y(n40262) );
+  sky130_fd_sc_hd__xnor2_1 U59281 ( .A(n72602), .B(n36832), .Y(n40223) );
+  sky130_fd_sc_hd__xnor2_1 U59282 ( .A(n72630), .B(n62983), .Y(n40222) );
+  sky130_fd_sc_hd__xnor2_1 U59283 ( .A(n72601), .B(n62980), .Y(n40221) );
+  sky130_fd_sc_hd__xnor2_1 U59284 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_waddr[2]), .B(n62998), .Y(n40220) );
+  sky130_fd_sc_hd__nand2_1 U59285 ( .A(n40247), .B(n40226), .Y(n40246) );
+  sky130_fd_sc_hd__xnor2_1 U59286 ( .A(n72601), .B(n36771), .Y(n40230) );
+  sky130_fd_sc_hd__xnor2_1 U59287 ( .A(n72602), .B(n64305), .Y(n40229) );
+  sky130_fd_sc_hd__xnor2_1 U59288 ( .A(n72630), .B(n64328), .Y(n40228) );
+  sky130_fd_sc_hd__xnor2_1 U59289 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_waddr[2]), .B(n36796), .Y(n40227) );
+  sky130_fd_sc_hd__nand4_1 U59290 ( .A(n40230), .B(n40229), .C(n40228), .D(
+        n40227), .Y(n40232) );
+  sky130_fd_sc_hd__nor2_1 U59291 ( .A(n40232), .B(n40231), .Y(n40250) );
+  sky130_fd_sc_hd__a21oi_1 U59292 ( .A1(n40250), .A2(n40233), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_waddr[3]), .Y(n40245) );
+  sky130_fd_sc_hd__xnor2_1 U59293 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_waddr[1]), .B(n40234), .Y(n40240) );
+  sky130_fd_sc_hd__xnor2_1 U59294 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_waddr[2]), .B(n40235), .Y(n40239) );
+  sky130_fd_sc_hd__xnor2_1 U59295 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_waddr[0]), .B(n36829), .Y(n40238) );
+  sky130_fd_sc_hd__xnor2_1 U59296 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_waddr[4]), .B(n40236), .Y(n40237) );
+  sky130_fd_sc_hd__nand4_1 U59297 ( .A(n40240), .B(n40239), .C(n40238), .D(
+        n40237), .Y(n40242) );
+  sky130_fd_sc_hd__nand2_1 U59298 ( .A(n40249), .B(n40243), .Y(n40244) );
+  sky130_fd_sc_hd__nand2_1 U59299 ( .A(n40247), .B(n62979), .Y(n40253) );
+  sky130_fd_sc_hd__nand2_1 U59300 ( .A(n40249), .B(n40248), .Y(n40252) );
+  sky130_fd_sc_hd__nand2_1 U59301 ( .A(n40250), .B(n64329), .Y(n40251) );
+  sky130_fd_sc_hd__nand2_1 U59302 ( .A(n59976), .B(n41303), .Y(n40671) );
+  sky130_fd_sc_hd__nand3_1 U59303 ( .A(n40671), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_ctrl_mem), .C(n40672), .Y(n41342) );
+  sky130_fd_sc_hd__nand2_1 U59304 ( .A(n41342), .B(n40254), .Y(n63166) );
+  sky130_fd_sc_hd__nand2_1 U59305 ( .A(n85416), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_reg_fence), .Y(n40258) );
+  sky130_fd_sc_hd__a21oi_1 U59306 ( .A1(n59254), .A2(n85401), .B1(n85531), .Y(
+        n40257) );
+  sky130_fd_sc_hd__nand2_1 U59307 ( .A(n40259), .B(n77483), .Y(n43897) );
+  sky130_fd_sc_hd__nor2_1 U59308 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_cached_grant_wait), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_valid_cached_miss_T_2), .Y(n40260) );
+  sky130_fd_sc_hd__nand2_1 U59309 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_mem), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_valid), .Y(n77601) );
+  sky130_fd_sc_hd__nand3_1 U59310 ( .A(n40261), .B(n40260), .C(n77601), .Y(
+        n72683) );
+  sky130_fd_sc_hd__nand4_1 U59311 ( .A(n62940), .B(n62938), .C(n40262), .D(
+        n62939), .Y(n73254) );
+  sky130_fd_sc_hd__nand2_1 U59312 ( .A(n36841), .B(n59177), .Y(n72761) );
+  sky130_fd_sc_hd__nand3_1 U59313 ( .A(n40263), .B(n72761), .C(n84274), .Y(
+        n40265) );
+  sky130_fd_sc_hd__nand2_1 U59314 ( .A(n40265), .B(n40264), .Y(n63821) );
+  sky130_fd_sc_hd__nor2_1 U59315 ( .A(n84294), .B(n63821), .Y(n61997) );
+  sky130_fd_sc_hd__nand3_2 U59316 ( .A(n40266), .B(n61996), .C(n61997), .Y(
+        n72713) );
+  sky130_fd_sc_hd__xnor2_1 U59317 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[13]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[13]), .Y(n40270) );
+  sky130_fd_sc_hd__xnor2_1 U59318 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[12]), .Y(n40269) );
+  sky130_fd_sc_hd__xnor2_1 U59319 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[11]), .Y(n40268) );
+  sky130_fd_sc_hd__xnor2_1 U59320 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[9]), .Y(n40267) );
+  sky130_fd_sc_hd__nand4_1 U59321 ( .A(n40270), .B(n40269), .C(n40268), .D(
+        n40267), .Y(n40276) );
+  sky130_fd_sc_hd__xnor2_1 U59322 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[3]), .Y(n40274) );
+  sky130_fd_sc_hd__xnor2_1 U59323 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[7]), .Y(n40273) );
+  sky130_fd_sc_hd__xnor2_1 U59324 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[1]), .Y(n40272) );
+  sky130_fd_sc_hd__xnor2_1 U59325 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[5]), .Y(n40271) );
+  sky130_fd_sc_hd__nand4_1 U59326 ( .A(n40274), .B(n40273), .C(n40272), .D(
+        n40271), .Y(n40275) );
+  sky130_fd_sc_hd__nor2_1 U59327 ( .A(n40276), .B(n40275), .Y(n40291) );
+  sky130_fd_sc_hd__xnor2_1 U59328 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[4]), .Y(n40280) );
+  sky130_fd_sc_hd__xnor2_1 U59329 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[17]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[17]), .Y(n40279) );
+  sky130_fd_sc_hd__xnor2_1 U59330 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[0]), .Y(n40278) );
+  sky130_fd_sc_hd__xnor2_1 U59331 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[6]), .Y(n40277) );
+  sky130_fd_sc_hd__nand4_1 U59332 ( .A(n40280), .B(n40279), .C(n40278), .D(
+        n40277), .Y(n40284) );
+  sky130_fd_sc_hd__xnor2_1 U59333 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[16]), .Y(n40282) );
+  sky130_fd_sc_hd__xnor2_1 U59334 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[8]), .Y(n40281) );
+  sky130_fd_sc_hd__nand3_1 U59335 ( .A(n40282), .B(n40281), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_435[0]), .Y(n40283) );
+  sky130_fd_sc_hd__nor2_1 U59336 ( .A(n40284), .B(n40283), .Y(n40290) );
+  sky130_fd_sc_hd__xnor2_1 U59337 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[2]), .Y(n40288) );
+  sky130_fd_sc_hd__xnor2_1 U59338 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[14]), .Y(n40287) );
+  sky130_fd_sc_hd__xnor2_1 U59339 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[10]), .Y(n40286) );
+  sky130_fd_sc_hd__xnor2_1 U59340 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[15]), .Y(n40285) );
+  sky130_fd_sc_hd__and4_1 U59341 ( .A(n40288), .B(n40287), .C(n40286), .D(
+        n40285), .X(n40289) );
+  sky130_fd_sc_hd__nand3_1 U59342 ( .A(n40291), .B(n40290), .C(n40289), .Y(
+        n40622) );
+  sky130_fd_sc_hd__xnor2_1 U59343 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[6]), .Y(n40295) );
+  sky130_fd_sc_hd__xnor2_1 U59344 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[9]), .Y(n40294) );
+  sky130_fd_sc_hd__xnor2_1 U59345 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[4]), .Y(n40293) );
+  sky130_fd_sc_hd__xnor2_1 U59346 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[11]), .Y(n40292) );
+  sky130_fd_sc_hd__nand4_1 U59347 ( .A(n40295), .B(n40294), .C(n40293), .D(
+        n40292), .Y(n40301) );
+  sky130_fd_sc_hd__xnor2_1 U59348 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[2]), .Y(n40299) );
+  sky130_fd_sc_hd__xnor2_1 U59349 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[3]), .Y(n40298) );
+  sky130_fd_sc_hd__xnor2_1 U59350 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[5]), .Y(n40297) );
+  sky130_fd_sc_hd__xnor2_1 U59351 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[10]), .Y(n40296) );
+  sky130_fd_sc_hd__nand4_1 U59352 ( .A(n40299), .B(n40298), .C(n40297), .D(
+        n40296), .Y(n40300) );
+  sky130_fd_sc_hd__nor2_1 U59353 ( .A(n40301), .B(n40300), .Y(n40316) );
+  sky130_fd_sc_hd__xnor2_1 U59354 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[12]), .Y(n40305) );
+  sky130_fd_sc_hd__xnor2_1 U59355 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[13]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[13]), .Y(n40304) );
+  sky130_fd_sc_hd__xnor2_1 U59356 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[7]), .Y(n40303) );
+  sky130_fd_sc_hd__xnor2_1 U59357 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[8]), .Y(n40302) );
+  sky130_fd_sc_hd__nand4_1 U59358 ( .A(n40305), .B(n40304), .C(n40303), .D(
+        n40302), .Y(n40309) );
+  sky130_fd_sc_hd__xnor2_1 U59359 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[17]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[17]), .Y(n40307) );
+  sky130_fd_sc_hd__xnor2_1 U59360 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[1]), .Y(n40306) );
+  sky130_fd_sc_hd__nand3_1 U59361 ( .A(n40307), .B(n40306), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_435[2]), .Y(n40308) );
+  sky130_fd_sc_hd__nor2_1 U59362 ( .A(n40309), .B(n40308), .Y(n40315) );
+  sky130_fd_sc_hd__xnor2_1 U59363 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[0]), .Y(n40313) );
+  sky130_fd_sc_hd__xnor2_1 U59364 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[15]), .Y(n40312) );
+  sky130_fd_sc_hd__xnor2_1 U59365 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[14]), .Y(n40311) );
+  sky130_fd_sc_hd__xnor2_1 U59366 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[16]), .Y(n40310) );
+  sky130_fd_sc_hd__and4_1 U59367 ( .A(n40313), .B(n40312), .C(n40311), .D(
+        n40310), .X(n40314) );
+  sky130_fd_sc_hd__nand3_1 U59368 ( .A(n40316), .B(n40315), .C(n40314), .Y(
+        n40582) );
+  sky130_fd_sc_hd__xnor2_1 U59369 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[7]), .Y(n40320) );
+  sky130_fd_sc_hd__xnor2_1 U59370 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[6]), .Y(n40319) );
+  sky130_fd_sc_hd__xnor2_1 U59371 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[5]), .Y(n40318) );
+  sky130_fd_sc_hd__xnor2_1 U59372 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[9]), .Y(n40317) );
+  sky130_fd_sc_hd__nand4_1 U59373 ( .A(n40320), .B(n40319), .C(n40318), .D(
+        n40317), .Y(n40326) );
+  sky130_fd_sc_hd__xnor2_1 U59374 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[12]), .Y(n40324) );
+  sky130_fd_sc_hd__xnor2_1 U59375 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[0]), .Y(n40323) );
+  sky130_fd_sc_hd__xnor2_1 U59376 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[11]), .Y(n40322) );
+  sky130_fd_sc_hd__xnor2_1 U59377 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[3]), .Y(n40321) );
+  sky130_fd_sc_hd__nand4_1 U59378 ( .A(n40324), .B(n40323), .C(n40322), .D(
+        n40321), .Y(n40325) );
+  sky130_fd_sc_hd__nor2_1 U59379 ( .A(n40326), .B(n40325), .Y(n40341) );
+  sky130_fd_sc_hd__xnor2_1 U59380 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[13]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[13]), .Y(n40330) );
+  sky130_fd_sc_hd__xnor2_1 U59381 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[8]), .Y(n40329) );
+  sky130_fd_sc_hd__xnor2_1 U59382 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[17]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[17]), .Y(n40328) );
+  sky130_fd_sc_hd__xnor2_1 U59383 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[15]), .Y(n40327) );
+  sky130_fd_sc_hd__nand4_1 U59384 ( .A(n40330), .B(n40329), .C(n40328), .D(
+        n40327), .Y(n40334) );
+  sky130_fd_sc_hd__xnor2_1 U59385 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[14]), .Y(n40332) );
+  sky130_fd_sc_hd__xnor2_1 U59386 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[16]), .Y(n40331) );
+  sky130_fd_sc_hd__nand3_1 U59387 ( .A(n40332), .B(n40331), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_435[4]), .Y(n40333) );
+  sky130_fd_sc_hd__nor2_1 U59388 ( .A(n40334), .B(n40333), .Y(n40340) );
+  sky130_fd_sc_hd__xnor2_1 U59389 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[4]), .Y(n40338) );
+  sky130_fd_sc_hd__xnor2_1 U59390 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[2]), .Y(n40337) );
+  sky130_fd_sc_hd__xnor2_1 U59391 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[1]), .Y(n40336) );
+  sky130_fd_sc_hd__xnor2_1 U59392 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[10]), .Y(n40335) );
+  sky130_fd_sc_hd__and4_1 U59393 ( .A(n40338), .B(n40337), .C(n40336), .D(
+        n40335), .X(n40339) );
+  sky130_fd_sc_hd__and3_1 U59394 ( .A(n40341), .B(n40340), .C(n40339), .X(
+        n40629) );
+  sky130_fd_sc_hd__xnor2_1 U59395 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[17]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[17]), .Y(n40345) );
+  sky130_fd_sc_hd__xnor2_1 U59396 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[8]), .Y(n40344) );
+  sky130_fd_sc_hd__xnor2_1 U59397 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[4]), .Y(n40343) );
+  sky130_fd_sc_hd__xnor2_1 U59398 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[9]), .Y(n40342) );
+  sky130_fd_sc_hd__nand4_1 U59399 ( .A(n40345), .B(n40344), .C(n40343), .D(
+        n40342), .Y(n40351) );
+  sky130_fd_sc_hd__xnor2_1 U59400 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[6]), .Y(n40349) );
+  sky130_fd_sc_hd__xnor2_1 U59401 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[0]), .Y(n40348) );
+  sky130_fd_sc_hd__xnor2_1 U59402 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[12]), .Y(n40347) );
+  sky130_fd_sc_hd__xnor2_1 U59403 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[3]), .Y(n40346) );
+  sky130_fd_sc_hd__nand4_1 U59404 ( .A(n40349), .B(n40348), .C(n40347), .D(
+        n40346), .Y(n40350) );
+  sky130_fd_sc_hd__nor2_1 U59405 ( .A(n40351), .B(n40350), .Y(n40366) );
+  sky130_fd_sc_hd__xnor2_1 U59406 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[7]), .Y(n40355) );
+  sky130_fd_sc_hd__xnor2_1 U59407 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[10]), .Y(n40354) );
+  sky130_fd_sc_hd__xnor2_1 U59408 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[5]), .Y(n40353) );
+  sky130_fd_sc_hd__xnor2_1 U59409 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[13]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[13]), .Y(n40352) );
+  sky130_fd_sc_hd__nand4_1 U59410 ( .A(n40355), .B(n40354), .C(n40353), .D(
+        n40352), .Y(n40359) );
+  sky130_fd_sc_hd__xnor2_1 U59411 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[16]), .Y(n40357) );
+  sky130_fd_sc_hd__xnor2_1 U59412 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[15]), .Y(n40356) );
+  sky130_fd_sc_hd__nand3_1 U59413 ( .A(n40357), .B(n40356), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_435[1]), .Y(n40358) );
+  sky130_fd_sc_hd__nor2_1 U59414 ( .A(n40359), .B(n40358), .Y(n40365) );
+  sky130_fd_sc_hd__xnor2_1 U59415 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[11]), .Y(n40363) );
+  sky130_fd_sc_hd__xnor2_1 U59416 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[2]), .Y(n40362) );
+  sky130_fd_sc_hd__xnor2_1 U59417 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[1]), .Y(n40361) );
+  sky130_fd_sc_hd__xnor2_1 U59418 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[14]), .Y(n40360) );
+  sky130_fd_sc_hd__and4_1 U59419 ( .A(n40363), .B(n40362), .C(n40361), .D(
+        n40360), .X(n40364) );
+  sky130_fd_sc_hd__nand3_1 U59420 ( .A(n40366), .B(n40365), .C(n40364), .Y(
+        n40610) );
+  sky130_fd_sc_hd__xnor2_1 U59421 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[0]), .Y(n40370) );
+  sky130_fd_sc_hd__xnor2_1 U59422 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[13]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[13]), .Y(n40369) );
+  sky130_fd_sc_hd__xnor2_1 U59423 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[3]), .Y(n40368) );
+  sky130_fd_sc_hd__xnor2_1 U59424 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[4]), .Y(n40367) );
+  sky130_fd_sc_hd__nand4_1 U59425 ( .A(n40370), .B(n40369), .C(n40368), .D(
+        n40367), .Y(n40376) );
+  sky130_fd_sc_hd__xnor2_1 U59426 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[6]), .Y(n40374) );
+  sky130_fd_sc_hd__xnor2_1 U59427 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[14]), .Y(n40373) );
+  sky130_fd_sc_hd__xnor2_1 U59428 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[9]), .Y(n40372) );
+  sky130_fd_sc_hd__xnor2_1 U59429 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[1]), .Y(n40371) );
+  sky130_fd_sc_hd__nand4_1 U59430 ( .A(n40374), .B(n40373), .C(n40372), .D(
+        n40371), .Y(n40375) );
+  sky130_fd_sc_hd__nor2_1 U59431 ( .A(n40376), .B(n40375), .Y(n40391) );
+  sky130_fd_sc_hd__xnor2_1 U59432 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[5]), .Y(n40380) );
+  sky130_fd_sc_hd__xnor2_1 U59433 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[11]), .Y(n40379) );
+  sky130_fd_sc_hd__xnor2_1 U59434 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[12]), .Y(n40378) );
+  sky130_fd_sc_hd__xnor2_1 U59435 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[17]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[17]), .Y(n40377) );
+  sky130_fd_sc_hd__nand4_1 U59436 ( .A(n40380), .B(n40379), .C(n40378), .D(
+        n40377), .Y(n40384) );
+  sky130_fd_sc_hd__xnor2_1 U59437 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[16]), .Y(n40382) );
+  sky130_fd_sc_hd__xnor2_1 U59438 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[8]), .Y(n40381) );
+  sky130_fd_sc_hd__nand3_1 U59439 ( .A(n40382), .B(n40381), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_435[3]), .Y(n40383) );
+  sky130_fd_sc_hd__nor2_1 U59440 ( .A(n40384), .B(n40383), .Y(n40390) );
+  sky130_fd_sc_hd__xnor2_1 U59441 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[7]), .Y(n40388) );
+  sky130_fd_sc_hd__xnor2_1 U59442 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[10]), .Y(n40387) );
+  sky130_fd_sc_hd__xnor2_1 U59443 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[2]), .Y(n40386) );
+  sky130_fd_sc_hd__xnor2_1 U59444 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[15]), .Y(n40385) );
+  sky130_fd_sc_hd__and4_1 U59445 ( .A(n40388), .B(n40387), .C(n40386), .D(
+        n40385), .X(n40389) );
+  sky130_fd_sc_hd__nand3_1 U59446 ( .A(n40391), .B(n40390), .C(n40389), .Y(
+        n40579) );
+  sky130_fd_sc_hd__xnor2_1 U59447 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[15]), .Y(n40395) );
+  sky130_fd_sc_hd__xnor2_1 U59448 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[9]), .Y(n40394) );
+  sky130_fd_sc_hd__xnor2_1 U59449 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[2]), .Y(n40393) );
+  sky130_fd_sc_hd__xnor2_1 U59450 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[7]), .Y(n40392) );
+  sky130_fd_sc_hd__nand4_1 U59451 ( .A(n40395), .B(n40394), .C(n40393), .D(
+        n40392), .Y(n40401) );
+  sky130_fd_sc_hd__xnor2_1 U59452 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[12]), .Y(n40399) );
+  sky130_fd_sc_hd__xnor2_1 U59453 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[0]), .Y(n40398) );
+  sky130_fd_sc_hd__xnor2_1 U59454 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[11]), .Y(n40397) );
+  sky130_fd_sc_hd__xnor2_1 U59455 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[3]), .Y(n40396) );
+  sky130_fd_sc_hd__nand4_1 U59456 ( .A(n40399), .B(n40398), .C(n40397), .D(
+        n40396), .Y(n40400) );
+  sky130_fd_sc_hd__nor2_1 U59457 ( .A(n40401), .B(n40400), .Y(n40416) );
+  sky130_fd_sc_hd__xnor2_1 U59458 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[6]), .Y(n40405) );
+  sky130_fd_sc_hd__xnor2_1 U59459 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[10]), .Y(n40404) );
+  sky130_fd_sc_hd__xnor2_1 U59460 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[13]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[13]), .Y(n40403) );
+  sky130_fd_sc_hd__xnor2_1 U59461 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[8]), .Y(n40402) );
+  sky130_fd_sc_hd__nand4_1 U59462 ( .A(n40405), .B(n40404), .C(n40403), .D(
+        n40402), .Y(n40409) );
+  sky130_fd_sc_hd__xnor2_1 U59463 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[17]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[17]), .Y(n40407) );
+  sky130_fd_sc_hd__xnor2_1 U59464 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[5]), .Y(n40406) );
+  sky130_fd_sc_hd__nand3_1 U59465 ( .A(n40407), .B(n40406), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_435[5]), .Y(n40408) );
+  sky130_fd_sc_hd__nor2_1 U59466 ( .A(n40409), .B(n40408), .Y(n40415) );
+  sky130_fd_sc_hd__xnor2_1 U59467 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[4]), .Y(n40413) );
+  sky130_fd_sc_hd__xnor2_1 U59468 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[14]), .Y(n40412) );
+  sky130_fd_sc_hd__xnor2_1 U59469 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[1]), .Y(n40411) );
+  sky130_fd_sc_hd__xnor2_1 U59470 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[16]), .Y(n40410) );
+  sky130_fd_sc_hd__and4_1 U59471 ( .A(n40413), .B(n40412), .C(n40411), .D(
+        n40410), .X(n40414) );
+  sky130_fd_sc_hd__nand3_1 U59472 ( .A(n40416), .B(n40415), .C(n40414), .Y(
+        n40633) );
+  sky130_fd_sc_hd__nor4_1 U59473 ( .A(n40629), .B(n40418), .C(n40417), .D(
+        n63904), .Y(n40419) );
+  sky130_fd_sc_hd__nand3_1 U59474 ( .A(n40622), .B(n40582), .C(n40419), .Y(
+        n40628) );
+  sky130_fd_sc_hd__xnor2_1 U59475 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[29]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[15]), .Y(n40423) );
+  sky130_fd_sc_hd__xnor2_1 U59476 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[16]), .Y(n40422) );
+  sky130_fd_sc_hd__xnor2_1 U59477 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_req_bits_vaddr_31_), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[17]), .Y(n40421) );
+  sky130_fd_sc_hd__xnor2_1 U59478 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[13]), .Y(n40420) );
+  sky130_fd_sc_hd__nand4_1 U59479 ( .A(n40423), .B(n40422), .C(n40421), .D(
+        n40420), .Y(n40427) );
+  sky130_fd_sc_hd__xnor2_1 U59480 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[0]), .Y(n40425) );
+  sky130_fd_sc_hd__xnor2_1 U59481 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[10]), .Y(n40424) );
+  sky130_fd_sc_hd__nand3_1 U59482 ( .A(n40425), .B(n40424), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_435[5]), .Y(n40426) );
+  sky130_fd_sc_hd__nor2_1 U59483 ( .A(n40427), .B(n40426), .Y(n40444) );
+  sky130_fd_sc_hd__xnor2_1 U59484 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[14]), .Y(n40431) );
+  sky130_fd_sc_hd__xnor2_1 U59485 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[6]), .Y(n40430) );
+  sky130_fd_sc_hd__xnor2_1 U59486 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[22]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[8]), .Y(n40429) );
+  sky130_fd_sc_hd__xnor2_1 U59487 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[12]), .Y(n40428) );
+  sky130_fd_sc_hd__nand4_1 U59488 ( .A(n40431), .B(n40430), .C(n40429), .D(
+        n40428), .Y(n40437) );
+  sky130_fd_sc_hd__xnor2_1 U59489 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[25]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[11]), .Y(n40435) );
+  sky130_fd_sc_hd__xnor2_1 U59490 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[9]), .Y(n40434) );
+  sky130_fd_sc_hd__xnor2_1 U59491 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[21]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[7]), .Y(n40433) );
+  sky130_fd_sc_hd__xnor2_1 U59492 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[3]), .Y(n40432) );
+  sky130_fd_sc_hd__nand4_1 U59493 ( .A(n40435), .B(n40434), .C(n40433), .D(
+        n40432), .Y(n40436) );
+  sky130_fd_sc_hd__nor2_1 U59494 ( .A(n40437), .B(n40436), .Y(n40443) );
+  sky130_fd_sc_hd__xnor2_1 U59495 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[18]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[4]), .Y(n40441) );
+  sky130_fd_sc_hd__xnor2_1 U59496 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[2]), .Y(n40440) );
+  sky130_fd_sc_hd__xnor2_1 U59497 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[1]), .Y(n40439) );
+  sky130_fd_sc_hd__xnor2_1 U59498 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[5]), .Y(n40438) );
+  sky130_fd_sc_hd__and4_1 U59499 ( .A(n40441), .B(n40440), .C(n40439), .D(
+        n40438), .X(n40442) );
+  sky130_fd_sc_hd__nand3_1 U59500 ( .A(n40444), .B(n40443), .C(n40442), .Y(
+        n42871) );
+  sky130_fd_sc_hd__xnor2_1 U59501 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[2]), .Y(n40448) );
+  sky130_fd_sc_hd__xnor2_1 U59502 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[13]), .Y(n40447) );
+  sky130_fd_sc_hd__xnor2_1 U59503 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[22]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[8]), .Y(n40446) );
+  sky130_fd_sc_hd__xnor2_1 U59504 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[6]), .Y(n40445) );
+  sky130_fd_sc_hd__nand4_1 U59505 ( .A(n40448), .B(n40447), .C(n40446), .D(
+        n40445), .Y(n40454) );
+  sky130_fd_sc_hd__xnor2_1 U59506 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[10]), .Y(n40452) );
+  sky130_fd_sc_hd__xnor2_1 U59507 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[21]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[7]), .Y(n40451) );
+  sky130_fd_sc_hd__xnor2_1 U59508 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_req_bits_vaddr_31_), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[17]), .Y(n40450) );
+  sky130_fd_sc_hd__xnor2_1 U59509 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[12]), .Y(n40449) );
+  sky130_fd_sc_hd__nand4_1 U59510 ( .A(n40452), .B(n40451), .C(n40450), .D(
+        n40449), .Y(n40453) );
+  sky130_fd_sc_hd__nor2_1 U59511 ( .A(n40454), .B(n40453), .Y(n40469) );
+  sky130_fd_sc_hd__xnor2_1 U59512 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[16]), .Y(n40458) );
+  sky130_fd_sc_hd__xnor2_1 U59513 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[18]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[4]), .Y(n40457) );
+  sky130_fd_sc_hd__xnor2_1 U59514 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[25]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[11]), .Y(n40456) );
+  sky130_fd_sc_hd__xnor2_1 U59515 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[0]), .Y(n40455) );
+  sky130_fd_sc_hd__nand4_1 U59516 ( .A(n40458), .B(n40457), .C(n40456), .D(
+        n40455), .Y(n40462) );
+  sky130_fd_sc_hd__xnor2_1 U59517 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[5]), .Y(n40460) );
+  sky130_fd_sc_hd__xnor2_1 U59518 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[29]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[15]), .Y(n40459) );
+  sky130_fd_sc_hd__nand3_1 U59519 ( .A(n40460), .B(n40459), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_435[4]), .Y(n40461) );
+  sky130_fd_sc_hd__nor2_1 U59520 ( .A(n40462), .B(n40461), .Y(n40468) );
+  sky130_fd_sc_hd__xnor2_1 U59521 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[14]), .Y(n40466) );
+  sky130_fd_sc_hd__xnor2_1 U59522 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[3]), .Y(n40465) );
+  sky130_fd_sc_hd__xnor2_1 U59523 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[9]), .Y(n40464) );
+  sky130_fd_sc_hd__xnor2_1 U59524 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_4[1]), .Y(n40463) );
+  sky130_fd_sc_hd__and4_1 U59525 ( .A(n40466), .B(n40465), .C(n40464), .D(
+        n40463), .X(n40467) );
+  sky130_fd_sc_hd__nand3_1 U59526 ( .A(n40469), .B(n40468), .C(n40467), .Y(
+        n42870) );
+  sky130_fd_sc_hd__xnor2_1 U59527 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[12]), .Y(n40473) );
+  sky130_fd_sc_hd__xnor2_1 U59528 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[16]), .Y(n40472) );
+  sky130_fd_sc_hd__xnor2_1 U59529 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_req_bits_vaddr_31_), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[17]), .Y(n40471) );
+  sky130_fd_sc_hd__xnor2_1 U59530 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[14]), .Y(n40470) );
+  sky130_fd_sc_hd__nand4_1 U59531 ( .A(n40473), .B(n40472), .C(n40471), .D(
+        n40470), .Y(n40477) );
+  sky130_fd_sc_hd__xnor2_1 U59532 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[0]), .Y(n40475) );
+  sky130_fd_sc_hd__xnor2_1 U59533 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[10]), .Y(n40474) );
+  sky130_fd_sc_hd__nand3_1 U59534 ( .A(n40475), .B(n40474), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_435[3]), .Y(n40476) );
+  sky130_fd_sc_hd__nor2_1 U59535 ( .A(n40477), .B(n40476), .Y(n40494) );
+  sky130_fd_sc_hd__xnor2_1 U59536 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[29]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[15]), .Y(n40481) );
+  sky130_fd_sc_hd__xnor2_1 U59537 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[13]), .Y(n40480) );
+  sky130_fd_sc_hd__xnor2_1 U59538 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[22]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[8]), .Y(n40479) );
+  sky130_fd_sc_hd__xnor2_1 U59539 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[6]), .Y(n40478) );
+  sky130_fd_sc_hd__nand4_1 U59540 ( .A(n40481), .B(n40480), .C(n40479), .D(
+        n40478), .Y(n40487) );
+  sky130_fd_sc_hd__xnor2_1 U59541 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[25]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[11]), .Y(n40485) );
+  sky130_fd_sc_hd__xnor2_1 U59542 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[9]), .Y(n40484) );
+  sky130_fd_sc_hd__xnor2_1 U59543 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[21]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[7]), .Y(n40483) );
+  sky130_fd_sc_hd__xnor2_1 U59544 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[3]), .Y(n40482) );
+  sky130_fd_sc_hd__nand4_1 U59545 ( .A(n40485), .B(n40484), .C(n40483), .D(
+        n40482), .Y(n40486) );
+  sky130_fd_sc_hd__nor2_1 U59546 ( .A(n40487), .B(n40486), .Y(n40493) );
+  sky130_fd_sc_hd__xnor2_1 U59547 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[18]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[4]), .Y(n40491) );
+  sky130_fd_sc_hd__xnor2_1 U59548 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[2]), .Y(n40490) );
+  sky130_fd_sc_hd__xnor2_1 U59549 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[1]), .Y(n40489) );
+  sky130_fd_sc_hd__xnor2_1 U59550 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[5]), .Y(n40488) );
+  sky130_fd_sc_hd__and4_1 U59551 ( .A(n40491), .B(n40490), .C(n40489), .D(
+        n40488), .X(n40492) );
+  sky130_fd_sc_hd__nand3_1 U59552 ( .A(n40494), .B(n40493), .C(n40492), .Y(
+        n42872) );
+  sky130_fd_sc_hd__xnor2_1 U59553 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[0]), .Y(n40498) );
+  sky130_fd_sc_hd__xnor2_1 U59554 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[25]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[11]), .Y(n40497) );
+  sky130_fd_sc_hd__xnor2_1 U59555 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[18]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[4]), .Y(n40496) );
+  sky130_fd_sc_hd__xnor2_1 U59556 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[21]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[7]), .Y(n40495) );
+  sky130_fd_sc_hd__nand4_1 U59557 ( .A(n40498), .B(n40497), .C(n40496), .D(
+        n40495), .Y(n40504) );
+  sky130_fd_sc_hd__xnor2_1 U59558 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[10]), .Y(n40502) );
+  sky130_fd_sc_hd__xnor2_1 U59559 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[2]), .Y(n40501) );
+  sky130_fd_sc_hd__xnor2_1 U59560 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_req_bits_vaddr_31_), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[17]), .Y(n40500) );
+  sky130_fd_sc_hd__xnor2_1 U59561 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[13]), .Y(n40499) );
+  sky130_fd_sc_hd__nand4_1 U59562 ( .A(n40502), .B(n40501), .C(n40500), .D(
+        n40499), .Y(n40503) );
+  sky130_fd_sc_hd__nor2_1 U59563 ( .A(n40504), .B(n40503), .Y(n40519) );
+  sky130_fd_sc_hd__xnor2_1 U59564 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[9]), .Y(n40508) );
+  sky130_fd_sc_hd__xnor2_1 U59565 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[5]), .Y(n40507) );
+  sky130_fd_sc_hd__xnor2_1 U59566 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[29]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[15]), .Y(n40506) );
+  sky130_fd_sc_hd__xnor2_1 U59567 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[6]), .Y(n40505) );
+  sky130_fd_sc_hd__nand4_1 U59568 ( .A(n40508), .B(n40507), .C(n40506), .D(
+        n40505), .Y(n40512) );
+  sky130_fd_sc_hd__xnor2_1 U59569 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[1]), .Y(n40510) );
+  sky130_fd_sc_hd__xnor2_1 U59570 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[16]), .Y(n40509) );
+  sky130_fd_sc_hd__nand3_1 U59571 ( .A(n40510), .B(n40509), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_435[0]), .Y(n40511) );
+  sky130_fd_sc_hd__nor2_1 U59572 ( .A(n40512), .B(n40511), .Y(n40518) );
+  sky130_fd_sc_hd__xnor2_1 U59573 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[14]), .Y(n40516) );
+  sky130_fd_sc_hd__xnor2_1 U59574 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[22]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[8]), .Y(n40515) );
+  sky130_fd_sc_hd__xnor2_1 U59575 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[12]), .Y(n40514) );
+  sky130_fd_sc_hd__xnor2_1 U59576 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[3]), .Y(n40513) );
+  sky130_fd_sc_hd__and4_1 U59577 ( .A(n40516), .B(n40515), .C(n40514), .D(
+        n40513), .X(n40517) );
+  sky130_fd_sc_hd__nand3_1 U59578 ( .A(n40519), .B(n40518), .C(n40517), .Y(
+        n42874) );
+  sky130_fd_sc_hd__xnor2_1 U59579 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[25]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[11]), .Y(n40523) );
+  sky130_fd_sc_hd__xnor2_1 U59580 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[16]), .Y(n40522) );
+  sky130_fd_sc_hd__xnor2_1 U59581 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_req_bits_vaddr_31_), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[17]), .Y(n40521) );
+  sky130_fd_sc_hd__xnor2_1 U59582 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[14]), .Y(n40520) );
+  sky130_fd_sc_hd__nand4_1 U59583 ( .A(n40523), .B(n40522), .C(n40521), .D(
+        n40520), .Y(n40527) );
+  sky130_fd_sc_hd__xnor2_1 U59584 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[0]), .Y(n40525) );
+  sky130_fd_sc_hd__xnor2_1 U59585 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[10]), .Y(n40524) );
+  sky130_fd_sc_hd__nand3_1 U59586 ( .A(n40525), .B(n40524), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_435[1]), .Y(n40526) );
+  sky130_fd_sc_hd__nor2_1 U59587 ( .A(n40527), .B(n40526), .Y(n40544) );
+  sky130_fd_sc_hd__xnor2_1 U59588 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[29]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[15]), .Y(n40531) );
+  sky130_fd_sc_hd__xnor2_1 U59589 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[13]), .Y(n40530) );
+  sky130_fd_sc_hd__xnor2_1 U59590 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[12]), .Y(n40529) );
+  sky130_fd_sc_hd__xnor2_1 U59591 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[6]), .Y(n40528) );
+  sky130_fd_sc_hd__nand4_1 U59592 ( .A(n40531), .B(n40530), .C(n40529), .D(
+        n40528), .Y(n40537) );
+  sky130_fd_sc_hd__xnor2_1 U59593 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[9]), .Y(n40535) );
+  sky130_fd_sc_hd__xnor2_1 U59594 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[22]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[8]), .Y(n40534) );
+  sky130_fd_sc_hd__xnor2_1 U59595 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[21]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[7]), .Y(n40533) );
+  sky130_fd_sc_hd__xnor2_1 U59596 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[3]), .Y(n40532) );
+  sky130_fd_sc_hd__nand4_1 U59597 ( .A(n40535), .B(n40534), .C(n40533), .D(
+        n40532), .Y(n40536) );
+  sky130_fd_sc_hd__nor2_1 U59598 ( .A(n40537), .B(n40536), .Y(n40543) );
+  sky130_fd_sc_hd__xnor2_1 U59599 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[18]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[4]), .Y(n40541) );
+  sky130_fd_sc_hd__xnor2_1 U59600 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[2]), .Y(n40540) );
+  sky130_fd_sc_hd__xnor2_1 U59601 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[1]), .Y(n40539) );
+  sky130_fd_sc_hd__xnor2_1 U59602 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_1[5]), .Y(n40538) );
+  sky130_fd_sc_hd__and4_1 U59603 ( .A(n40541), .B(n40540), .C(n40539), .D(
+        n40538), .X(n40542) );
+  sky130_fd_sc_hd__nand3_1 U59604 ( .A(n40544), .B(n40543), .C(n40542), .Y(
+        n40619) );
+  sky130_fd_sc_hd__xnor2_1 U59605 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[22]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[8]), .Y(n40548) );
+  sky130_fd_sc_hd__xnor2_1 U59606 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_req_bits_vaddr_31_), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[17]), .Y(n40547) );
+  sky130_fd_sc_hd__xnor2_1 U59607 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[1]), .Y(n40546) );
+  sky130_fd_sc_hd__xnor2_1 U59608 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[3]), .Y(n40545) );
+  sky130_fd_sc_hd__nand4_1 U59609 ( .A(n40548), .B(n40547), .C(n40546), .D(
+        n40545), .Y(n40554) );
+  sky130_fd_sc_hd__xnor2_1 U59610 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[10]), .Y(n40552) );
+  sky130_fd_sc_hd__xnor2_1 U59611 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[5]), .Y(n40551) );
+  sky130_fd_sc_hd__xnor2_1 U59612 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[16]), .Y(n40550) );
+  sky130_fd_sc_hd__xnor2_1 U59613 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[6]), .Y(n40549) );
+  sky130_fd_sc_hd__nand4_1 U59614 ( .A(n40552), .B(n40551), .C(n40550), .D(
+        n40549), .Y(n40553) );
+  sky130_fd_sc_hd__nor2_1 U59615 ( .A(n40554), .B(n40553), .Y(n40569) );
+  sky130_fd_sc_hd__xnor2_1 U59616 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[9]), .Y(n40558) );
+  sky130_fd_sc_hd__xnor2_1 U59617 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[13]), .Y(n40557) );
+  sky130_fd_sc_hd__xnor2_1 U59618 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[14]), .Y(n40556) );
+  sky130_fd_sc_hd__xnor2_1 U59619 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[0]), .Y(n40555) );
+  sky130_fd_sc_hd__nand4_1 U59620 ( .A(n40558), .B(n40557), .C(n40556), .D(
+        n40555), .Y(n40562) );
+  sky130_fd_sc_hd__xnor2_1 U59621 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[2]), .Y(n40560) );
+  sky130_fd_sc_hd__xnor2_1 U59622 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[21]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[7]), .Y(n40559) );
+  sky130_fd_sc_hd__nand3_1 U59623 ( .A(n40560), .B(n40559), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_435[2]), .Y(n40561) );
+  sky130_fd_sc_hd__nor2_1 U59624 ( .A(n40562), .B(n40561), .Y(n40568) );
+  sky130_fd_sc_hd__xnor2_1 U59625 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[29]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[15]), .Y(n40566) );
+  sky130_fd_sc_hd__xnor2_1 U59626 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[25]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[11]), .Y(n40565) );
+  sky130_fd_sc_hd__xnor2_1 U59627 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[12]), .Y(n40564) );
+  sky130_fd_sc_hd__xnor2_1 U59628 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[18]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[4]), .Y(n40563) );
+  sky130_fd_sc_hd__and4_1 U59629 ( .A(n40566), .B(n40565), .C(n40564), .D(
+        n40563), .X(n40567) );
+  sky130_fd_sc_hd__nand3_1 U59630 ( .A(n40569), .B(n40568), .C(n40567), .Y(
+        n42873) );
+  sky130_fd_sc_hd__nand3_1 U59631 ( .A(n38420), .B(n38416), .C(n40570), .Y(
+        n40620) );
+  sky130_fd_sc_hd__nand3_1 U59632 ( .A(n82592), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_nextPageRepl[0]), .C(n82596), .Y(n40575) );
+  sky130_fd_sc_hd__nand2_1 U59633 ( .A(n73136), .B(n40571), .Y(n40572) );
+  sky130_fd_sc_hd__o21a_1 U59634 ( .A1(n40626), .A2(n40575), .B1(n40572), .X(
+        n72426) );
+  sky130_fd_sc_hd__nand2_1 U59635 ( .A(n73136), .B(n40573), .Y(n40574) );
+  sky130_fd_sc_hd__o21a_1 U59636 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_nextPageRepl[2]), .A2(n40575), .B1(n40574), .X(n73138) );
+  sky130_fd_sc_hd__nand3_1 U59637 ( .A(n82592), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_nextPageRepl[2]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_nextPageRepl[1]), .Y(n40634) );
+  sky130_fd_sc_hd__o211a_2 U59638 ( .A1(n40578), .A2(n40634), .B1(n40633), 
+        .C1(n40610), .X(n40576) );
+  sky130_fd_sc_hd__nand3_1 U59639 ( .A(n72426), .B(n73138), .C(n40576), .Y(
+        n40580) );
+  sky130_fd_sc_hd__nand3_1 U59640 ( .A(n82592), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_nextPageRepl[1]), .C(n40626), .Y(n40583) );
+  sky130_fd_sc_hd__nand2_1 U59641 ( .A(n73136), .B(n40616), .Y(n40577) );
+  sky130_fd_sc_hd__o21a_1 U59642 ( .A1(n40578), .A2(n40583), .B1(n40577), .X(
+        n72375) );
+  sky130_fd_sc_hd__nand2_1 U59643 ( .A(n72375), .B(n40579), .Y(n40637) );
+  sky130_fd_sc_hd__nand2_1 U59644 ( .A(n36798), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[17]), .Y(n40581) );
+  sky130_fd_sc_hd__o22ai_1 U59646 ( .A1(n40619), .A2(n40628), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_nextPageRepl[0]), .B2(n40583), .Y(n63950) );
+  sky130_fd_sc_hd__nor2_1 U59647 ( .A(n40584), .B(n63950), .Y(n40612) );
+  sky130_fd_sc_hd__nand2_1 U59648 ( .A(n40612), .B(n40634), .Y(n57669) );
+  sky130_fd_sc_hd__nor2_1 U59649 ( .A(n40637), .B(n57669), .Y(n57670) );
+  sky130_fd_sc_hd__xnor2_1 U59650 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[17]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_req_bits_vaddr_31_), .Y(n40588) );
+  sky130_fd_sc_hd__xnor2_1 U59651 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[29]), .Y(n40587) );
+  sky130_fd_sc_hd__xnor2_1 U59652 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .Y(n40586) );
+  sky130_fd_sc_hd__xnor2_1 U59653 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .Y(n40585) );
+  sky130_fd_sc_hd__nand4_1 U59654 ( .A(n40588), .B(n40587), .C(n40586), .D(
+        n40585), .Y(n40594) );
+  sky130_fd_sc_hd__xnor2_1 U59655 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .Y(n40592) );
+  sky130_fd_sc_hd__xnor2_1 U59656 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[13]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .Y(n40591) );
+  sky130_fd_sc_hd__xnor2_1 U59657 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .Y(n40590) );
+  sky130_fd_sc_hd__xnor2_1 U59658 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .Y(n40589) );
+  sky130_fd_sc_hd__nand4_1 U59659 ( .A(n40592), .B(n40591), .C(n40590), .D(
+        n40589), .Y(n40593) );
+  sky130_fd_sc_hd__nor2_1 U59660 ( .A(n40594), .B(n40593), .Y(n40609) );
+  sky130_fd_sc_hd__xnor2_1 U59661 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .Y(n40598) );
+  sky130_fd_sc_hd__xnor2_1 U59662 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[21]), .Y(n40597) );
+  sky130_fd_sc_hd__xnor2_1 U59663 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[25]), .Y(n40596) );
+  sky130_fd_sc_hd__xnor2_1 U59664 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .Y(n40595) );
+  sky130_fd_sc_hd__and4_1 U59665 ( .A(n40598), .B(n40597), .C(n40596), .D(
+        n40595), .X(n40608) );
+  sky130_fd_sc_hd__xnor2_1 U59666 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .Y(n40600) );
+  sky130_fd_sc_hd__xnor2_1 U59667 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .Y(n40599) );
+  sky130_fd_sc_hd__nand2_1 U59668 ( .A(n40600), .B(n40599), .Y(n40606) );
+  sky130_fd_sc_hd__xnor2_1 U59669 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[22]), .Y(n40604) );
+  sky130_fd_sc_hd__xnor2_1 U59670 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .Y(n40603) );
+  sky130_fd_sc_hd__xnor2_1 U59671 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[16]), .Y(n40602) );
+  sky130_fd_sc_hd__xnor2_1 U59672 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[18]), .Y(n40601) );
+  sky130_fd_sc_hd__nand4_1 U59673 ( .A(n40604), .B(n40603), .C(n40602), .D(
+        n40601), .Y(n40605) );
+  sky130_fd_sc_hd__nor2_1 U59674 ( .A(n40606), .B(n40605), .Y(n40607) );
+  sky130_fd_sc_hd__nand3_1 U59675 ( .A(n40609), .B(n40608), .C(n40607), .Y(
+        n82591) );
+  sky130_fd_sc_hd__nor2_1 U59676 ( .A(n82591), .B(n40620), .Y(n40636) );
+  sky130_fd_sc_hd__nand2_1 U59677 ( .A(n73138), .B(n40610), .Y(n40611) );
+  sky130_fd_sc_hd__nand2b_1 U59678 ( .A_N(n40620), .B(n82591), .Y(n40630) );
+  sky130_fd_sc_hd__nand2_1 U59679 ( .A(n40611), .B(n73135), .Y(n72312) );
+  sky130_fd_sc_hd__nand2_1 U59680 ( .A(n40613), .B(n73135), .Y(n72373) );
+  sky130_fd_sc_hd__nor4_1 U59681 ( .A(n40624), .B(n40616), .C(n40615), .D(
+        n40614), .Y(n40617) );
+  sky130_fd_sc_hd__o21ai_1 U59682 ( .A1(n57670), .A2(n40618), .B1(n40617), .Y(
+        n85334) );
+  sky130_fd_sc_hd__nand2_1 U59683 ( .A(n40808), .B(n40636), .Y(n40632) );
+  sky130_fd_sc_hd__nor4_1 U59684 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_nextPageRepl[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_nextPageRepl[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_nextPageRepl[0]), .D(n40620), .Y(n40621) );
+  sky130_fd_sc_hd__a21o_1 U59686 ( .A1(n72370), .A2(n40622), .B1(n40630), .X(
+        n73139) );
+  sky130_fd_sc_hd__nor4_1 U59687 ( .A(n40625), .B(n40624), .C(n42875), .D(
+        n40623), .Y(n40631) );
+  sky130_fd_sc_hd__nor3_1 U59688 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_nextPageRepl[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_nextPageRepl[0]), .C(n40626), .Y(n40627) );
+  sky130_fd_sc_hd__nor2_1 U59689 ( .A(n40629), .B(n63912), .Y(n40635) );
+  sky130_fd_sc_hd__nor2_1 U59690 ( .A(n40630), .B(n40635), .Y(n40640) );
+  sky130_fd_sc_hd__nand4_1 U59691 ( .A(n40632), .B(n40631), .C(n72427), .D(
+        n72373), .Y(n85335) );
+  sky130_fd_sc_hd__nand4_1 U59692 ( .A(n40635), .B(n72426), .C(n40634), .D(
+        n40633), .Y(n57673) );
+  sky130_fd_sc_hd__nand2_1 U59693 ( .A(n57673), .B(n40636), .Y(n40638) );
+  sky130_fd_sc_hd__nand2_1 U59694 ( .A(n40637), .B(n73135), .Y(n72430) );
+  sky130_fd_sc_hd__and3_1 U59695 ( .A(n40638), .B(n72430), .C(n38420), .X(
+        n40639) );
+  sky130_fd_sc_hd__nand2b_1 U59696 ( .A_N(n40640), .B(n40639), .Y(n85333) );
+  sky130_fd_sc_hd__nor2_1 U59697 ( .A(n78401), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_pma_cacheable), .Y(n84128) );
+  sky130_fd_sc_hd__o22ai_1 U59698 ( .A1(n76604), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[8]), .B1(n60344), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[12]), .Y(n40641) );
+  sky130_fd_sc_hd__a221oi_1 U59699 ( .A1(n76604), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[8]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[12]), .B2(n60344), .C1(n40641), .Y(n40650) );
+  sky130_fd_sc_hd__o22ai_1 U59700 ( .A1(n76619), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[10]), .B1(n78383), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[16]), .Y(n40642) );
+  sky130_fd_sc_hd__a221oi_1 U59701 ( .A1(n76619), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[10]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[16]), .B2(n78383), .C1(n40642), .Y(n40649) );
+  sky130_fd_sc_hd__o21ai_1 U59702 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[14]), .A2(n60337), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_ack_wait), .Y(n40643) );
+  sky130_fd_sc_hd__a21oi_1 U59703 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[14]), .A2(n60337), .B1(n40643), .Y(n40648) );
+  sky130_fd_sc_hd__o22ai_1 U59704 ( .A1(n64172), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[11]), .B1(n60335), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[17]), .Y(n40644) );
+  sky130_fd_sc_hd__a221oi_1 U59705 ( .A1(n64172), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[11]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[17]), .B2(n60335), .C1(n40644), .Y(n40645) );
+  sky130_fd_sc_hd__a21oi_1 U59707 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[21]), .A2(n60332), .B1(n40646), .Y(n40647) );
+  sky130_fd_sc_hd__nand4_1 U59708 ( .A(n40650), .B(n40649), .C(n40648), .D(
+        n40647), .Y(n40666) );
+  sky130_fd_sc_hd__o22ai_1 U59709 ( .A1(n83024), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[6]), .B1(n40652), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[8]), .Y(n40651) );
+  sky130_fd_sc_hd__a221oi_1 U59710 ( .A1(n83024), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[6]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[8]), .B2(n40652), .C1(n40651), .Y(n40659) );
+  sky130_fd_sc_hd__o22ai_1 U59711 ( .A1(n83026), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[7]), .B1(n60334), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[19]), .Y(n40653) );
+  sky130_fd_sc_hd__a221oi_1 U59712 ( .A1(n83026), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[7]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[19]), .B2(n60334), .C1(n40653), .Y(n40658) );
+  sky130_fd_sc_hd__o22ai_1 U59713 ( .A1(n78385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[18]), .B1(n60336), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[15]), .Y(n40654) );
+  sky130_fd_sc_hd__a221oi_1 U59714 ( .A1(n78385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[18]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[15]), .B2(n60336), .C1(n40654), .Y(n40657) );
+  sky130_fd_sc_hd__o22ai_1 U59715 ( .A1(n78381), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[13]), .B1(n76611), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[9]), .Y(n40655) );
+  sky130_fd_sc_hd__a221oi_1 U59716 ( .A1(n78381), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[13]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[9]), .B2(n76611), .C1(n40655), .Y(n40656) );
+  sky130_fd_sc_hd__nand4_1 U59717 ( .A(n40659), .B(n40658), .C(n40657), .D(
+        n40656), .Y(n40665) );
+  sky130_fd_sc_hd__nand2_1 U59718 ( .A(n40660), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_pma_cacheable), .Y(n74048) );
+  sky130_fd_sc_hd__nand3_1 U59719 ( .A(n41302), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_coh_state[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_victim_way_r), .Y(n40662) );
+  sky130_fd_sc_hd__nor2_1 U59720 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_victim_way_r), .B(n56029), .Y(n56028) );
+  sky130_fd_sc_hd__a21oi_1 U59721 ( .A1(n56028), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_coh_state[1]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_55[1]), .Y(n40661) );
+  sky130_fd_sc_hd__nand2_1 U59722 ( .A(n40662), .B(n40661), .Y(n54233) );
+  sky130_fd_sc_hd__nand2_1 U59723 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_victim_way_r), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_coh_state[0]), .Y(n40664) );
+  sky130_fd_sc_hd__a21oi_1 U59724 ( .A1(n56028), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_coh_state[0]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_55[0]), .Y(n40663) );
+  sky130_fd_sc_hd__o21ai_1 U59725 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_55[1]), .A2(n40664), .B1(n40663), .Y(n54232) );
+  sky130_fd_sc_hd__nand2_1 U59726 ( .A(n54233), .B(n54232), .Y(n78204) );
+  sky130_fd_sc_hd__nand2_1 U59727 ( .A(n40667), .B(n72675), .Y(n59923) );
+  sky130_fd_sc_hd__nand3_1 U59728 ( .A(n40670), .B(n40668), .C(n59923), .Y(
+        n83064) );
+  sky130_fd_sc_hd__nor2_1 U59729 ( .A(n60556), .B(n83062), .Y(n59924) );
+  sky130_fd_sc_hd__o21ai_1 U59730 ( .A1(n83063), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar__readys_unready_T_4_2_), .B1(n59924), .Y(n78351) );
+  sky130_fd_sc_hd__o21ai_1 U59731 ( .A1(n84128), .A2(n54132), .B1(n54318), .Y(
+        n84375) );
+  sky130_fd_sc_hd__nor2_1 U59732 ( .A(n78360), .B(n40680), .Y(n54790) );
+  sky130_fd_sc_hd__nand2_1 U59733 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_size[1]), .B(n53474), .Y(n54131) );
+  sky130_fd_sc_hd__nand2_1 U59734 ( .A(n84130), .B(n54131), .Y(n57645) );
+  sky130_fd_sc_hd__nand2_1 U59735 ( .A(n78366), .B(n57645), .Y(n40673) );
+  sky130_fd_sc_hd__nor2_1 U59736 ( .A(n40673), .B(n57647), .Y(n45347) );
+  sky130_fd_sc_hd__nand2_1 U59737 ( .A(n44604), .B(n40674), .Y(n45341) );
+  sky130_fd_sc_hd__a2bb2oi_1 U59738 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[11]), .B2(n45347), .A1_N(n75982), .A2_N(n45341), .Y(n40751) );
+  sky130_fd_sc_hd__nand2_1 U59739 ( .A(n57647), .B(n40675), .Y(n43222) );
+  sky130_fd_sc_hd__nand2_1 U59740 ( .A(n65309), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[11]), .Y(n40677) );
+  sky130_fd_sc_hd__nand2_1 U59741 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[43]), .B(n57658), .Y(n40676) );
+  sky130_fd_sc_hd__nand2_1 U59742 ( .A(n40677), .B(n40676), .Y(n66542) );
+  sky130_fd_sc_hd__nor2_1 U59743 ( .A(n40680), .B(n78364), .Y(n54791) );
+  sky130_fd_sc_hd__nand2_1 U59744 ( .A(n78366), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[15]), .Y(n40679) );
+  sky130_fd_sc_hd__nand2_1 U59745 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[31]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[1]), .Y(n40678) );
+  sky130_fd_sc_hd__nand2_1 U59746 ( .A(n40679), .B(n40678), .Y(n57646) );
+  sky130_fd_sc_hd__nand2_1 U59747 ( .A(n54791), .B(n57646), .Y(n40684) );
+  sky130_fd_sc_hd__nor2_1 U59748 ( .A(n40680), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[0]), .Y(n54176) );
+  sky130_fd_sc_hd__nand2_1 U59749 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[1]), .B(n54176), .Y(n44602) );
+  sky130_fd_sc_hd__nand2_1 U59750 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[23]), .B(n40681), .Y(n40683) );
+  sky130_fd_sc_hd__nand2_1 U59751 ( .A(n54176), .B(n78366), .Y(n43217) );
+  sky130_fd_sc_hd__nand2_1 U59752 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[7]), .B(n44599), .Y(n40682) );
+  sky130_fd_sc_hd__nand3_1 U59753 ( .A(n40684), .B(n40683), .C(n40682), .Y(
+        n40812) );
+  sky130_fd_sc_hd__nand3_1 U59754 ( .A(n40812), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_signed), .C(n40685), .Y(n40855) );
+  sky130_fd_sc_hd__nor2_1 U59755 ( .A(n40855), .B(n57647), .Y(n57649) );
+  sky130_fd_sc_hd__a21oi_1 U59756 ( .A1(n57662), .A2(n66542), .B1(n57649), .Y(
+        n40750) );
+  sky130_fd_sc_hd__nand2_1 U59757 ( .A(n40687), .B(n40686), .Y(n40748) );
+  sky130_fd_sc_hd__nand3_1 U59758 ( .A(n57647), .B(n40688), .C(n64496), .Y(
+        n45337) );
+  sky130_fd_sc_hd__nand2_1 U59759 ( .A(n43174), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[1]), .Y(n40773) );
+  sky130_fd_sc_hd__nand3_1 U59760 ( .A(n40697), .B(n43175), .C(n40709), .Y(
+        n40693) );
+  sky130_fd_sc_hd__nor2_1 U59761 ( .A(n40773), .B(n40693), .Y(n59973) );
+  sky130_fd_sc_hd__nand2_1 U59762 ( .A(n59973), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[7]), .Y(n40690) );
+  sky130_fd_sc_hd__nand3_1 U59763 ( .A(n40689), .B(n40735), .C(n41113), .Y(
+        n70352) );
+  sky130_fd_sc_hd__nand2b_1 U59764 ( .A_N(n40690), .B(n82486), .Y(n40691) );
+  sky130_fd_sc_hd__nand2_1 U59765 ( .A(n57619), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[43]), .Y(n40708) );
+  sky130_fd_sc_hd__nand2_1 U59766 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[9]), .Y(n76366) );
+  sky130_fd_sc_hd__nor2_1 U59767 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[11]), .B(n76366), .Y(n40762) );
+  sky130_fd_sc_hd__nand3_1 U59768 ( .A(n40762), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[0]), .C(n41113), .Y(n60132) );
+  sky130_fd_sc_hd__nand2_1 U59769 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[9]), .Y(n40757) );
+  sky130_fd_sc_hd__nor2_1 U59770 ( .A(n43175), .B(n40757), .Y(n68643) );
+  sky130_fd_sc_hd__nand3_1 U59771 ( .A(n43175), .B(n82486), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[6]), .Y(n40692) );
+  sky130_fd_sc_hd__a22oi_1 U59772 ( .A1(n68643), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_intXbar_auto_int_out_3), .B1(n60025), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[11]), .Y(n40696) );
+  sky130_fd_sc_hd__nand2_1 U59773 ( .A(n40694), .B(n72578), .Y(n59801) );
+  sky130_fd_sc_hd__nand2_1 U59774 ( .A(n40735), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[11]), .Y(n59802) );
+  sky130_fd_sc_hd__nand2_1 U59775 ( .A(n59802), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[8]), .Y(n40699) );
+  sky130_fd_sc_hd__nand3_1 U59776 ( .A(n43192), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[7]), .C(n40699), .Y(n57620) );
+  sky130_fd_sc_hd__nand2_1 U59777 ( .A(n45955), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[43]), .Y(n40695) );
+  sky130_fd_sc_hd__o211ai_1 U59778 ( .A1(n60132), .A2(n53371), .B1(n40696), 
+        .C1(n40695), .Y(n40701) );
+  sky130_fd_sc_hd__nand2_1 U59779 ( .A(n43175), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[4]), .Y(n40698) );
+  sky130_fd_sc_hd__nand3_1 U59780 ( .A(n40697), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[7]), .C(n40711), .Y(n40702) );
+  sky130_fd_sc_hd__nor2_1 U59781 ( .A(n40698), .B(n40702), .Y(n40737) );
+  sky130_fd_sc_hd__nand3_1 U59782 ( .A(n40737), .B(n40912), .C(n40735), .Y(
+        n60034) );
+  sky130_fd_sc_hd__nand2_1 U59783 ( .A(n40699), .B(n59803), .Y(n40712) );
+  sky130_fd_sc_hd__o2bb2ai_1 U59784 ( .B1(n74204), .B2(n60034), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[11]), .A2_N(n57622), .Y(n40700) );
+  sky130_fd_sc_hd__nor2_1 U59785 ( .A(n40701), .B(n40700), .Y(n40707) );
+  sky130_fd_sc_hd__nand2_1 U59786 ( .A(n40703), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[2]), .Y(n40704) );
+  sky130_fd_sc_hd__a22oi_1 U59787 ( .A1(n60100), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[11]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[11]), .B2(n60093), .Y(n40706) );
+  sky130_fd_sc_hd__nand2_1 U59788 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[1]), .Y(n40718) );
+  sky130_fd_sc_hd__nand2_1 U59789 ( .A(n72578), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[0]), .Y(n40730) );
+  sky130_fd_sc_hd__a22oi_1 U59790 ( .A1(n60091), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[11]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[11]), .B2(n60096), .Y(n40705) );
+  sky130_fd_sc_hd__nand4_1 U59791 ( .A(n40708), .B(n40707), .C(n40706), .D(
+        n40705), .Y(n40747) );
+  sky130_fd_sc_hd__nor2_1 U59792 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[11]), .B(n40735), .Y(n41114) );
+  sky130_fd_sc_hd__nand2_1 U59793 ( .A(n41114), .B(n40709), .Y(n64082) );
+  sky130_fd_sc_hd__nand3b_1 U59794 ( .A_N(n64082), .B(n40888), .C(n40774), .Y(
+        n44722) );
+  sky130_fd_sc_hd__nand2b_1 U59795 ( .A_N(n44722), .B(n40710), .Y(n83888) );
+  sky130_fd_sc_hd__nand2_1 U59796 ( .A(n44609), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_chain), .Y(n40745) );
+  sky130_fd_sc_hd__nand3_1 U59797 ( .A(n40737), .B(n40888), .C(n40735), .Y(
+        n45945) );
+  sky130_fd_sc_hd__o22ai_1 U59798 ( .A1(n74296), .A2(n45945), .B1(n74851), 
+        .B2(n60043), .Y(n40714) );
+  sky130_fd_sc_hd__nor2_1 U59799 ( .A(n82486), .B(n64083), .Y(n40734) );
+  sky130_fd_sc_hd__nand3_1 U59800 ( .A(n41113), .B(n40774), .C(n40711), .Y(
+        n40733) );
+  sky130_fd_sc_hd__nor2_1 U59801 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[2]), .B(n40733), .Y(n40913) );
+  sky130_fd_sc_hd__nand2_1 U59802 ( .A(n40734), .B(n40913), .Y(n60552) );
+  sky130_fd_sc_hd__nand2b_1 U59803 ( .A_N(n40712), .B(n59973), .Y(n45367) );
+  sky130_fd_sc_hd__o22ai_1 U59804 ( .A1(n64076), .A2(n60552), .B1(n70021), 
+        .B2(n45367), .Y(n40713) );
+  sky130_fd_sc_hd__nor2_1 U59805 ( .A(n40714), .B(n40713), .Y(n40744) );
+  sky130_fd_sc_hd__nor2_1 U59806 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[4]), .Y(n40715) );
+  sky130_fd_sc_hd__nand3_1 U59807 ( .A(n40715), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[7]), .C(n40735), .Y(n40729) );
+  sky130_fd_sc_hd__nand2_1 U59808 ( .A(n40734), .B(n40716), .Y(n44763) );
+  sky130_fd_sc_hd__nand2_1 U59809 ( .A(n41114), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[4]), .Y(n40781) );
+  sky130_fd_sc_hd__nand2_1 U59810 ( .A(n64975), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[11]), .Y(n40725) );
+  sky130_fd_sc_hd__nor2_1 U59811 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[11]), .Y(n40775) );
+  sky130_fd_sc_hd__nand2_1 U59812 ( .A(n40775), .B(n43175), .Y(n40772) );
+  sky130_fd_sc_hd__nand2_1 U59813 ( .A(n40731), .B(n40735), .Y(n40717) );
+  sky130_fd_sc_hd__a22o_1 U59814 ( .A1(n64977), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[11]), .B1(n65637), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[11]), .X(n40719) );
+  sky130_fd_sc_hd__a21oi_1 U59815 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[11]), .A2(n64980), .B1(n40719), .Y(n40724) );
+  sky130_fd_sc_hd__nor2_1 U59816 ( .A(n40773), .B(n64082), .Y(n40720) );
+  sky130_fd_sc_hd__nand2_1 U59817 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_tselect), .B(n40720), .Y(n60101) );
+  sky130_fd_sc_hd__nand2_1 U59818 ( .A(n57625), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[11]), .Y(n40723) );
+  sky130_fd_sc_hd__nand2_1 U59819 ( .A(n57630), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[11]), .Y(n40722) );
+  sky130_fd_sc_hd__nand4_1 U59820 ( .A(n40725), .B(n40724), .C(n40723), .D(
+        n40722), .Y(n40726) );
+  sky130_fd_sc_hd__a21oi_1 U59821 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_cfg_a[0]), .A2(n64223), .B1(n40726), .Y(n40743) );
+  sky130_fd_sc_hd__nand3_1 U59822 ( .A(n40775), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[0]), .C(n40774), .Y(n60094) );
+  sky130_fd_sc_hd__nand2_1 U59823 ( .A(n40867), .B(n40775), .Y(n45358) );
+  sky130_fd_sc_hd__o22ai_1 U59824 ( .A1(n40727), .A2(n60094), .B1(n70017), 
+        .B2(n45358), .Y(n40728) );
+  sky130_fd_sc_hd__a21oi_1 U59825 ( .A1(n60103), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[11]), .B1(n40728), .Y(n40741) );
+  sky130_fd_sc_hd__nor2_1 U59826 ( .A(n40730), .B(n40729), .Y(n64084) );
+  sky130_fd_sc_hd__nand2_1 U59827 ( .A(n40731), .B(n43175), .Y(n40732) );
+  sky130_fd_sc_hd__a22oi_1 U59828 ( .A1(n64084), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_cfg_a[0]), .B1(n60104), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[11]), .Y(n40740) );
+  sky130_fd_sc_hd__nand3_1 U59829 ( .A(n40734), .B(n40753), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[2]), .Y(n76400) );
+  sky130_fd_sc_hd__nand2_1 U59830 ( .A(n78525), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie[11]), .Y(n40739) );
+  sky130_fd_sc_hd__nand3_1 U59831 ( .A(n40737), .B(n40736), .C(n40735), .Y(
+        n60030) );
+  sky130_fd_sc_hd__nand2_1 U59832 ( .A(n45362), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[11]), .Y(n40738) );
+  sky130_fd_sc_hd__and4_1 U59833 ( .A(n40741), .B(n40740), .C(n40739), .D(
+        n40738), .X(n40742) );
+  sky130_fd_sc_hd__nand4_1 U59834 ( .A(n40745), .B(n40744), .C(n40743), .D(
+        n40742), .Y(n40746) );
+  sky130_fd_sc_hd__nor2_1 U59835 ( .A(n40747), .B(n40746), .Y(n44127) );
+  sky130_fd_sc_hd__nand3_1 U59836 ( .A(n57647), .B(n64496), .C(n40748), .Y(
+        n45979) );
+  sky130_fd_sc_hd__a2bb2oi_1 U59837 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[11]), .B2(n57663), .A1_N(n44127), .A2_N(n45979), .Y(n40749) );
+  sky130_fd_sc_hd__and3_1 U59838 ( .A(n40751), .B(n40750), .C(n40749), .X(
+        n66538) );
+  sky130_fd_sc_hd__nand3_1 U59839 ( .A(n40775), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[2]), .C(n40774), .Y(n40752) );
+  sky130_fd_sc_hd__nor2_1 U59840 ( .A(n64083), .B(n40752), .Y(n78524) );
+  sky130_fd_sc_hd__nand2_1 U59841 ( .A(n78524), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie[1]), .Y(n76369) );
+  sky130_fd_sc_hd__nand2_1 U59842 ( .A(n40753), .B(n40867), .Y(n68629) );
+  sky130_fd_sc_hd__nand2_1 U59843 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[2]), .Y(n75808) );
+  sky130_fd_sc_hd__nor2_1 U59844 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[9]), .B(n75808), .Y(n75803) );
+  sky130_fd_sc_hd__nand2_1 U59845 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__read_hvip_T_1), .B(n75803), .Y(n40754) );
+  sky130_fd_sc_hd__a31oi_1 U59846 ( .A1(n76369), .A2(n68629), .A3(n40754), 
+        .B1(n43077), .Y(n40756) );
+  sky130_fd_sc_hd__o2bb2ai_1 U59847 ( .B1(n55678), .B2(n60046), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__read_hvip_T_1), .A2_N(n68643), .Y(n40755) );
+  sky130_fd_sc_hd__a211oi_1 U59848 ( .A1(n65637), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[1]), .B1(n40756), .C1(n40755), .Y(n40799) );
+  sky130_fd_sc_hd__nor2_1 U59849 ( .A(n40757), .B(n40773), .Y(n78282) );
+  sky130_fd_sc_hd__nand2_1 U59850 ( .A(n78282), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mcause[1]), .Y(n40759) );
+  sky130_fd_sc_hd__nand2_1 U59851 ( .A(n64084), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_w), .Y(n40758) );
+  sky130_fd_sc_hd__o211ai_1 U59852 ( .A1(n70137), .A2(n45358), .B1(n40759), 
+        .C1(n40758), .Y(n40760) );
+  sky130_fd_sc_hd__a21oi_1 U59853 ( .A1(n45362), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[1]), .B1(n40760), .Y(n40766) );
+  sky130_fd_sc_hd__nand3b_1 U59854 ( .A_N(n40772), .B(n59803), .C(n40774), .Y(
+        n40761) );
+  sky130_fd_sc_hd__nand2_1 U59855 ( .A(n60552), .B(n40761), .Y(n60553) );
+  sky130_fd_sc_hd__nand2_1 U59856 ( .A(n60553), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_sie), .Y(n40765) );
+  sky130_fd_sc_hd__nand3_1 U59857 ( .A(n40762), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[1]), .C(n41113), .Y(n64250) );
+  sky130_fd_sc_hd__a22oi_1 U59858 ( .A1(n43485), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_mcounteren[1]), .B1(n60104), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[1]), .Y(n40764) );
+  sky130_fd_sc_hd__nand2_1 U59859 ( .A(n78525), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie[1]), .Y(n40763) );
+  sky130_fd_sc_hd__nand4_1 U59860 ( .A(n40766), .B(n40765), .C(n40764), .D(
+        n40763), .Y(n40767) );
+  sky130_fd_sc_hd__a21oi_1 U59861 ( .A1(n57619), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[33]), .B1(n40767), .Y(n40798) );
+  sky130_fd_sc_hd__a22oi_1 U59862 ( .A1(n60096), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[1]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[1]), .B2(n60100), .Y(n40771) );
+  sky130_fd_sc_hd__a2bb2oi_1 U59863 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[1]), .B2(n57622), .A1_N(n74210), .A2_N(n60034), .Y(n40770) );
+  sky130_fd_sc_hd__a22oi_1 U59864 ( .A1(n45955), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[33]), .B1(n60091), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[1]), .Y(n40769) );
+  sky130_fd_sc_hd__a22oi_1 U59865 ( .A1(n60019), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[1]), .B1(n60093), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[1]), .Y(n40768) );
+  sky130_fd_sc_hd__nand4_1 U59866 ( .A(n40771), .B(n40770), .C(n40769), .D(
+        n40768), .Y(n40796) );
+  sky130_fd_sc_hd__nand2b_1 U59867 ( .A_N(n44722), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_tselect), .Y(n83886) );
+  sky130_fd_sc_hd__nor2_1 U59868 ( .A(n40773), .B(n40772), .Y(n69974) );
+  sky130_fd_sc_hd__nand2_1 U59869 ( .A(n69974), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_scause[1]), .Y(n40779) );
+  sky130_fd_sc_hd__nand2_1 U59870 ( .A(n60025), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[1]), .Y(n40778) );
+  sky130_fd_sc_hd__nand3_1 U59871 ( .A(n40775), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[1]), .C(n40774), .Y(n64251) );
+  sky130_fd_sc_hd__nand2_1 U59872 ( .A(n43515), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_scounteren[1]), .Y(n40777) );
+  sky130_fd_sc_hd__nand2_1 U59873 ( .A(n57625), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[1]), .Y(n40776) );
+  sky130_fd_sc_hd__nand4_1 U59874 ( .A(n40779), .B(n40778), .C(n40777), .D(
+        n40776), .Y(n40780) );
+  sky130_fd_sc_hd__a21oi_1 U59875 ( .A1(n44608), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_w), .B1(n40780), .Y(n40794) );
+  sky130_fd_sc_hd__nand2_1 U59876 ( .A(n60103), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[1]), .Y(n40783) );
+  sky130_fd_sc_hd__nor2_1 U59877 ( .A(n64083), .B(n40781), .Y(n76373) );
+  sky130_fd_sc_hd__nand2_1 U59878 ( .A(n76373), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_lo_6_1), .Y(n40782) );
+  sky130_fd_sc_hd__o211ai_1 U59879 ( .A1(n40784), .A2(n44763), .B1(n40783), 
+        .C1(n40782), .Y(n40785) );
+  sky130_fd_sc_hd__a21oi_1 U59880 ( .A1(n44609), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_w), .B1(n40785), .Y(n40793) );
+  sky130_fd_sc_hd__a2bb2oi_1 U59881 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[1]), .B2(n45353), .A1_N(n40786), .A2_N(n45367), .Y(n40792) );
+  sky130_fd_sc_hd__nand2_1 U59882 ( .A(n64975), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[1]), .Y(n40789) );
+  sky130_fd_sc_hd__nand2_1 U59883 ( .A(n64977), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[1]), .Y(n40788) );
+  sky130_fd_sc_hd__nand2_1 U59884 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[1]), .B(n64980), .Y(n40787) );
+  sky130_fd_sc_hd__nand3_1 U59885 ( .A(n40789), .B(n40788), .C(n40787), .Y(
+        n40790) );
+  sky130_fd_sc_hd__nand2_1 U59886 ( .A(n40790), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_isa[2]), .Y(n40791) );
+  sky130_fd_sc_hd__nand4_1 U59887 ( .A(n40794), .B(n40793), .C(n40792), .D(
+        n40791), .Y(n40795) );
+  sky130_fd_sc_hd__nor2_1 U59888 ( .A(n40796), .B(n40795), .Y(n40797) );
+  sky130_fd_sc_hd__nand3_1 U59889 ( .A(n40799), .B(n40798), .C(n40797), .Y(
+        n56045) );
+  sky130_fd_sc_hd__a22oi_1 U59890 ( .A1(n57665), .A2(n56045), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[1]), .B2(n57663), .Y(n40806) );
+  sky130_fd_sc_hd__nand3_1 U59891 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[0]), .B(n53474), .C(n78366), .Y(n43528) );
+  sky130_fd_sc_hd__nand3_1 U59892 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[0]), .C(n53474), .Y(n44597) );
+  sky130_fd_sc_hd__o22ai_1 U59893 ( .A1(n76829), .A2(n43528), .B1(n44597), 
+        .B2(n75908), .Y(n40800) );
+  sky130_fd_sc_hd__a21oi_1 U59894 ( .A1(n44599), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[1]), .B1(n40800), .Y(n40801) );
+  sky130_fd_sc_hd__nand2_1 U59896 ( .A(n65309), .B(n37032), .Y(n40803) );
+  sky130_fd_sc_hd__nand2_1 U59897 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[33]), .B(n57658), .Y(n40802) );
+  sky130_fd_sc_hd__nand2_1 U59898 ( .A(n40803), .B(n40802), .Y(n66198) );
+  sky130_fd_sc_hd__a22oi_1 U59899 ( .A1(n44604), .A2(n40804), .B1(n57662), 
+        .B2(n66198), .Y(n40805) );
+  sky130_fd_sc_hd__nand2_1 U59900 ( .A(n40806), .B(n40805), .Y(n85626) );
+  sky130_fd_sc_hd__nand2_1 U59901 ( .A(n40808), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[13]), .Y(n40807) );
+  sky130_fd_sc_hd__o21ai_1 U59902 ( .A1(n70796), .A2(n40808), .B1(n40807), .Y(
+        n85352) );
+  sky130_fd_sc_hd__nand2_1 U59903 ( .A(n36798), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[13]), .Y(n40809) );
+  sky130_fd_sc_hd__o21ai_1 U59904 ( .A1(n70796), .A2(n36798), .B1(n40809), .Y(
+        n84286) );
+  sky130_fd_sc_hd__nand2_1 U59905 ( .A(n40808), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[6]), .Y(n40810) );
+  sky130_fd_sc_hd__o21ai_1 U59906 ( .A1(n69035), .A2(n40808), .B1(n40810), .Y(
+        n85345) );
+  sky130_fd_sc_hd__nand2_1 U59907 ( .A(n36798), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[6]), .Y(n40811) );
+  sky130_fd_sc_hd__nand2_1 U59909 ( .A(n65309), .B(n37026), .Y(n40814) );
+  sky130_fd_sc_hd__nand2_1 U59910 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[39]), .B(n57658), .Y(n40813) );
+  sky130_fd_sc_hd__nand2_1 U59911 ( .A(n40814), .B(n40813), .Y(n69595) );
+  sky130_fd_sc_hd__o22a_1 U59912 ( .A1(n40816), .A2(n57647), .B1(n40815), .B2(
+        n43222), .X(n40850) );
+  sky130_fd_sc_hd__a22o_1 U59913 ( .A1(n68643), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_intXbar_auto_int_out_2), .B1(n65637), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[7]), .X(n40817) );
+  sky130_fd_sc_hd__a21oi_1 U59914 ( .A1(n64977), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[7]), .B1(n40817), .Y(n40821) );
+  sky130_fd_sc_hd__nand2_1 U59915 ( .A(n45362), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[7]), .Y(n40820) );
+  sky130_fd_sc_hd__nand2_1 U59916 ( .A(n45955), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[39]), .Y(n40819) );
+  sky130_fd_sc_hd__nand2_1 U59917 ( .A(n45352), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[7]), .Y(n40818) );
+  sky130_fd_sc_hd__nand4_1 U59918 ( .A(n40821), .B(n40820), .C(n40819), .D(
+        n40818), .Y(n40839) );
+  sky130_fd_sc_hd__a22oi_1 U59919 ( .A1(n60103), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[7]), .B1(n76373), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_lo_6[7]), .Y(n40830) );
+  sky130_fd_sc_hd__nand2_1 U59920 ( .A(n60104), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[7]), .Y(n40824) );
+  sky130_fd_sc_hd__nand2_1 U59921 ( .A(n57613), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[7]), .Y(n40823) );
+  sky130_fd_sc_hd__nand2_1 U59922 ( .A(n65602), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[7]), .Y(n40822) );
+  sky130_fd_sc_hd__nand3_1 U59923 ( .A(n40824), .B(n40823), .C(n40822), .Y(
+        n40825) );
+  sky130_fd_sc_hd__a21oi_1 U59924 ( .A1(n78525), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie[7]), .B1(n40825), .Y(n40829) );
+  sky130_fd_sc_hd__o22ai_1 U59925 ( .A1(n70500), .A2(n43267), .B1(n59115), 
+        .B2(n60101), .Y(n40826) );
+  sky130_fd_sc_hd__a21oi_1 U59926 ( .A1(n57630), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[7]), .B1(n40826), .Y(n40828) );
+  sky130_fd_sc_hd__nand2_1 U59927 ( .A(n64975), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[7]), .Y(n40827) );
+  sky130_fd_sc_hd__nand4_1 U59928 ( .A(n40830), .B(n40829), .C(n40828), .D(
+        n40827), .Y(n40838) );
+  sky130_fd_sc_hd__a22oi_1 U59929 ( .A1(n60096), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[7]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[7]), .B2(n60093), .Y(n40836) );
+  sky130_fd_sc_hd__o2bb2ai_1 U59930 ( .B1(n40831), .B2(n60132), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_l), .A2_N(n64084), .Y(n40832) );
+  sky130_fd_sc_hd__a21oi_1 U59931 ( .A1(n57622), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[7]), .B1(n40832), .Y(n40835) );
+  sky130_fd_sc_hd__a2bb2oi_1 U59932 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[7]), .B2(n60100), .A1_N(n74735), .A2_N(n60043), .Y(n40834) );
+  sky130_fd_sc_hd__nand4_1 U59933 ( .A(n40836), .B(n40835), .C(n40834), .D(
+        n40833), .Y(n40837) );
+  sky130_fd_sc_hd__nor3_1 U59934 ( .A(n40839), .B(n40838), .C(n40837), .Y(
+        n40847) );
+  sky130_fd_sc_hd__nand2_1 U59935 ( .A(n45285), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_mpie), .Y(n40846) );
+  sky130_fd_sc_hd__nand2_1 U59936 ( .A(n57619), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[39]), .Y(n40845) );
+  sky130_fd_sc_hd__nand2_1 U59937 ( .A(n60025), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[7]), .Y(n40841) );
+  sky130_fd_sc_hd__nand2_1 U59938 ( .A(n57634), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[7]), .Y(n40840) );
+  sky130_fd_sc_hd__o211ai_1 U59939 ( .A1(n44763), .A2(n70792), .B1(n40841), 
+        .C1(n40840), .Y(n40843) );
+  sky130_fd_sc_hd__o22ai_1 U59940 ( .A1(n59158), .A2(n83886), .B1(n83888), 
+        .B2(n58960), .Y(n40842) );
+  sky130_fd_sc_hd__nor2_1 U59941 ( .A(n40843), .B(n40842), .Y(n40844) );
+  sky130_fd_sc_hd__nand4_1 U59942 ( .A(n40847), .B(n40846), .C(n40845), .D(
+        n40844), .Y(n55609) );
+  sky130_fd_sc_hd__nand2_1 U59943 ( .A(n57665), .B(n55609), .Y(n40849) );
+  sky130_fd_sc_hd__nand2_1 U59944 ( .A(n57663), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[7]), .Y(n40848) );
+  sky130_fd_sc_hd__nand3_1 U59945 ( .A(n40850), .B(n40849), .C(n40848), .Y(
+        n85610) );
+  sky130_fd_sc_hd__nand2_1 U59946 ( .A(n44604), .B(n40851), .Y(n57668) );
+  sky130_fd_sc_hd__nand2_1 U59947 ( .A(n65309), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[29]), .Y(n40853) );
+  sky130_fd_sc_hd__nand2_1 U59948 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[61]), .B(n57658), .Y(n40852) );
+  sky130_fd_sc_hd__nand2_1 U59949 ( .A(n40853), .B(n40852), .Y(n65677) );
+  sky130_fd_sc_hd__nand3_1 U59950 ( .A(n57646), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_signed), .C(n40854), .Y(n40856) );
+  sky130_fd_sc_hd__o21a_1 U59951 ( .A1(n84130), .A2(n40856), .B1(n40855), .X(
+        n40857) );
+  sky130_fd_sc_hd__nor2_1 U59952 ( .A(n40857), .B(n57647), .Y(n57661) );
+  sky130_fd_sc_hd__a21oi_1 U59953 ( .A1(n57662), .A2(n65677), .B1(n57661), .Y(
+        n40875) );
+  sky130_fd_sc_hd__nand2_1 U59954 ( .A(n57619), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[61]), .Y(n40866) );
+  sky130_fd_sc_hd__nand2_1 U59955 ( .A(n64975), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[29]), .Y(n40862) );
+  sky130_fd_sc_hd__a2bb2oi_1 U59956 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[29]), .B2(n65637), .A1_N(n40858), .A2_N(n45358), .Y(n40861) );
+  sky130_fd_sc_hd__nand2_1 U59957 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[29]), .B(n57630), .Y(n40859) );
+  sky130_fd_sc_hd__nand4_1 U59958 ( .A(n40862), .B(n40861), .C(n40860), .D(
+        n44722), .Y(n40863) );
+  sky130_fd_sc_hd__a21oi_1 U59959 ( .A1(n57634), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[29]), .B1(n40863), .Y(n40865) );
+  sky130_fd_sc_hd__a22oi_1 U59960 ( .A1(n45955), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[61]), .B1(n57622), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[29]), .Y(n40864) );
+  sky130_fd_sc_hd__nand3_1 U59961 ( .A(n40866), .B(n40865), .C(n40864), .Y(
+        n40873) );
+  sky130_fd_sc_hd__nand3_1 U59962 ( .A(n40867), .B(n43176), .C(n59803), .Y(
+        n45952) );
+  sky130_fd_sc_hd__a22oi_1 U59963 ( .A1(n60103), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[29]), .B1(n60104), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[29]), .Y(n40870) );
+  sky130_fd_sc_hd__a22oi_1 U59964 ( .A1(n57618), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[29]), .B1(n64980), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[29]), .Y(n40869) );
+  sky130_fd_sc_hd__a22oi_1 U59965 ( .A1(n64977), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[29]), .B1(n60025), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[29]), .Y(n40868) );
+  sky130_fd_sc_hd__nand4_1 U59966 ( .A(n40871), .B(n40870), .C(n40869), .D(
+        n40868), .Y(n40872) );
+  sky130_fd_sc_hd__nor2_1 U59967 ( .A(n40873), .B(n40872), .Y(n57398) );
+  sky130_fd_sc_hd__a2bb2oi_1 U59968 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[29]), .B2(n57663), .A1_N(n57398), .A2_N(n45979), .Y(n40874) );
+  sky130_fd_sc_hd__o211ai_1 U59969 ( .A1(n76156), .A2(n57668), .B1(n40875), 
+        .C1(n40874), .Y(n85605) );
+  sky130_fd_sc_hd__nand2_1 U59970 ( .A(n40808), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[12]), .Y(n40876) );
+  sky130_fd_sc_hd__o21ai_1 U59971 ( .A1(n69419), .A2(n40808), .B1(n40876), .Y(
+        n85351) );
+  sky130_fd_sc_hd__nand2_1 U59972 ( .A(n36798), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[12]), .Y(n40877) );
+  sky130_fd_sc_hd__o21ai_1 U59973 ( .A1(n69419), .A2(n36798), .B1(n40877), .Y(
+        n84285) );
+  sky130_fd_sc_hd__nand2_1 U59974 ( .A(n57619), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[62]), .Y(n40887) );
+  sky130_fd_sc_hd__nand2_1 U59975 ( .A(n60103), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[30]), .Y(n40883) );
+  sky130_fd_sc_hd__a21oi_1 U59976 ( .A1(n65637), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[30]), .B1(n76373), .Y(n40882) );
+  sky130_fd_sc_hd__nand2_1 U59977 ( .A(n60025), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[30]), .Y(n40881) );
+  sky130_fd_sc_hd__o2bb2ai_1 U59978 ( .B1(n40878), .B2(n60101), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[30]), .A2_N(n57630), .Y(n40879) );
+  sky130_fd_sc_hd__nand4_1 U59979 ( .A(n40883), .B(n40882), .C(n40881), .D(
+        n40880), .Y(n40884) );
+  sky130_fd_sc_hd__a21oi_1 U59980 ( .A1(n57634), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[30]), .B1(n40884), .Y(n40886) );
+  sky130_fd_sc_hd__a22oi_1 U59981 ( .A1(n45955), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[62]), .B1(n57622), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[30]), .Y(n40885) );
+  sky130_fd_sc_hd__nand3_1 U59982 ( .A(n40887), .B(n40886), .C(n40885), .Y(
+        n40894) );
+  sky130_fd_sc_hd__a22oi_1 U59983 ( .A1(n57618), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[30]), .B1(n60104), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[30]), .Y(n40892) );
+  sky130_fd_sc_hd__a22oi_1 U59984 ( .A1(n57613), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[30]), .B1(n65602), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[30]), .Y(n40891) );
+  sky130_fd_sc_hd__a22oi_1 U59985 ( .A1(n64977), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[30]), .B1(n64980), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[30]), .Y(n40890) );
+  sky130_fd_sc_hd__nand2_1 U59986 ( .A(n40913), .B(n40888), .Y(n44021) );
+  sky130_fd_sc_hd__a22oi_1 U59987 ( .A1(n64210), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_isa[30]), .B1(n64975), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[30]), .Y(n40889) );
+  sky130_fd_sc_hd__nand4_1 U59988 ( .A(n40892), .B(n40891), .C(n40890), .D(
+        n40889), .Y(n40893) );
+  sky130_fd_sc_hd__nor2_1 U59989 ( .A(n40894), .B(n40893), .Y(n57401) );
+  sky130_fd_sc_hd__a21oi_1 U59990 ( .A1(n57663), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[30]), .B1(n57661), .Y(n40898) );
+  sky130_fd_sc_hd__nand2_1 U59991 ( .A(n65309), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[30]), .Y(n40896) );
+  sky130_fd_sc_hd__nand2_1 U59992 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[62]), .B(n57658), .Y(n40895) );
+  sky130_fd_sc_hd__nand2_1 U59993 ( .A(n40896), .B(n40895), .Y(n69773) );
+  sky130_fd_sc_hd__a22oi_1 U59994 ( .A1(n57662), .A2(n69773), .B1(n45976), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[30]), .Y(n40897) );
+  sky130_fd_sc_hd__o211ai_1 U59995 ( .A1(n57401), .A2(n45979), .B1(n40898), 
+        .C1(n40897), .Y(n85618) );
+  sky130_fd_sc_hd__a22oi_1 U59996 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[10]), .A2(n45347), .B1(n45346), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[26]), .Y(n40931) );
+  sky130_fd_sc_hd__nand2_1 U59997 ( .A(n65309), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[10]), .Y(n40899) );
+  sky130_fd_sc_hd__a21oi_1 U59999 ( .A1(n57662), .A2(n66539), .B1(n57649), .Y(
+        n40930) );
+  sky130_fd_sc_hd__nand2_1 U60000 ( .A(n57619), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[42]), .Y(n40911) );
+  sky130_fd_sc_hd__nand2_1 U60001 ( .A(n60104), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[10]), .Y(n40901) );
+  sky130_fd_sc_hd__nand2_1 U60002 ( .A(n64977), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[10]), .Y(n40900) );
+  sky130_fd_sc_hd__o211ai_1 U60003 ( .A1(n40902), .A2(n60030), .B1(n40901), 
+        .C1(n40900), .Y(n40904) );
+  sky130_fd_sc_hd__o22ai_1 U60004 ( .A1(n69997), .A2(n57620), .B1(n74205), 
+        .B2(n60034), .Y(n40903) );
+  sky130_fd_sc_hd__nor2_1 U60005 ( .A(n40904), .B(n40903), .Y(n40910) );
+  sky130_fd_sc_hd__o2bb2ai_1 U60006 ( .B1(n40905), .B2(n60132), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_cfg_x), .A2_N(n64084), .Y(n40906) );
+  sky130_fd_sc_hd__a21oi_1 U60007 ( .A1(n57622), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[10]), .B1(n40906), .Y(n40909) );
+  sky130_fd_sc_hd__a2bb2oi_1 U60008 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[10]), .B2(n60091), .A1_N(n40907), .A2_N(n60043), .Y(n40908) );
+  sky130_fd_sc_hd__nand4_1 U60009 ( .A(n40911), .B(n40910), .C(n40909), .D(
+        n40908), .Y(n40928) );
+  sky130_fd_sc_hd__nand2_1 U60010 ( .A(n40913), .B(n40912), .Y(n63155) );
+  sky130_fd_sc_hd__o22ai_1 U60011 ( .A1(n40914), .A2(n63155), .B1(n70019), 
+        .B2(n45367), .Y(n40924) );
+  sky130_fd_sc_hd__a22o_1 U60012 ( .A1(n65637), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[10]), .B1(n60025), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[10]), .X(n40915) );
+  sky130_fd_sc_hd__a21oi_1 U60013 ( .A1(n64223), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_cfg_x), .B1(n40915), .Y(n40922) );
+  sky130_fd_sc_hd__o22ai_1 U60014 ( .A1(n65971), .A2(n43267), .B1(n59053), 
+        .B2(n60101), .Y(n40916) );
+  sky130_fd_sc_hd__a21oi_1 U60015 ( .A1(n57630), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[10]), .B1(n40916), .Y(n40921) );
+  sky130_fd_sc_hd__o22ai_1 U60016 ( .A1(n40917), .A2(n60094), .B1(n65968), 
+        .B2(n45358), .Y(n40918) );
+  sky130_fd_sc_hd__a21oi_1 U60017 ( .A1(n60103), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[10]), .B1(n40918), .Y(n40920) );
+  sky130_fd_sc_hd__nand2_1 U60018 ( .A(n64975), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[10]), .Y(n40919) );
+  sky130_fd_sc_hd__nand4_1 U60019 ( .A(n40922), .B(n40921), .C(n40920), .D(
+        n40919), .Y(n40923) );
+  sky130_fd_sc_hd__nor2_1 U60020 ( .A(n40924), .B(n40923), .Y(n40927) );
+  sky130_fd_sc_hd__a22oi_1 U60021 ( .A1(n60019), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[10]), .B1(n60100), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[10]), .Y(n40926) );
+  sky130_fd_sc_hd__a22oi_1 U60022 ( .A1(n60096), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[10]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[10]), .B2(n60093), .Y(n40925) );
+  sky130_fd_sc_hd__nand4b_1 U60023 ( .A_N(n40928), .B(n40927), .C(n40926), .D(
+        n40925), .Y(n56054) );
+  sky130_fd_sc_hd__a22oi_1 U60024 ( .A1(n57665), .A2(n56054), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[10]), .B2(n57663), .Y(n40929) );
+  sky130_fd_sc_hd__nand3_1 U60025 ( .A(n40931), .B(n40930), .C(n40929), .Y(
+        n85555) );
+  sky130_fd_sc_hd__nand2_1 U60026 ( .A(n40808), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[8]), .Y(n40932) );
+  sky130_fd_sc_hd__o21ai_1 U60027 ( .A1(n70816), .A2(n40808), .B1(n40932), .Y(
+        n85347) );
+  sky130_fd_sc_hd__nand2_1 U60028 ( .A(n36798), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[8]), .Y(n40933) );
+  sky130_fd_sc_hd__o21ai_1 U60029 ( .A1(n70816), .A2(n36798), .B1(n40933), .Y(
+        n84282) );
+  sky130_fd_sc_hd__inv_2 U60030 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[6]), .Y(n64041) );
+  sky130_fd_sc_hd__inv_2 U60031 ( .A(n64041), .Y(n72064) );
+  sky130_fd_sc_hd__buf_2 U60033 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[9]), .X(n85634) );
+  sky130_fd_sc_hd__nand3_1 U60034 ( .A(n64041), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .C(n72059), .Y(n61421) );
+  sky130_fd_sc_hd__nand3_1 U60035 ( .A(n64019), .B(n64041), .C(n72059), .Y(
+        n61412) );
+  sky130_fd_sc_hd__o22ai_1 U60036 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[222]), .A2(n61421), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[218]), .B2(n61412), .Y(n40941) );
+  sky130_fd_sc_hd__nor2_1 U60037 ( .A(n72059), .B(n64041), .Y(n40934) );
+  sky130_fd_sc_hd__nand2_1 U60038 ( .A(n40934), .B(n64019), .Y(n61422) );
+  sky130_fd_sc_hd__o22ai_1 U60039 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[221]), .A2(n61404), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[217]), .B2(n61422), .Y(n40940) );
+  sky130_fd_sc_hd__nand2_1 U60040 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .B(n43034), .Y(n58335) );
+  sky130_fd_sc_hd__nor2_1 U60041 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .B(n58335), .Y(n61406) );
+  sky130_fd_sc_hd__nor2_1 U60042 ( .A(n72064), .B(n72059), .Y(n40935) );
+  sky130_fd_sc_hd__nand2_1 U60043 ( .A(n40935), .B(n64019), .Y(n61423) );
+  sky130_fd_sc_hd__nor2_1 U60044 ( .A(n85633), .B(n64025), .Y(n61416) );
+  sky130_fd_sc_hd__o21a_1 U60045 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[216]), .A2(n61423), .B1(n61416), .X(n40938) );
+  sky130_fd_sc_hd__nand2_1 U60046 ( .A(n40935), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n61420) );
+  sky130_fd_sc_hd__nand2_1 U60047 ( .A(n40936), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n61411) );
+  sky130_fd_sc_hd__o22a_1 U60048 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[220]), .A2(n61420), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[223]), .B2(n61411), .X(n40937) );
+  sky130_fd_sc_hd__o211ai_1 U60049 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[219]), .A2(n61417), .B1(n40938), .C1(n40937), .Y(n40939) );
+  sky130_fd_sc_hd__nor3_1 U60050 ( .A(n40941), .B(n40940), .C(n40939), .Y(
+        n40966) );
+  sky130_fd_sc_hd__nor2_1 U60051 ( .A(n85633), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n61394) );
+  sky130_fd_sc_hd__nand2_1 U60052 ( .A(n61406), .B(n40942), .Y(n40943) );
+  sky130_fd_sc_hd__o211ai_1 U60053 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[200]), .A2(n61423), .B1(n61394), .C1(n40943), .Y(n40945) );
+  sky130_fd_sc_hd__o22ai_1 U60054 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[204]), .A2(n61420), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[207]), .B2(n61411), .Y(n40944) );
+  sky130_fd_sc_hd__nor2_1 U60055 ( .A(n40945), .B(n40944), .Y(n40956) );
+  sky130_fd_sc_hd__o22ai_1 U60056 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[206]), .A2(n61421), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[205]), .B2(n61404), .Y(n40947) );
+  sky130_fd_sc_hd__o22ai_1 U60057 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[202]), .A2(n61412), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[201]), .B2(n61422), .Y(n40946) );
+  sky130_fd_sc_hd__nor2_1 U60058 ( .A(n40947), .B(n40946), .Y(n40955) );
+  sky130_fd_sc_hd__o22ai_1 U60059 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[254]), .A2(n61421), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[249]), .B2(n61422), .Y(n40949) );
+  sky130_fd_sc_hd__o22ai_1 U60060 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[248]), .A2(n61423), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[252]), .B2(n61420), .Y(n40948) );
+  sky130_fd_sc_hd__nor2_1 U60061 ( .A(n40949), .B(n40948), .Y(n40954) );
+  sky130_fd_sc_hd__nand2_1 U60062 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]), .Y(n58631) );
+  sky130_fd_sc_hd__o21a_1 U60063 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[250]), .A2(n61412), .B1(n61403), .X(n40950) );
+  sky130_fd_sc_hd__o22ai_1 U60065 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[253]), .A2(n61404), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[251]), .B2(n61417), .Y(n40951) );
+  sky130_fd_sc_hd__nor2_1 U60066 ( .A(n40952), .B(n40951), .Y(n40953) );
+  sky130_fd_sc_hd__a22oi_1 U60067 ( .A1(n40956), .A2(n40955), .B1(n40954), 
+        .B2(n40953), .Y(n40965) );
+  sky130_fd_sc_hd__o22ai_1 U60068 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[234]), .A2(n61412), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[232]), .B2(n61423), .Y(n40958) );
+  sky130_fd_sc_hd__o22ai_1 U60069 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[237]), .A2(n61404), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[233]), .B2(n61422), .Y(n40957) );
+  sky130_fd_sc_hd__nor2_1 U60070 ( .A(n40958), .B(n40957), .Y(n40963) );
+  sky130_fd_sc_hd__o22a_1 U60071 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[236]), .A2(n61420), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[239]), .B2(n61411), .X(n40962) );
+  sky130_fd_sc_hd__nor2_1 U60072 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .B(n71575), .Y(n61386) );
+  sky130_fd_sc_hd__o21ai_1 U60073 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[238]), .A2(n61421), .B1(n61386), .Y(n40959) );
+  sky130_fd_sc_hd__a21oi_1 U60074 ( .A1(n61406), .A2(n40960), .B1(n40959), .Y(
+        n40961) );
+  sky130_fd_sc_hd__a31oi_1 U60075 ( .A1(n40963), .A2(n40962), .A3(n40961), 
+        .B1(n71652), .Y(n40964) );
+  sky130_fd_sc_hd__nand3b_1 U60076 ( .A_N(n40966), .B(n40965), .C(n40964), .Y(
+        n41001) );
+  sky130_fd_sc_hd__o22ai_1 U60077 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[188]), .A2(n61420), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[191]), .B2(n61411), .Y(n40970) );
+  sky130_fd_sc_hd__nand2_1 U60078 ( .A(n61406), .B(n40967), .Y(n40968) );
+  sky130_fd_sc_hd__o211ai_1 U60079 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[185]), .A2(n61422), .B1(n61403), .C1(n40968), .Y(n40969) );
+  sky130_fd_sc_hd__nor2_1 U60080 ( .A(n40970), .B(n40969), .Y(n40982) );
+  sky130_fd_sc_hd__o22ai_1 U60081 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[190]), .A2(n61421), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[184]), .B2(n61423), .Y(n40972) );
+  sky130_fd_sc_hd__o22ai_1 U60082 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[186]), .A2(n61412), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[189]), .B2(n61404), .Y(n40971) );
+  sky130_fd_sc_hd__nor2_1 U60083 ( .A(n40972), .B(n40971), .Y(n40981) );
+  sky130_fd_sc_hd__o22ai_1 U60084 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[142]), .A2(n61421), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[140]), .B2(n61420), .Y(n40974) );
+  sky130_fd_sc_hd__o22ai_1 U60085 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[141]), .A2(n61404), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[137]), .B2(n61422), .Y(n40973) );
+  sky130_fd_sc_hd__nor2_1 U60086 ( .A(n40974), .B(n40973), .Y(n40980) );
+  sky130_fd_sc_hd__o22ai_1 U60087 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[138]), .A2(n61412), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[143]), .B2(n61411), .Y(n40978) );
+  sky130_fd_sc_hd__nand2_1 U60088 ( .A(n61406), .B(n40975), .Y(n40976) );
+  sky130_fd_sc_hd__o211ai_1 U60089 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[136]), .A2(n61423), .B1(n61394), .C1(n40976), .Y(n40977) );
+  sky130_fd_sc_hd__nor2_1 U60090 ( .A(n40978), .B(n40977), .Y(n40979) );
+  sky130_fd_sc_hd__a22oi_1 U60091 ( .A1(n40982), .A2(n40981), .B1(n40980), 
+        .B2(n40979), .Y(n40999) );
+  sky130_fd_sc_hd__o22ai_1 U60092 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[174]), .A2(n61421), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[168]), .B2(n61423), .Y(n40984) );
+  sky130_fd_sc_hd__o22ai_1 U60093 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[173]), .A2(n61404), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[169]), .B2(n61422), .Y(n40983) );
+  sky130_fd_sc_hd__nor2_1 U60094 ( .A(n40984), .B(n40983), .Y(n40989) );
+  sky130_fd_sc_hd__o22a_1 U60095 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[172]), .A2(n61420), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[175]), .B2(n61411), .X(n40988) );
+  sky130_fd_sc_hd__a21oi_1 U60097 ( .A1(n61406), .A2(n40986), .B1(n40985), .Y(
+        n40987) );
+  sky130_fd_sc_hd__a31oi_1 U60098 ( .A1(n40989), .A2(n40988), .A3(n40987), 
+        .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n40998) );
+  sky130_fd_sc_hd__o22ai_1 U60099 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[158]), .A2(n61421), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[154]), .B2(n61412), .Y(n40991) );
+  sky130_fd_sc_hd__o22ai_1 U60100 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[152]), .A2(n61423), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[156]), .B2(n61420), .Y(n40990) );
+  sky130_fd_sc_hd__nor2_1 U60101 ( .A(n40991), .B(n40990), .Y(n40996) );
+  sky130_fd_sc_hd__o22a_1 U60102 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[153]), .A2(n61422), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[155]), .B2(n61417), .X(n40995) );
+  sky130_fd_sc_hd__o21a_1 U60103 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[157]), .A2(n61404), .B1(n61416), .X(n40994) );
+  sky130_fd_sc_hd__nand2_1 U60104 ( .A(n61396), .B(n40992), .Y(n40993) );
+  sky130_fd_sc_hd__nand4_1 U60105 ( .A(n40996), .B(n40995), .C(n40994), .D(
+        n40993), .Y(n40997) );
+  sky130_fd_sc_hd__nand3_1 U60106 ( .A(n40999), .B(n40998), .C(n40997), .Y(
+        n41000) );
+  sky130_fd_sc_hd__nand2_1 U60107 ( .A(n41001), .B(n41000), .Y(n41002) );
+  sky130_fd_sc_hd__nand2_1 U60108 ( .A(n41002), .B(n85634), .Y(n41099) );
+  sky130_fd_sc_hd__xnor2_1 U60109 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_1[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .Y(n41006) );
+  sky130_fd_sc_hd__xnor2_1 U60110 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_1[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .Y(n41005) );
+  sky130_fd_sc_hd__xnor2_1 U60111 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_1[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[25]), .Y(n41004) );
+  sky130_fd_sc_hd__xnor2_1 U60112 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_1[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .Y(n41003) );
+  sky130_fd_sc_hd__nand4_1 U60113 ( .A(n41006), .B(n41005), .C(n41004), .D(
+        n41003), .Y(n41027) );
+  sky130_fd_sc_hd__xnor2_1 U60114 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_1[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .Y(n41010) );
+  sky130_fd_sc_hd__xnor2_1 U60115 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_1[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[29]), .Y(n41009) );
+  sky130_fd_sc_hd__xnor2_1 U60116 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_1[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .Y(n41008) );
+  sky130_fd_sc_hd__xnor2_1 U60117 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_1[13]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .Y(n41007) );
+  sky130_fd_sc_hd__nand4_1 U60118 ( .A(n41010), .B(n41009), .C(n41008), .D(
+        n41007), .Y(n41016) );
+  sky130_fd_sc_hd__xnor2_1 U60119 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_1[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[21]), .Y(n41014) );
+  sky130_fd_sc_hd__xnor2_1 U60120 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_1[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .Y(n41013) );
+  sky130_fd_sc_hd__xnor2_1 U60121 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_1[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .Y(n41012) );
+  sky130_fd_sc_hd__xnor2_1 U60122 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_1[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .Y(n41011) );
+  sky130_fd_sc_hd__nand4_1 U60123 ( .A(n41014), .B(n41013), .C(n41012), .D(
+        n41011), .Y(n41015) );
+  sky130_fd_sc_hd__nor2_1 U60124 ( .A(n41016), .B(n41015), .Y(n41026) );
+  sky130_fd_sc_hd__xnor2_1 U60125 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_1[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[18]), .Y(n41020) );
+  sky130_fd_sc_hd__xnor2_1 U60126 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_1[17]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .Y(n41019) );
+  sky130_fd_sc_hd__xnor2_1 U60127 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_1[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[16]), .Y(n41018) );
+  sky130_fd_sc_hd__xnor2_1 U60128 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_1[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[22]), .Y(n41017) );
+  sky130_fd_sc_hd__nand4_1 U60129 ( .A(n41020), .B(n41019), .C(n41018), .D(
+        n41017), .Y(n41024) );
+  sky130_fd_sc_hd__xnor2_1 U60130 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_1[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .Y(n41022) );
+  sky130_fd_sc_hd__xnor2_1 U60131 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_1[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .Y(n41021) );
+  sky130_fd_sc_hd__nand3_1 U60132 ( .A(n41022), .B(n41021), .C(n82981), .Y(
+        n41023) );
+  sky130_fd_sc_hd__nor2_1 U60133 ( .A(n41024), .B(n41023), .Y(n41025) );
+  sky130_fd_sc_hd__nand3b_1 U60134 ( .A_N(n41027), .B(n41026), .C(n41025), .Y(
+        n41028) );
+  sky130_fd_sc_hd__nand2_1 U60135 ( .A(n61406), .B(n41029), .Y(n41030) );
+  sky130_fd_sc_hd__o211ai_1 U60136 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[178]), .A2(n61412), .B1(n61403), .C1(n41030), .Y(n41032) );
+  sky130_fd_sc_hd__o22ai_1 U60137 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[183]), .A2(n61411), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[181]), .B2(n61404), .Y(n41031) );
+  sky130_fd_sc_hd__nor2_1 U60138 ( .A(n41032), .B(n41031), .Y(n41043) );
+  sky130_fd_sc_hd__o22ai_1 U60139 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[182]), .A2(n61421), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[180]), .B2(n61420), .Y(n41034) );
+  sky130_fd_sc_hd__o22ai_1 U60140 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[176]), .A2(n61423), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[177]), .B2(n61422), .Y(n41033) );
+  sky130_fd_sc_hd__nor2_1 U60141 ( .A(n41034), .B(n41033), .Y(n41042) );
+  sky130_fd_sc_hd__o21ai_1 U60142 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[130]), .A2(n61412), .B1(n61394), .Y(n41037) );
+  sky130_fd_sc_hd__nor2_1 U60143 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[135]), .B(n61411), .Y(n41036) );
+  sky130_fd_sc_hd__o22ai_1 U60144 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[128]), .A2(n61423), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[131]), .B2(n61417), .Y(n41035) );
+  sky130_fd_sc_hd__nor3_1 U60145 ( .A(n41037), .B(n41036), .C(n41035), .Y(
+        n41041) );
+  sky130_fd_sc_hd__o22ai_1 U60146 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[134]), .A2(n61421), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[129]), .B2(n61422), .Y(n41039) );
+  sky130_fd_sc_hd__o22ai_1 U60147 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[132]), .A2(n61420), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[133]), .B2(n61404), .Y(n41038) );
+  sky130_fd_sc_hd__nor2_1 U60148 ( .A(n41039), .B(n41038), .Y(n41040) );
+  sky130_fd_sc_hd__a22oi_1 U60149 ( .A1(n41043), .A2(n41042), .B1(n41041), 
+        .B2(n41040), .Y(n41061) );
+  sky130_fd_sc_hd__nor2_1 U60151 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[167]), .B(n61411), .Y(n41045) );
+  sky130_fd_sc_hd__o22ai_1 U60152 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[160]), .A2(n61423), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[163]), .B2(n61417), .Y(n41044) );
+  sky130_fd_sc_hd__nor3_1 U60153 ( .A(n41046), .B(n41045), .C(n41044), .Y(
+        n41050) );
+  sky130_fd_sc_hd__o22ai_1 U60154 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[166]), .A2(n61421), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[165]), .B2(n61404), .Y(n41048) );
+  sky130_fd_sc_hd__o22ai_1 U60155 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[164]), .A2(n61420), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[161]), .B2(n61422), .Y(n41047) );
+  sky130_fd_sc_hd__nor2_1 U60156 ( .A(n41048), .B(n41047), .Y(n41049) );
+  sky130_fd_sc_hd__a21oi_1 U60157 ( .A1(n41050), .A2(n41049), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n41060) );
+  sky130_fd_sc_hd__nor2_1 U60158 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[151]), .B(n61411), .Y(n41052) );
+  sky130_fd_sc_hd__o21ai_1 U60159 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[149]), .A2(n61404), .B1(n61416), .Y(n41051) );
+  sky130_fd_sc_hd__nor2_1 U60160 ( .A(n41052), .B(n41051), .Y(n41058) );
+  sky130_fd_sc_hd__o22ai_1 U60161 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[146]), .A2(n61412), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[145]), .B2(n61422), .Y(n41054) );
+  sky130_fd_sc_hd__o22ai_1 U60162 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[144]), .A2(n61423), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[148]), .B2(n61420), .Y(n41053) );
+  sky130_fd_sc_hd__nor2_1 U60163 ( .A(n41054), .B(n41053), .Y(n41057) );
+  sky130_fd_sc_hd__a2bb2oi_1 U60164 ( .B1(n41055), .B2(n61406), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[150]), .A2_N(n61421), .Y(n41056) );
+  sky130_fd_sc_hd__nand3_1 U60165 ( .A(n41058), .B(n41057), .C(n41056), .Y(
+        n41059) );
+  sky130_fd_sc_hd__nand3_1 U60166 ( .A(n41061), .B(n41060), .C(n41059), .Y(
+        n41095) );
+  sky130_fd_sc_hd__o22ai_1 U60167 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[244]), .A2(n61420), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[243]), .B2(n61417), .Y(n41065) );
+  sky130_fd_sc_hd__nand2_1 U60168 ( .A(n61396), .B(n41062), .Y(n41063) );
+  sky130_fd_sc_hd__o211ai_1 U60169 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[241]), .A2(n61422), .B1(n61403), .C1(n41063), .Y(n41064) );
+  sky130_fd_sc_hd__nor2_1 U60170 ( .A(n41065), .B(n41064), .Y(n41076) );
+  sky130_fd_sc_hd__o22ai_1 U60171 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[242]), .A2(n61412), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[240]), .B2(n61423), .Y(n41067) );
+  sky130_fd_sc_hd__o22ai_1 U60172 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[246]), .A2(n61421), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[245]), .B2(n61404), .Y(n41066) );
+  sky130_fd_sc_hd__nor2_1 U60173 ( .A(n41067), .B(n41066), .Y(n41075) );
+  sky130_fd_sc_hd__nor2_1 U60175 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[199]), .B(n61411), .Y(n41069) );
+  sky130_fd_sc_hd__o22ai_1 U60176 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[192]), .A2(n61423), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[195]), .B2(n61417), .Y(n41068) );
+  sky130_fd_sc_hd__nor3_1 U60177 ( .A(n41070), .B(n41069), .C(n41068), .Y(
+        n41074) );
+  sky130_fd_sc_hd__o22ai_1 U60178 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[198]), .A2(n61421), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[196]), .B2(n61420), .Y(n41072) );
+  sky130_fd_sc_hd__o22ai_1 U60179 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[197]), .A2(n61404), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[193]), .B2(n61422), .Y(n41071) );
+  sky130_fd_sc_hd__nor2_1 U60180 ( .A(n41072), .B(n41071), .Y(n41073) );
+  sky130_fd_sc_hd__a22oi_1 U60181 ( .A1(n41076), .A2(n41075), .B1(n41074), 
+        .B2(n41073), .Y(n41093) );
+  sky130_fd_sc_hd__nor2_1 U60183 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[215]), .B(n61411), .Y(n41078) );
+  sky130_fd_sc_hd__o22ai_1 U60184 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[208]), .A2(n61423), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[211]), .B2(n61417), .Y(n41077) );
+  sky130_fd_sc_hd__nor3_1 U60185 ( .A(n41079), .B(n41078), .C(n41077), .Y(
+        n41083) );
+  sky130_fd_sc_hd__o22ai_1 U60186 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[214]), .A2(n61421), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[213]), .B2(n61404), .Y(n41081) );
+  sky130_fd_sc_hd__o22ai_1 U60187 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[212]), .A2(n61420), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[209]), .B2(n61422), .Y(n41080) );
+  sky130_fd_sc_hd__nor2_1 U60188 ( .A(n41081), .B(n41080), .Y(n41082) );
+  sky130_fd_sc_hd__nand2_1 U60189 ( .A(n41083), .B(n41082), .Y(n41092) );
+  sky130_fd_sc_hd__o22ai_1 U60190 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[226]), .A2(n61412), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[224]), .B2(n61423), .Y(n41085) );
+  sky130_fd_sc_hd__o22ai_1 U60191 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[230]), .A2(n61421), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[228]), .B2(n61420), .Y(n41084) );
+  sky130_fd_sc_hd__nor2_1 U60192 ( .A(n41085), .B(n41084), .Y(n41090) );
+  sky130_fd_sc_hd__o22a_1 U60193 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[229]), .A2(n61404), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[231]), .B2(n61411), .X(n41089) );
+  sky130_fd_sc_hd__o21a_1 U60194 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[225]), .A2(n61422), .B1(n61386), .X(n41088) );
+  sky130_fd_sc_hd__nand2_1 U60195 ( .A(n61406), .B(n41086), .Y(n41087) );
+  sky130_fd_sc_hd__nand4_1 U60196 ( .A(n41090), .B(n41089), .C(n41088), .D(
+        n41087), .Y(n41091) );
+  sky130_fd_sc_hd__nand4_1 U60197 ( .A(n41093), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .C(n41092), .D(n41091), .Y(n41094) );
+  sky130_fd_sc_hd__nand2_1 U60198 ( .A(n41095), .B(n41094), .Y(n41096) );
+  sky130_fd_sc_hd__nand2_1 U60199 ( .A(n41096), .B(n58336), .Y(n41097) );
+  sky130_fd_sc_hd__nand3_1 U60200 ( .A(n41099), .B(n41098), .C(n41097), .Y(
+        n61441) );
+  sky130_fd_sc_hd__nor2_1 U60201 ( .A(n41101), .B(n41100), .Y(n62925) );
+  sky130_fd_sc_hd__nand2_1 U60202 ( .A(n36841), .B(n41102), .Y(n41105) );
+  sky130_fd_sc_hd__nand3_1 U60203 ( .A(n41105), .B(n41104), .C(n41103), .Y(
+        n62926) );
+  sky130_fd_sc_hd__nor2_1 U60204 ( .A(n63741), .B(n61157), .Y(n85472) );
+  sky130_fd_sc_hd__nor2_1 U60205 ( .A(n75962), .B(n44602), .Y(n41108) );
+  sky130_fd_sc_hd__a2bb2oi_1 U60206 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[11]), .B2(n44598), .A1_N(n44597), .A2_N(n75982), .Y(n41106) );
+  sky130_fd_sc_hd__nor2_1 U60208 ( .A(n41108), .B(n41107), .Y(n41112) );
+  sky130_fd_sc_hd__nand2_1 U60209 ( .A(n65309), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[3]), .Y(n41110) );
+  sky130_fd_sc_hd__nand2_1 U60210 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[35]), .B(n57658), .Y(n41109) );
+  sky130_fd_sc_hd__nand2_1 U60211 ( .A(n41110), .B(n41109), .Y(n69920) );
+  sky130_fd_sc_hd__o22a_1 U60212 ( .A1(n41112), .A2(n57647), .B1(n41111), .B2(
+        n43222), .X(n41150) );
+  sky130_fd_sc_hd__nand2_1 U60213 ( .A(n41114), .B(n41113), .Y(n83822) );
+  sky130_fd_sc_hd__a22oi_1 U60214 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_intXbar_auto_int_out_1), .A2(n68643), .B1(n60025), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[3]), .Y(n41115) );
+  sky130_fd_sc_hd__a21oi_1 U60216 ( .A1(n57634), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[3]), .B1(n41117), .Y(n41147) );
+  sky130_fd_sc_hd__a22oi_1 U60217 ( .A1(n78282), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mcause[3]), .B1(n65637), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[3]), .Y(n41146) );
+  sky130_fd_sc_hd__nand2_1 U60218 ( .A(n44608), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_u), .Y(n41124) );
+  sky130_fd_sc_hd__nand2_1 U60219 ( .A(n44609), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_u), .Y(n41123) );
+  sky130_fd_sc_hd__a22oi_1 U60220 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[3]), .A2(n64980), .B1(n57630), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[3]), .Y(n41119) );
+  sky130_fd_sc_hd__nand2_1 U60221 ( .A(n57625), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[3]), .Y(n41118) );
+  sky130_fd_sc_hd__o211ai_1 U60222 ( .A1(n72157), .A2(n45358), .B1(n41119), 
+        .C1(n41118), .Y(n41120) );
+  sky130_fd_sc_hd__a21oi_1 U60223 ( .A1(n64223), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_cfg_a[0]), .B1(n41120), .Y(n41122) );
+  sky130_fd_sc_hd__nor2_1 U60224 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[0]), .B(n60094), .Y(n44617) );
+  sky130_fd_sc_hd__a22oi_1 U60225 ( .A1(n64084), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_a[0]), .B1(n44617), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[3]), .Y(n41121) );
+  sky130_fd_sc_hd__nand4_1 U60226 ( .A(n41124), .B(n41123), .C(n41122), .D(
+        n41121), .Y(n41125) );
+  sky130_fd_sc_hd__a21oi_1 U60227 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[35]), .A2(n57619), .B1(n41125), .Y(n41145) );
+  sky130_fd_sc_hd__a22oi_1 U60228 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_mie), .A2(n45285), .B1(n69974), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_scause[3]), .Y(n41143) );
+  sky130_fd_sc_hd__nand2_1 U60229 ( .A(n78525), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie[3]), .Y(n41129) );
+  sky130_fd_sc_hd__nor2_1 U60230 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[0]), .B(n60132), .Y(n44611) );
+  sky130_fd_sc_hd__nand2_1 U60231 ( .A(n44611), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[3]), .Y(n41128) );
+  sky130_fd_sc_hd__nand2_1 U60232 ( .A(n64975), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[3]), .Y(n41127) );
+  sky130_fd_sc_hd__nand2_1 U60233 ( .A(n60103), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[3]), .Y(n41126) );
+  sky130_fd_sc_hd__nand4_1 U60234 ( .A(n41129), .B(n41128), .C(n41127), .D(
+        n41126), .Y(n41135) );
+  sky130_fd_sc_hd__a22oi_1 U60235 ( .A1(n60104), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[3]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[3]), .B2(n64977), .Y(n41133) );
+  sky130_fd_sc_hd__nand2_1 U60236 ( .A(n45362), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[3]), .Y(n41132) );
+  sky130_fd_sc_hd__nand2_1 U60237 ( .A(n45352), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[3]), .Y(n41131) );
+  sky130_fd_sc_hd__nand2_1 U60238 ( .A(n57622), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[3]), .Y(n41130) );
+  sky130_fd_sc_hd__nand4_1 U60239 ( .A(n41133), .B(n41132), .C(n41131), .D(
+        n41130), .Y(n41134) );
+  sky130_fd_sc_hd__nor2_1 U60240 ( .A(n41135), .B(n41134), .Y(n41141) );
+  sky130_fd_sc_hd__o22ai_1 U60241 ( .A1(n70443), .A2(n57620), .B1(n74286), 
+        .B2(n45945), .Y(n41137) );
+  sky130_fd_sc_hd__o2bb2ai_1 U60242 ( .B1(n74833), .B2(n60043), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[3]), .A2_N(n60091), .Y(n41136) );
+  sky130_fd_sc_hd__nor2_1 U60243 ( .A(n41137), .B(n41136), .Y(n41140) );
+  sky130_fd_sc_hd__a22oi_1 U60244 ( .A1(n60100), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[3]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[3]), .B2(n60093), .Y(n41139) );
+  sky130_fd_sc_hd__a22oi_1 U60245 ( .A1(n45965), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_3), .B1(n60096), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[3]), .Y(n41138) );
+  sky130_fd_sc_hd__nand4_1 U60246 ( .A(n41141), .B(n41140), .C(n41139), .D(
+        n41138), .Y(n41142) );
+  sky130_fd_sc_hd__nor2b_1 U60247 ( .B_N(n41143), .A(n41142), .Y(n41144) );
+  sky130_fd_sc_hd__nand4_1 U60248 ( .A(n41147), .B(n41146), .C(n41145), .D(
+        n41144), .Y(n45939) );
+  sky130_fd_sc_hd__nand2_1 U60249 ( .A(n57665), .B(n45939), .Y(n41149) );
+  sky130_fd_sc_hd__nand2_1 U60250 ( .A(n57663), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[3]), .Y(n41148) );
+  sky130_fd_sc_hd__nand3_1 U60251 ( .A(n41150), .B(n41149), .C(n41148), .Y(
+        n85621) );
+  sky130_fd_sc_hd__nand2_1 U60252 ( .A(n65309), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[28]), .Y(n41152) );
+  sky130_fd_sc_hd__nand2_1 U60253 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[60]), .B(n57658), .Y(n41151) );
+  sky130_fd_sc_hd__nand2_1 U60254 ( .A(n41152), .B(n41151), .Y(n65660) );
+  sky130_fd_sc_hd__a21oi_1 U60255 ( .A1(n57662), .A2(n65660), .B1(n57661), .Y(
+        n41175) );
+  sky130_fd_sc_hd__a22oi_1 U60256 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[28]), .A2(n60100), .B1(n65637), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[28]), .Y(n41155) );
+  sky130_fd_sc_hd__a22oi_1 U60257 ( .A1(n64977), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[28]), .B1(n60104), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[28]), .Y(n41154) );
+  sky130_fd_sc_hd__a22oi_1 U60258 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_cfg_a[1]), .A2(n64223), .B1(n64980), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[28]), .Y(n41153) );
+  sky130_fd_sc_hd__nand3_1 U60259 ( .A(n41155), .B(n41154), .C(n41153), .Y(
+        n41156) );
+  sky130_fd_sc_hd__a21oi_1 U60260 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[28]), .A2(n57630), .B1(n41156), .Y(n41173) );
+  sky130_fd_sc_hd__nand2_1 U60261 ( .A(n57619), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[60]), .Y(n41165) );
+  sky130_fd_sc_hd__o22ai_1 U60262 ( .A1(n41157), .A2(n57620), .B1(n74200), 
+        .B2(n60034), .Y(n41159) );
+  sky130_fd_sc_hd__o2bb2ai_1 U60263 ( .B1(n74820), .B2(n60043), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[28]), .A2_N(n57622), .Y(n41158) );
+  sky130_fd_sc_hd__nor2_1 U60264 ( .A(n41159), .B(n41158), .Y(n41164) );
+  sky130_fd_sc_hd__a22oi_1 U60265 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[28]), .A2(n60019), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[28]), .B2(n57625), .Y(n41160) );
+  sky130_fd_sc_hd__o21ai_1 U60266 ( .A1(n70680), .A2(n60030), .B1(n41160), .Y(
+        n41161) );
+  sky130_fd_sc_hd__a21oi_1 U60267 ( .A1(n57634), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[28]), .B1(n41161), .Y(n41163) );
+  sky130_fd_sc_hd__a22oi_1 U60268 ( .A1(n60096), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[28]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[28]), .B2(n60093), .Y(n41162) );
+  sky130_fd_sc_hd__nand4_1 U60269 ( .A(n41165), .B(n41164), .C(n41163), .D(
+        n41162), .Y(n41171) );
+  sky130_fd_sc_hd__a22oi_1 U60270 ( .A1(n64975), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[28]), .B1(n65602), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[28]), .Y(n41169) );
+  sky130_fd_sc_hd__a22oi_1 U60271 ( .A1(n57618), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[28]), .B1(n60025), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[28]), .Y(n41168) );
+  sky130_fd_sc_hd__a22oi_1 U60272 ( .A1(n57613), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[28]), .B1(n60103), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[28]), .Y(n41167) );
+  sky130_fd_sc_hd__a22oi_1 U60273 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_a[1]), .A2(n64084), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[28]), .B2(n60091), .Y(n41166) );
+  sky130_fd_sc_hd__nand4_1 U60274 ( .A(n41169), .B(n41168), .C(n41167), .D(
+        n41166), .Y(n41170) );
+  sky130_fd_sc_hd__nor2_1 U60275 ( .A(n41171), .B(n41170), .Y(n41172) );
+  sky130_fd_sc_hd__nand2_1 U60276 ( .A(n41173), .B(n41172), .Y(n57394) );
+  sky130_fd_sc_hd__a22oi_1 U60277 ( .A1(n57665), .A2(n57394), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[28]), .B2(n57663), .Y(n41174) );
+  sky130_fd_sc_hd__o211ai_1 U60278 ( .A1(n76144), .A2(n57668), .B1(n41175), 
+        .C1(n41174), .Y(n85608) );
+  sky130_fd_sc_hd__nand2_1 U60279 ( .A(n65309), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[22]), .Y(n41177) );
+  sky130_fd_sc_hd__nand2_1 U60280 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[54]), .B(n57658), .Y(n41176) );
+  sky130_fd_sc_hd__nand2_1 U60281 ( .A(n41177), .B(n41176), .Y(n65654) );
+  sky130_fd_sc_hd__a21oi_1 U60282 ( .A1(n57662), .A2(n65654), .B1(n57661), .Y(
+        n41202) );
+  sky130_fd_sc_hd__a22oi_1 U60283 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[22]), .A2(n60100), .B1(n64977), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[22]), .Y(n41181) );
+  sky130_fd_sc_hd__a22oi_1 U60284 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_tsr), .A2(n45285), .B1(n60104), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[22]), .Y(n41180) );
+  sky130_fd_sc_hd__a22oi_1 U60285 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[22]), .A2(n60096), .B1(n45965), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg[22]), .Y(n41179) );
+  sky130_fd_sc_hd__a22oi_1 U60286 ( .A1(n64980), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[22]), .B1(n65602), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[22]), .Y(n41178) );
+  sky130_fd_sc_hd__and4_1 U60287 ( .A(n41181), .B(n41180), .C(n41179), .D(
+        n41178), .X(n41200) );
+  sky130_fd_sc_hd__a22oi_1 U60288 ( .A1(n60103), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[22]), .B1(n64975), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[22]), .Y(n41199) );
+  sky130_fd_sc_hd__nand2_1 U60289 ( .A(n60019), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[22]), .Y(n41185) );
+  sky130_fd_sc_hd__nand2_1 U60290 ( .A(n57622), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[22]), .Y(n41184) );
+  sky130_fd_sc_hd__nand2_1 U60291 ( .A(n45955), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[54]), .Y(n41183) );
+  sky130_fd_sc_hd__nand2_1 U60292 ( .A(n45352), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[22]), .Y(n41182) );
+  sky130_fd_sc_hd__nand4_1 U60293 ( .A(n41185), .B(n41184), .C(n41183), .D(
+        n41182), .Y(n41196) );
+  sky130_fd_sc_hd__a2bb2oi_1 U60294 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[22]), .B2(n60091), .A1_N(n71272), .A2_N(n60043), .Y(n41195) );
+  sky130_fd_sc_hd__nand2_1 U60295 ( .A(n60025), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[22]), .Y(n41191) );
+  sky130_fd_sc_hd__a22oi_1 U60296 ( .A1(n57613), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[22]), .B1(n57618), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[22]), .Y(n41190) );
+  sky130_fd_sc_hd__a22oi_1 U60297 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[22]), .A2(n60093), .B1(n65637), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[22]), .Y(n41189) );
+  sky130_fd_sc_hd__o22a_1 U60298 ( .A1(n41187), .A2(n60046), .B1(n41186), .B2(
+        n60101), .X(n41188) );
+  sky130_fd_sc_hd__nand4_1 U60299 ( .A(n41191), .B(n41190), .C(n41189), .D(
+        n41188), .Y(n41192) );
+  sky130_fd_sc_hd__a21oi_1 U60300 ( .A1(n45362), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[22]), .B1(n41192), .Y(n41194) );
+  sky130_fd_sc_hd__nand2_1 U60301 ( .A(n57634), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[22]), .Y(n41193) );
+  sky130_fd_sc_hd__nand4b_1 U60302 ( .A_N(n41196), .B(n41195), .C(n41194), .D(
+        n41193), .Y(n41197) );
+  sky130_fd_sc_hd__a21oi_1 U60303 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[54]), .A2(n57619), .B1(n41197), .Y(n41198) );
+  sky130_fd_sc_hd__nand3_1 U60304 ( .A(n41200), .B(n41199), .C(n41198), .Y(
+        n57390) );
+  sky130_fd_sc_hd__a22oi_1 U60305 ( .A1(n57665), .A2(n57390), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[22]), .B2(n57663), .Y(n41201) );
+  sky130_fd_sc_hd__o211ai_1 U60306 ( .A1(n76060), .A2(n57668), .B1(n41202), 
+        .C1(n41201), .Y(n85594) );
+  sky130_fd_sc_hd__nand2_1 U60307 ( .A(n40808), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[9]), .Y(n41203) );
+  sky130_fd_sc_hd__o21ai_1 U60308 ( .A1(n68851), .A2(n40808), .B1(n41203), .Y(
+        n85348) );
+  sky130_fd_sc_hd__nand2_1 U60309 ( .A(n36798), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[9]), .Y(n41204) );
+  sky130_fd_sc_hd__o21ai_1 U60310 ( .A1(n68851), .A2(n36798), .B1(n41204), .Y(
+        n84283) );
+  sky130_fd_sc_hd__nor2_2 U60312 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[0]), .B(n41205), .Y(n53944) );
+  sky130_fd_sc_hd__nand2_1 U60313 ( .A(n53944), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[0]), .Y(n41207) );
+  sky130_fd_sc_hd__nand2_1 U60314 ( .A(n37071), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[0]), .Y(n41206) );
+  sky130_fd_sc_hd__nand2_1 U60315 ( .A(n41207), .B(n41206), .Y(n41210) );
+  sky130_fd_sc_hd__nand2_1 U60316 ( .A(n41208), .B(n69617), .Y(n41209) );
+  sky130_fd_sc_hd__o21a_1 U60317 ( .A1(n41211), .A2(n41210), .B1(n41209), .X(
+        n85547) );
+  sky130_fd_sc_hd__nand2_1 U60318 ( .A(n37071), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[1]), .Y(n41213) );
+  sky130_fd_sc_hd__nand2_1 U60319 ( .A(n53944), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[1]), .Y(n41212) );
+  sky130_fd_sc_hd__o211ai_1 U60320 ( .A1(n53947), .A2(n41214), .B1(n41213), 
+        .C1(n41212), .Y(n41215) );
+  sky130_fd_sc_hd__nand2_1 U60321 ( .A(n41215), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_bypass_1), .Y(n41217) );
+  sky130_fd_sc_hd__nand2_1 U60322 ( .A(n69617), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[1]), .Y(n41216) );
+  sky130_fd_sc_hd__nand2_1 U60323 ( .A(n41217), .B(n41216), .Y(n85617) );
+  sky130_fd_sc_hd__a22oi_1 U60324 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[12]), .A2(n45347), .B1(n45346), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[28]), .Y(n41247) );
+  sky130_fd_sc_hd__a22oi_1 U60325 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_cfg_a[1]), .A2(n64223), .B1(n64980), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[12]), .Y(n41221) );
+  sky130_fd_sc_hd__a22oi_1 U60326 ( .A1(n57618), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[12]), .B1(n60104), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[12]), .Y(n41220) );
+  sky130_fd_sc_hd__a22oi_1 U60327 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_isa[12]), .A2(n64210), .B1(n57613), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[12]), .Y(n41219) );
+  sky130_fd_sc_hd__a22oi_1 U60328 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_cfg_a[1]), .A2(n64084), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[12]), .B2(n60091), .Y(n41218) );
+  sky130_fd_sc_hd__nand4_1 U60329 ( .A(n41221), .B(n41220), .C(n41219), .D(
+        n41218), .Y(n41231) );
+  sky130_fd_sc_hd__a22o_1 U60330 ( .A1(n64977), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[12]), .B1(n64975), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[12]), .X(n41230) );
+  sky130_fd_sc_hd__o22ai_1 U60331 ( .A1(n74927), .A2(n45288), .B1(n75265), 
+        .B2(n45284), .Y(n41229) );
+  sky130_fd_sc_hd__a22oi_1 U60332 ( .A1(n45955), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[44]), .B1(n60019), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[12]), .Y(n41227) );
+  sky130_fd_sc_hd__o22ai_1 U60333 ( .A1(n71603), .A2(n44098), .B1(n41222), 
+        .B2(n60046), .Y(n41223) );
+  sky130_fd_sc_hd__a21oi_1 U60334 ( .A1(n45285), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_mpp[1]), .B1(n41223), .Y(n41226) );
+  sky130_fd_sc_hd__a22oi_1 U60335 ( .A1(n60103), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[12]), .B1(n76373), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__causeIsDebugBreak_T_3[0]), .Y(n41225) );
+  sky130_fd_sc_hd__a22oi_1 U60336 ( .A1(n45965), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_12), .B1(n65602), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[12]), .Y(n41224) );
+  sky130_fd_sc_hd__nand4_1 U60337 ( .A(n41227), .B(n41226), .C(n41225), .D(
+        n41224), .Y(n41228) );
+  sky130_fd_sc_hd__nor4_1 U60338 ( .A(n41231), .B(n41230), .C(n41229), .D(
+        n41228), .Y(n41242) );
+  sky130_fd_sc_hd__a2bb2oi_1 U60339 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[12]), .B2(n45353), .A1_N(n66568), .A2_N(n45367), .Y(n41241) );
+  sky130_fd_sc_hd__o22ai_1 U60340 ( .A1(n74436), .A2(n60030), .B1(n74203), 
+        .B2(n60034), .Y(n41238) );
+  sky130_fd_sc_hd__nand2_1 U60341 ( .A(n57622), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[12]), .Y(n41236) );
+  sky130_fd_sc_hd__o22ai_1 U60342 ( .A1(n41232), .A2(n83886), .B1(n59307), 
+        .B2(n83888), .Y(n41233) );
+  sky130_fd_sc_hd__a21oi_1 U60343 ( .A1(n57625), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[12]), .B1(n41233), .Y(n41235) );
+  sky130_fd_sc_hd__a22oi_1 U60344 ( .A1(n65637), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[12]), .B1(n60025), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[12]), .Y(n41234) );
+  sky130_fd_sc_hd__nand4_1 U60345 ( .A(n41236), .B(n41235), .C(n41234), .D(
+        n45952), .Y(n41237) );
+  sky130_fd_sc_hd__nor2_1 U60346 ( .A(n41238), .B(n41237), .Y(n41240) );
+  sky130_fd_sc_hd__nand2_1 U60347 ( .A(n57619), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[44]), .Y(n41239) );
+  sky130_fd_sc_hd__nand4_1 U60348 ( .A(n41242), .B(n41241), .C(n41240), .D(
+        n41239), .Y(n56048) );
+  sky130_fd_sc_hd__a21oi_1 U60349 ( .A1(n57665), .A2(n56048), .B1(n57649), .Y(
+        n41246) );
+  sky130_fd_sc_hd__nand2_1 U60350 ( .A(n65309), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[12]), .Y(n41243) );
+  sky130_fd_sc_hd__o21ai_1 U60351 ( .A1(n65309), .A2(n41244), .B1(n41243), .Y(
+        n66550) );
+  sky130_fd_sc_hd__a22oi_1 U60352 ( .A1(n57662), .A2(n66550), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[12]), .B2(n57663), .Y(n41245) );
+  sky130_fd_sc_hd__nand3_1 U60353 ( .A(n41247), .B(n41246), .C(n41245), .Y(
+        n85561) );
+  sky130_fd_sc_hd__nand2_1 U60354 ( .A(n40808), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[11]), .Y(n41248) );
+  sky130_fd_sc_hd__o21ai_1 U60355 ( .A1(n71568), .A2(n40808), .B1(n41248), .Y(
+        n85350) );
+  sky130_fd_sc_hd__nand2_1 U60356 ( .A(n36798), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[11]), .Y(n41249) );
+  sky130_fd_sc_hd__nand2_1 U60358 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_state[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_state[1]), .Y(n78210) );
+  sky130_fd_sc_hd__nor2_1 U60359 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_state[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_state[2]), .Y(n41312) );
+  sky130_fd_sc_hd__nand2_1 U60360 ( .A(n41312), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_state[0]), .Y(n41332) );
+  sky130_fd_sc_hd__nand2b_1 U60361 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_probe_bits_size[2]), .B(n78403), .Y(n84576) );
+  sky130_fd_sc_hd__nor2_1 U60363 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[0]), .Y(n84438) );
+  sky130_fd_sc_hd__o21ai_1 U60364 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[0]), .A2(n41250), .B1(n78362), .Y(n84439) );
+  sky130_fd_sc_hd__nor3_1 U60365 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pma_checker_io_req_bits_size[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pma_checker_io_req_bits_size[0]), .Y(n41251) );
+  sky130_fd_sc_hd__a21oi_1 U60366 ( .A1(n41250), .A2(n78362), .B1(n41251), .Y(
+        n84773) );
+  sky130_fd_sc_hd__nor2_1 U60367 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[1]), .B(n41251), .Y(n84769) );
+  sky130_fd_sc_hd__nand2_1 U60368 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pma_checker_io_req_bits_cmd[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pma_checker_io_req_bits_cmd[2]), .Y(n41253) );
+  sky130_fd_sc_hd__a21oi_1 U60369 ( .A1(n78372), .A2(n78370), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pma_checker_io_req_bits_cmd[3]), .Y(n41252) );
+  sky130_fd_sc_hd__nand2_1 U60370 ( .A(n41253), .B(n41252), .Y(n85788) );
+  sky130_fd_sc_hd__a21oi_1 U60371 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_valid), .A2(n41311), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_held), .Y(n41318) );
+  sky130_fd_sc_hd__xor2_1 U60372 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[2]), .X(n41255) );
+  sky130_fd_sc_hd__xor2_1 U60373 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[6]), .X(n41254) );
+  sky130_fd_sc_hd__nor2_1 U60374 ( .A(n41255), .B(n41254), .Y(n41272) );
+  sky130_fd_sc_hd__xnor2_1 U60375 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[3]), .Y(n41257) );
+  sky130_fd_sc_hd__xnor2_1 U60376 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[11]), .Y(n41256) );
+  sky130_fd_sc_hd__a22oi_1 U60377 ( .A1(n84438), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[0]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[2]), .B2(n84439), .Y(n41269) );
+  sky130_fd_sc_hd__a22oi_1 U60378 ( .A1(n84773), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[3]), .B1(n84769), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[1]), .Y(n41268) );
+  sky130_fd_sc_hd__xnor2_1 U60379 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[4]), .Y(n41259) );
+  sky130_fd_sc_hd__xnor2_1 U60380 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[10]), .Y(n41258) );
+  sky130_fd_sc_hd__xnor2_1 U60381 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[5]), .Y(n41261) );
+  sky130_fd_sc_hd__xnor2_1 U60382 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[9]), .Y(n41260) );
+  sky130_fd_sc_hd__xor2_1 U60383 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[8]), .X(n41263) );
+  sky130_fd_sc_hd__xor2_1 U60384 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[7]), .X(n41262) );
+  sky130_fd_sc_hd__nor2_1 U60385 ( .A(n41263), .B(n41262), .Y(n41264) );
+  sky130_fd_sc_hd__nand3_1 U60386 ( .A(n41266), .B(n41265), .C(n41264), .Y(
+        n41267) );
+  sky130_fd_sc_hd__a21oi_1 U60387 ( .A1(n41269), .A2(n41268), .B1(n41267), .Y(
+        n41270) );
+  sky130_fd_sc_hd__nand3_1 U60388 ( .A(n41272), .B(n41271), .C(n41270), .Y(
+        n41293) );
+  sky130_fd_sc_hd__a22oi_1 U60389 ( .A1(n84773), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_49[3]), .B1(n84769), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_49[1]), .Y(n41274) );
+  sky130_fd_sc_hd__a22oi_1 U60390 ( .A1(n84438), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_49[0]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_49[2]), .B2(n84439), .Y(n41273) );
+  sky130_fd_sc_hd__nand2_1 U60391 ( .A(n41274), .B(n41273), .Y(n41281) );
+  sky130_fd_sc_hd__xor2_1 U60392 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr[5]), .X(n41276) );
+  sky130_fd_sc_hd__xor2_1 U60393 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr[11]), .X(n41275) );
+  sky130_fd_sc_hd__nor2_1 U60394 ( .A(n41276), .B(n41275), .Y(n41280) );
+  sky130_fd_sc_hd__xor2_1 U60395 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr[4]), .X(n41278) );
+  sky130_fd_sc_hd__xor2_1 U60396 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr[8]), .X(n41277) );
+  sky130_fd_sc_hd__nor2_1 U60397 ( .A(n41278), .B(n41277), .Y(n41279) );
+  sky130_fd_sc_hd__nand3_1 U60398 ( .A(n41281), .B(n41280), .C(n41279), .Y(
+        n41292) );
+  sky130_fd_sc_hd__xor2_1 U60399 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr[10]), .X(n41283) );
+  sky130_fd_sc_hd__xor2_1 U60400 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[6]), .X(n41282) );
+  sky130_fd_sc_hd__nor2_1 U60401 ( .A(n41283), .B(n41282), .Y(n41290) );
+  sky130_fd_sc_hd__xor2_1 U60402 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr[9]), .X(n41285) );
+  sky130_fd_sc_hd__xor2_1 U60403 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[7]), .X(n41284) );
+  sky130_fd_sc_hd__nor2_1 U60404 ( .A(n41285), .B(n41284), .Y(n41289) );
+  sky130_fd_sc_hd__xor2_1 U60405 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr[3]), .X(n41287) );
+  sky130_fd_sc_hd__xor2_1 U60406 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr[2]), .X(n41286) );
+  sky130_fd_sc_hd__nor2_1 U60407 ( .A(n41287), .B(n41286), .Y(n41288) );
+  sky130_fd_sc_hd__nand4_1 U60408 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_valid), .B(n41290), .C(n41289), .D(n41288), .Y(n41291) );
+  sky130_fd_sc_hd__o22ai_1 U60409 ( .A1(n41318), .A2(n41293), .B1(n41292), 
+        .B2(n41291), .Y(n41294) );
+  sky130_fd_sc_hd__and3_1 U60410 ( .A(n41294), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_valid), .C(n85788), .X(n41305) );
+  sky130_fd_sc_hd__nand2b_1 U60411 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_118[0]), .B(n54236), .Y(n78224) );
+  sky130_fd_sc_hd__nor2_1 U60412 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1[2]), .Y(n41296) );
+  sky130_fd_sc_hd__nor4_1 U60413 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1[6]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1[1]), .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1[5]), .Y(n41295) );
+  sky130_fd_sc_hd__nand3_1 U60414 ( .A(n41296), .B(n41295), .C(n77435), .Y(
+        n41297) );
+  sky130_fd_sc_hd__nand2_1 U60416 ( .A(n41301), .B(n76552), .Y(n83015) );
+  sky130_fd_sc_hd__o21ai_1 U60417 ( .A1(n60376), .A2(n83015), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__releaseDataBeat_T_1_0_), .Y(n41300) );
+  sky130_fd_sc_hd__nand2_1 U60418 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_118[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_118[2]), .Y(n54234) );
+  sky130_fd_sc_hd__a31oi_1 U60419 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_118[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_118[1]), .A3(n54234), .B1(n78217), .Y(n78203) );
+  sky130_fd_sc_hd__a31oi_1 U60420 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_state[0]), .A2(n41298), .A3(n78210), .B1(n78203), .Y(n41299) );
+  sky130_fd_sc_hd__o22ai_1 U60421 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_N38), 
+        .B1(n41816), .B2(n59760), .Y(n41817) );
+  sky130_fd_sc_hd__a22oi_1 U60422 ( .A1(n41300), .A2(n41299), .B1(n41817), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_maybe_full), .Y(n82457) );
+  sky130_fd_sc_hd__nand2_1 U60423 ( .A(n84576), .B(n84526), .Y(n83014) );
+  sky130_fd_sc_hd__a32oi_1 U60424 ( .A1(n41301), .A2(n82457), .A3(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1[0]), .B1(n83014), .B2(n82457), .Y(n78223) );
+  sky130_fd_sc_hd__nor2_1 U60425 ( .A(n78224), .B(n78223), .Y(n78202) );
+  sky130_fd_sc_hd__nand4_1 U60426 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_55[1]), .B(n41303), .C(n41311), .D(n41302), .Y(n78410) );
+  sky130_fd_sc_hd__o211ai_1 U60427 ( .A1(n78202), .A2(n78217), .B1(n72674), 
+        .C1(n78410), .Y(n41304) );
+  sky130_fd_sc_hd__a22o_1 U60428 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_opcode[1]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_opcode[4]), .X(n78241) );
+  sky130_fd_sc_hd__a22oi_1 U60429 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_opcode[2]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_opcode[5]), .Y(n41320) );
+  sky130_fd_sc_hd__o21ai_1 U60430 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_valid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_blockUncachedGrant), .B1(n54095), .Y(n41319) );
+  sky130_fd_sc_hd__nor2_1 U60431 ( .A(n41306), .B(n41319), .Y(n77628) );
+  sky130_fd_sc_hd__nand2_1 U60432 ( .A(n41312), .B(n78208), .Y(n41325) );
+  sky130_fd_sc_hd__o22ai_1 U60433 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_N8), .A2(n77576), .B1(n82456), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_ram_sink_io_deq_bits_MPORT_addr), .Y(n77577) );
+  sky130_fd_sc_hd__nor2b_1 U60434 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_maybe_full), .A(n77577), .Y(n60370) );
+  sky130_fd_sc_hd__nand2b_1 U60435 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[0]), .B(n41307), .Y(n76587) );
+  sky130_fd_sc_hd__nor2_1 U60436 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[4]), .B(n76587), .Y(n77412) );
+  sky130_fd_sc_hd__xor2_1 U60437 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pma_checker_io_req_bits_cmd[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pma_checker_io_req_bits_cmd[0]), .X(n41308) );
+  sky130_fd_sc_hd__nand2_1 U60438 ( .A(n41308), .B(n78372), .Y(n41310) );
+  sky130_fd_sc_hd__a31oi_1 U60439 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pma_checker_io_req_bits_cmd[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pma_checker_io_req_bits_cmd[1]), .A3(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pma_checker_io_req_bits_cmd[2]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pma_checker_io_req_bits_cmd[3]), .Y(n41309) );
+  sky130_fd_sc_hd__a21oi_1 U60440 ( .A1(n41310), .A2(n41309), .B1(n77483), .Y(
+        n59975) );
+  sky130_fd_sc_hd__nor2_1 U60441 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_rmw_r), .B(n59975), .Y(n41317) );
+  sky130_fd_sc_hd__a31oi_1 U60442 ( .A1(n59976), .A2(n83042), .A3(n41311), 
+        .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_held), .Y(n59844) );
+  sky130_fd_sc_hd__o21ai_1 U60443 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_rmw_r), .A2(n59844), .B1(n59843), .Y(n41316) );
+  sky130_fd_sc_hd__nand4_1 U60444 ( .A(n41312), .B(n78217), .C(n78208), .D(
+        n83032), .Y(n43898) );
+  sky130_fd_sc_hd__nor2_1 U60445 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore_drain_on_miss_REG), .B(n43898), .Y(n41314) );
+  sky130_fd_sc_hd__nor2_1 U60446 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_cmd[2]), .B(n72684), .Y(n41313) );
+  sky130_fd_sc_hd__a21oi_1 U60447 ( .A1(n41313), .A2(n76511), .B1(n77601), .Y(
+        n56035) );
+  sky130_fd_sc_hd__nand2_1 U60448 ( .A(n41314), .B(n56035), .Y(n41315) );
+  sky130_fd_sc_hd__nand2_1 U60449 ( .A(n41316), .B(n41315), .Y(n56038) );
+  sky130_fd_sc_hd__inv_2 U60450 ( .A(n59842), .Y(n60171) );
+  sky130_fd_sc_hd__o21ai_2 U60451 ( .A1(n60374), .A2(n60171), .B1(n78403), .Y(
+        n60369) );
+  sky130_fd_sc_hd__nand2_1 U60452 ( .A(n41334), .B(n41319), .Y(n54096) );
+  sky130_fd_sc_hd__a211oi_2 U60453 ( .A1(n60370), .A2(n83001), .B1(n60369), 
+        .C1(n54096), .Y(n78238) );
+  sky130_fd_sc_hd__nor2_1 U60454 ( .A(n41320), .B(n78241), .Y(n78237) );
+  sky130_fd_sc_hd__nand3_1 U60455 ( .A(n78238), .B(n78237), .C(n83031), .Y(
+        n78233) );
+  sky130_fd_sc_hd__a221oi_2 U60456 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_denied[1]), .A2(n36851), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_denied[0]), .B2(n82466), .C1(n78233), .Y(n78411) );
+  sky130_fd_sc_hd__nor2_1 U60457 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount[0]), .Y(n83038) );
+  sky130_fd_sc_hd__nor2_1 U60458 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_blockProbeAfterGrantCount[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_blockProbeAfterGrantCount[0]), .Y(n78236) );
+  sky130_fd_sc_hd__nand2_1 U60459 ( .A(n78236), .B(n78235), .Y(n78231) );
+  sky130_fd_sc_hd__o22ai_1 U60460 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N38), .A2(n83029), .B1(n60131), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_opcode_io_deq_bits_MPORT_addr), .Y(n60130) );
+  sky130_fd_sc_hd__nand2_1 U60461 ( .A(n83054), .B(n83051), .Y(n41321) );
+  sky130_fd_sc_hd__nor4_1 U60462 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount[5]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount[2]), .D(n41321), .Y(n83036) );
+  sky130_fd_sc_hd__a21oi_1 U60464 ( .A1(n83038), .A2(n78231), .B1(n43902), .Y(
+        n41323) );
+  sky130_fd_sc_hd__nand2_1 U60465 ( .A(n41331), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_state[2]), .Y(n78207) );
+  sky130_fd_sc_hd__nor2_1 U60466 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_state[0]), .B(n78207), .Y(n54241) );
+  sky130_fd_sc_hd__nand2b_1 U60467 ( .A_N(n41323), .B(n41322), .Y(n54240) );
+  sky130_fd_sc_hd__nand2b_1 U60468 ( .A_N(n60883), .B(n41324), .Y(n60060) );
+  sky130_fd_sc_hd__nor4_1 U60469 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_cached_grant_wait), .B(n77628), .C(n41325), .D(n60060), .Y(n41339) );
+  sky130_fd_sc_hd__nand2_1 U60470 ( .A(n76552), .B(n41326), .Y(n41329) );
+  sky130_fd_sc_hd__o21ai_1 U60471 ( .A1(n41326), .A2(n76552), .B1(n41329), .Y(
+        n83016) );
+  sky130_fd_sc_hd__nor2_1 U60472 ( .A(n83014), .B(n83016), .Y(n76562) );
+  sky130_fd_sc_hd__nand2_1 U60473 ( .A(n82457), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_369_0_), .Y(n77600) );
+  sky130_fd_sc_hd__nand2_1 U60474 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_369_0_), .B(n41327), .Y(n77599) );
+  sky130_fd_sc_hd__o31ai_1 U60475 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_369_0_), .A2(n61474), .A3(n41327), .B1(n77599), .Y(n76555) );
+  sky130_fd_sc_hd__nand3_1 U60476 ( .A(n82985), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1[0]), .C(n76555), .Y(n76553) );
+  sky130_fd_sc_hd__nand2_1 U60478 ( .A(n76562), .B(n76561), .Y(n76572) );
+  sky130_fd_sc_hd__nor2_1 U60479 ( .A(n41329), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1[2]), .Y(n41328) );
+  sky130_fd_sc_hd__a21oi_1 U60480 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1[2]), .A2(n41329), .B1(n41328), .Y(n83020) );
+  sky130_fd_sc_hd__nand3_1 U60481 ( .A(n83020), .B(n82985), .C(n85882), .Y(
+        n76571) );
+  sky130_fd_sc_hd__nor2_1 U60482 ( .A(n76572), .B(n76571), .Y(n76578) );
+  sky130_fd_sc_hd__nor3_1 U60483 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1[2]), .C(n41329), .Y(n77437) );
+  sky130_fd_sc_hd__a21oi_1 U60484 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1[3]), .A2(n41330), .B1(n77437), .Y(n83023) );
+  sky130_fd_sc_hd__nand2_1 U60485 ( .A(n76578), .B(n83023), .Y(n56034) );
+  sky130_fd_sc_hd__nor2_1 U60486 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_state[2]), .B(n41331), .Y(n78216) );
+  sky130_fd_sc_hd__o21a_1 U60487 ( .A1(n41333), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_state[0]), .B1(n41332), .X(n64176) );
+  sky130_fd_sc_hd__nand2_1 U60488 ( .A(n78403), .B(n82999), .Y(n41335) );
+  sky130_fd_sc_hd__nand2_1 U60489 ( .A(n41334), .B(n78237), .Y(n60368) );
+  sky130_fd_sc_hd__nor2_1 U60490 ( .A(n41335), .B(n60368), .Y(n41336) );
+  sky130_fd_sc_hd__nor2_1 U60491 ( .A(n41336), .B(n77628), .Y(n77621) );
+  sky130_fd_sc_hd__nand2_1 U60492 ( .A(n41337), .B(n72684), .Y(n76512) );
+  sky130_fd_sc_hd__nand2_1 U60493 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_cmd[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_cmd[1]), .Y(n76510) );
+  sky130_fd_sc_hd__nand3_1 U60494 ( .A(n76512), .B(n76511), .C(n76510), .Y(
+        n62924) );
+  sky130_fd_sc_hd__o21a_1 U60495 ( .A1(n77603), .A2(n77602), .B1(n85433), .X(
+        n41338) );
+  sky130_fd_sc_hd__nand2_1 U60496 ( .A(n41339), .B(n41338), .Y(n72670) );
+  sky130_fd_sc_hd__nand2_1 U60497 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_load_use), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_valid), .Y(n41343) );
+  sky130_fd_sc_hd__a21oi_1 U60498 ( .A1(n41340), .A2(n56030), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_replay), .Y(n41341) );
+  sky130_fd_sc_hd__o21ai_1 U60499 ( .A1(n41343), .A2(n41342), .B1(n41341), .Y(
+        n41344) );
+  sky130_fd_sc_hd__a21oi_1 U60500 ( .A1(n72670), .A2(n60061), .B1(n41344), .Y(
+        n72575) );
+  sky130_fd_sc_hd__a22oi_1 U60501 ( .A1(n60103), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[31]), .B1(n64980), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[31]), .Y(n41347) );
+  sky130_fd_sc_hd__a22oi_1 U60502 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_l), .A2(n64084), .B1(n60104), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[31]), .Y(n41346) );
+  sky130_fd_sc_hd__a22oi_1 U60503 ( .A1(n64977), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[31]), .B1(n65602), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[31]), .Y(n41345) );
+  sky130_fd_sc_hd__and3_1 U60504 ( .A(n41347), .B(n41346), .C(n41345), .X(
+        n41361) );
+  sky130_fd_sc_hd__a22oi_1 U60505 ( .A1(n57613), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[31]), .B1(n69974), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_scause[31]), .Y(n41351) );
+  sky130_fd_sc_hd__a22oi_1 U60506 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_cfg_l), .A2(n64223), .B1(n60025), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[31]), .Y(n41350) );
+  sky130_fd_sc_hd__a22oi_1 U60507 ( .A1(n65637), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[31]), .B1(n64975), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[31]), .Y(n41349) );
+  sky130_fd_sc_hd__nand2_1 U60508 ( .A(n78282), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mcause[31]), .Y(n41348) );
+  sky130_fd_sc_hd__and4_1 U60509 ( .A(n41351), .B(n41350), .C(n41349), .D(
+        n41348), .X(n41360) );
+  sky130_fd_sc_hd__nand3_1 U60510 ( .A(n60553), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_fs[0]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_fs[1]), .Y(n41357) );
+  sky130_fd_sc_hd__nand2_1 U60511 ( .A(n57634), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[31]), .Y(n41356) );
+  sky130_fd_sc_hd__nand2_1 U60512 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[31]), .B(n57625), .Y(n41352) );
+  sky130_fd_sc_hd__o21a_1 U60513 ( .A1(n70421), .A2(n57620), .B1(n41353), .X(
+        n41355) );
+  sky130_fd_sc_hd__nand2_1 U60514 ( .A(n57622), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[31]), .Y(n41354) );
+  sky130_fd_sc_hd__nand4_1 U60515 ( .A(n41357), .B(n41356), .C(n41355), .D(
+        n41354), .Y(n41358) );
+  sky130_fd_sc_hd__a21oi_1 U60516 ( .A1(n57619), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[63]), .B1(n41358), .Y(n41359) );
+  sky130_fd_sc_hd__nand3_1 U60517 ( .A(n41361), .B(n41360), .C(n41359), .Y(
+        n44646) );
+  sky130_fd_sc_hd__a21oi_1 U60518 ( .A1(n57665), .A2(n44646), .B1(n57661), .Y(
+        n41365) );
+  sky130_fd_sc_hd__nand2_1 U60519 ( .A(n65309), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[31]), .Y(n41363) );
+  sky130_fd_sc_hd__nand2_1 U60520 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[63]), .B(n57658), .Y(n41362) );
+  sky130_fd_sc_hd__nand2_1 U60521 ( .A(n41363), .B(n41362), .Y(n69786) );
+  sky130_fd_sc_hd__a22oi_1 U60522 ( .A1(n57662), .A2(n69786), .B1(n45976), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[31]), .Y(n41364) );
+  sky130_fd_sc_hd__o211ai_1 U60523 ( .A1(n44648), .A2(n45337), .B1(n41365), 
+        .C1(n41364), .Y(n85625) );
+  sky130_fd_sc_hd__nand2_1 U60524 ( .A(n84128), .B(n54789), .Y(n54125) );
+  sky130_fd_sc_hd__a21oi_1 U60525 ( .A1(n54099), .A2(n41366), .B1(n54125), .Y(
+        n53209) );
+  sky130_fd_sc_hd__nor2_1 U60526 ( .A(n78460), .B(n56044), .Y(n84394) );
+  sky130_fd_sc_hd__mux2_2 U60527 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[63]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[31]), .S(n54795), .X(n84741) );
+  sky130_fd_sc_hd__a22o_1 U60528 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[30]), .B1(n54277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[61]), .X(n84660) );
+  sky130_fd_sc_hd__a22oi_1 U60529 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_size[1]), .B1(n54277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_size[4]), .Y(n84160) );
+  sky130_fd_sc_hd__a22o_1 U60530 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_size[0]), .B1(n54277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_size[3]), .X(n85910) );
+  sky130_fd_sc_hd__a22oi_1 U60531 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_size[2]), .B1(n54277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_size[5]), .Y(n53499) );
+  sky130_fd_sc_hd__a22oi_2 U60532 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[14]), .B1(n54277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[45]), .Y(n83230) );
+  sky130_fd_sc_hd__nor4_1 U60533 ( .A(n36978), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_beatsLeft[5]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_beatsLeft[3]), .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_beatsLeft[1]), .Y(
+        n41368) );
+  sky130_fd_sc_hd__nand3_1 U60535 ( .A(n41584), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_s_0_state[1]), 
+        .C(n41581), .Y(n65134) );
+  sky130_fd_sc_hd__nand2_1 U60536 ( .A(n60870), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_state_0), .Y(n41370)
+         );
+  sky130_fd_sc_hd__nand2_1 U60537 ( .A(n54319), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_opcode_0_), .Y(n41371) );
+  sky130_fd_sc_hd__nand2_1 U60538 ( .A(n41569), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_lut[1]), .Y(
+        n54220) );
+  sky130_fd_sc_hd__nor2_1 U60539 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_31[1]), .B(
+        n54220), .Y(n41568) );
+  sky130_fd_sc_hd__nand3_1 U60541 ( .A(n54319), .B(n41585), .C(n41405), .Y(
+        n41571) );
+  sky130_fd_sc_hd__nand3_1 U60542 ( .A(n41503), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics__GEN_39[0]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_15[0]), .Y(
+        n41394) );
+  sky130_fd_sc_hd__nand3_1 U60543 ( .A(n41452), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_mask_0_), 
+        .C(MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_7[0]), .Y(
+        n41372) );
+  sky130_fd_sc_hd__nand2_1 U60544 ( .A(n41394), .B(n41372), .Y(n41399) );
+  sky130_fd_sc_hd__nor2_1 U60545 ( .A(n41545), .B(n41399), .Y(n41384) );
+  sky130_fd_sc_hd__nand2_1 U60546 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_23[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics__GEN_39[1]), .Y(
+        n41373) );
+  sky130_fd_sc_hd__nand2_1 U60547 ( .A(n41374), .B(n41373), .Y(n41383) );
+  sky130_fd_sc_hd__a2bb2oi_1 U60548 ( .B1(n41384), .B2(n41594), .A1_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics__GEN_39[2]), .A2_N(
+        n41383), .Y(n41557) );
+  sky130_fd_sc_hd__xor2_1 U60549 ( .A(n41405), .B(n41557), .X(n41379) );
+  sky130_fd_sc_hd__nand2_1 U60550 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_7[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_mask_0_), 
+        .Y(n41417) );
+  sky130_fd_sc_hd__a21o_1 U60551 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics__GEN_39[0]), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_15[1]), .B1(
+        n41403), .X(n41479) );
+  sky130_fd_sc_hd__nand2b_1 U60552 ( .A_N(n41403), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics__GEN_39[1]), .Y(
+        n41375) );
+  sky130_fd_sc_hd__nand2_1 U60553 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_23[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics__GEN_39[1]), .Y(
+        n41376) );
+  sky130_fd_sc_hd__nand2_1 U60554 ( .A(n41501), .B(n41376), .Y(n41520) );
+  sky130_fd_sc_hd__nand2_1 U60555 ( .A(n41501), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics__GEN_39[2]), .Y(
+        n41377) );
+  sky130_fd_sc_hd__nand2_1 U60556 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_31[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics__GEN_39[2]), .Y(
+        n41378) );
+  sky130_fd_sc_hd__nand2_1 U60557 ( .A(n41543), .B(n41378), .Y(n41559) );
+  sky130_fd_sc_hd__nand2_1 U60558 ( .A(n41559), .B(n41379), .Y(n41380) );
+  sky130_fd_sc_hd__nand2_1 U60559 ( .A(n41381), .B(n41380), .Y(n41556) );
+  sky130_fd_sc_hd__nand2_1 U60561 ( .A(n41384), .B(n41405), .Y(n41549) );
+  sky130_fd_sc_hd__nand2_1 U60562 ( .A(n41546), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_30[0]), .Y(
+        n41386) );
+  sky130_fd_sc_hd__xor2_1 U60563 ( .A(n41405), .B(n41383), .X(n41521) );
+  sky130_fd_sc_hd__o211ai_1 U60564 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_30[0]), .A2(
+        n41549), .B1(n41386), .C1(n41547), .Y(n41554) );
+  sky130_fd_sc_hd__nor2_1 U60565 ( .A(n41553), .B(n41554), .Y(n48375) );
+  sky130_fd_sc_hd__nand2_1 U60567 ( .A(n41546), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_28[0]), .Y(
+        n41388) );
+  sky130_fd_sc_hd__o211ai_1 U60568 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_28[0]), .A2(
+        n41549), .B1(n41388), .C1(n41547), .Y(n41542) );
+  sky130_fd_sc_hd__nor2_1 U60569 ( .A(n41541), .B(n41542), .Y(n43703) );
+  sky130_fd_sc_hd__nand2_1 U60571 ( .A(n41546), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_26[0]), .Y(
+        n41390) );
+  sky130_fd_sc_hd__o211ai_1 U60572 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_26[0]), .A2(
+        n41549), .B1(n41390), .C1(n41547), .Y(n41535) );
+  sky130_fd_sc_hd__nor2_1 U60573 ( .A(n41534), .B(n41535), .Y(n43543) );
+  sky130_fd_sc_hd__o21ai_1 U60574 ( .A1(n41545), .A2(n41391), .B1(n41543), .Y(
+        n41527) );
+  sky130_fd_sc_hd__nand2_1 U60575 ( .A(n41546), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_24[0]), .Y(
+        n41392) );
+  sky130_fd_sc_hd__o211ai_1 U60576 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_24[0]), .A2(
+        n41549), .B1(n41392), .C1(n41547), .Y(n41528) );
+  sky130_fd_sc_hd__nor2_1 U60577 ( .A(n41527), .B(n41528), .Y(n54222) );
+  sky130_fd_sc_hd__o21ai_1 U60578 ( .A1(n41503), .A2(n41393), .B1(n41501), .Y(
+        n41487) );
+  sky130_fd_sc_hd__nand2_1 U60579 ( .A(n41395), .B(n41405), .Y(n41396) );
+  sky130_fd_sc_hd__o21ai_1 U60580 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_7[0]), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_param[2]), .B1(n41396), .Y(n41406) );
+  sky130_fd_sc_hd__nand2_1 U60582 ( .A(n41504), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_17[0]), .Y(
+        n41400) );
+  sky130_fd_sc_hd__o211ai_1 U60584 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_17[0]), .A2(
+        n41507), .B1(n41400), .C1(n41505), .Y(n41488) );
+  sky130_fd_sc_hd__nor2_1 U60585 ( .A(n41487), .B(n41488), .Y(n45268) );
+  sky130_fd_sc_hd__o21ai_1 U60586 ( .A1(n41503), .A2(n41401), .B1(n41501), .Y(
+        n41485) );
+  sky130_fd_sc_hd__nand2_1 U60587 ( .A(n41504), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_16[0]), .Y(
+        n41402) );
+  sky130_fd_sc_hd__o211ai_1 U60588 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_16[0]), .A2(
+        n41507), .B1(n41402), .C1(n41505), .Y(n41486) );
+  sky130_fd_sc_hd__nor2_1 U60589 ( .A(n41485), .B(n41486), .Y(n50804) );
+  sky130_fd_sc_hd__nor2_1 U60590 ( .A(n45268), .B(n50804), .Y(n41490) );
+  sky130_fd_sc_hd__nor2_1 U60592 ( .A(n41405), .B(n41452), .Y(n41453) );
+  sky130_fd_sc_hd__nand2_1 U60593 ( .A(n41453), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_14[0]), .Y(
+        n41408) );
+  sky130_fd_sc_hd__nand2_1 U60594 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_mask_0_), 
+        .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_param[2]), .Y(n41414) );
+  sky130_fd_sc_hd__nand2_1 U60596 ( .A(n41439), .B(n41452), .Y(n41454) );
+  sky130_fd_sc_hd__o211ai_1 U60597 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_14[0]), .A2(
+        n41456), .B1(n41408), .C1(n41454), .Y(n41478) );
+  sky130_fd_sc_hd__nor2_1 U60598 ( .A(n41477), .B(n41478), .Y(n44056) );
+  sky130_fd_sc_hd__nand2_1 U60599 ( .A(n41453), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_15[0]), .Y(
+        n41409) );
+  sky130_fd_sc_hd__o211ai_1 U60600 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_15[0]), .A2(
+        n41456), .B1(n41409), .C1(n41454), .Y(n41480) );
+  sky130_fd_sc_hd__nand2_1 U60601 ( .A(n45388), .B(n38465), .Y(n41484) );
+  sky130_fd_sc_hd__nand2_1 U60603 ( .A(n41453), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_12[0]), .Y(
+        n41411) );
+  sky130_fd_sc_hd__o211ai_1 U60604 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_12[0]), .A2(
+        n41456), .B1(n41411), .C1(n41454), .Y(n41473) );
+  sky130_fd_sc_hd__o21ai_1 U60605 ( .A1(n41452), .A2(n41412), .B1(n41450), .Y(
+        n41474) );
+  sky130_fd_sc_hd__nand2_1 U60606 ( .A(n41453), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_13[0]), .Y(
+        n41413) );
+  sky130_fd_sc_hd__o211ai_1 U60607 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_13[0]), .A2(
+        n41456), .B1(n41413), .C1(n41454), .Y(n41475) );
+  sky130_fd_sc_hd__nand2_1 U60608 ( .A(n51025), .B(n38467), .Y(n44052) );
+  sky130_fd_sc_hd__nor2_1 U60609 ( .A(n41484), .B(n44052), .Y(n45272) );
+  sky130_fd_sc_hd__nand2_1 U60610 ( .A(n41490), .B(n45272), .Y(n41492) );
+  sky130_fd_sc_hd__nand2_1 U60611 ( .A(n41422), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_5[0]), .Y(
+        n41415) );
+  sky130_fd_sc_hd__o21ai_1 U60612 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_param[2]), .A2(MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_5[0]), .B1(
+        n41415), .Y(n41435) );
+  sky130_fd_sc_hd__nor2_1 U60613 ( .A(n41434), .B(n41435), .Y(n52842) );
+  sky130_fd_sc_hd__nand2_1 U60614 ( .A(n41422), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_4[0]), .Y(
+        n41416) );
+  sky130_fd_sc_hd__o21ai_1 U60615 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_param[2]), .A2(MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_4[0]), .B1(
+        n41416), .Y(n41433) );
+  sky130_fd_sc_hd__nor2_1 U60616 ( .A(n41432), .B(n41433), .Y(n45515) );
+  sky130_fd_sc_hd__nor2_1 U60617 ( .A(n52842), .B(n45515), .Y(n47260) );
+  sky130_fd_sc_hd__nor2_1 U60618 ( .A(n41438), .B(n41439), .Y(n54204) );
+  sky130_fd_sc_hd__nand2_1 U60619 ( .A(n41422), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_6[0]), .Y(
+        n41418) );
+  sky130_fd_sc_hd__nor2_1 U60621 ( .A(n41436), .B(n41437), .Y(n54209) );
+  sky130_fd_sc_hd__nor2_1 U60622 ( .A(n54204), .B(n54209), .Y(n41441) );
+  sky130_fd_sc_hd__nand2_1 U60623 ( .A(n47260), .B(n41441), .Y(n41443) );
+  sky130_fd_sc_hd__nand2_1 U60624 ( .A(n41422), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_3[0]), .Y(
+        n41419) );
+  sky130_fd_sc_hd__o21ai_1 U60625 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_param[2]), .A2(MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_3[0]), .B1(
+        n41419), .Y(n41429) );
+  sky130_fd_sc_hd__nor2_1 U60626 ( .A(n41428), .B(n41429), .Y(n47134) );
+  sky130_fd_sc_hd__nand2_1 U60627 ( .A(n41422), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_2[0]), .Y(
+        n41420) );
+  sky130_fd_sc_hd__nor2_1 U60629 ( .A(n41426), .B(n41427), .Y(n54192) );
+  sky130_fd_sc_hd__nor2_1 U60630 ( .A(n47134), .B(n54192), .Y(n41431) );
+  sky130_fd_sc_hd__nand2_1 U60631 ( .A(n41422), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_0[0]), .Y(
+        n41421) );
+  sky130_fd_sc_hd__o21ai_1 U60632 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_param[2]), .A2(MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_0[0]), .B1(
+        n41421), .Y(n45931) );
+  sky130_fd_sc_hd__nand2_1 U60633 ( .A(n45931), .B(n45932), .Y(n45933) );
+  sky130_fd_sc_hd__nand2_1 U60634 ( .A(n41422), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_1[0]), .Y(
+        n41423) );
+  sky130_fd_sc_hd__o21ai_1 U60635 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_1[0]), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_param[2]), .B1(n41423), .Y(n41425) );
+  sky130_fd_sc_hd__nor2_1 U60636 ( .A(n41424), .B(n41425), .Y(n45745) );
+  sky130_fd_sc_hd__nand2_1 U60637 ( .A(n41425), .B(n41424), .Y(n45746) );
+  sky130_fd_sc_hd__o21ai_1 U60638 ( .A1(n45933), .A2(n45745), .B1(n45746), .Y(
+        n47137) );
+  sky130_fd_sc_hd__nand2_1 U60639 ( .A(n41427), .B(n41426), .Y(n54193) );
+  sky130_fd_sc_hd__nand2_1 U60640 ( .A(n41429), .B(n41428), .Y(n47135) );
+  sky130_fd_sc_hd__o21ai_1 U60641 ( .A1(n54193), .A2(n47134), .B1(n47135), .Y(
+        n41430) );
+  sky130_fd_sc_hd__a21oi_1 U60642 ( .A1(n41431), .A2(n47137), .B1(n41430), .Y(
+        n45516) );
+  sky130_fd_sc_hd__nand2_1 U60643 ( .A(n41433), .B(n41432), .Y(n52838) );
+  sky130_fd_sc_hd__nand2_1 U60644 ( .A(n41435), .B(n41434), .Y(n52843) );
+  sky130_fd_sc_hd__nand2_1 U60646 ( .A(n41437), .B(n41436), .Y(n54207) );
+  sky130_fd_sc_hd__nand2_1 U60647 ( .A(n41439), .B(n41438), .Y(n54205) );
+  sky130_fd_sc_hd__a21oi_1 U60649 ( .A1(n47259), .A2(n41441), .B1(n41440), .Y(
+        n41442) );
+  sky130_fd_sc_hd__o21ai_1 U60650 ( .A1(n41443), .A2(n45516), .B1(n41442), .Y(
+        n44794) );
+  sky130_fd_sc_hd__o21ai_1 U60651 ( .A1(n41452), .A2(n41444), .B1(n41450), .Y(
+        n41463) );
+  sky130_fd_sc_hd__nand2_1 U60652 ( .A(n41453), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_10[0]), .Y(
+        n41445) );
+  sky130_fd_sc_hd__o211ai_1 U60653 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_10[0]), .A2(
+        n41456), .B1(n41445), .C1(n41454), .Y(n41464) );
+  sky130_fd_sc_hd__o21ai_1 U60654 ( .A1(n41452), .A2(n41446), .B1(n41450), .Y(
+        n41465) );
+  sky130_fd_sc_hd__nand2_1 U60655 ( .A(n41453), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_11[0]), .Y(
+        n41447) );
+  sky130_fd_sc_hd__o211ai_1 U60656 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_11[0]), .A2(
+        n41456), .B1(n41447), .C1(n41454), .Y(n41466) );
+  sky130_fd_sc_hd__nand2_1 U60657 ( .A(n38456), .B(n38455), .Y(n41469) );
+  sky130_fd_sc_hd__nand2_1 U60659 ( .A(n41453), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_8[0]), .Y(
+        n41449) );
+  sky130_fd_sc_hd__o211ai_1 U60660 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_8[0]), .A2(
+        n41456), .B1(n41449), .C1(n41454), .Y(n41458) );
+  sky130_fd_sc_hd__nor2_1 U60661 ( .A(n41457), .B(n41458), .Y(n44795) );
+  sky130_fd_sc_hd__nand2_1 U60663 ( .A(n41453), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_9[0]), .Y(
+        n41455) );
+  sky130_fd_sc_hd__o211ai_1 U60664 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_9[0]), .A2(
+        n41456), .B1(n41455), .C1(n41454), .Y(n41460) );
+  sky130_fd_sc_hd__nand2_1 U60665 ( .A(n48181), .B(n38454), .Y(n51582) );
+  sky130_fd_sc_hd__nor2_1 U60666 ( .A(n41469), .B(n51582), .Y(n41471) );
+  sky130_fd_sc_hd__nand2_1 U60667 ( .A(n41458), .B(n41457), .Y(n48180) );
+  sky130_fd_sc_hd__nand2_1 U60668 ( .A(n41460), .B(n41459), .Y(n44793) );
+  sky130_fd_sc_hd__a21oi_1 U60669 ( .A1(n38454), .A2(n41462), .B1(n41461), .Y(
+        n51580) );
+  sky130_fd_sc_hd__nand2_1 U60670 ( .A(n41464), .B(n41463), .Y(n52491) );
+  sky130_fd_sc_hd__nand2_1 U60671 ( .A(n41466), .B(n41465), .Y(n51584) );
+  sky130_fd_sc_hd__a21oi_1 U60672 ( .A1(n38455), .A2(n51583), .B1(n41467), .Y(
+        n41468) );
+  sky130_fd_sc_hd__o21ai_1 U60673 ( .A1(n41469), .A2(n51580), .B1(n41468), .Y(
+        n41470) );
+  sky130_fd_sc_hd__a21oi_1 U60674 ( .A1(n44794), .A2(n41471), .B1(n41470), .Y(
+        n44051) );
+  sky130_fd_sc_hd__nand2_1 U60675 ( .A(n41473), .B(n41472), .Y(n51024) );
+  sky130_fd_sc_hd__nand2_1 U60676 ( .A(n41475), .B(n41474), .Y(n50293) );
+  sky130_fd_sc_hd__a21oi_1 U60677 ( .A1(n38467), .A2(n50292), .B1(n41476), .Y(
+        n44053) );
+  sky130_fd_sc_hd__nand2_1 U60678 ( .A(n41478), .B(n41477), .Y(n45387) );
+  sky130_fd_sc_hd__nand2_1 U60679 ( .A(n41480), .B(n41479), .Y(n44050) );
+  sky130_fd_sc_hd__a21oi_1 U60680 ( .A1(n38465), .A2(n41482), .B1(n41481), .Y(
+        n41483) );
+  sky130_fd_sc_hd__o21ai_1 U60681 ( .A1(n41484), .A2(n44053), .B1(n41483), .Y(
+        n45271) );
+  sky130_fd_sc_hd__nand2_1 U60682 ( .A(n41486), .B(n41485), .Y(n50805) );
+  sky130_fd_sc_hd__nand2_1 U60683 ( .A(n41488), .B(n41487), .Y(n45269) );
+  sky130_fd_sc_hd__a21oi_1 U60685 ( .A1(n41490), .A2(n45271), .B1(n41489), .Y(
+        n41491) );
+  sky130_fd_sc_hd__o21ai_1 U60686 ( .A1(n41492), .A2(n44051), .B1(n41491), .Y(
+        n43316) );
+  sky130_fd_sc_hd__nand2_1 U60688 ( .A(n41504), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_22[0]), .Y(
+        n41494) );
+  sky130_fd_sc_hd__o211ai_1 U60689 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_22[0]), .A2(
+        n41507), .B1(n41494), .C1(n41505), .Y(n41519) );
+  sky130_fd_sc_hd__nand2_1 U60690 ( .A(n38466), .B(n48469), .Y(n41524) );
+  sky130_fd_sc_hd__o21ai_1 U60691 ( .A1(n41503), .A2(n41495), .B1(n41501), .Y(
+        n41510) );
+  sky130_fd_sc_hd__nand2_1 U60692 ( .A(n41504), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_19[0]), .Y(
+        n41496) );
+  sky130_fd_sc_hd__o211ai_1 U60693 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_19[0]), .A2(
+        n41507), .B1(n41496), .C1(n41505), .Y(n41511) );
+  sky130_fd_sc_hd__o21ai_1 U60695 ( .A1(n41503), .A2(n41497), .B1(n41501), .Y(
+        n41508) );
+  sky130_fd_sc_hd__nand2_1 U60696 ( .A(n41504), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_18[0]), .Y(
+        n41498) );
+  sky130_fd_sc_hd__o211ai_1 U60697 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_18[0]), .A2(
+        n41507), .B1(n41498), .C1(n41505), .Y(n41509) );
+  sky130_fd_sc_hd__nor2_1 U60698 ( .A(n41508), .B(n41509), .Y(n47037) );
+  sky130_fd_sc_hd__nor2_1 U60699 ( .A(n46294), .B(n47037), .Y(n45987) );
+  sky130_fd_sc_hd__o21ai_1 U60700 ( .A1(n41503), .A2(n41499), .B1(n41501), .Y(
+        n41514) );
+  sky130_fd_sc_hd__nand2_1 U60701 ( .A(n41504), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_21[0]), .Y(
+        n41500) );
+  sky130_fd_sc_hd__o211ai_1 U60702 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_21[0]), .A2(
+        n41507), .B1(n41500), .C1(n41505), .Y(n41515) );
+  sky130_fd_sc_hd__nor2_1 U60703 ( .A(n41514), .B(n41515), .Y(n51932) );
+  sky130_fd_sc_hd__o21ai_1 U60704 ( .A1(n41503), .A2(n41502), .B1(n41501), .Y(
+        n41512) );
+  sky130_fd_sc_hd__nand2_1 U60705 ( .A(n41504), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_20[0]), .Y(
+        n41506) );
+  sky130_fd_sc_hd__o211ai_1 U60706 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_20[0]), .A2(
+        n41507), .B1(n41506), .C1(n41505), .Y(n41513) );
+  sky130_fd_sc_hd__nor2_1 U60707 ( .A(n41512), .B(n41513), .Y(n45986) );
+  sky130_fd_sc_hd__nor2_1 U60708 ( .A(n51932), .B(n45986), .Y(n41517) );
+  sky130_fd_sc_hd__nand2_1 U60709 ( .A(n45987), .B(n41517), .Y(n43318) );
+  sky130_fd_sc_hd__nor2_1 U60710 ( .A(n41524), .B(n43318), .Y(n41526) );
+  sky130_fd_sc_hd__nand2_1 U60711 ( .A(n41509), .B(n41508), .Y(n47038) );
+  sky130_fd_sc_hd__nand2_1 U60712 ( .A(n41511), .B(n41510), .Y(n46295) );
+  sky130_fd_sc_hd__o21ai_1 U60713 ( .A1(n47038), .A2(n46294), .B1(n46295), .Y(
+        n45988) );
+  sky130_fd_sc_hd__nand2_1 U60714 ( .A(n41513), .B(n41512), .Y(n51928) );
+  sky130_fd_sc_hd__nand2_1 U60715 ( .A(n41515), .B(n41514), .Y(n51933) );
+  sky130_fd_sc_hd__o21ai_1 U60716 ( .A1(n51928), .A2(n51932), .B1(n51933), .Y(
+        n41516) );
+  sky130_fd_sc_hd__a21oi_1 U60717 ( .A1(n41517), .A2(n45988), .B1(n41516), .Y(
+        n43317) );
+  sky130_fd_sc_hd__nand2_1 U60718 ( .A(n41519), .B(n41518), .Y(n43315) );
+  sky130_fd_sc_hd__nand2_1 U60719 ( .A(n41521), .B(n41520), .Y(n48468) );
+  sky130_fd_sc_hd__a21oi_1 U60720 ( .A1(n48466), .A2(n48469), .B1(n41522), .Y(
+        n41523) );
+  sky130_fd_sc_hd__nand2_1 U60722 ( .A(n41528), .B(n41527), .Y(n54223) );
+  sky130_fd_sc_hd__o21ai_1 U60723 ( .A1(n41545), .A2(n41529), .B1(n41543), .Y(
+        n41531) );
+  sky130_fd_sc_hd__nand2_1 U60724 ( .A(n41546), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_25[0]), .Y(
+        n41530) );
+  sky130_fd_sc_hd__o211ai_1 U60725 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_25[0]), .A2(
+        n41549), .B1(n41530), .C1(n41547), .Y(n41532) );
+  sky130_fd_sc_hd__nand2_1 U60726 ( .A(n41532), .B(n41531), .Y(n52295) );
+  sky130_fd_sc_hd__nand2_1 U60727 ( .A(n41535), .B(n41534), .Y(n43544) );
+  sky130_fd_sc_hd__o21ai_1 U60728 ( .A1(n41545), .A2(n41536), .B1(n41543), .Y(
+        n41538) );
+  sky130_fd_sc_hd__nand2_1 U60729 ( .A(n41546), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_27[0]), .Y(
+        n41537) );
+  sky130_fd_sc_hd__o211ai_1 U60730 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_27[0]), .A2(
+        n41549), .B1(n41537), .C1(n41547), .Y(n41539) );
+  sky130_fd_sc_hd__nand2_1 U60731 ( .A(n41539), .B(n41538), .Y(n44209) );
+  sky130_fd_sc_hd__nand2_1 U60732 ( .A(n41542), .B(n41541), .Y(n43704) );
+  sky130_fd_sc_hd__o21ai_1 U60733 ( .A1(n41545), .A2(n41544), .B1(n41543), .Y(
+        n41550) );
+  sky130_fd_sc_hd__nand2_1 U60734 ( .A(n41546), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_29[0]), .Y(
+        n41548) );
+  sky130_fd_sc_hd__o211ai_1 U60735 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_29[0]), .A2(
+        n41549), .B1(n41548), .C1(n41547), .Y(n41551) );
+  sky130_fd_sc_hd__nand2_1 U60736 ( .A(n41551), .B(n41550), .Y(n46704) );
+  sky130_fd_sc_hd__a21oi_2 U60737 ( .A1(n46706), .A2(n46705), .B1(n41552), .Y(
+        n48379) );
+  sky130_fd_sc_hd__nand2_1 U60738 ( .A(n41554), .B(n41553), .Y(n48376) );
+  sky130_fd_sc_hd__o21ai_1 U60739 ( .A1(n48375), .A2(n48379), .B1(n48376), .Y(
+        n41555) );
+  sky130_fd_sc_hd__xnor2_1 U60740 ( .A(n41556), .B(n41555), .Y(n41587) );
+  sky130_fd_sc_hd__nand2b_1 U60741 ( .A_N(n41559), .B(n41557), .Y(n41560) );
+  sky130_fd_sc_hd__nand2_1 U60742 ( .A(n41559), .B(n41558), .Y(n41561) );
+  sky130_fd_sc_hd__nand3_1 U60743 ( .A(n41587), .B(n41560), .C(n41561), .Y(
+        n41565) );
+  sky130_fd_sc_hd__nor2_1 U60744 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_param[1]), .B(n41561), .Y(n41562) );
+  sky130_fd_sc_hd__a21oi_1 U60745 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_param[1]), .A2(n41563), .B1(n41562), .Y(n41564) );
+  sky130_fd_sc_hd__nand2_1 U60746 ( .A(n41565), .B(n41564), .Y(n41566) );
+  sky130_fd_sc_hd__xnor2_1 U60747 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_param[0]), .B(n41566), .Y(n41570) );
+  sky130_fd_sc_hd__inv_2 U60748 ( .A(n43541), .Y(n51926) );
+  sky130_fd_sc_hd__a211oi_1 U60749 ( .A1(n54218), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_31[1]), .B1(
+        n41568), .C1(n48464), .Y(n41593) );
+  sky130_fd_sc_hd__nand2_1 U60750 ( .A(n41569), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_lut[2]), .Y(
+        n54216) );
+  sky130_fd_sc_hd__o21ai_0 U60751 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_31[0]), .A2(
+        n54216), .B1(n38490), .Y(n41591) );
+  sky130_fd_sc_hd__a21oi_1 U60752 ( .A1(n85779), .A2(n85910), .B1(n85909), .Y(
+        n83267) );
+  sky130_fd_sc_hd__nor2_1 U60753 ( .A(n84635), .B(n84638), .Y(n41580) );
+  sky130_fd_sc_hd__nand2_1 U60758 ( .A(n41573), .B(n41574), .Y(n41575) );
+  sky130_fd_sc_hd__o31a_1 U60759 ( .A1(n41572), .A2(n41573), .A3(n41574), .B1(
+        n41575), .X(n41577) );
+  sky130_fd_sc_hd__a21oi_1 U60760 ( .A1(n83244), .A2(n41575), .B1(n41572), .Y(
+        n41576) );
+  sky130_fd_sc_hd__a32oi_1 U60761 ( .A1(n41577), .A2(n84599), .A3(n84641), 
+        .B1(n83230), .B2(n41576), .Y(n41578) );
+  sky130_fd_sc_hd__nor4_1 U60762 ( .A(n84602), .B(n84521), .C(n84632), .D(
+        n41578), .Y(n41579) );
+  sky130_fd_sc_hd__a22oi_1 U60763 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_opcode[1]), .B1(n54277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_opcode[4]), .Y(n54348) );
+  sky130_fd_sc_hd__a31oi_1 U60764 ( .A1(n83267), .A2(n41580), .A3(n41579), 
+        .B1(n54348), .Y(n54136) );
+  sky130_fd_sc_hd__mux2i_1 U60765 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_opcode[5]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_opcode[2]), .S(n54795), .Y(n83266) );
+  sky130_fd_sc_hd__nand2_1 U60766 ( .A(n54136), .B(n83266), .Y(n60289) );
+  sky130_fd_sc_hd__o22ai_1 U60767 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_maybe_full), .A2(n54403), .B1(n60289), .B2(n41581), .Y(n41582) );
+  sky130_fd_sc_hd__nor3_1 U60768 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_s_0_state[1]), 
+        .B(n84660), .C(n41582), .Y(n59960) );
+  sky130_fd_sc_hd__nand2_1 U60769 ( .A(n59960), .B(n41584), .Y(n77473) );
+  sky130_fd_sc_hd__nand3_1 U60770 ( .A(n54319), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_param[2]), .C(n41585), .Y(n43314) );
+  sky130_fd_sc_hd__o22ai_1 U60771 ( .A1(n38491), .A2(n41589), .B1(n43314), 
+        .B2(n41588), .Y(n41590) );
+  sky130_fd_sc_hd__a21oi_1 U60772 ( .A1(n41591), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_31[1]), .B1(
+        n41590), .Y(n41592) );
+  sky130_fd_sc_hd__o21ai_1 U60773 ( .A1(n41594), .A2(n41593), .B1(n41592), .Y(
+        n84372) );
+  sky130_fd_sc_hd__inv_2 U60774 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .Y(n41595) );
+  sky130_fd_sc_hd__inv_2 U60775 ( .A(n78339), .Y(n84863) );
+  sky130_fd_sc_hd__a22oi_1 U60776 ( .A1(n63711), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[5]), .B1(n36850), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[35]), .Y(n41696) );
+  sky130_fd_sc_hd__a22oi_1 U60777 ( .A1(n63711), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[8]), .B1(n36850), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[38]), .Y(n78341) );
+  sky130_fd_sc_hd__inv_2 U60778 ( .A(n78341), .Y(n84864) );
+  sky130_fd_sc_hd__or3_1 U60779 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_gennum[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_gennum[1]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_gennum[0]), .X(n41597) );
+  sky130_fd_sc_hd__nor2_1 U60780 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_gennum[3]), .B(n41597), .Y(n41598) );
+  sky130_fd_sc_hd__o22ai_2 U60781 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_io_full), .A2(n54320), .B1(n59809), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_size[2]), .Y(n41659) );
+  sky130_fd_sc_hd__o22ai_1 U60782 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_io_full), .A2(n84859), .B1(n59809), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_size[1]), .Y(n41635) );
+  sky130_fd_sc_hd__nor2_1 U60783 ( .A(n41659), .B(n41635), .Y(n41660) );
+  sky130_fd_sc_hd__a22oi_2 U60784 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_gennum[3]), .A2(n41597), .B1(n41598), .B2(n41660), .Y(n83435) );
+  sky130_fd_sc_hd__o22ai_1 U60785 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_io_full), .A2(n84860), .B1(n59809), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_size[0]), .Y(n54343) );
+  sky130_fd_sc_hd__a21oi_1 U60786 ( .A1(n54343), .A2(n41635), .B1(n41659), .Y(
+        n41664) );
+  sky130_fd_sc_hd__nor2_1 U60787 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_gennum[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_gennum[0]), .Y(n41599) );
+  sky130_fd_sc_hd__xor2_1 U60788 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_gennum[2]), .B(n41599), .X(n41665) );
+  sky130_fd_sc_hd__xnor2_1 U60790 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_gennum[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_gennum[0]), .Y(n41658) );
+  sky130_fd_sc_hd__inv_2 U60791 ( .A(n63188), .Y(n85901) );
+  sky130_fd_sc_hd__or3_1 U60792 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_gennum[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_gennum[1]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_gennum[0]), .X(n41601) );
+  sky130_fd_sc_hd__nor2_1 U60793 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_gennum[3]), .B(n41601), .Y(n41602) );
+  sky130_fd_sc_hd__o22ai_2 U60794 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_io_full), .A2(n54320), .B1(n36803), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_size[2]), .Y(n41699) );
+  sky130_fd_sc_hd__o22ai_1 U60795 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_io_full), .A2(n84859), .B1(n36803), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_size[1]), .Y(n41639) );
+  sky130_fd_sc_hd__nor2_1 U60796 ( .A(n41699), .B(n41639), .Y(n41694) );
+  sky130_fd_sc_hd__a22oi_2 U60797 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_gennum[3]), .A2(n41601), .B1(n41602), .B2(n41694), .Y(n54332) );
+  sky130_fd_sc_hd__o22ai_1 U60798 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_io_full), .A2(n84860), .B1(n36803), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_size[0]), .Y(n54328) );
+  sky130_fd_sc_hd__a21oi_1 U60799 ( .A1(n41639), .A2(n54328), .B1(n41699), .Y(
+        n41703) );
+  sky130_fd_sc_hd__nor2_1 U60800 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_gennum[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_gennum[0]), .Y(n41603) );
+  sky130_fd_sc_hd__xor2_1 U60801 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_gennum[2]), .B(n41603), .X(n41705) );
+  sky130_fd_sc_hd__xnor2_1 U60803 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_gennum[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_gennum[0]), .Y(n41698) );
+  sky130_fd_sc_hd__o21ai_1 U60804 ( .A1(n41638), .A2(n41640), .B1(n41698), .Y(
+        n83400) );
+  sky130_fd_sc_hd__a22oi_2 U60805 ( .A1(n63711), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[14]), .B1(n36850), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[44]), .Y(n78333) );
+  sky130_fd_sc_hd__a22oi_1 U60806 ( .A1(n63711), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[3]), .B1(n36850), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[33]), .Y(n77559) );
+  sky130_fd_sc_hd__a22oi_1 U60807 ( .A1(n63711), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[4]), .B1(n36850), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[34]), .Y(n41667) );
+  sky130_fd_sc_hd__a22oi_1 U60808 ( .A1(n63711), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[2]), .B1(n36850), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[32]), .Y(n54327) );
+  sky130_fd_sc_hd__inv_2 U60809 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_io_full), .Y(n83355) );
+  sky130_fd_sc_hd__a22oi_2 U60811 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_size[2]), .B1(n83355), .B2(n54320), .Y(n41612) );
+  sky130_fd_sc_hd__a21oi_2 U60812 ( .A1(n84859), .A2(n83355), .B1(n38428), .Y(
+        n41616) );
+  sky130_fd_sc_hd__nor2_1 U60813 ( .A(n41620), .B(n41619), .Y(n54337) );
+  sky130_fd_sc_hd__o21ai_1 U60814 ( .A1(n54338), .A2(n41620), .B1(n54340), .Y(
+        n54334) );
+  sky130_fd_sc_hd__o22ai_1 U60815 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_io_full), .A2(n54327), .B1(n54334), .B2(n41604), .Y(n41605) );
+  sky130_fd_sc_hd__a21o_1 U60816 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address[2]), .B1(n41605), .X(n41627) );
+  sky130_fd_sc_hd__buf_6 U60817 ( .A(n41627), .X(n51484) );
+  sky130_fd_sc_hd__nor2_1 U60820 ( .A(n41606), .B(n56542), .Y(n46806) );
+  sky130_fd_sc_hd__a22oi_1 U60821 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address[9]), .B1(n83355), .B2(n85807), .Y(n41607) );
+  sky130_fd_sc_hd__buf_2 U60822 ( .A(n41607), .X(n50438) );
+  sky130_fd_sc_hd__nand2_1 U60823 ( .A(n46806), .B(n52169), .Y(n48318) );
+  sky130_fd_sc_hd__nand2_1 U60824 ( .A(n57123), .B(n56440), .Y(n57196) );
+  sky130_fd_sc_hd__nor2_1 U60825 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_gennum[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_gennum[1]), .Y(n41610) );
+  sky130_fd_sc_hd__xor2_1 U60826 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_gennum[2]), .B(n41610), .X(n53591) );
+  sky130_fd_sc_hd__a21oi_1 U60827 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address[4]), .B1(n41608), .Y(n41609) );
+  sky130_fd_sc_hd__nor3_1 U60829 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_gennum[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_gennum[2]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_gennum[1]), .Y(n41618) );
+  sky130_fd_sc_hd__a21oi_1 U60830 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address[3]), .B1(n41613), .Y(n41614) );
+  sky130_fd_sc_hd__o22ai_2 U60831 ( .A1(n41618), .A2(n41617), .B1(n41616), 
+        .B2(n41615), .Y(n83367) );
+  sky130_fd_sc_hd__nand2_1 U60832 ( .A(n41620), .B(n41619), .Y(n41622) );
+  sky130_fd_sc_hd__o22ai_1 U60833 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_io_full), .A2(n85783), .B1(n83355), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address[5]), .Y(n41621) );
+  sky130_fd_sc_hd__inv_2 U60834 ( .A(n36774), .Y(n45408) );
+  sky130_fd_sc_hd__inv_2 U60835 ( .A(n36774), .Y(n56581) );
+  sky130_fd_sc_hd__nor2_2 U60836 ( .A(n56581), .B(n57525), .Y(n52269) );
+  sky130_fd_sc_hd__nor2_1 U60837 ( .A(n36797), .B(n52269), .Y(n50923) );
+  sky130_fd_sc_hd__nor2_1 U60838 ( .A(n48281), .B(n50923), .Y(n52072) );
+  sky130_fd_sc_hd__nor2_1 U60839 ( .A(n57196), .B(n51010), .Y(n52128) );
+  sky130_fd_sc_hd__buf_2 U60840 ( .A(n38426), .X(n52744) );
+  sky130_fd_sc_hd__nor2_1 U60841 ( .A(n52128), .B(n52744), .Y(n41623) );
+  sky130_fd_sc_hd__nand2_2 U60842 ( .A(n57040), .B(n36774), .Y(n52144) );
+  sky130_fd_sc_hd__inv_2 U60843 ( .A(n52269), .Y(n57482) );
+  sky130_fd_sc_hd__nand2_1 U60844 ( .A(n52699), .B(n57482), .Y(n46820) );
+  sky130_fd_sc_hd__nand2_1 U60845 ( .A(n52144), .B(n46820), .Y(n52227) );
+  sky130_fd_sc_hd__nor2_2 U60846 ( .A(n56542), .B(n50438), .Y(n51836) );
+  sky130_fd_sc_hd__nand2_1 U60847 ( .A(n41606), .B(n51836), .Y(n48297) );
+  sky130_fd_sc_hd__nor2_1 U60848 ( .A(n48297), .B(n57123), .Y(n56494) );
+  sky130_fd_sc_hd__nor2_1 U60849 ( .A(n41624), .B(n50438), .Y(n51495) );
+  sky130_fd_sc_hd__inv_2 U60850 ( .A(n52144), .Y(n52219) );
+  sky130_fd_sc_hd__nor2_2 U60851 ( .A(n57040), .B(n36774), .Y(n57297) );
+  sky130_fd_sc_hd__nor2_4 U60852 ( .A(n52219), .B(n57297), .Y(n52179) );
+  sky130_fd_sc_hd__nand2_1 U60853 ( .A(n57123), .B(n52179), .Y(n45997) );
+  sky130_fd_sc_hd__nor3_1 U60854 ( .A(n57120), .B(n51822), .C(n45997), .Y(
+        n52236) );
+  sky130_fd_sc_hd__a21oi_1 U60855 ( .A1(n56408), .A2(n56494), .B1(n52236), .Y(
+        n56464) );
+  sky130_fd_sc_hd__inv_2 U60856 ( .A(n38426), .Y(n57496) );
+  sky130_fd_sc_hd__inv_2 U60857 ( .A(n56494), .Y(n57300) );
+  sky130_fd_sc_hd__nand2_1 U60858 ( .A(n45408), .B(n48281), .Y(n52229) );
+  sky130_fd_sc_hd__nor2_1 U60859 ( .A(n57300), .B(n52229), .Y(n56541) );
+  sky130_fd_sc_hd__nor2_1 U60860 ( .A(n57496), .B(n56541), .Y(n50370) );
+  sky130_fd_sc_hd__nand2_2 U60861 ( .A(n45408), .B(n57525), .Y(n52102) );
+  sky130_fd_sc_hd__inv_2 U60862 ( .A(n52102), .Y(n57013) );
+  sky130_fd_sc_hd__nand2_1 U60863 ( .A(n57013), .B(n51484), .Y(n44804) );
+  sky130_fd_sc_hd__nor2_1 U60864 ( .A(n36975), .B(n50438), .Y(n48068) );
+  sky130_fd_sc_hd__nand2_1 U60865 ( .A(n56542), .B(n48068), .Y(n52691) );
+  sky130_fd_sc_hd__nor2_1 U60866 ( .A(n44804), .B(n52691), .Y(n46350) );
+  sky130_fd_sc_hd__a22oi_1 U60867 ( .A1(n41623), .A2(n56464), .B1(n50370), 
+        .B2(n56435), .Y(n41629) );
+  sky130_fd_sc_hd__nor2_1 U60868 ( .A(n57422), .B(n57013), .Y(n57472) );
+  sky130_fd_sc_hd__inv_2 U60869 ( .A(n57472), .Y(n56992) );
+  sky130_fd_sc_hd__nor2_1 U60870 ( .A(n56992), .B(n52269), .Y(n56428) );
+  sky130_fd_sc_hd__inv_2 U60871 ( .A(n56428), .Y(n56539) );
+  sky130_fd_sc_hd__nor2_1 U60872 ( .A(n52169), .B(n41624), .Y(n57336) );
+  sky130_fd_sc_hd__nand2_1 U60873 ( .A(n36975), .B(n57336), .Y(n47162) );
+  sky130_fd_sc_hd__inv_2 U60874 ( .A(n41627), .Y(n57540) );
+  sky130_fd_sc_hd__nand2_1 U60875 ( .A(n52744), .B(n41625), .Y(n52726) );
+  sky130_fd_sc_hd__nor2_1 U60876 ( .A(n56539), .B(n52726), .Y(n57077) );
+  sky130_fd_sc_hd__nand2_1 U60877 ( .A(n56542), .B(n57549), .Y(n50494) );
+  sky130_fd_sc_hd__nand2_1 U60878 ( .A(n57123), .B(n41606), .Y(n50261) );
+  sky130_fd_sc_hd__nand2_1 U60879 ( .A(n50438), .B(n50235), .Y(n50450) );
+  sky130_fd_sc_hd__nand2_1 U60880 ( .A(n43627), .B(n57208), .Y(n57483) );
+  sky130_fd_sc_hd__nor2_4 U60881 ( .A(n57040), .B(n57120), .Y(n50476) );
+  sky130_fd_sc_hd__inv_2 U60882 ( .A(n50476), .Y(n57415) );
+  sky130_fd_sc_hd__nor2_1 U60883 ( .A(n57483), .B(n57177), .Y(n47098) );
+  sky130_fd_sc_hd__nand2_1 U60884 ( .A(n57549), .B(n57336), .Y(n43366) );
+  sky130_fd_sc_hd__nor2_1 U60885 ( .A(n51484), .B(n41606), .Y(n44221) );
+  sky130_fd_sc_hd__nand2_1 U60886 ( .A(n44221), .B(n57509), .Y(n46724) );
+  sky130_fd_sc_hd__nand2_1 U60887 ( .A(n46487), .B(n43364), .Y(n57126) );
+  sky130_fd_sc_hd__nand2b_1 U60888 ( .A_N(n47098), .B(n57126), .Y(n43572) );
+  sky130_fd_sc_hd__nand2_1 U60889 ( .A(n36774), .B(n50476), .Y(n57481) );
+  sky130_fd_sc_hd__nand2_1 U60890 ( .A(n57481), .B(n50462), .Y(n43747) );
+  sky130_fd_sc_hd__nand2_1 U60891 ( .A(n41627), .B(n41606), .Y(n50436) );
+  sky130_fd_sc_hd__nor2_1 U60892 ( .A(n56542), .B(n52169), .Y(n43358) );
+  sky130_fd_sc_hd__nand2_1 U60893 ( .A(n57549), .B(n57473), .Y(n57319) );
+  sky130_fd_sc_hd__nand2_1 U60894 ( .A(n52744), .B(n41624), .Y(n50981) );
+  sky130_fd_sc_hd__nand2_1 U60895 ( .A(n51484), .B(n36975), .Y(n50259) );
+  sky130_fd_sc_hd__nor2_1 U60896 ( .A(n50259), .B(n50438), .Y(n50474) );
+  sky130_fd_sc_hd__nand2_1 U60897 ( .A(n50374), .B(n50474), .Y(n50461) );
+  sky130_fd_sc_hd__o22ai_1 U60898 ( .A1(n57014), .A2(n57319), .B1(n57177), 
+        .B2(n50461), .Y(n41628) );
+  sky130_fd_sc_hd__nor4_1 U60899 ( .A(n41629), .B(n57077), .C(n43572), .D(
+        n41628), .Y(n41649) );
+  sky130_fd_sc_hd__nor2_1 U60900 ( .A(n57540), .B(n52144), .Y(n46492) );
+  sky130_fd_sc_hd__nand2_1 U60901 ( .A(n57525), .B(n46492), .Y(n57490) );
+  sky130_fd_sc_hd__nor2_1 U60902 ( .A(n57481), .B(n50261), .Y(n44810) );
+  sky130_fd_sc_hd__nor2_1 U60903 ( .A(n43363), .B(n57496), .Y(n50166) );
+  sky130_fd_sc_hd__o21ai_1 U60904 ( .A1(n46720), .A2(n44810), .B1(n50166), .Y(
+        n41648) );
+  sky130_fd_sc_hd__o22ai_1 U60905 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_io_full), .A2(n84865), .B1(n83355), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address[10]), .Y(n41630) );
+  sky130_fd_sc_hd__o22ai_1 U60906 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_io_full), .A2(n84867), .B1(n83355), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address[12]), .Y(n41765) );
+  sky130_fd_sc_hd__nand2_1 U60907 ( .A(n41766), .B(n41765), .Y(n43337) );
+  sky130_fd_sc_hd__nand2_1 U60908 ( .A(n41630), .B(n51535), .Y(n52747) );
+  sky130_fd_sc_hd__nor4_1 U60909 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft[4]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft[0]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft[2]), .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft[6]), .Y(
+        n41633) );
+  sky130_fd_sc_hd__o22ai_1 U60911 ( .A1(n55037), .A2(n59965), .B1(n36850), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N43), 
+        .Y(n59958) );
+  sky130_fd_sc_hd__nor2_1 U60912 ( .A(n85438), .B(n85799), .Y(n41642) );
+  sky130_fd_sc_hd__nor2_1 U60913 ( .A(n36981), .B(n85439), .Y(n54852) );
+  sky130_fd_sc_hd__nand3_1 U60914 ( .A(n41642), .B(n54852), .C(n54851), .Y(
+        n41634) );
+  sky130_fd_sc_hd__nor4_1 U60915 ( .A(n78335), .B(n60364), .C(n41634), .D(
+        n84869), .Y(n60900) );
+  sky130_fd_sc_hd__nor2_1 U60916 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_io_full), .B(n60900), .Y(n53583) );
+  sky130_fd_sc_hd__inv_2 U60917 ( .A(n53583), .Y(n61161) );
+  sky130_fd_sc_hd__o22ai_1 U60918 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N43), .A2(n83468), .B1(n61192), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .Y(n60451) );
+  sky130_fd_sc_hd__nor2_1 U60919 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_maybe_full), .B(n60451), .Y(n54850) );
+  sky130_fd_sc_hd__nor2_1 U60920 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar__readys_unready_T_8[8]), .B(n54850), .Y(n53584) );
+  sky130_fd_sc_hd__o22ai_1 U60921 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N43), 
+        .A2(n55996), .B1(n60059), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .Y(n59837) );
+  sky130_fd_sc_hd__nor2_1 U60922 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_maybe_full), .B(n59837), .Y(n83778) );
+  sky130_fd_sc_hd__nor2_1 U60923 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar__readys_unready_T_8[9]), .B(n83778), .Y(n41650) );
+  sky130_fd_sc_hd__nor2_1 U60924 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_io_full), .B(n59808), .Y(n60873) );
+  sky130_fd_sc_hd__nand2_1 U60925 ( .A(n41659), .B(n41635), .Y(n83414) );
+  sky130_fd_sc_hd__inv_2 U60926 ( .A(n83414), .Y(n54347) );
+  sky130_fd_sc_hd__a21oi_1 U60927 ( .A1(n41659), .A2(n54343), .B1(n54347), .Y(
+        n41683) );
+  sky130_fd_sc_hd__inv_2 U60928 ( .A(n83417), .Y(n54346) );
+  sky130_fd_sc_hd__nand2_1 U60929 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_opcode[2]), .Y(n59811) );
+  sky130_fd_sc_hd__nand3_1 U60930 ( .A(n83876), .B(n85901), .C(n59809), .Y(
+        n41637) );
+  sky130_fd_sc_hd__inv_2 U60931 ( .A(n59828), .Y(n41736) );
+  sky130_fd_sc_hd__nor2_1 U60932 ( .A(n41736), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar__readys_unready_T_8[11]), .Y(n41651) );
+  sky130_fd_sc_hd__a21oi_1 U60933 ( .A1(n41699), .A2(n54328), .B1(n60543), .Y(
+        n41701) );
+  sky130_fd_sc_hd__o21bai_1 U60934 ( .A1(n41640), .A2(n41701), .B1_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_gennum[0]), .Y(n83398) );
+  sky130_fd_sc_hd__inv_2 U60935 ( .A(n83398), .Y(n63192) );
+  sky130_fd_sc_hd__nand2_1 U60936 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_opcode[2]), .Y(n63189) );
+  sky130_fd_sc_hd__nor3_1 U60937 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_opcode[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_opcode[0]), .C(n63189), .Y(n41641) );
+  sky130_fd_sc_hd__nand2_1 U60938 ( .A(n41642), .B(n78337), .Y(n41643) );
+  sky130_fd_sc_hd__nand2_1 U60939 ( .A(n60053), .B(n54828), .Y(n59927) );
+  sky130_fd_sc_hd__nand2_1 U60940 ( .A(n63187), .B(n63186), .Y(n41644) );
+  sky130_fd_sc_hd__nand2_1 U60941 ( .A(n36803), .B(n41644), .Y(n54326) );
+  sky130_fd_sc_hd__nand2_1 U60942 ( .A(n54324), .B(n54326), .Y(n41652) );
+  sky130_fd_sc_hd__nor2_1 U60943 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar__readys_unready_T_8[12]), .B(n41652), .Y(n41689) );
+  sky130_fd_sc_hd__nor3_1 U60944 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_auto_in_d_bits_source[3]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_auto_in_d_bits_source[2]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_auto_in_d_bits_source[1]), .Y(n83978) );
+  sky130_fd_sc_hd__a21oi_1 U60945 ( .A1(n83978), .A2(n83376), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_opcode_0_), .Y(n54844) );
+  sky130_fd_sc_hd__nand2_1 U60946 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_source_ready), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_valid_reg), .Y(n54842) );
+  sky130_fd_sc_hd__nor2_1 U60947 ( .A(n54844), .B(n54842), .Y(n41742) );
+  sky130_fd_sc_hd__nor2_1 U60948 ( .A(n59823), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar__readys_unready_T_8[14]), .Y(n41691) );
+  sky130_fd_sc_hd__o22ai_1 U60949 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N43), .A2(n55995), .B1(n60129), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .Y(n59751) );
+  sky130_fd_sc_hd__nor2_1 U60950 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_maybe_full), .B(n59751), .Y(n59830) );
+  sky130_fd_sc_hd__nand2b_1 U60951 ( .A_N(n41691), .B(n41690), .Y(n41728) );
+  sky130_fd_sc_hd__nor2_1 U60952 ( .A(n41689), .B(n41728), .Y(n41653) );
+  sky130_fd_sc_hd__nand2b_1 U60953 ( .A_N(n41651), .B(n41653), .Y(n41751) );
+  sky130_fd_sc_hd__nor3_1 U60954 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_auto_plic_in_d_bits_source[3]), .B(MarmotCaravelChip_dut_sys_plicDomainWrapper_auto_plic_in_d_bits_source[2]), .C(MarmotCaravelChip_dut_sys_plicDomainWrapper_auto_plic_in_d_bits_source[1]), .Y(n53587) );
+  sky130_fd_sc_hd__a21oi_1 U60955 ( .A1(n53587), .A2(n83441), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_auto_tl_in_d_bits_opcode_0_), .Y(n61449) );
+  sky130_fd_sc_hd__nor2_1 U60956 ( .A(n61449), .B(n61452), .Y(n41752) );
+  sky130_fd_sc_hd__nand2b_1 U60957 ( .A_N(n41751), .B(n37219), .Y(n41739) );
+  sky130_fd_sc_hd__nor2_1 U60958 ( .A(n83989), .B(n83902), .Y(n41645) );
+  sky130_fd_sc_hd__a21oi_2 U60959 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_7), .A2(n83989), .B1(n41645), .Y(n56005) );
+  sky130_fd_sc_hd__nor2_1 U60960 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_io_full), .B(n56005), .Y(n54137) );
+  sky130_fd_sc_hd__nand3_1 U60961 ( .A(n78331), .B(n78333), .C(n54137), .Y(
+        n41647) );
+  sky130_fd_sc_hd__or4b_4 U60962 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address[14]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address[15]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address[13]), .D_N(n55348), .X(n41646) );
+  sky130_fd_sc_hd__o21ai_4 U60963 ( .A1(n85797), .A2(n41647), .B1(n41646), .Y(
+        n57096) );
+  sky130_fd_sc_hd__nand2_1 U60964 ( .A(n57088), .B(n57096), .Y(n57267) );
+  sky130_fd_sc_hd__a21oi_1 U60965 ( .A1(n41649), .A2(n41648), .B1(n57267), .Y(
+        n41798) );
+  sky130_fd_sc_hd__nor2_1 U60967 ( .A(n41651), .B(n41749), .Y(n41688) );
+  sky130_fd_sc_hd__nand2_1 U60969 ( .A(n59830), .B(n59823), .Y(n41726) );
+  sky130_fd_sc_hd__nor2_1 U60970 ( .A(n59829), .B(n41726), .Y(n41737) );
+  sky130_fd_sc_hd__nor2b_1 U60971 ( .B_N(n41653), .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar__readys_unready_T_8[11]), .Y(n41654) );
+  sky130_fd_sc_hd__a21oi_1 U60972 ( .A1(n41688), .A2(n41737), .B1(n41654), .Y(
+        n59805) );
+  sky130_fd_sc_hd__nor2_1 U60973 ( .A(n59805), .B(n41736), .Y(n83897) );
+  sky130_fd_sc_hd__o22ai_2 U60974 ( .A1(n78319), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_3), .B1(n83989), .B2(n83897), .Y(n55998) );
+  sky130_fd_sc_hd__nor4_1 U60975 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[9]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[8]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[7]), .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[6]), .Y(n41656) );
+  sky130_fd_sc_hd__nor4_1 U60976 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[13]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[12]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[11]), .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[10]), .Y(n41655) );
+  sky130_fd_sc_hd__a21oi_1 U60977 ( .A1(n41656), .A2(n41655), .B1(n59809), .Y(
+        n41671) );
+  sky130_fd_sc_hd__o22ai_1 U60978 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_io_full), .A2(n84862), .B1(n59809), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[3]), .Y(n41657) );
+  sky130_fd_sc_hd__o21ai_1 U60979 ( .A1(n41659), .A2(n41658), .B1(n41657), .Y(
+        n41673) );
+  sky130_fd_sc_hd__a22oi_1 U60980 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[5]), .B1(n41660), .B2(n83435), .Y(n41661) );
+  sky130_fd_sc_hd__o21ai_1 U60981 ( .A1(n41696), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_io_full), .B1(n41661), .Y(n41677) );
+  sky130_fd_sc_hd__nand2_1 U60982 ( .A(n78331), .B(n78329), .Y(n41663) );
+  sky130_fd_sc_hd__nand4_1 U60983 ( .A(n78341), .B(n78339), .C(n78343), .D(
+        n78345), .Y(n41662) );
+  sky130_fd_sc_hd__nor4_1 U60984 ( .A(n85806), .B(n85807), .C(n41663), .D(
+        n41662), .Y(n41669) );
+  sky130_fd_sc_hd__o22ai_1 U60985 ( .A1(n41667), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_io_full), .B1(n41666), .B2(n41665), .Y(n41668) );
+  sky130_fd_sc_hd__a21oi_1 U60986 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[4]), .B1(n41668), .Y(n41678) );
+  sky130_fd_sc_hd__nor4_1 U60988 ( .A(n41671), .B(n41673), .C(n41677), .D(
+        n41670), .Y(n77643) );
+  sky130_fd_sc_hd__o22ai_1 U60989 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_io_full), .A2(n85797), .B1(n59809), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[15]), .Y(n41685) );
+  sky130_fd_sc_hd__nor2_1 U60990 ( .A(n78331), .B(n78329), .Y(n60367) );
+  sky130_fd_sc_hd__nor4_1 U60991 ( .A(n78341), .B(n78339), .C(n78343), .D(
+        n78345), .Y(n41672) );
+  sky130_fd_sc_hd__nand4_1 U60992 ( .A(n60367), .B(n41672), .C(n85806), .D(
+        n85807), .Y(n41681) );
+  sky130_fd_sc_hd__a22oi_1 U60993 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[14]), .B1(n59809), .B2(n85435), .Y(n41686) );
+  sky130_fd_sc_hd__nor2_1 U60994 ( .A(n41685), .B(n82415), .Y(n45827) );
+  sky130_fd_sc_hd__nand4_1 U60995 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[9]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[8]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[7]), .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[6]), .Y(n41675) );
+  sky130_fd_sc_hd__nand4_1 U60996 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[13]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[12]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[11]), .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[10]), .Y(n41674) );
+  sky130_fd_sc_hd__nand4b_1 U60998 ( .A_N(n41678), .B(n45827), .C(n41677), .D(
+        n41676), .Y(n41679) );
+  sky130_fd_sc_hd__a211oi_1 U60999 ( .A1(n59809), .A2(n41681), .B1(n41680), 
+        .C1(n41679), .Y(n60874) );
+  sky130_fd_sc_hd__a21oi_1 U61000 ( .A1(n77643), .A2(n41685), .B1(n60874), .Y(
+        n41682) );
+  sky130_fd_sc_hd__nor2_1 U61001 ( .A(n55998), .B(n41682), .Y(n41743) );
+  sky130_fd_sc_hd__a22oi_1 U61002 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_address[2]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_gennum[0]), .B2(n41683), .Y(n41684) );
+  sky130_fd_sc_hd__o21ai_1 U61003 ( .A1(n54327), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_io_full), .B1(n41684), .Y(n82416) );
+  sky130_fd_sc_hd__nor2_1 U61004 ( .A(n41686), .B(n82417), .Y(n77646) );
+  sky130_fd_sc_hd__nand2_1 U61005 ( .A(n77644), .B(n77646), .Y(n41687) );
+  sky130_fd_sc_hd__nand2b_1 U61006 ( .A_N(n41689), .B(n41688), .Y(n41727) );
+  sky130_fd_sc_hd__nand2b_1 U61007 ( .A_N(n41727), .B(n41690), .Y(n41741) );
+  sky130_fd_sc_hd__nand2b_1 U61008 ( .A_N(n41741), .B(n59823), .Y(n41692) );
+  sky130_fd_sc_hd__o22ai_1 U61009 ( .A1(n78319), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_5), .B1(n83989), .B2(n83540), .Y(n55997) );
+  sky130_fd_sc_hd__a22oi_1 U61010 ( .A1(n57587), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__3[7]), .B1(n37065), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[63]), .Y(n41762) );
+  sky130_fd_sc_hd__nand2_1 U61011 ( .A(n77646), .B(n82416), .Y(n41693) );
+  sky130_fd_sc_hd__nor2_2 U61012 ( .A(n45826), .B(n41693), .Y(n56525) );
+  sky130_fd_sc_hd__a22oi_1 U61013 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_address[9]), .B1(n36803), .B2(n85807), .Y(n41707) );
+  sky130_fd_sc_hd__o22ai_1 U61014 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_io_full), .A2(n84865), .B1(n36803), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_address[10]), .Y(n41732) );
+  sky130_fd_sc_hd__nor2_1 U61015 ( .A(n43396), .B(n44860), .Y(n45691) );
+  sky130_fd_sc_hd__a22oi_1 U61016 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_address[5]), .B1(n41694), .B2(n54332), .Y(n41695) );
+  sky130_fd_sc_hd__inv_2 U61017 ( .A(n45480), .Y(n46834) );
+  sky130_fd_sc_hd__o22ai_1 U61018 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_io_full), .A2(n84862), .B1(n36803), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_address[3]), .Y(n41697) );
+  sky130_fd_sc_hd__o21ai_1 U61019 ( .A1(n41699), .A2(n41698), .B1(n41697), .Y(
+        n48497) );
+  sky130_fd_sc_hd__o21ai_1 U61020 ( .A1(n54327), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_io_full), .B1(n41702), .Y(n43380) );
+  sky130_fd_sc_hd__nor2_1 U61021 ( .A(n51901), .B(n47231), .Y(n41715) );
+  sky130_fd_sc_hd__nand2_1 U61022 ( .A(n46834), .B(n41715), .Y(n43803) );
+  sky130_fd_sc_hd__nand2_1 U61023 ( .A(n45691), .B(n56952), .Y(n52795) );
+  sky130_fd_sc_hd__o22ai_1 U61024 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_io_full), .A2(n85806), .B1(n36803), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_address[7]), .Y(n52827) );
+  sky130_fd_sc_hd__a22oi_1 U61025 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_address[8]), .B1(n36803), .B2(n84864), .Y(n45690) );
+  sky130_fd_sc_hd__a22oi_1 U61026 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_address[6]), .B1(n36803), .B2(n84863), .Y(n41708) );
+  sky130_fd_sc_hd__nand2_1 U61027 ( .A(n45690), .B(n41708), .Y(n43379) );
+  sky130_fd_sc_hd__nor2_1 U61028 ( .A(n48496), .B(n43379), .Y(n45694) );
+  sky130_fd_sc_hd__o22ai_1 U61029 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_io_full), .A2(n85782), .B1(n36803), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_address[4]), .Y(n41704) );
+  sky130_fd_sc_hd__nand2_1 U61030 ( .A(n45694), .B(n52788), .Y(n50224) );
+  sky130_fd_sc_hd__nand2_1 U61031 ( .A(n46834), .B(n45691), .Y(n43802) );
+  sky130_fd_sc_hd__nor2_1 U61032 ( .A(n45689), .B(n50224), .Y(n56953) );
+  sky130_fd_sc_hd__nand2_1 U61033 ( .A(n41715), .B(n56953), .Y(n48345) );
+  sky130_fd_sc_hd__o21ai_1 U61034 ( .A1(n50224), .A2(n52791), .B1(n48345), .Y(
+        n52446) );
+  sky130_fd_sc_hd__nand2_1 U61035 ( .A(n45480), .B(n45691), .Y(n52790) );
+  sky130_fd_sc_hd__nor3_1 U61036 ( .A(n47231), .B(n48496), .C(n52790), .Y(
+        n50524) );
+  sky130_fd_sc_hd__nor2_1 U61037 ( .A(n52788), .B(n43379), .Y(n52794) );
+  sky130_fd_sc_hd__nand2_1 U61038 ( .A(n50524), .B(n52794), .Y(n51463) );
+  sky130_fd_sc_hd__nor2_1 U61039 ( .A(n48497), .B(n51463), .Y(n48445) );
+  sky130_fd_sc_hd__a21oi_1 U61040 ( .A1(n52795), .A2(n52446), .B1(n48445), .Y(
+        n41734) );
+  sky130_fd_sc_hd__nand2_1 U61041 ( .A(n41708), .B(n41709), .Y(n41724) );
+  sky130_fd_sc_hd__nor2_1 U61042 ( .A(n48497), .B(n43380), .Y(n41710) );
+  sky130_fd_sc_hd__nor3_2 U61043 ( .A(n41724), .B(n47236), .C(n52788), .Y(
+        n52065) );
+  sky130_fd_sc_hd__nand2_1 U61045 ( .A(n52807), .B(n46079), .Y(n82374) );
+  sky130_fd_sc_hd__inv_4 U61046 ( .A(n82374), .Y(n57586) );
+  sky130_fd_sc_hd__nor2_1 U61047 ( .A(n47231), .B(n48497), .Y(n43382) );
+  sky130_fd_sc_hd__nand2_1 U61048 ( .A(n43382), .B(n45480), .Y(n43384) );
+  sky130_fd_sc_hd__nand2_1 U61049 ( .A(n47231), .B(n48497), .Y(n50210) );
+  sky130_fd_sc_hd__a22oi_1 U61050 ( .A1(n82315), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[31]), 
+        .B1(n82284), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[31]), 
+        .Y(n41714) );
+  sky130_fd_sc_hd__nand2_1 U61051 ( .A(n41710), .B(n45480), .Y(n43383) );
+  sky130_fd_sc_hd__a22oi_1 U61052 ( .A1(n38397), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[31]), 
+        .B1(n82321), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[31]), 
+        .Y(n41713) );
+  sky130_fd_sc_hd__inv_2 U61053 ( .A(n52810), .Y(n44297) );
+  sky130_fd_sc_hd__a22oi_1 U61054 ( .A1(n38401), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[31]), 
+        .B1(n82327), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[31]), 
+        .Y(n41712) );
+  sky130_fd_sc_hd__nand2_1 U61055 ( .A(n46834), .B(n43382), .Y(n45692) );
+  sky130_fd_sc_hd__a22oi_1 U61056 ( .A1(n82339), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[31]), 
+        .B1(n82290), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[31]), 
+        .Y(n41711) );
+  sky130_fd_sc_hd__nand4_1 U61057 ( .A(n41714), .B(n41713), .C(n41712), .D(
+        n41711), .Y(n41723) );
+  sky130_fd_sc_hd__a22oi_1 U61058 ( .A1(n82296), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[31]), 
+        .B1(n38396), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[31]), 
+        .Y(n41721) );
+  sky130_fd_sc_hd__a22oi_1 U61059 ( .A1(n82309), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[31]), 
+        .B1(n82350), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[31]), 
+        .Y(n41720) );
+  sky130_fd_sc_hd__nand2_1 U61060 ( .A(n41715), .B(n45480), .Y(n45475) );
+  sky130_fd_sc_hd__a22oi_1 U61061 ( .A1(n82303), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[31]), 
+        .B1(n82361), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[31]), 
+        .Y(n41719) );
+  sky130_fd_sc_hd__a22oi_1 U61062 ( .A1(n82278), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[31]), 
+        .B1(n82333), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[31]), 
+        .Y(n41718) );
+  sky130_fd_sc_hd__nand4_1 U61063 ( .A(n41721), .B(n41720), .C(n41719), .D(
+        n41718), .Y(n41722) );
+  sky130_fd_sc_hd__a211oi_1 U61064 ( .A1(n57586), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[31]), 
+        .B1(n41723), .C1(n41722), .Y(n41733) );
+  sky130_fd_sc_hd__nor2_1 U61065 ( .A(n48496), .B(n41724), .Y(n77487) );
+  sky130_fd_sc_hd__nor4_1 U61066 ( .A(n44860), .B(n57586), .C(n41725), .D(
+        n77487), .Y(n41731) );
+  sky130_fd_sc_hd__o22ai_1 U61067 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_io_full), .A2(n84866), .B1(n36803), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_address[11]), .Y(n77484) );
+  sky130_fd_sc_hd__nand2_1 U61068 ( .A(n59829), .B(n54323), .Y(n83919) );
+  sky130_fd_sc_hd__nand2_1 U61069 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_4), .B(n83989), 
+        .Y(n41729) );
+  sky130_fd_sc_hd__o21ai_1 U61070 ( .A1(n83989), .A2(n83919), .B1(n41729), .Y(
+        n54138) );
+  sky130_fd_sc_hd__o21ai_1 U61071 ( .A1(n41731), .A2(n77484), .B1(n54138), .Y(
+        n41730) );
+  sky130_fd_sc_hd__a21oi_1 U61072 ( .A1(n41731), .A2(n77484), .B1(n41730), .Y(
+        n44859) );
+  sky130_fd_sc_hd__a21oi_1 U61073 ( .A1(n41734), .A2(n41733), .B1(n43381), .Y(
+        n41735) );
+  sky130_fd_sc_hd__a21oi_1 U61074 ( .A1(n56525), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__7[7]), .B1(n41735), .Y(
+        n41761) );
+  sky130_fd_sc_hd__nand2_1 U61075 ( .A(n41737), .B(n41736), .Y(n41750) );
+  sky130_fd_sc_hd__nor2_1 U61076 ( .A(n41752), .B(n41750), .Y(n53582) );
+  sky130_fd_sc_hd__nand2_1 U61077 ( .A(n41738), .B(n53582), .Y(n41740) );
+  sky130_fd_sc_hd__a211oi_1 U61078 ( .A1(n41740), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar__readys_unready_T_8[9]), .B1(n83778), .C1(n41739), .Y(n78320) );
+  sky130_fd_sc_hd__nand2_1 U61079 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar__readys_unready_T_8[14]), .B(n41741), .Y(n54841) );
+  sky130_fd_sc_hd__nand2_1 U61080 ( .A(n41742), .B(n54841), .Y(n83917) );
+  sky130_fd_sc_hd__o22a_1 U61081 ( .A1(n78319), .A2(n59822), .B1(n83989), .B2(
+        n83917), .X(n55992) );
+  sky130_fd_sc_hd__a22oi_1 U61082 ( .A1(n57571), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[63]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[31]), .B2(n57558), .Y(n41760) );
+  sky130_fd_sc_hd__nand2_1 U61083 ( .A(n45827), .B(n41743), .Y(n41744) );
+  sky130_fd_sc_hd__nand2_1 U61084 ( .A(n57570), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[7]), .Y(n41757) );
+  sky130_fd_sc_hd__nor4_1 U61085 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex_6), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex[13]), .C(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex[12]), .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex_9), .Y(
+        n41747) );
+  sky130_fd_sc_hd__nor4_1 U61086 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex_8), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex[17]), .C(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex[16]), .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex[15]), .Y(
+        n41746) );
+  sky130_fd_sc_hd__nor4_1 U61087 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex[14]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex_21), .C(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex[18]), .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex_22), .Y(
+        n41745) );
+  sky130_fd_sc_hd__nand3_1 U61088 ( .A(n41747), .B(n41746), .C(n41745), .Y(
+        n41748) );
+  sky130_fd_sc_hd__nor4_1 U61089 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex_23), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex_7), .C(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_bindex_20), .D(
+        n41748), .Y(n61455) );
+  sky130_fd_sc_hd__o22ai_1 U61090 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar__readys_unready_T_8[10]), .A2(n41751), .B1(n41750), .B2(n41749), .Y(n61450) );
+  sky130_fd_sc_hd__nand2_1 U61091 ( .A(n61456), .B(n61458), .Y(n45529) );
+  sky130_fd_sc_hd__nand2_1 U61092 ( .A(n62964), .B(n67676), .Y(n45532) );
+  sky130_fd_sc_hd__nor2_1 U61093 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[7]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[8]), .Y(
+        n45525) );
+  sky130_fd_sc_hd__nand2_1 U61094 ( .A(n45525), .B(n61460), .Y(n41753) );
+  sky130_fd_sc_hd__nor3b_1 U61095 ( .C_N(n45700), .A(n45557), .B(n41753), .Y(
+        n43400) );
+  sky130_fd_sc_hd__nand2_1 U61096 ( .A(n43400), .B(n67678), .Y(n46439) );
+  sky130_fd_sc_hd__nand2_1 U61097 ( .A(n52780), .B(n52769), .Y(n50909) );
+  sky130_fd_sc_hd__inv_2 U61098 ( .A(n50909), .Y(n57561) );
+  sky130_fd_sc_hd__nor3_1 U61099 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[6]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[8]), .C(
+        n45496), .Y(n61457) );
+  sky130_fd_sc_hd__nand2_1 U61100 ( .A(n45700), .B(n61457), .Y(n41754) );
+  sky130_fd_sc_hd__nor2_1 U61101 ( .A(n61460), .B(n41754), .Y(n43403) );
+  sky130_fd_sc_hd__nand2_1 U61102 ( .A(n43403), .B(n67678), .Y(n46409) );
+  sky130_fd_sc_hd__inv_2 U61103 ( .A(n50911), .Y(n57559) );
+  sky130_fd_sc_hd__a22oi_1 U61104 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[2]), .A2(n57561), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[30]), 
+        .B2(n57559), .Y(n41756) );
+  sky130_fd_sc_hd__nor2_1 U61105 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[5]), .B(
+        n41754), .Y(n43402) );
+  sky130_fd_sc_hd__nand2_1 U61106 ( .A(n43402), .B(n67678), .Y(n46414) );
+  sky130_fd_sc_hd__nand2_1 U61107 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[30]), .B(
+        n57560), .Y(n41755) );
+  sky130_fd_sc_hd__nand3_1 U61108 ( .A(n41757), .B(n41756), .C(n41755), .Y(
+        n41758) );
+  sky130_fd_sc_hd__a21oi_1 U61109 ( .A1(n57588), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[7]), .B1(n41758), .Y(
+        n41759) );
+  sky130_fd_sc_hd__nand4_1 U61110 ( .A(n41762), .B(n41761), .C(n41760), .D(
+        n41759), .Y(n41797) );
+  sky130_fd_sc_hd__inv_2 U61111 ( .A(n56981), .Y(n52710) );
+  sky130_fd_sc_hd__nand2_1 U61112 ( .A(n41606), .B(n57336), .Y(n52164) );
+  sky130_fd_sc_hd__nor2_1 U61113 ( .A(n51484), .B(n52164), .Y(n57508) );
+  sky130_fd_sc_hd__nor2_1 U61114 ( .A(n52710), .B(n36838), .Y(n46786) );
+  sky130_fd_sc_hd__nand2_1 U61115 ( .A(n51484), .B(n50918), .Y(n48257) );
+  sky130_fd_sc_hd__nor2_1 U61116 ( .A(n56581), .B(n48281), .Y(n57199) );
+  sky130_fd_sc_hd__nand3_1 U61117 ( .A(n50162), .B(n48281), .C(n45408), .Y(
+        n43357) );
+  sky130_fd_sc_hd__nor2_1 U61118 ( .A(n56430), .B(n43357), .Y(n43754) );
+  sky130_fd_sc_hd__a21oi_1 U61119 ( .A1(n57139), .A2(n57199), .B1(n43754), .Y(
+        n41763) );
+  sky130_fd_sc_hd__nand2_1 U61120 ( .A(n52169), .B(n44221), .Y(n57414) );
+  sky130_fd_sc_hd__nand2_1 U61121 ( .A(n50449), .B(n57120), .Y(n52218) );
+  sky130_fd_sc_hd__nor2_1 U61122 ( .A(n56542), .B(n52218), .Y(n43355) );
+  sky130_fd_sc_hd__inv_2 U61123 ( .A(n50462), .Y(n46781) );
+  sky130_fd_sc_hd__nand2_1 U61124 ( .A(n57123), .B(n46781), .Y(n57528) );
+  sky130_fd_sc_hd__nand2_1 U61125 ( .A(n56587), .B(n50931), .Y(n50252) );
+  sky130_fd_sc_hd__nor2_1 U61126 ( .A(n41624), .B(n52218), .Y(n45655) );
+  sky130_fd_sc_hd__nand2_1 U61127 ( .A(n36774), .B(n45655), .Y(n46779) );
+  sky130_fd_sc_hd__nand4_1 U61128 ( .A(n41763), .B(n46347), .C(n50252), .D(
+        n46779), .Y(n41764) );
+  sky130_fd_sc_hd__nor2b_1 U61129 ( .B_N(n41765), .A(n41766), .Y(n44275) );
+  sky130_fd_sc_hd__nand2_1 U61130 ( .A(n57549), .B(n41630), .Y(n56593) );
+  sky130_fd_sc_hd__nor2_1 U61131 ( .A(n57501), .B(n56593), .Y(n46306) );
+  sky130_fd_sc_hd__o21ai_1 U61132 ( .A1(n46786), .A2(n41764), .B1(n46306), .Y(
+        n41793) );
+  sky130_fd_sc_hd__nand2_1 U61133 ( .A(n36975), .B(n43358), .Y(n51507) );
+  sky130_fd_sc_hd__nor2_1 U61134 ( .A(n51484), .B(n52102), .Y(n44269) );
+  sky130_fd_sc_hd__nor2_1 U61135 ( .A(n51507), .B(n43784), .Y(n56493) );
+  sky130_fd_sc_hd__nor2_1 U61136 ( .A(n41766), .B(n41765), .Y(n43329) );
+  sky130_fd_sc_hd__nand2_1 U61137 ( .A(n43329), .B(n41630), .Y(n57438) );
+  sky130_fd_sc_hd__nand2_1 U61138 ( .A(n57549), .B(n56989), .Y(n57427) );
+  sky130_fd_sc_hd__nand2_1 U61141 ( .A(n36774), .B(n86738), .Y(n50328) );
+  sky130_fd_sc_hd__nor2_1 U61142 ( .A(n50259), .B(n50328), .Y(n43326) );
+  sky130_fd_sc_hd__nor2_1 U61143 ( .A(n50436), .B(n50462), .Y(n41770) );
+  sky130_fd_sc_hd__nand2_1 U61144 ( .A(n44221), .B(n48247), .Y(n43649) );
+  sky130_fd_sc_hd__nor4_1 U61145 ( .A(n44810), .B(n43326), .C(n41770), .D(
+        n43786), .Y(n41768) );
+  sky130_fd_sc_hd__inv_2 U61146 ( .A(n41630), .Y(n57542) );
+  sky130_fd_sc_hd__nand2_1 U61147 ( .A(n57542), .B(n51535), .Y(n57079) );
+  sky130_fd_sc_hd__nor3_1 U61148 ( .A(n41768), .B(n57079), .C(n43366), .Y(
+        n56425) );
+  sky130_fd_sc_hd__a21oi_1 U61149 ( .A1(n56493), .A2(n52237), .B1(n56425), .Y(
+        n41792) );
+  sky130_fd_sc_hd__nor2_1 U61150 ( .A(n57496), .B(n41624), .Y(n57125) );
+  sky130_fd_sc_hd__nand2_1 U61151 ( .A(n50438), .B(n48290), .Y(n52216) );
+  sky130_fd_sc_hd__nand2_1 U61152 ( .A(n50374), .B(n52741), .Y(n57480) );
+  sky130_fd_sc_hd__nor2_1 U61153 ( .A(n52710), .B(n57480), .Y(n41769) );
+  sky130_fd_sc_hd__a31oi_1 U61154 ( .A1(n57125), .A2(n41770), .A3(n57040), 
+        .B1(n41769), .Y(n41778) );
+  sky130_fd_sc_hd__nand2_1 U61155 ( .A(n52102), .B(n50328), .Y(n52264) );
+  sky130_fd_sc_hd__inv_1 U61156 ( .A(n57336), .Y(n56431) );
+  sky130_fd_sc_hd__nor2b_1 U61157 ( .B_N(n41770), .A(n56431), .Y(n56546) );
+  sky130_fd_sc_hd__a21oi_1 U61158 ( .A1(n52264), .A2(n57144), .B1(n56546), .Y(
+        n56578) );
+  sky130_fd_sc_hd__nand2_1 U61159 ( .A(n51484), .B(n45431), .Y(n56458) );
+  sky130_fd_sc_hd__nor2_1 U61160 ( .A(n57177), .B(n56458), .Y(n52200) );
+  sky130_fd_sc_hd__nor2_1 U61161 ( .A(n50462), .B(n56498), .Y(n52209) );
+  sky130_fd_sc_hd__nor2_1 U61162 ( .A(n57040), .B(n51508), .Y(n57142) );
+  sky130_fd_sc_hd__nor2_1 U61163 ( .A(n57120), .B(n48297), .Y(n52090) );
+  sky130_fd_sc_hd__nand2_1 U61164 ( .A(n57123), .B(n52090), .Y(n56575) );
+  sky130_fd_sc_hd__nor2_1 U61165 ( .A(n48062), .B(n56575), .Y(n41771) );
+  sky130_fd_sc_hd__nand2_1 U61166 ( .A(n36797), .B(n57473), .Y(n52084) );
+  sky130_fd_sc_hd__nor2_1 U61167 ( .A(n57120), .B(n52084), .Y(n45457) );
+  sky130_fd_sc_hd__nor4_1 U61168 ( .A(n52200), .B(n57142), .C(n41771), .D(
+        n45457), .Y(n41773) );
+  sky130_fd_sc_hd__nor2_1 U61169 ( .A(n51484), .B(n52691), .Y(n41772) );
+  sky130_fd_sc_hd__nand2_1 U61170 ( .A(n57509), .B(n41772), .Y(n57521) );
+  sky130_fd_sc_hd__nor2_1 U61171 ( .A(n48318), .B(n57540), .Y(n52210) );
+  sky130_fd_sc_hd__nor2_1 U61172 ( .A(n57192), .B(n56993), .Y(n43719) );
+  sky130_fd_sc_hd__nand2_1 U61173 ( .A(n43719), .B(n45408), .Y(n48326) );
+  sky130_fd_sc_hd__nand4_1 U61174 ( .A(n56578), .B(n41773), .C(n57521), .D(
+        n48326), .Y(n41775) );
+  sky130_fd_sc_hd__nor2_1 U61175 ( .A(n57120), .B(n56993), .Y(n46014) );
+  sky130_fd_sc_hd__nand2_1 U61176 ( .A(n46014), .B(n56581), .Y(n57421) );
+  sky130_fd_sc_hd__nand2_1 U61177 ( .A(n57521), .B(n57421), .Y(n56553) );
+  sky130_fd_sc_hd__nor2_1 U61178 ( .A(n51484), .B(n51507), .Y(n57407) );
+  sky130_fd_sc_hd__nand2_1 U61179 ( .A(n57407), .B(n57472), .Y(n48285) );
+  sky130_fd_sc_hd__nand2_1 U61180 ( .A(n48285), .B(n52744), .Y(n41774) );
+  sky130_fd_sc_hd__o22ai_1 U61181 ( .A1(n41775), .A2(n52744), .B1(n56553), 
+        .B2(n41774), .Y(n41777) );
+  sky130_fd_sc_hd__nand2_1 U61182 ( .A(n52219), .B(n45655), .Y(n43654) );
+  sky130_fd_sc_hd__nor2_1 U61183 ( .A(n57496), .B(n43654), .Y(n57227) );
+  sky130_fd_sc_hd__nand2_1 U61184 ( .A(n57542), .B(n44275), .Y(n57325) );
+  sky130_fd_sc_hd__a31oi_1 U61185 ( .A1(n41778), .A2(n41777), .A3(n41776), 
+        .B1(n57325), .Y(n41790) );
+  sky130_fd_sc_hd__nor2_1 U61186 ( .A(n57485), .B(n57123), .Y(n48242) );
+  sky130_fd_sc_hd__nand2_1 U61187 ( .A(n57123), .B(n46351), .Y(n51835) );
+  sky130_fd_sc_hd__nand2_1 U61189 ( .A(n52237), .B(n45727), .Y(n48251) );
+  sky130_fd_sc_hd__a21oi_1 U61190 ( .A1(n57160), .A2(n51835), .B1(n48251), .Y(
+        n41789) );
+  sky130_fd_sc_hd__nand2_1 U61191 ( .A(n57549), .B(n51836), .Y(n46322) );
+  sky130_fd_sc_hd__nor2_1 U61192 ( .A(n50259), .B(n46322), .Y(n50424) );
+  sky130_fd_sc_hd__nor2_1 U61193 ( .A(n86738), .B(n52269), .Y(n52465) );
+  sky130_fd_sc_hd__nor2_1 U61194 ( .A(n41779), .B(n52465), .Y(n46490) );
+  sky130_fd_sc_hd__nand2_1 U61195 ( .A(n51484), .B(n50919), .Y(n56540) );
+  sky130_fd_sc_hd__nand2_1 U61196 ( .A(n57123), .B(n57525), .Y(n50926) );
+  sky130_fd_sc_hd__nor2_1 U61197 ( .A(n50926), .B(n48062), .Y(n45645) );
+  sky130_fd_sc_hd__nand2_1 U61198 ( .A(n48068), .B(n43627), .Y(n52466) );
+  sky130_fd_sc_hd__a21oi_1 U61199 ( .A1(n56540), .A2(n57238), .B1(n52466), .Y(
+        n41782) );
+  sky130_fd_sc_hd__inv_2 U61200 ( .A(n56444), .Y(n57163) );
+  sky130_fd_sc_hd__nor2_1 U61201 ( .A(n57177), .B(n52262), .Y(n56447) );
+  sky130_fd_sc_hd__a21oi_1 U61202 ( .A1(n57163), .A2(n56981), .B1(n56447), .Y(
+        n43679) );
+  sky130_fd_sc_hd__nand2_1 U61203 ( .A(n57549), .B(n51495), .Y(n45855) );
+  sky130_fd_sc_hd__nor2_1 U61204 ( .A(n45855), .B(n41606), .Y(n50984) );
+  sky130_fd_sc_hd__nor2_1 U61205 ( .A(n51484), .B(n57526), .Y(n44224) );
+  sky130_fd_sc_hd__nor2_1 U61206 ( .A(n52752), .B(n57422), .Y(n44251) );
+  sky130_fd_sc_hd__o22ai_1 U61207 ( .A1(n43679), .A2(n52744), .B1(n57072), 
+        .B2(n48269), .Y(n41781) );
+  sky130_fd_sc_hd__a211oi_1 U61208 ( .A1(n50424), .A2(n46490), .B1(n41782), 
+        .C1(n41781), .Y(n41785) );
+  sky130_fd_sc_hd__nor2_1 U61209 ( .A(n45431), .B(n50931), .Y(n56455) );
+  sky130_fd_sc_hd__nor2_1 U61210 ( .A(n57540), .B(n56455), .Y(n56412) );
+  sky130_fd_sc_hd__nor2_1 U61211 ( .A(n57485), .B(n56570), .Y(n56414) );
+  sky130_fd_sc_hd__nor2_1 U61212 ( .A(n57177), .B(n56498), .Y(n56416) );
+  sky130_fd_sc_hd__nor2_1 U61213 ( .A(n46786), .B(n56416), .Y(n46478) );
+  sky130_fd_sc_hd__nand2_1 U61214 ( .A(n50438), .B(n44221), .Y(n52217) );
+  sky130_fd_sc_hd__nor2_1 U61215 ( .A(n52217), .B(n52229), .Y(n50447) );
+  sky130_fd_sc_hd__nor2_1 U61216 ( .A(n51484), .B(n51822), .Y(n52181) );
+  sky130_fd_sc_hd__nor2_1 U61217 ( .A(n57188), .B(n56539), .Y(n57068) );
+  sky130_fd_sc_hd__nand2_1 U61218 ( .A(n56981), .B(n48234), .Y(n51879) );
+  sky130_fd_sc_hd__nor2_1 U61219 ( .A(n57540), .B(n51879), .Y(n48110) );
+  sky130_fd_sc_hd__nor3_1 U61220 ( .A(n57162), .B(n57068), .C(n48110), .Y(
+        n56419) );
+  sky130_fd_sc_hd__nor2_1 U61221 ( .A(n56444), .B(n50328), .Y(n45410) );
+  sky130_fd_sc_hd__nor2_1 U61222 ( .A(n57120), .B(n57196), .Y(n52145) );
+  sky130_fd_sc_hd__nand2_1 U61223 ( .A(n41779), .B(n52145), .Y(n46022) );
+  sky130_fd_sc_hd__nand4_1 U61224 ( .A(n46478), .B(n56419), .C(n56548), .D(
+        n46022), .Y(n41783) );
+  sky130_fd_sc_hd__a211oi_1 U61225 ( .A1(n41780), .A2(n56412), .B1(n56414), 
+        .C1(n41783), .Y(n41784) );
+  sky130_fd_sc_hd__nand2_1 U61226 ( .A(n52744), .B(n57542), .Y(n50942) );
+  sky130_fd_sc_hd__nand2_1 U61227 ( .A(n51535), .B(n57476), .Y(n57061) );
+  sky130_fd_sc_hd__o22ai_1 U61228 ( .A1(n41785), .A2(n57079), .B1(n41784), 
+        .B2(n57061), .Y(n41788) );
+  sky130_fd_sc_hd__nor2_1 U61229 ( .A(n57136), .B(n57013), .Y(n43628) );
+  sky130_fd_sc_hd__nand2_1 U61230 ( .A(n52129), .B(n48114), .Y(n56446) );
+  sky130_fd_sc_hd__nand2_1 U61231 ( .A(n57549), .B(n57542), .Y(n56583) );
+  sky130_fd_sc_hd__nand2_1 U61232 ( .A(n51535), .B(n44274), .Y(n56451) );
+  sky130_fd_sc_hd__nor2_1 U61233 ( .A(n57422), .B(n36975), .Y(n48304) );
+  sky130_fd_sc_hd__nand2_1 U61234 ( .A(n56587), .B(n48304), .Y(n50247) );
+  sky130_fd_sc_hd__nor2_1 U61235 ( .A(n43366), .B(n50247), .Y(n50995) );
+  sky130_fd_sc_hd__nand2_1 U61236 ( .A(n46487), .B(n57120), .Y(n44244) );
+  sky130_fd_sc_hd__nor3_1 U61237 ( .A(n52144), .B(n50259), .C(n44244), .Y(
+        n47102) );
+  sky130_fd_sc_hd__o21ai_0 U61239 ( .A1(n43771), .A2(n56451), .B1(n41786), .Y(
+        n41787) );
+  sky130_fd_sc_hd__nor4_1 U61240 ( .A(n41790), .B(n41789), .C(n41788), .D(
+        n41787), .Y(n41791) );
+  sky130_fd_sc_hd__a31oi_1 U61241 ( .A1(n41793), .A2(n41792), .A3(n41791), 
+        .B1(n57517), .Y(n41796) );
+  sky130_fd_sc_hd__a22oi_1 U61242 ( .A1(n41794), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[31]), .B1(n38443), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[31]), .Y(n41795) );
+  sky130_fd_sc_hd__or4b_2 U61243 ( .A(n41798), .B(n41797), .C(n41796), .D_N(
+        n41795), .X(n84509) );
+  sky130_fd_sc_hd__nand2_1 U61244 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_auto_qspi_ram_0_mem_xing_in_d_bits_source[2]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_auto_qspi_ram_0_mem_xing_in_d_bits_source[3]), .Y(n41799) );
+  sky130_fd_sc_hd__nand2_1 U61246 ( .A(n41821), .B(n83348), .Y(n85219) );
+  sky130_fd_sc_hd__nor3_1 U61247 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_auto_qspi_ram_0_mem_xing_in_d_bits_source[5]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_auto_qspi_ram_0_mem_xing_in_d_bits_source[3]), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_auto_qspi_ram_0_mem_xing_in_d_bits_source[4]), .Y(n41822) );
+  sky130_fd_sc_hd__nor2_1 U61248 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_auto_qspi_ram_0_mem_xing_in_d_bits_source[3]), .B(n83334), .Y(n41800) );
+  sky130_fd_sc_hd__a21oi_1 U61249 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_auto_qspi_ram_0_mem_xing_in_d_bits_source[4]), .A2(n83348), .B1(n41800), .Y(n41801) );
+  sky130_fd_sc_hd__o21ai_1 U61250 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_auto_qspi_ram_0_mem_xing_in_d_bits_source[1]), .A2(n83328), .B1(n41801), .Y(n84316) );
+  sky130_fd_sc_hd__mux2_2 U61251 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[63]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[31]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .X(n84573) );
+  sky130_fd_sc_hd__o22ai_1 U61252 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_fmt_endian), .A2(n80562), .B1(n48170), .B2(n80539), .Y(n85069) );
+  sky130_fd_sc_hd__nor2_1 U61253 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_state[0]), .B(n55962), .Y(n74018) );
+  sky130_fd_sc_hd__nand2_1 U61254 ( .A(n74018), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_state[1]), .Y(n41824) );
+  sky130_fd_sc_hd__nand3_1 U61255 ( .A(n41803), .B(n74007), .C(n41802), .Y(
+        n84034) );
+  sky130_fd_sc_hd__nand2_1 U61256 ( .A(n41824), .B(n84034), .Y(n48171) );
+  sky130_fd_sc_hd__nor2b_1 U61257 ( .B_N(n85069), .A(n48171), .Y(n85297) );
+  sky130_fd_sc_hd__nand2_1 U61258 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode[0]), .Y(n41804) );
+  sky130_fd_sc_hd__mux2i_1 U61259 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_source[2]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_source[0]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .Y(n55360) );
+  sky130_fd_sc_hd__o22ai_1 U61260 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_source[3]), .B1(n41810), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_source[1]), .Y(n54148) );
+  sky130_fd_sc_hd__o22ai_1 U61261 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_s_0_state[0]), 
+        .A2(MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_s_0_state[1]), 
+        .B1(n54148), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_source[1]), .Y(n41805) );
+  sky130_fd_sc_hd__a21oi_1 U61262 ( .A1(n54148), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_source[1]), .B1(n41805), .Y(n41807) );
+  sky130_fd_sc_hd__nor3_1 U61263 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter[2]), 
+        .B(MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter[1]), .C(MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter[0]), .Y(
+        n83517) );
+  sky130_fd_sc_hd__nor2_1 U61264 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter[3]), 
+        .B(n83521), .Y(n83520) );
+  sky130_fd_sc_hd__nor2_1 U61265 ( .A(n83524), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter[4]), 
+        .Y(n83523) );
+  sky130_fd_sc_hd__nor2_1 U61266 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter[5]), 
+        .B(n77394), .Y(n77403) );
+  sky130_fd_sc_hd__nand2_1 U61267 ( .A(n77403), .B(n77401), .Y(n77402) );
+  sky130_fd_sc_hd__nor2_1 U61268 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter[7]), 
+        .B(n77402), .Y(n77399) );
+  sky130_fd_sc_hd__o211ai_1 U61270 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_source[0]), .A2(n55360), .B1(n41807), .C1(n77399), .Y(n41808) );
+  sky130_fd_sc_hd__a21oi_1 U61271 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_source[0]), .A2(n55360), .B1(n41808), .Y(n83529) );
+  sky130_fd_sc_hd__nand2_1 U61272 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode[2]), .Y(n41809) );
+  sky130_fd_sc_hd__nand2_1 U61273 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode[1]), .Y(n41811) );
+  sky130_fd_sc_hd__nand3_1 U61274 ( .A(n83529), .B(n55630), .C(n55625), .Y(
+        n59765) );
+  sky130_fd_sc_hd__nor2b_1 U61275 ( .B_N(n83510), .A(n59765), .Y(n55658) );
+  sky130_fd_sc_hd__nor4_1 U61276 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_beatsLeft[3]), 
+        .B(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_beatsLeft[0]), 
+        .C(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_beatsLeft[2]), 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_beatsLeft[1]), 
+        .Y(n41813) );
+  sky130_fd_sc_hd__nand3_1 U61278 ( .A(n41813), .B(n41812), .C(n77404), .Y(
+        n84065) );
+  sky130_fd_sc_hd__nor2_1 U61279 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_maybe_full), .B(n54322), .Y(n63147) );
+  sky130_fd_sc_hd__nor2_1 U61280 ( .A(n83510), .B(n59765), .Y(n41815) );
+  sky130_fd_sc_hd__nor4_1 U61281 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft[3]), .B(MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft[2]), 
+        .C(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft[1]), .D(MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft[0]), 
+        .Y(n54186) );
+  sky130_fd_sc_hd__nor2_1 U61282 ( .A(n54186), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state__0), 
+        .Y(n61471) );
+  sky130_fd_sc_hd__inv_2 U61283 ( .A(n41816), .Y(n82459) );
+  sky130_fd_sc_hd__a22oi_1 U61284 ( .A1(n82459), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode[0]), .B1(n41816), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode[2]), .Y(n83098) );
+  sky130_fd_sc_hd__o22ai_1 U61285 ( .A1(n82459), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode[3]), .B1(n59705), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode[1]), .Y(n83099) );
+  sky130_fd_sc_hd__nor2_1 U61286 ( .A(n59704), .B(n83099), .Y(n61470) );
+  sky130_fd_sc_hd__nor2b_1 U61287 ( .B_N(n41817), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_maybe_full), .Y(n59702) );
+  sky130_fd_sc_hd__nand2_1 U61288 ( .A(n61470), .B(n83100), .Y(n78349) );
+  sky130_fd_sc_hd__nor2_1 U61289 ( .A(n54186), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state__1), 
+        .Y(n41827) );
+  sky130_fd_sc_hd__nor3_1 U61290 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[1]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[0]), .Y(n83332) );
+  sky130_fd_sc_hd__inv_1 U61291 ( .A(n83332), .Y(n83337) );
+  sky130_fd_sc_hd__nor2_1 U61292 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[3]), .B(n83337), .Y(n83336) );
+  sky130_fd_sc_hd__inv_2 U61293 ( .A(n83340), .Y(n83345) );
+  sky130_fd_sc_hd__inv_2 U61294 ( .A(n41818), .Y(n83327) );
+  sky130_fd_sc_hd__inv_2 U61295 ( .A(n84028), .Y(n55638) );
+  sky130_fd_sc_hd__o22ai_1 U61296 ( .A1(n41818), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_dOrig[2]), .B1(n83327), .B2(n84313), .Y(n84020) );
+  sky130_fd_sc_hd__o22ai_1 U61297 ( .A1(n41818), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_dOrig[0]), .B1(n83327), .B2(n84316), .Y(n84047) );
+  sky130_fd_sc_hd__nand2_1 U61298 ( .A(n84020), .B(n84047), .Y(n77566) );
+  sky130_fd_sc_hd__o22ai_1 U61300 ( .A1(n44092), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget__enable_T_6_0_), .B1(n77567), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget__enable_T_3_1_), .Y(n41819) );
+  sky130_fd_sc_hd__a221oi_1 U61301 ( .A1(n44092), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget__enable_T_6_0_), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget__enable_T_3_1_), .B2(n77567), .C1(n41819), .Y(n41820) );
+  sky130_fd_sc_hd__nor2_1 U61302 ( .A(n77928), .B(n41820), .Y(n83296) );
+  sky130_fd_sc_hd__a21oi_1 U61303 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_binder_auto_in_d_bits_source[2]), .A2(n83095), .B1(n83296), .Y(n60949) );
+  sky130_fd_sc_hd__a31oi_1 U61304 ( .A1(n41822), .A2(n41821), .A3(n83328), 
+        .B1(n84034), .Y(n60950) );
+  sky130_fd_sc_hd__nand3_1 U61306 ( .A(n56017), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_state[2]), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_state[0]), .Y(n75832) );
+  sky130_fd_sc_hd__nand2_1 U61307 ( .A(n41823), .B(n73875), .Y(n41825) );
+  sky130_fd_sc_hd__nand2_1 U61308 ( .A(n41825), .B(n41824), .Y(n83295) );
+  sky130_fd_sc_hd__nor2_1 U61309 ( .A(n60950), .B(n41826), .Y(n84068) );
+  sky130_fd_sc_hd__nand2_1 U61310 ( .A(n60949), .B(n84068), .Y(n77572) );
+  sky130_fd_sc_hd__a21oi_1 U61311 ( .A1(n84013), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar__readys_unready_T_4_2_), .B1(n84065), .Y(n63145) );
+  sky130_fd_sc_hd__nand2_1 U61312 ( .A(n59898), .B(n63145), .Y(n83813) );
+  sky130_fd_sc_hd__a22oi_1 U61313 ( .A1(n57367), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_31[0]), .B1(
+        n57600), .B2(n84573), .Y(n41829) );
+  sky130_fd_sc_hd__o22ai_1 U61314 ( .A1(n54186), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state__1), 
+        .B1(n78348), .B2(n78349), .Y(n77571) );
+  sky130_fd_sc_hd__a21oi_1 U61315 ( .A1(n54186), .A2(n77572), .B1(n77571), .Y(
+        n84033) );
+  sky130_fd_sc_hd__a21oi_1 U61316 ( .A1(n59898), .A2(n41828), .B1(n84065), .Y(
+        n60946) );
+  sky130_fd_sc_hd__nand2_1 U61317 ( .A(n60946), .B(n84013), .Y(n54188) );
+  sky130_fd_sc_hd__nand2_1 U61318 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_state_1), .B(
+        n84065), .Y(n60947) );
+  sky130_fd_sc_hd__nand2_1 U61319 ( .A(n54188), .B(n60947), .Y(n53597) );
+  sky130_fd_sc_hd__nand2_1 U61320 ( .A(n57100), .B(n85297), .Y(n48521) );
+  sky130_fd_sc_hd__nand2_1 U61321 ( .A(n41829), .B(n48521), .Y(n84553) );
+  sky130_fd_sc_hd__o21ai_0 U61322 ( .A1(n41834), .A2(n37045), .B1(n41833), .Y(
+        n41835) );
+  sky130_fd_sc_hd__mux2_2 U61323 ( .A0(n41841), .A1(n41840), .S(n39302), .X(
+        n69374) );
+  sky130_fd_sc_hd__nand2_1 U61324 ( .A(n64910), .B(n69374), .Y(n41842) );
+  sky130_fd_sc_hd__nor2_1 U61325 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .B(n41843), .Y(n73258) );
+  sky130_fd_sc_hd__xnor2_1 U61326 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27[6]), .B(n72059), .Y(n41847) );
+  sky130_fd_sc_hd__xnor2_1 U61327 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .Y(n41846) );
+  sky130_fd_sc_hd__nand2_1 U61328 ( .A(n41847), .B(n41846), .Y(n41851) );
+  sky130_fd_sc_hd__xnor2_1 U61329 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .Y(n41849) );
+  sky130_fd_sc_hd__xnor2_1 U61330 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]), .Y(n41848) );
+  sky130_fd_sc_hd__inv_2 U61331 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[1]), .Y(n73339) );
+  sky130_fd_sc_hd__nand4_1 U61332 ( .A(n41849), .B(n41848), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[27]), .D(n73339), .Y(n41850) );
+  sky130_fd_sc_hd__nor2_1 U61333 ( .A(n41851), .B(n41850), .Y(n41862) );
+  sky130_fd_sc_hd__xnor2_1 U61334 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n41855) );
+  sky130_fd_sc_hd__xnor2_1 U61335 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .Y(n41854) );
+  sky130_fd_sc_hd__xnor2_1 U61336 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27[8]), .B(n85366), .Y(n41853) );
+  sky130_fd_sc_hd__xnor2_1 U61337 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27[5]), .B(n43034), .Y(n41852) );
+  sky130_fd_sc_hd__and4_1 U61338 ( .A(n41855), .B(n41854), .C(n41853), .D(
+        n41852), .X(n41861) );
+  sky130_fd_sc_hd__xnor2_1 U61339 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n41859) );
+  sky130_fd_sc_hd__xnor2_1 U61340 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .Y(n41858) );
+  sky130_fd_sc_hd__xnor2_1 U61341 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n41857) );
+  sky130_fd_sc_hd__xnor2_1 U61342 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_27[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n41856) );
+  sky130_fd_sc_hd__and4_1 U61343 ( .A(n41859), .B(n41858), .C(n41857), .D(
+        n41856), .X(n41860) );
+  sky130_fd_sc_hd__nand3_1 U61344 ( .A(n41862), .B(n41861), .C(n41860), .Y(
+        n60814) );
+  sky130_fd_sc_hd__nor3_1 U61345 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_27[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_27[0]), .C(n60814), .Y(n41880) );
+  sky130_fd_sc_hd__xnor2_1 U61346 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n41864) );
+  sky130_fd_sc_hd__xnor2_1 U61347 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .Y(n41863) );
+  sky130_fd_sc_hd__nand2_1 U61348 ( .A(n41864), .B(n41863), .Y(n41868) );
+  sky130_fd_sc_hd__xnor2_1 U61349 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15[8]), .B(n85366), .Y(n41866) );
+  sky130_fd_sc_hd__xnor2_1 U61350 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n41865) );
+  sky130_fd_sc_hd__nand4_1 U61351 ( .A(n41866), .B(n41865), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[15]), .D(n73339), .Y(n41867) );
+  sky130_fd_sc_hd__nor2_1 U61352 ( .A(n41868), .B(n41867), .Y(n41878) );
+  sky130_fd_sc_hd__xnor2_1 U61353 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15[5]), .B(n43034), .Y(n41872) );
+  sky130_fd_sc_hd__xnor2_1 U61354 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .Y(n41871) );
+  sky130_fd_sc_hd__xnor2_1 U61355 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n41870) );
+  sky130_fd_sc_hd__xnor2_1 U61356 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .Y(n41869) );
+  sky130_fd_sc_hd__and4_1 U61357 ( .A(n41872), .B(n41871), .C(n41870), .D(
+        n41869), .X(n41877) );
+  sky130_fd_sc_hd__xnor2_1 U61358 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n41876) );
+  sky130_fd_sc_hd__xnor2_1 U61359 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]), .Y(n41875) );
+  sky130_fd_sc_hd__xnor2_1 U61360 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15[6]), .B(n72059), .Y(n41874) );
+  sky130_fd_sc_hd__xnor2_1 U61361 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_15[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .Y(n41873) );
+  sky130_fd_sc_hd__nand3_1 U61362 ( .A(n41878), .B(n41877), .C(n38502), .Y(
+        n60813) );
+  sky130_fd_sc_hd__nor3_1 U61363 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_15[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_15[0]), .C(n60813), .Y(n41879) );
+  sky130_fd_sc_hd__nor2_1 U61364 ( .A(n41880), .B(n41879), .Y(n41986) );
+  sky130_fd_sc_hd__xnor2_1 U61365 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2[5]), .B(n72064), .Y(n41882) );
+  sky130_fd_sc_hd__xnor2_1 U61366 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .Y(n41881) );
+  sky130_fd_sc_hd__nand2_1 U61367 ( .A(n41882), .B(n41881), .Y(n41886) );
+  sky130_fd_sc_hd__xnor2_1 U61368 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .Y(n41884) );
+  sky130_fd_sc_hd__xnor2_1 U61369 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n41883) );
+  sky130_fd_sc_hd__nand4_1 U61370 ( .A(n41884), .B(n41883), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[2]), .D(n73339), .Y(n41885) );
+  sky130_fd_sc_hd__nor2_1 U61371 ( .A(n41886), .B(n41885), .Y(n41897) );
+  sky130_fd_sc_hd__xnor2_1 U61372 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2[6]), .B(n72059), .Y(n41890) );
+  sky130_fd_sc_hd__xnor2_1 U61373 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2[8]), .B(n85634), .Y(n41889) );
+  sky130_fd_sc_hd__xnor2_1 U61374 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n41888) );
+  sky130_fd_sc_hd__xnor2_1 U61375 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .Y(n41887) );
+  sky130_fd_sc_hd__and4_1 U61376 ( .A(n41890), .B(n41889), .C(n41888), .D(
+        n41887), .X(n41896) );
+  sky130_fd_sc_hd__xnor2_1 U61377 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]), .Y(n41894) );
+  sky130_fd_sc_hd__xnor2_1 U61378 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .Y(n41893) );
+  sky130_fd_sc_hd__xnor2_1 U61379 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n41892) );
+  sky130_fd_sc_hd__xnor2_1 U61380 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_2[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n41891) );
+  sky130_fd_sc_hd__and4_1 U61381 ( .A(n41894), .B(n41893), .C(n41892), .D(
+        n41891), .X(n41895) );
+  sky130_fd_sc_hd__nand3_1 U61382 ( .A(n41897), .B(n41896), .C(n41895), .Y(
+        n60847) );
+  sky130_fd_sc_hd__nor3_1 U61383 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_2[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_2[0]), .C(n60847), .Y(n41916) );
+  sky130_fd_sc_hd__xnor2_1 U61384 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n41899) );
+  sky130_fd_sc_hd__xnor2_1 U61385 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .Y(n41898) );
+  sky130_fd_sc_hd__nand2_1 U61386 ( .A(n41899), .B(n41898), .Y(n41903) );
+  sky130_fd_sc_hd__xnor2_1 U61387 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .Y(n41901) );
+  sky130_fd_sc_hd__xnor2_1 U61388 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20[6]), .B(n72059), .Y(n41900) );
+  sky130_fd_sc_hd__nand4_1 U61389 ( .A(n41901), .B(n41900), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[20]), .D(n73339), .Y(n41902) );
+  sky130_fd_sc_hd__nor2_1 U61390 ( .A(n41903), .B(n41902), .Y(n41914) );
+  sky130_fd_sc_hd__xnor2_1 U61391 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]), .Y(n41907) );
+  sky130_fd_sc_hd__xnor2_1 U61392 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20[8]), .B(n85634), .Y(n41906) );
+  sky130_fd_sc_hd__xnor2_1 U61393 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n41905) );
+  sky130_fd_sc_hd__xnor2_1 U61394 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .Y(n41904) );
+  sky130_fd_sc_hd__and4_1 U61395 ( .A(n41907), .B(n41906), .C(n41905), .D(
+        n41904), .X(n41913) );
+  sky130_fd_sc_hd__xnor2_1 U61396 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n41911) );
+  sky130_fd_sc_hd__xnor2_1 U61397 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n41910) );
+  sky130_fd_sc_hd__xnor2_1 U61398 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20[5]), .B(n43034), .Y(n41909) );
+  sky130_fd_sc_hd__xnor2_1 U61399 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_20[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .Y(n41908) );
+  sky130_fd_sc_hd__and4_1 U61400 ( .A(n41911), .B(n41910), .C(n41909), .D(
+        n41908), .X(n41912) );
+  sky130_fd_sc_hd__nand3_1 U61401 ( .A(n41914), .B(n41913), .C(n41912), .Y(
+        n60816) );
+  sky130_fd_sc_hd__nor3_1 U61402 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_20[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_20[0]), .C(n60816), .Y(n41915) );
+  sky130_fd_sc_hd__nor2_1 U61403 ( .A(n41916), .B(n41915), .Y(n41985) );
+  sky130_fd_sc_hd__xnor2_1 U61404 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .Y(n41918) );
+  sky130_fd_sc_hd__xnor2_1 U61405 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .Y(n41917) );
+  sky130_fd_sc_hd__nand2_1 U61406 ( .A(n41918), .B(n41917), .Y(n41922) );
+  sky130_fd_sc_hd__xnor2_1 U61407 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .Y(n41920) );
+  sky130_fd_sc_hd__xnor2_1 U61408 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]), .Y(n41919) );
+  sky130_fd_sc_hd__nand4_1 U61409 ( .A(n41920), .B(n41919), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[10]), .D(n73339), .Y(n41921) );
+  sky130_fd_sc_hd__nor2_1 U61410 ( .A(n41922), .B(n41921), .Y(n41933) );
+  sky130_fd_sc_hd__xnor2_1 U61411 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n41926) );
+  sky130_fd_sc_hd__xnor2_1 U61412 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10[5]), .B(n43034), .Y(n41925) );
+  sky130_fd_sc_hd__xnor2_1 U61413 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n41924) );
+  sky130_fd_sc_hd__xnor2_1 U61414 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .Y(n41923) );
+  sky130_fd_sc_hd__and4_1 U61415 ( .A(n41926), .B(n41925), .C(n41924), .D(
+        n41923), .X(n41932) );
+  sky130_fd_sc_hd__xnor2_1 U61416 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10[6]), .B(n72059), .Y(n41930) );
+  sky130_fd_sc_hd__xnor2_1 U61417 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n41929) );
+  sky130_fd_sc_hd__xnor2_1 U61418 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n41928) );
+  sky130_fd_sc_hd__xnor2_1 U61419 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_10[8]), .B(n85634), .Y(n41927) );
+  sky130_fd_sc_hd__and4_1 U61420 ( .A(n41930), .B(n41929), .C(n41928), .D(
+        n41927), .X(n41931) );
+  sky130_fd_sc_hd__nand3_1 U61421 ( .A(n41933), .B(n41932), .C(n41931), .Y(
+        n64068) );
+  sky130_fd_sc_hd__nor3_1 U61422 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_10[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_10[0]), .C(n64068), .Y(n41950) );
+  sky130_fd_sc_hd__xnor2_1 U61423 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n41935) );
+  sky130_fd_sc_hd__xnor2_1 U61424 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .Y(n41934) );
+  sky130_fd_sc_hd__nand2_1 U61425 ( .A(n41935), .B(n41934), .Y(n41939) );
+  sky130_fd_sc_hd__xnor2_1 U61426 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13[8]), .B(n85366), .Y(n41937) );
+  sky130_fd_sc_hd__xnor2_1 U61427 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n41936) );
+  sky130_fd_sc_hd__nand4_1 U61428 ( .A(n41937), .B(n41936), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[13]), .D(n73339), .Y(n41938) );
+  sky130_fd_sc_hd__nor2_1 U61429 ( .A(n41939), .B(n41938), .Y(n41948) );
+  sky130_fd_sc_hd__xnor2_1 U61430 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .Y(n41943) );
+  sky130_fd_sc_hd__xnor2_1 U61431 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .Y(n41942) );
+  sky130_fd_sc_hd__xnor2_1 U61432 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13[5]), .B(n43034), .Y(n41941) );
+  sky130_fd_sc_hd__xnor2_1 U61433 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .Y(n41940) );
+  sky130_fd_sc_hd__xnor2_1 U61434 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n41947) );
+  sky130_fd_sc_hd__xnor2_1 U61435 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n41946) );
+  sky130_fd_sc_hd__xnor2_1 U61436 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]), .Y(n41945) );
+  sky130_fd_sc_hd__xnor2_1 U61437 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_13[6]), .B(n72059), .Y(n41944) );
+  sky130_fd_sc_hd__nand3_1 U61438 ( .A(n41948), .B(n38481), .C(n38417), .Y(
+        n60790) );
+  sky130_fd_sc_hd__nor3_1 U61439 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_13[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_13[0]), .C(n60790), .Y(n41949) );
+  sky130_fd_sc_hd__nor2_1 U61440 ( .A(n41950), .B(n41949), .Y(n41984) );
+  sky130_fd_sc_hd__xnor2_1 U61441 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23[5]), .B(n43034), .Y(n41952) );
+  sky130_fd_sc_hd__xnor2_1 U61442 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .Y(n41951) );
+  sky130_fd_sc_hd__nand2_1 U61443 ( .A(n41952), .B(n41951), .Y(n41956) );
+  sky130_fd_sc_hd__xnor2_1 U61444 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23[8]), .B(n85634), .Y(n41954) );
+  sky130_fd_sc_hd__xnor2_1 U61445 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n41953) );
+  sky130_fd_sc_hd__nand4_1 U61446 ( .A(n41954), .B(n41953), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[23]), .D(n73339), .Y(n41955) );
+  sky130_fd_sc_hd__nor2_1 U61447 ( .A(n41956), .B(n41955), .Y(n41965) );
+  sky130_fd_sc_hd__xnor2_1 U61448 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]), .Y(n41960) );
+  sky130_fd_sc_hd__xnor2_1 U61449 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n41959) );
+  sky130_fd_sc_hd__xnor2_1 U61450 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23[6]), .B(n72059), .Y(n41958) );
+  sky130_fd_sc_hd__xnor2_1 U61451 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n41957) );
+  sky130_fd_sc_hd__xnor2_1 U61452 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .Y(n41964) );
+  sky130_fd_sc_hd__xnor2_1 U61453 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n41963) );
+  sky130_fd_sc_hd__xnor2_1 U61454 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .Y(n41962) );
+  sky130_fd_sc_hd__xnor2_1 U61455 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_23[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .Y(n41961) );
+  sky130_fd_sc_hd__nand3_1 U61456 ( .A(n41965), .B(n38382), .C(n38477), .Y(
+        n64064) );
+  sky130_fd_sc_hd__nor3_1 U61457 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_23[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_23[0]), .C(n64064), .Y(n41982) );
+  sky130_fd_sc_hd__xnor2_1 U61458 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n41967) );
+  sky130_fd_sc_hd__xnor2_1 U61459 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .Y(n41966) );
+  sky130_fd_sc_hd__nand2_1 U61460 ( .A(n41967), .B(n41966), .Y(n41971) );
+  sky130_fd_sc_hd__xnor2_1 U61461 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .Y(n41969) );
+  sky130_fd_sc_hd__xnor2_1 U61462 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n41968) );
+  sky130_fd_sc_hd__nand4_1 U61463 ( .A(n41969), .B(n41968), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[17]), .D(n73339), .Y(n41970) );
+  sky130_fd_sc_hd__nor2_1 U61464 ( .A(n41971), .B(n41970), .Y(n41980) );
+  sky130_fd_sc_hd__xnor2_1 U61465 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]), .Y(n41975) );
+  sky130_fd_sc_hd__xnor2_1 U61466 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n41974) );
+  sky130_fd_sc_hd__xnor2_1 U61467 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17[6]), .B(n72059), .Y(n41973) );
+  sky130_fd_sc_hd__xnor2_1 U61468 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17[5]), .B(n43034), .Y(n41972) );
+  sky130_fd_sc_hd__xnor2_1 U61469 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .Y(n41979) );
+  sky130_fd_sc_hd__xnor2_1 U61470 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17[8]), .B(n85634), .Y(n41978) );
+  sky130_fd_sc_hd__xnor2_1 U61471 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .Y(n41977) );
+  sky130_fd_sc_hd__xnor2_1 U61472 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_17[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n41976) );
+  sky130_fd_sc_hd__nand3_1 U61473 ( .A(n41980), .B(n38369), .C(n38482), .Y(
+        n60793) );
+  sky130_fd_sc_hd__nor3_1 U61474 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_17[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_17[0]), .C(n60793), .Y(n41981) );
+  sky130_fd_sc_hd__nor2_1 U61475 ( .A(n41982), .B(n41981), .Y(n41983) );
+  sky130_fd_sc_hd__nand4_1 U61476 ( .A(n41986), .B(n41985), .C(n41984), .D(
+        n41983), .Y(n42830) );
+  sky130_fd_sc_hd__xnor2_1 U61477 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25[6]), .B(n72059), .Y(n41988) );
+  sky130_fd_sc_hd__xnor2_1 U61478 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .Y(n41987) );
+  sky130_fd_sc_hd__nand2_1 U61479 ( .A(n41988), .B(n41987), .Y(n41992) );
+  sky130_fd_sc_hd__xnor2_1 U61480 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25[8]), .B(n85634), .Y(n41990) );
+  sky130_fd_sc_hd__xnor2_1 U61481 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25[5]), .B(n43034), .Y(n41989) );
+  sky130_fd_sc_hd__nand4_1 U61482 ( .A(n41990), .B(n41989), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[25]), .D(n73339), .Y(n41991) );
+  sky130_fd_sc_hd__nor2_1 U61483 ( .A(n41992), .B(n41991), .Y(n42003) );
+  sky130_fd_sc_hd__xnor2_1 U61484 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n41996) );
+  sky130_fd_sc_hd__xnor2_1 U61485 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .Y(n41995) );
+  sky130_fd_sc_hd__xnor2_1 U61486 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n41994) );
+  sky130_fd_sc_hd__xnor2_1 U61487 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .Y(n41993) );
+  sky130_fd_sc_hd__and4_1 U61488 ( .A(n41996), .B(n41995), .C(n41994), .D(
+        n41993), .X(n42002) );
+  sky130_fd_sc_hd__xnor2_1 U61489 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]), .Y(n42000) );
+  sky130_fd_sc_hd__xnor2_1 U61490 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n41999) );
+  sky130_fd_sc_hd__xnor2_1 U61491 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n41998) );
+  sky130_fd_sc_hd__xnor2_1 U61492 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_25[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .Y(n41997) );
+  sky130_fd_sc_hd__and4_1 U61493 ( .A(n42000), .B(n41999), .C(n41998), .D(
+        n41997), .X(n42001) );
+  sky130_fd_sc_hd__nand3_1 U61494 ( .A(n42003), .B(n42002), .C(n42001), .Y(
+        n60815) );
+  sky130_fd_sc_hd__nor3_1 U61495 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_25[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_25[0]), .C(n60815), .Y(n42020) );
+  sky130_fd_sc_hd__xnor2_1 U61496 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n42005) );
+  sky130_fd_sc_hd__xnor2_1 U61497 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .Y(n42004) );
+  sky130_fd_sc_hd__nand2_1 U61498 ( .A(n42005), .B(n42004), .Y(n42009) );
+  sky130_fd_sc_hd__xnor2_1 U61499 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n42007) );
+  sky130_fd_sc_hd__xnor2_1 U61500 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12[8]), .B(n85634), .Y(n42006) );
+  sky130_fd_sc_hd__nand4_1 U61501 ( .A(n42007), .B(n42006), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[12]), .D(n73339), .Y(n42008) );
+  sky130_fd_sc_hd__nor2_1 U61502 ( .A(n42009), .B(n42008), .Y(n42018) );
+  sky130_fd_sc_hd__xnor2_1 U61503 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12[6]), .B(n72059), .Y(n42013) );
+  sky130_fd_sc_hd__xnor2_1 U61504 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .Y(n42012) );
+  sky130_fd_sc_hd__xnor2_1 U61505 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n42011) );
+  sky130_fd_sc_hd__xnor2_1 U61506 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .Y(n42010) );
+  sky130_fd_sc_hd__xnor2_1 U61507 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n42017) );
+  sky130_fd_sc_hd__xnor2_1 U61508 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .Y(n42016) );
+  sky130_fd_sc_hd__xnor2_1 U61509 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]), .Y(n42015) );
+  sky130_fd_sc_hd__xnor2_1 U61510 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_12[5]), .B(n43034), .Y(n42014) );
+  sky130_fd_sc_hd__nand3_1 U61511 ( .A(n42018), .B(n38479), .C(n38475), .Y(
+        n60789) );
+  sky130_fd_sc_hd__nor3_1 U61512 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_12[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_12[0]), .C(n60789), .Y(n42019) );
+  sky130_fd_sc_hd__nor2_1 U61513 ( .A(n42020), .B(n42019), .Y(n42131) );
+  sky130_fd_sc_hd__xnor2_1 U61514 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .Y(n42022) );
+  sky130_fd_sc_hd__xnor2_1 U61515 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .Y(n42021) );
+  sky130_fd_sc_hd__nand2_1 U61516 ( .A(n42022), .B(n42021), .Y(n42026) );
+  sky130_fd_sc_hd__xnor2_1 U61517 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n42024) );
+  sky130_fd_sc_hd__xnor2_1 U61518 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n42023) );
+  sky130_fd_sc_hd__nand4_1 U61519 ( .A(n42024), .B(n42023), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[26]), .D(n73339), .Y(n42025) );
+  sky130_fd_sc_hd__nor2_1 U61520 ( .A(n42026), .B(n42025), .Y(n42037) );
+  sky130_fd_sc_hd__xnor2_1 U61521 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n42030) );
+  sky130_fd_sc_hd__xnor2_1 U61522 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]), .Y(n42029) );
+  sky130_fd_sc_hd__xnor2_1 U61523 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26[6]), .B(n72059), .Y(n42028) );
+  sky130_fd_sc_hd__xnor2_1 U61524 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26[5]), .B(n43034), .Y(n42027) );
+  sky130_fd_sc_hd__and4_1 U61525 ( .A(n42030), .B(n42029), .C(n42028), .D(
+        n42027), .X(n42036) );
+  sky130_fd_sc_hd__xnor2_1 U61526 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .Y(n42034) );
+  sky130_fd_sc_hd__xnor2_1 U61527 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n42033) );
+  sky130_fd_sc_hd__xnor2_1 U61528 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26[8]), .B(n85634), .Y(n42032) );
+  sky130_fd_sc_hd__xnor2_1 U61529 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_26[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .Y(n42031) );
+  sky130_fd_sc_hd__and4_1 U61530 ( .A(n42034), .B(n42033), .C(n42032), .D(
+        n42031), .X(n42035) );
+  sky130_fd_sc_hd__nand3_1 U61531 ( .A(n42037), .B(n42036), .C(n42035), .Y(
+        n60796) );
+  sky130_fd_sc_hd__nor3_1 U61532 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_26[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_26[0]), .C(n60796), .Y(n42056) );
+  sky130_fd_sc_hd__xnor2_1 U61533 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19[5]), .B(n43034), .Y(n42039) );
+  sky130_fd_sc_hd__xnor2_1 U61534 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .Y(n42038) );
+  sky130_fd_sc_hd__nand2_1 U61535 ( .A(n42039), .B(n42038), .Y(n42043) );
+  sky130_fd_sc_hd__xnor2_1 U61536 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19[8]), .B(n85634), .Y(n42041) );
+  sky130_fd_sc_hd__xnor2_1 U61537 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n42040) );
+  sky130_fd_sc_hd__nand4_1 U61538 ( .A(n42041), .B(n42040), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[19]), .D(n73339), .Y(n42042) );
+  sky130_fd_sc_hd__nor2_1 U61539 ( .A(n42043), .B(n42042), .Y(n42054) );
+  sky130_fd_sc_hd__xnor2_1 U61540 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .Y(n42047) );
+  sky130_fd_sc_hd__xnor2_1 U61541 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]), .Y(n42046) );
+  sky130_fd_sc_hd__xnor2_1 U61542 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .Y(n42045) );
+  sky130_fd_sc_hd__xnor2_1 U61543 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19[6]), .B(n72059), .Y(n42044) );
+  sky130_fd_sc_hd__and4_1 U61544 ( .A(n42047), .B(n42046), .C(n42045), .D(
+        n42044), .X(n42053) );
+  sky130_fd_sc_hd__xnor2_1 U61545 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n42051) );
+  sky130_fd_sc_hd__xnor2_1 U61546 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n42050) );
+  sky130_fd_sc_hd__xnor2_1 U61547 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n42049) );
+  sky130_fd_sc_hd__xnor2_1 U61548 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_19[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .Y(n42048) );
+  sky130_fd_sc_hd__and4_1 U61549 ( .A(n42051), .B(n42050), .C(n42049), .D(
+        n42048), .X(n42052) );
+  sky130_fd_sc_hd__nand3_1 U61550 ( .A(n42054), .B(n42053), .C(n42052), .Y(
+        n60817) );
+  sky130_fd_sc_hd__nor3_1 U61551 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_19[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_19[0]), .C(n60817), .Y(n42055) );
+  sky130_fd_sc_hd__nor2_1 U61552 ( .A(n42056), .B(n42055), .Y(n42130) );
+  sky130_fd_sc_hd__xnor2_1 U61553 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n42058) );
+  sky130_fd_sc_hd__xnor2_1 U61554 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .Y(n42057) );
+  sky130_fd_sc_hd__nand2_1 U61555 ( .A(n42058), .B(n42057), .Y(n42062) );
+  sky130_fd_sc_hd__xnor2_1 U61556 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .Y(n42060) );
+  sky130_fd_sc_hd__xnor2_1 U61557 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21[6]), .B(n72059), .Y(n42059) );
+  sky130_fd_sc_hd__nand4_1 U61558 ( .A(n42060), .B(n42059), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[21]), .D(n73339), .Y(n42061) );
+  sky130_fd_sc_hd__nor2_1 U61559 ( .A(n42062), .B(n42061), .Y(n42073) );
+  sky130_fd_sc_hd__xnor2_1 U61560 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n42066) );
+  sky130_fd_sc_hd__xnor2_1 U61561 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .Y(n42065) );
+  sky130_fd_sc_hd__xnor2_1 U61562 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]), .Y(n42064) );
+  sky130_fd_sc_hd__xnor2_1 U61563 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21[8]), .B(n85366), .Y(n42063) );
+  sky130_fd_sc_hd__and4_1 U61564 ( .A(n42066), .B(n42065), .C(n42064), .D(
+        n42063), .X(n42072) );
+  sky130_fd_sc_hd__xnor2_1 U61565 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n42070) );
+  sky130_fd_sc_hd__xnor2_1 U61566 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .Y(n42069) );
+  sky130_fd_sc_hd__xnor2_1 U61567 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n42068) );
+  sky130_fd_sc_hd__xnor2_1 U61568 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_21[5]), .B(n43034), .Y(n42067) );
+  sky130_fd_sc_hd__and4_1 U61569 ( .A(n42070), .B(n42069), .C(n42068), .D(
+        n42067), .X(n42071) );
+  sky130_fd_sc_hd__nand3_1 U61570 ( .A(n42073), .B(n42072), .C(n42071), .Y(
+        n57383) );
+  sky130_fd_sc_hd__nor3_1 U61571 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_21[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_21[0]), .C(n57383), .Y(n42091) );
+  sky130_fd_sc_hd__xnor2_1 U61572 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]), .Y(n42075) );
+  sky130_fd_sc_hd__xnor2_1 U61573 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .Y(n42074) );
+  sky130_fd_sc_hd__nand2_1 U61574 ( .A(n42075), .B(n42074), .Y(n42079) );
+  sky130_fd_sc_hd__xnor2_1 U61575 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4[8]), .B(n85634), .Y(n42077) );
+  sky130_fd_sc_hd__xnor2_1 U61576 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n42076) );
+  sky130_fd_sc_hd__nand4_1 U61577 ( .A(n42077), .B(n42076), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[4]), .D(n73339), .Y(n42078) );
+  sky130_fd_sc_hd__nor2_1 U61578 ( .A(n42079), .B(n42078), .Y(n42089) );
+  sky130_fd_sc_hd__xnor2_1 U61579 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4[5]), .B(n43034), .Y(n42083) );
+  sky130_fd_sc_hd__xnor2_1 U61580 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .Y(n42082) );
+  sky130_fd_sc_hd__xnor2_1 U61581 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n42081) );
+  sky130_fd_sc_hd__xnor2_1 U61582 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4[6]), .B(n72059), .Y(n42080) );
+  sky130_fd_sc_hd__and4_1 U61583 ( .A(n42083), .B(n42082), .C(n42081), .D(
+        n42080), .X(n42088) );
+  sky130_fd_sc_hd__xnor2_1 U61584 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n42087) );
+  sky130_fd_sc_hd__xnor2_1 U61585 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .Y(n42086) );
+  sky130_fd_sc_hd__xnor2_1 U61586 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n42085) );
+  sky130_fd_sc_hd__xnor2_1 U61587 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_4[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .Y(n42084) );
+  sky130_fd_sc_hd__nand3_1 U61588 ( .A(n42089), .B(n42088), .C(n38478), .Y(
+        n60797) );
+  sky130_fd_sc_hd__nor3_1 U61589 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_4[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_4[0]), .C(n60797), .Y(n42090) );
+  sky130_fd_sc_hd__nor2_1 U61590 ( .A(n42091), .B(n42090), .Y(n42129) );
+  sky130_fd_sc_hd__xnor2_1 U61591 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .Y(n42093) );
+  sky130_fd_sc_hd__xnor2_1 U61592 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .Y(n42092) );
+  sky130_fd_sc_hd__nand2_1 U61593 ( .A(n42093), .B(n42092), .Y(n42097) );
+  sky130_fd_sc_hd__xnor2_1 U61594 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n42095) );
+  sky130_fd_sc_hd__xnor2_1 U61595 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n42094) );
+  sky130_fd_sc_hd__nand4_1 U61596 ( .A(n42095), .B(n42094), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[24]), .D(n73339), .Y(n42096) );
+  sky130_fd_sc_hd__nor2_1 U61597 ( .A(n42097), .B(n42096), .Y(n42108) );
+  sky130_fd_sc_hd__xnor2_1 U61598 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n42101) );
+  sky130_fd_sc_hd__xnor2_1 U61599 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]), .Y(n42100) );
+  sky130_fd_sc_hd__xnor2_1 U61600 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24[6]), .B(n72059), .Y(n42099) );
+  sky130_fd_sc_hd__xnor2_1 U61601 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24[5]), .B(n43034), .Y(n42098) );
+  sky130_fd_sc_hd__and4_1 U61602 ( .A(n42101), .B(n42100), .C(n42099), .D(
+        n42098), .X(n42107) );
+  sky130_fd_sc_hd__xnor2_1 U61603 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .Y(n42105) );
+  sky130_fd_sc_hd__xnor2_1 U61604 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n42104) );
+  sky130_fd_sc_hd__xnor2_1 U61605 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24[8]), .B(n85634), .Y(n42103) );
+  sky130_fd_sc_hd__xnor2_1 U61606 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_24[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .Y(n42102) );
+  sky130_fd_sc_hd__and4_1 U61607 ( .A(n42105), .B(n42104), .C(n42103), .D(
+        n42102), .X(n42106) );
+  sky130_fd_sc_hd__nor3_1 U61608 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_24[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_24[0]), .C(n60795), .Y(n42127) );
+  sky130_fd_sc_hd__xnor2_1 U61609 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n42110) );
+  sky130_fd_sc_hd__xnor2_1 U61610 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .Y(n42109) );
+  sky130_fd_sc_hd__nand2_1 U61611 ( .A(n42110), .B(n42109), .Y(n42114) );
+  sky130_fd_sc_hd__xnor2_1 U61612 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6[8]), .B(n85366), .Y(n42112) );
+  sky130_fd_sc_hd__xnor2_1 U61613 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]), .Y(n42111) );
+  sky130_fd_sc_hd__nand4_1 U61614 ( .A(n42112), .B(n42111), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[6]), .D(n73339), .Y(n42113) );
+  sky130_fd_sc_hd__nor2_1 U61615 ( .A(n42114), .B(n42113), .Y(n42125) );
+  sky130_fd_sc_hd__xnor2_1 U61616 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6[5]), .B(n43034), .Y(n42118) );
+  sky130_fd_sc_hd__xnor2_1 U61617 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .Y(n42117) );
+  sky130_fd_sc_hd__xnor2_1 U61618 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n42116) );
+  sky130_fd_sc_hd__xnor2_1 U61619 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .Y(n42115) );
+  sky130_fd_sc_hd__and4_1 U61620 ( .A(n42118), .B(n42117), .C(n42116), .D(
+        n42115), .X(n42124) );
+  sky130_fd_sc_hd__xnor2_1 U61621 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n42122) );
+  sky130_fd_sc_hd__xnor2_1 U61622 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n42121) );
+  sky130_fd_sc_hd__xnor2_1 U61623 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6[6]), .B(n72059), .Y(n42120) );
+  sky130_fd_sc_hd__xnor2_1 U61624 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_6[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .Y(n42119) );
+  sky130_fd_sc_hd__and4_1 U61625 ( .A(n42122), .B(n42121), .C(n42120), .D(
+        n42119), .X(n42123) );
+  sky130_fd_sc_hd__nand3_1 U61626 ( .A(n42125), .B(n42124), .C(n42123), .Y(
+        n57386) );
+  sky130_fd_sc_hd__nor3_1 U61627 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_6[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_6[0]), .C(n57386), .Y(n42126) );
+  sky130_fd_sc_hd__nor2_1 U61628 ( .A(n42127), .B(n42126), .Y(n42128) );
+  sky130_fd_sc_hd__nand4_1 U61629 ( .A(n42131), .B(n42130), .C(n42129), .D(
+        n42128), .Y(n42206) );
+  sky130_fd_sc_hd__xnor2_1 U61630 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1[6]), .B(n72059), .Y(n42133) );
+  sky130_fd_sc_hd__xnor2_1 U61631 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .Y(n42132) );
+  sky130_fd_sc_hd__nand2_1 U61632 ( .A(n42133), .B(n42132), .Y(n42137) );
+  sky130_fd_sc_hd__xnor2_1 U61633 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .Y(n42135) );
+  sky130_fd_sc_hd__xnor2_1 U61634 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]), .Y(n42134) );
+  sky130_fd_sc_hd__nand4_1 U61635 ( .A(n42135), .B(n42134), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[1]), .D(n73339), .Y(n42136) );
+  sky130_fd_sc_hd__nor2_1 U61636 ( .A(n42137), .B(n42136), .Y(n42148) );
+  sky130_fd_sc_hd__xnor2_1 U61637 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1[8]), .B(n85634), .Y(n42141) );
+  sky130_fd_sc_hd__xnor2_1 U61638 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n42140) );
+  sky130_fd_sc_hd__xnor2_1 U61639 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n42139) );
+  sky130_fd_sc_hd__xnor2_1 U61640 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .Y(n42138) );
+  sky130_fd_sc_hd__and4_1 U61641 ( .A(n42141), .B(n42140), .C(n42139), .D(
+        n42138), .X(n42147) );
+  sky130_fd_sc_hd__xnor2_1 U61642 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n42145) );
+  sky130_fd_sc_hd__xnor2_1 U61643 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n42144) );
+  sky130_fd_sc_hd__xnor2_1 U61644 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1[5]), .B(n43034), .Y(n42143) );
+  sky130_fd_sc_hd__xnor2_1 U61645 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_1[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .Y(n42142) );
+  sky130_fd_sc_hd__and4_1 U61646 ( .A(n42145), .B(n42144), .C(n42143), .D(
+        n42142), .X(n42146) );
+  sky130_fd_sc_hd__nand3_1 U61647 ( .A(n42148), .B(n42147), .C(n42146), .Y(
+        n60818) );
+  sky130_fd_sc_hd__nor3_1 U61648 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_1[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_1[0]), .C(n60818), .Y(n42167) );
+  sky130_fd_sc_hd__xnor2_1 U61649 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .Y(n42150) );
+  sky130_fd_sc_hd__xnor2_1 U61650 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .Y(n42149) );
+  sky130_fd_sc_hd__nand2_1 U61651 ( .A(n42150), .B(n42149), .Y(n42154) );
+  sky130_fd_sc_hd__xnor2_1 U61652 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .Y(n42152) );
+  sky130_fd_sc_hd__xnor2_1 U61653 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16[6]), .B(n72059), .Y(n42151) );
+  sky130_fd_sc_hd__nand4_1 U61654 ( .A(n42152), .B(n42151), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[16]), .D(n73339), .Y(n42153) );
+  sky130_fd_sc_hd__nor2_1 U61655 ( .A(n42154), .B(n42153), .Y(n42165) );
+  sky130_fd_sc_hd__xnor2_1 U61656 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n42158) );
+  sky130_fd_sc_hd__xnor2_1 U61657 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n42157) );
+  sky130_fd_sc_hd__xnor2_1 U61658 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n42156) );
+  sky130_fd_sc_hd__xnor2_1 U61659 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .Y(n42155) );
+  sky130_fd_sc_hd__and4_1 U61660 ( .A(n42158), .B(n42157), .C(n42156), .D(
+        n42155), .X(n42164) );
+  sky130_fd_sc_hd__xnor2_1 U61661 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]), .Y(n42162) );
+  sky130_fd_sc_hd__xnor2_1 U61662 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n42161) );
+  sky130_fd_sc_hd__xnor2_1 U61663 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16[5]), .B(n43034), .Y(n42160) );
+  sky130_fd_sc_hd__xnor2_1 U61664 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_16[8]), .B(n85366), .Y(n42159) );
+  sky130_fd_sc_hd__and4_1 U61665 ( .A(n42162), .B(n42161), .C(n42160), .D(
+        n42159), .X(n42163) );
+  sky130_fd_sc_hd__nand3_1 U61666 ( .A(n42165), .B(n42164), .C(n42163), .Y(
+        n60785) );
+  sky130_fd_sc_hd__nor3_1 U61667 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_16[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_16[0]), .C(n60785), .Y(n42166) );
+  sky130_fd_sc_hd__nor2_1 U61668 ( .A(n42167), .B(n42166), .Y(n42205) );
+  sky130_fd_sc_hd__xnor2_1 U61669 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .Y(n42169) );
+  sky130_fd_sc_hd__xnor2_1 U61670 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0[8]), .B(n85366), .Y(n42168) );
+  sky130_fd_sc_hd__nand2_1 U61671 ( .A(n42169), .B(n42168), .Y(n42173) );
+  sky130_fd_sc_hd__xnor2_1 U61672 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .Y(n42171) );
+  sky130_fd_sc_hd__xnor2_1 U61673 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0[5]), .B(n43034), .Y(n42170) );
+  sky130_fd_sc_hd__nand4_1 U61674 ( .A(n42171), .B(n42170), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[0]), .D(n73339), .Y(n42172) );
+  sky130_fd_sc_hd__nor2_1 U61675 ( .A(n42173), .B(n42172), .Y(n42184) );
+  sky130_fd_sc_hd__xnor2_1 U61676 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n42177) );
+  sky130_fd_sc_hd__xnor2_1 U61677 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n42176) );
+  sky130_fd_sc_hd__xnor2_1 U61678 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .Y(n42175) );
+  sky130_fd_sc_hd__xnor2_1 U61679 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]), .Y(n42174) );
+  sky130_fd_sc_hd__and4_1 U61680 ( .A(n42177), .B(n42176), .C(n42175), .D(
+        n42174), .X(n42183) );
+  sky130_fd_sc_hd__xnor2_1 U61681 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n42181) );
+  sky130_fd_sc_hd__xnor2_1 U61682 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n42180) );
+  sky130_fd_sc_hd__xnor2_1 U61683 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0[6]), .B(n72059), .Y(n42179) );
+  sky130_fd_sc_hd__xnor2_1 U61684 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_0[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .Y(n42178) );
+  sky130_fd_sc_hd__and4_1 U61685 ( .A(n42181), .B(n42180), .C(n42179), .D(
+        n42178), .X(n42182) );
+  sky130_fd_sc_hd__nand3_1 U61686 ( .A(n42184), .B(n42183), .C(n42182), .Y(
+        n42851) );
+  sky130_fd_sc_hd__nor3_1 U61687 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_0[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_0[0]), .C(n42851), .Y(n42203) );
+  sky130_fd_sc_hd__xnor2_1 U61688 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3[5]), .B(n43034), .Y(n42186) );
+  sky130_fd_sc_hd__xnor2_1 U61689 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3[8]), .B(n85634), .Y(n42185) );
+  sky130_fd_sc_hd__nand2_1 U61690 ( .A(n42186), .B(n42185), .Y(n42190) );
+  sky130_fd_sc_hd__xnor2_1 U61691 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .Y(n42188) );
+  sky130_fd_sc_hd__xnor2_1 U61692 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .Y(n42187) );
+  sky130_fd_sc_hd__nand4_1 U61693 ( .A(n42188), .B(n42187), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[3]), .D(n73339), .Y(n42189) );
+  sky130_fd_sc_hd__nor2_1 U61694 ( .A(n42190), .B(n42189), .Y(n42201) );
+  sky130_fd_sc_hd__xnor2_1 U61695 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n42194) );
+  sky130_fd_sc_hd__xnor2_1 U61696 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .Y(n42193) );
+  sky130_fd_sc_hd__xnor2_1 U61697 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n42192) );
+  sky130_fd_sc_hd__xnor2_1 U61698 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .Y(n42191) );
+  sky130_fd_sc_hd__and4_1 U61699 ( .A(n42194), .B(n42193), .C(n42192), .D(
+        n42191), .X(n42200) );
+  sky130_fd_sc_hd__xnor2_1 U61700 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]), .Y(n42198) );
+  sky130_fd_sc_hd__xnor2_1 U61701 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n42197) );
+  sky130_fd_sc_hd__xnor2_1 U61702 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n42196) );
+  sky130_fd_sc_hd__xnor2_1 U61703 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_3[6]), .B(n72059), .Y(n42195) );
+  sky130_fd_sc_hd__and4_1 U61704 ( .A(n42198), .B(n42197), .C(n42196), .D(
+        n42195), .X(n42199) );
+  sky130_fd_sc_hd__nand3_1 U61705 ( .A(n42201), .B(n42200), .C(n42199), .Y(
+        n60819) );
+  sky130_fd_sc_hd__nor3_1 U61706 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_3[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_3[0]), .C(n60819), .Y(n42202) );
+  sky130_fd_sc_hd__nor2_1 U61707 ( .A(n42203), .B(n42202), .Y(n42204) );
+  sky130_fd_sc_hd__nand3b_1 U61708 ( .A_N(n42206), .B(n42205), .C(n42204), .Y(
+        n42829) );
+  sky130_fd_sc_hd__xnor2_1 U61709 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22[5]), .B(n72064), .Y(n42208) );
+  sky130_fd_sc_hd__xnor2_1 U61710 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .Y(n42207) );
+  sky130_fd_sc_hd__nand2_1 U61711 ( .A(n42208), .B(n42207), .Y(n42212) );
+  sky130_fd_sc_hd__xnor2_1 U61712 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22[8]), .B(n85634), .Y(n42210) );
+  sky130_fd_sc_hd__xnor2_1 U61713 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22[6]), .B(n72059), .Y(n42209) );
+  sky130_fd_sc_hd__nand4_1 U61714 ( .A(n42210), .B(n42209), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[22]), .D(n73339), .Y(n42211) );
+  sky130_fd_sc_hd__nor2_1 U61715 ( .A(n42212), .B(n42211), .Y(n42223) );
+  sky130_fd_sc_hd__xnor2_1 U61716 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n42216) );
+  sky130_fd_sc_hd__xnor2_1 U61717 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .Y(n42215) );
+  sky130_fd_sc_hd__xnor2_1 U61718 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n42214) );
+  sky130_fd_sc_hd__xnor2_1 U61719 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .Y(n42213) );
+  sky130_fd_sc_hd__and4_1 U61720 ( .A(n42216), .B(n42215), .C(n42214), .D(
+        n42213), .X(n42222) );
+  sky130_fd_sc_hd__xnor2_1 U61721 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]), .Y(n42220) );
+  sky130_fd_sc_hd__xnor2_1 U61722 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n42219) );
+  sky130_fd_sc_hd__xnor2_1 U61723 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n42218) );
+  sky130_fd_sc_hd__xnor2_1 U61724 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_22[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .Y(n42217) );
+  sky130_fd_sc_hd__and4_1 U61725 ( .A(n42220), .B(n42219), .C(n42218), .D(
+        n42217), .X(n42221) );
+  sky130_fd_sc_hd__nand3_1 U61726 ( .A(n42223), .B(n42222), .C(n42221), .Y(
+        n72752) );
+  sky130_fd_sc_hd__nor3_1 U61727 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_22[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_22[0]), .C(n72752), .Y(n42242) );
+  sky130_fd_sc_hd__xnor2_1 U61728 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .Y(n42225) );
+  sky130_fd_sc_hd__xnor2_1 U61729 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .Y(n42224) );
+  sky130_fd_sc_hd__nand2_1 U61730 ( .A(n42225), .B(n42224), .Y(n42229) );
+  sky130_fd_sc_hd__xnor2_1 U61731 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .Y(n42227) );
+  sky130_fd_sc_hd__xnor2_1 U61732 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]), .Y(n42226) );
+  sky130_fd_sc_hd__nand4_1 U61733 ( .A(n42227), .B(n42226), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[5]), .D(n73339), .Y(n42228) );
+  sky130_fd_sc_hd__nor2_1 U61734 ( .A(n42229), .B(n42228), .Y(n42240) );
+  sky130_fd_sc_hd__xnor2_1 U61735 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5[6]), .B(n72059), .Y(n42233) );
+  sky130_fd_sc_hd__xnor2_1 U61736 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5[5]), .B(n43034), .Y(n42232) );
+  sky130_fd_sc_hd__xnor2_1 U61737 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n42231) );
+  sky130_fd_sc_hd__xnor2_1 U61738 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .Y(n42230) );
+  sky130_fd_sc_hd__and4_1 U61739 ( .A(n42233), .B(n42232), .C(n42231), .D(
+        n42230), .X(n42239) );
+  sky130_fd_sc_hd__xnor2_1 U61740 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n42237) );
+  sky130_fd_sc_hd__xnor2_1 U61741 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n42236) );
+  sky130_fd_sc_hd__xnor2_1 U61742 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n42235) );
+  sky130_fd_sc_hd__xnor2_1 U61743 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_5[8]), .B(n85634), .Y(n42234) );
+  sky130_fd_sc_hd__and4_1 U61744 ( .A(n42237), .B(n42236), .C(n42235), .D(
+        n42234), .X(n42238) );
+  sky130_fd_sc_hd__nand3_1 U61745 ( .A(n42240), .B(n42239), .C(n42238), .Y(
+        n60798) );
+  sky130_fd_sc_hd__nor3_1 U61746 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_5[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_5[0]), .C(n60798), .Y(n42241) );
+  sky130_fd_sc_hd__nor2_1 U61747 ( .A(n42242), .B(n42241), .Y(n42351) );
+  sky130_fd_sc_hd__xnor2_1 U61748 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .Y(n42244) );
+  sky130_fd_sc_hd__xnor2_1 U61749 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .Y(n42243) );
+  sky130_fd_sc_hd__nand2_1 U61750 ( .A(n42244), .B(n42243), .Y(n42248) );
+  sky130_fd_sc_hd__xnor2_1 U61751 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .Y(n42246) );
+  sky130_fd_sc_hd__xnor2_1 U61752 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]), .Y(n42245) );
+  sky130_fd_sc_hd__nand4_1 U61753 ( .A(n42246), .B(n42245), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[18]), .D(n73339), .Y(n42247) );
+  sky130_fd_sc_hd__nor2_1 U61754 ( .A(n42248), .B(n42247), .Y(n42259) );
+  sky130_fd_sc_hd__xnor2_1 U61755 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n42252) );
+  sky130_fd_sc_hd__xnor2_1 U61756 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18[5]), .B(n43034), .Y(n42251) );
+  sky130_fd_sc_hd__xnor2_1 U61757 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n42250) );
+  sky130_fd_sc_hd__xnor2_1 U61758 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .Y(n42249) );
+  sky130_fd_sc_hd__and4_1 U61759 ( .A(n42252), .B(n42251), .C(n42250), .D(
+        n42249), .X(n42258) );
+  sky130_fd_sc_hd__xnor2_1 U61760 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n42256) );
+  sky130_fd_sc_hd__xnor2_1 U61761 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n42255) );
+  sky130_fd_sc_hd__xnor2_1 U61762 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18[6]), .B(n72059), .Y(n42254) );
+  sky130_fd_sc_hd__xnor2_1 U61763 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_18[8]), .B(n85366), .Y(n42253) );
+  sky130_fd_sc_hd__and4_1 U61764 ( .A(n42256), .B(n42255), .C(n42254), .D(
+        n42253), .X(n42257) );
+  sky130_fd_sc_hd__nand3_1 U61765 ( .A(n42259), .B(n42258), .C(n42257), .Y(
+        n60794) );
+  sky130_fd_sc_hd__nor3_1 U61766 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_18[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_18[0]), .C(n60794), .Y(n42278) );
+  sky130_fd_sc_hd__xnor2_1 U61767 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n42261) );
+  sky130_fd_sc_hd__xnor2_1 U61768 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .Y(n42260) );
+  sky130_fd_sc_hd__nand2_1 U61769 ( .A(n42261), .B(n42260), .Y(n42265) );
+  sky130_fd_sc_hd__xnor2_1 U61770 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .Y(n42263) );
+  sky130_fd_sc_hd__xnor2_1 U61771 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]), .Y(n42262) );
+  sky130_fd_sc_hd__nand4_1 U61772 ( .A(n42263), .B(n42262), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[7]), .D(n73339), .Y(n42264) );
+  sky130_fd_sc_hd__nor2_1 U61773 ( .A(n42265), .B(n42264), .Y(n42276) );
+  sky130_fd_sc_hd__xnor2_1 U61774 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7[8]), .B(n85366), .Y(n42269) );
+  sky130_fd_sc_hd__xnor2_1 U61775 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7[6]), .B(n72059), .Y(n42268) );
+  sky130_fd_sc_hd__xnor2_1 U61776 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7[5]), .B(n72064), .Y(n42267) );
+  sky130_fd_sc_hd__xnor2_1 U61777 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .Y(n42266) );
+  sky130_fd_sc_hd__and4_1 U61778 ( .A(n42269), .B(n42268), .C(n42267), .D(
+        n42266), .X(n42275) );
+  sky130_fd_sc_hd__xnor2_1 U61779 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n42273) );
+  sky130_fd_sc_hd__xnor2_1 U61780 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .Y(n42272) );
+  sky130_fd_sc_hd__xnor2_1 U61781 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n42271) );
+  sky130_fd_sc_hd__xnor2_1 U61782 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_7[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n42270) );
+  sky130_fd_sc_hd__and4_1 U61783 ( .A(n42273), .B(n42272), .C(n42271), .D(
+        n42270), .X(n42274) );
+  sky130_fd_sc_hd__nand3_1 U61784 ( .A(n42276), .B(n42275), .C(n42274), .Y(
+        n60842) );
+  sky130_fd_sc_hd__nor3_1 U61785 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_7[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_7[0]), .C(n60842), .Y(n42277) );
+  sky130_fd_sc_hd__nor2_1 U61786 ( .A(n42278), .B(n42277), .Y(n42350) );
+  sky130_fd_sc_hd__xnor2_1 U61787 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .Y(n42280) );
+  sky130_fd_sc_hd__xnor2_1 U61788 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]), .Y(n42279) );
+  sky130_fd_sc_hd__nand2_1 U61789 ( .A(n42280), .B(n42279), .Y(n42284) );
+  sky130_fd_sc_hd__xnor2_1 U61790 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n42282) );
+  sky130_fd_sc_hd__xnor2_1 U61791 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n42281) );
+  sky130_fd_sc_hd__nand4_1 U61792 ( .A(n42282), .B(n42281), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[14]), .D(n73339), .Y(n42283) );
+  sky130_fd_sc_hd__nor2_1 U61793 ( .A(n42284), .B(n42283), .Y(n42293) );
+  sky130_fd_sc_hd__xnor2_1 U61794 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14[5]), .B(n72064), .Y(n42288) );
+  sky130_fd_sc_hd__xnor2_1 U61795 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n42287) );
+  sky130_fd_sc_hd__xnor2_1 U61796 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n42286) );
+  sky130_fd_sc_hd__xnor2_1 U61797 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14[6]), .B(n72059), .Y(n42285) );
+  sky130_fd_sc_hd__xnor2_1 U61798 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14[8]), .B(n85634), .Y(n42292) );
+  sky130_fd_sc_hd__xnor2_1 U61799 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .Y(n42291) );
+  sky130_fd_sc_hd__xnor2_1 U61800 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .Y(n42290) );
+  sky130_fd_sc_hd__xnor2_1 U61801 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_14[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .Y(n42289) );
+  sky130_fd_sc_hd__nand3_1 U61802 ( .A(n42293), .B(n38418), .C(n38480), .Y(
+        n60812) );
+  sky130_fd_sc_hd__nor3_1 U61803 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_14[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_14[0]), .C(n60812), .Y(n42312) );
+  sky130_fd_sc_hd__xnor2_1 U61804 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9[8]), .B(n85634), .Y(n42295) );
+  sky130_fd_sc_hd__xnor2_1 U61805 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .Y(n42294) );
+  sky130_fd_sc_hd__nand2_1 U61806 ( .A(n42295), .B(n42294), .Y(n42299) );
+  sky130_fd_sc_hd__xnor2_1 U61807 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .Y(n42297) );
+  sky130_fd_sc_hd__xnor2_1 U61808 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]), .Y(n42296) );
+  sky130_fd_sc_hd__nand4_1 U61809 ( .A(n42297), .B(n42296), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[9]), .D(n73339), .Y(n42298) );
+  sky130_fd_sc_hd__nor2_1 U61810 ( .A(n42299), .B(n42298), .Y(n42310) );
+  sky130_fd_sc_hd__xnor2_1 U61811 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n42303) );
+  sky130_fd_sc_hd__xnor2_1 U61812 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n42302) );
+  sky130_fd_sc_hd__xnor2_1 U61813 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n42301) );
+  sky130_fd_sc_hd__xnor2_1 U61814 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9[5]), .B(n43034), .Y(n42300) );
+  sky130_fd_sc_hd__and4_1 U61815 ( .A(n42303), .B(n42302), .C(n42301), .D(
+        n42300), .X(n42309) );
+  sky130_fd_sc_hd__xnor2_1 U61816 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9[6]), .B(n72059), .Y(n42307) );
+  sky130_fd_sc_hd__xnor2_1 U61817 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n42306) );
+  sky130_fd_sc_hd__xnor2_1 U61818 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .Y(n42305) );
+  sky130_fd_sc_hd__xnor2_1 U61819 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_9[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .Y(n42304) );
+  sky130_fd_sc_hd__and4_1 U61820 ( .A(n42307), .B(n42306), .C(n42305), .D(
+        n42304), .X(n42308) );
+  sky130_fd_sc_hd__nand3_1 U61821 ( .A(n42310), .B(n42309), .C(n42308), .Y(
+        n63999) );
+  sky130_fd_sc_hd__nor3_1 U61822 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_9[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_9[0]), .C(n63999), .Y(n42311) );
+  sky130_fd_sc_hd__nor2_1 U61823 ( .A(n42312), .B(n42311), .Y(n42349) );
+  sky130_fd_sc_hd__xnor2_1 U61824 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n42314) );
+  sky130_fd_sc_hd__xnor2_1 U61825 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8[8]), .B(n85366), .Y(n42313) );
+  sky130_fd_sc_hd__nand2_1 U61826 ( .A(n42314), .B(n42313), .Y(n42318) );
+  sky130_fd_sc_hd__xnor2_1 U61827 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .Y(n42316) );
+  sky130_fd_sc_hd__xnor2_1 U61828 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n42315) );
+  sky130_fd_sc_hd__nand4_1 U61829 ( .A(n42316), .B(n42315), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[8]), .D(n73339), .Y(n42317) );
+  sky130_fd_sc_hd__nor2_1 U61830 ( .A(n42318), .B(n42317), .Y(n42328) );
+  sky130_fd_sc_hd__xnor2_1 U61831 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .Y(n42322) );
+  sky130_fd_sc_hd__xnor2_1 U61832 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8[5]), .B(n43034), .Y(n42321) );
+  sky130_fd_sc_hd__xnor2_1 U61833 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n42320) );
+  sky130_fd_sc_hd__xnor2_1 U61834 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .Y(n42319) );
+  sky130_fd_sc_hd__xnor2_1 U61835 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n42326) );
+  sky130_fd_sc_hd__xnor2_1 U61836 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .Y(n42325) );
+  sky130_fd_sc_hd__xnor2_1 U61837 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]), .Y(n42324) );
+  sky130_fd_sc_hd__xnor2_1 U61838 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_8[6]), .B(n72059), .Y(n42323) );
+  sky130_fd_sc_hd__and4_1 U61839 ( .A(n42326), .B(n42325), .C(n42324), .D(
+        n42323), .X(n42327) );
+  sky130_fd_sc_hd__nand3_1 U61840 ( .A(n42328), .B(n38476), .C(n42327), .Y(
+        n63988) );
+  sky130_fd_sc_hd__nor3_1 U61841 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_8[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_8[0]), .C(n63988), .Y(n42347) );
+  sky130_fd_sc_hd__xnor2_1 U61842 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .Y(n42330) );
+  sky130_fd_sc_hd__xnor2_1 U61843 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .Y(n42329) );
+  sky130_fd_sc_hd__nand2_1 U61844 ( .A(n42330), .B(n42329), .Y(n42334) );
+  sky130_fd_sc_hd__xnor2_1 U61845 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .Y(n42332) );
+  sky130_fd_sc_hd__xnor2_1 U61846 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]), .Y(n42331) );
+  sky130_fd_sc_hd__nand4_1 U61847 ( .A(n42332), .B(n42331), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[11]), .D(n73339), .Y(n42333) );
+  sky130_fd_sc_hd__nor2_1 U61848 ( .A(n42334), .B(n42333), .Y(n42345) );
+  sky130_fd_sc_hd__xnor2_1 U61849 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11[5]), .B(n43034), .Y(n42338) );
+  sky130_fd_sc_hd__xnor2_1 U61850 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n42337) );
+  sky130_fd_sc_hd__xnor2_1 U61851 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n42336) );
+  sky130_fd_sc_hd__xnor2_1 U61852 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .Y(n42335) );
+  sky130_fd_sc_hd__and4_1 U61853 ( .A(n42338), .B(n42337), .C(n42336), .D(
+        n42335), .X(n42344) );
+  sky130_fd_sc_hd__xnor2_1 U61854 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11[6]), .B(n72059), .Y(n42342) );
+  sky130_fd_sc_hd__xnor2_1 U61855 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n42341) );
+  sky130_fd_sc_hd__xnor2_1 U61856 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n42340) );
+  sky130_fd_sc_hd__xnor2_1 U61857 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxs_11[8]), .B(n85634), .Y(n42339) );
+  sky130_fd_sc_hd__and4_1 U61858 ( .A(n42342), .B(n42341), .C(n42340), .D(
+        n42339), .X(n42343) );
+  sky130_fd_sc_hd__nand3_1 U61859 ( .A(n42345), .B(n42344), .C(n42343), .Y(
+        n63995) );
+  sky130_fd_sc_hd__nor3_1 U61860 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_11[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_11[0]), .C(n63995), .Y(n42346) );
+  sky130_fd_sc_hd__nor2_1 U61861 ( .A(n42347), .B(n42346), .Y(n42348) );
+  sky130_fd_sc_hd__nand4_1 U61862 ( .A(n42351), .B(n42350), .C(n42349), .D(
+        n42348), .Y(n42828) );
+  sky130_fd_sc_hd__nor2_1 U61863 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .B(n64041), .Y(n42354) );
+  sky130_fd_sc_hd__nand2_1 U61864 ( .A(n42354), .B(n44172), .Y(n42388) );
+  sky130_fd_sc_hd__inv_1 U61865 ( .A(n42386), .Y(n42364) );
+  sky130_fd_sc_hd__xnor2_1 U61866 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .Y(n42387) );
+  sky130_fd_sc_hd__nand2_1 U61867 ( .A(n42364), .B(n42387), .Y(n42359) );
+  sky130_fd_sc_hd__nor2_1 U61868 ( .A(n42388), .B(n42359), .Y(n42794) );
+  sky130_fd_sc_hd__nor2_1 U61869 ( .A(n72064), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n42356) );
+  sky130_fd_sc_hd__nand2_1 U61870 ( .A(n42356), .B(n44172), .Y(n42390) );
+  sky130_fd_sc_hd__nor2_1 U61871 ( .A(n42390), .B(n42359), .Y(n42793) );
+  sky130_fd_sc_hd__a22oi_1 U61872 ( .A1(n42794), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[400]), .B1(n42793), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[384]), .Y(n42363) );
+  sky130_fd_sc_hd__nand3_1 U61873 ( .A(n44172), .B(n72064), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n42391) );
+  sky130_fd_sc_hd__nand3_1 U61876 ( .A(n64041), .B(n44172), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n42393) );
+  sky130_fd_sc_hd__nor2_1 U61877 ( .A(n42393), .B(n42359), .Y(n42353) );
+  sky130_fd_sc_hd__a22oi_1 U61878 ( .A1(n42352), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[408]), .B1(n42353), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[392]), .Y(n42362) );
+  sky130_fd_sc_hd__nand2_1 U61879 ( .A(n42354), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .Y(n42395) );
+  sky130_fd_sc_hd__nand2_1 U61880 ( .A(n42356), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .Y(n42397) );
+  sky130_fd_sc_hd__a22oi_1 U61881 ( .A1(n42355), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[404]), .B1(n37068), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[388]), .Y(n42361) );
+  sky130_fd_sc_hd__nand2_1 U61882 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .Y(n43033) );
+  sky130_fd_sc_hd__nand2_1 U61883 ( .A(n42358), .B(n72064), .Y(n42399) );
+  sky130_fd_sc_hd__nor2_1 U61884 ( .A(n42399), .B(n42359), .Y(n42357) );
+  sky130_fd_sc_hd__nand2_1 U61885 ( .A(n42358), .B(n64041), .Y(n42402) );
+  sky130_fd_sc_hd__nor2_1 U61886 ( .A(n42402), .B(n42359), .Y(n42796) );
+  sky130_fd_sc_hd__a22oi_1 U61887 ( .A1(n42357), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[412]), .B1(n42796), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[396]), .Y(n42360) );
+  sky130_fd_sc_hd__nand4_1 U61888 ( .A(n42363), .B(n42362), .C(n42361), .D(
+        n42360), .Y(n42412) );
+  sky130_fd_sc_hd__nand2_1 U61889 ( .A(n42364), .B(n42373), .Y(n42368) );
+  sky130_fd_sc_hd__nor2_1 U61890 ( .A(n42388), .B(n42368), .Y(n42784) );
+  sky130_fd_sc_hd__nor2_1 U61891 ( .A(n42390), .B(n42368), .Y(n42783) );
+  sky130_fd_sc_hd__a22oi_1 U61892 ( .A1(n42784), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[402]), .B1(n42783), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[386]), .Y(n42372) );
+  sky130_fd_sc_hd__nor2_1 U61893 ( .A(n42391), .B(n42368), .Y(n42365) );
+  sky130_fd_sc_hd__nor2_1 U61895 ( .A(n42393), .B(n42368), .Y(n42785) );
+  sky130_fd_sc_hd__a22oi_1 U61896 ( .A1(n42365), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[410]), .B1(n42785), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[394]), .Y(n42371) );
+  sky130_fd_sc_hd__nor2_1 U61897 ( .A(n42395), .B(n42368), .Y(n42366) );
+  sky130_fd_sc_hd__nor2_1 U61898 ( .A(n42397), .B(n42368), .Y(n42787) );
+  sky130_fd_sc_hd__a22oi_1 U61899 ( .A1(n42366), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[406]), .B1(n42787), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[390]), .Y(n42370) );
+  sky130_fd_sc_hd__nor2_1 U61900 ( .A(n42399), .B(n42368), .Y(n42367) );
+  sky130_fd_sc_hd__nor2_1 U61901 ( .A(n42402), .B(n42368), .Y(n42788) );
+  sky130_fd_sc_hd__a22oi_1 U61902 ( .A1(n42367), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[414]), .B1(n42788), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[398]), .Y(n42369) );
+  sky130_fd_sc_hd__nand4_1 U61903 ( .A(n42372), .B(n42371), .C(n42370), .D(
+        n42369), .Y(n42411) );
+  sky130_fd_sc_hd__nand2_1 U61904 ( .A(n42386), .B(n42373), .Y(n42380) );
+  sky130_fd_sc_hd__nor2_1 U61905 ( .A(n42388), .B(n42380), .Y(n42374) );
+  sky130_fd_sc_hd__a22oi_1 U61906 ( .A1(n37010), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[403]), .B1(n42803), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[387]), .Y(n42385) );
+  sky130_fd_sc_hd__a22oi_1 U61908 ( .A1(n42375), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[411]), .B1(n42376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[395]), .Y(n42384) );
+  sky130_fd_sc_hd__nor2_1 U61909 ( .A(n42395), .B(n42380), .Y(n42377) );
+  sky130_fd_sc_hd__nor2_1 U61910 ( .A(n42397), .B(n42380), .Y(n42378) );
+  sky130_fd_sc_hd__a22oi_1 U61911 ( .A1(n37016), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[407]), .B1(n36998), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[391]), .Y(n42383) );
+  sky130_fd_sc_hd__nor2_1 U61912 ( .A(n42399), .B(n42380), .Y(n42379) );
+  sky130_fd_sc_hd__nor2_1 U61913 ( .A(n42402), .B(n42380), .Y(n42381) );
+  sky130_fd_sc_hd__a22oi_1 U61914 ( .A1(n37014), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[415]), .B1(n36996), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[399]), .Y(n42382) );
+  sky130_fd_sc_hd__nand4_1 U61915 ( .A(n42385), .B(n42384), .C(n42383), .D(
+        n42382), .Y(n42409) );
+  sky130_fd_sc_hd__nor2_1 U61917 ( .A(n42388), .B(n42401), .Y(n42389) );
+  sky130_fd_sc_hd__nor2_1 U61918 ( .A(n42390), .B(n42401), .Y(n42808) );
+  sky130_fd_sc_hd__a22oi_1 U61919 ( .A1(n37008), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[401]), .B1(n42808), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[385]), .Y(n42407) );
+  sky130_fd_sc_hd__nor2_1 U61920 ( .A(n42393), .B(n42401), .Y(n42394) );
+  sky130_fd_sc_hd__a22oi_1 U61922 ( .A1(n42392), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[409]), .B1(n42394), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[393]), .Y(n42406) );
+  sky130_fd_sc_hd__nor2_1 U61923 ( .A(n42395), .B(n42401), .Y(n42396) );
+  sky130_fd_sc_hd__nor2_1 U61924 ( .A(n42397), .B(n42401), .Y(n42398) );
+  sky130_fd_sc_hd__a22oi_1 U61925 ( .A1(n37015), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[405]), .B1(n42398), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[389]), .Y(n42405) );
+  sky130_fd_sc_hd__nor2_1 U61926 ( .A(n42399), .B(n42401), .Y(n42400) );
+  sky130_fd_sc_hd__nor2_1 U61927 ( .A(n42402), .B(n42401), .Y(n42403) );
+  sky130_fd_sc_hd__a22oi_1 U61928 ( .A1(n37013), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[413]), .B1(n42403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[397]), .Y(n42404) );
+  sky130_fd_sc_hd__nand4_1 U61929 ( .A(n42407), .B(n42406), .C(n42405), .D(
+        n42404), .Y(n42408) );
+  sky130_fd_sc_hd__nor3_1 U61930 ( .A(n42412), .B(n42411), .C(n42410), .Y(
+        n42455) );
+  sky130_fd_sc_hd__nand2_1 U61931 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[0]), .Y(n42463) );
+  sky130_fd_sc_hd__xnor2_1 U61932 ( .A(n85634), .B(n42463), .Y(n42427) );
+  sky130_fd_sc_hd__o21a_1 U61933 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[3]), .B1(n42463), .X(n42428) );
+  sky130_fd_sc_hd__nand2_1 U61934 ( .A(n42456), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[2]), .Y(n42418) );
+  sky130_fd_sc_hd__o2bb2ai_1 U61935 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[3]), .B2(n42414), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[0]), .A2_N(n42413), .Y(n42416) );
+  sky130_fd_sc_hd__nand2_1 U61936 ( .A(n42414), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[3]), .Y(n42415) );
+  sky130_fd_sc_hd__nand2_1 U61937 ( .A(n42416), .B(n42415), .Y(n42417) );
+  sky130_fd_sc_hd__o2bb2ai_1 U61938 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[2]), .B2(n42456), .A1_N(n42418), .A2_N(n42417), .Y(n42432) );
+  sky130_fd_sc_hd__nor2_1 U61939 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[5]), .B(n42432), .Y(n42420) );
+  sky130_fd_sc_hd__nand2_1 U61940 ( .A(n42432), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[5]), .Y(n42419) );
+  sky130_fd_sc_hd__o21a_1 U61941 ( .A1(n42428), .A2(n42420), .B1(n42419), .X(
+        n42422) );
+  sky130_fd_sc_hd__xor2_1 U61942 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[1]), .X(n42421) );
+  sky130_fd_sc_hd__xnor2_1 U61943 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[6]), .B(n42421), .Y(n42423) );
+  sky130_fd_sc_hd__nand2_1 U61944 ( .A(n42422), .B(n42423), .Y(n42464) );
+  sky130_fd_sc_hd__nand2_1 U61945 ( .A(n42425), .B(n42424), .Y(n42465) );
+  sky130_fd_sc_hd__nand2_1 U61946 ( .A(n42464), .B(n42465), .Y(n42426) );
+  sky130_fd_sc_hd__xor2_1 U61947 ( .A(n42427), .B(n42426), .X(n42490) );
+  sky130_fd_sc_hd__xor2_1 U61948 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .X(n42430) );
+  sky130_fd_sc_hd__xnor2_1 U61949 ( .A(n42430), .B(n42429), .Y(n42431) );
+  sky130_fd_sc_hd__xor2_1 U61950 ( .A(n42432), .B(n42431), .X(n42513) );
+  sky130_fd_sc_hd__nand2_1 U61951 ( .A(n42490), .B(n42513), .Y(n42780) );
+  sky130_fd_sc_hd__a22oi_1 U61952 ( .A1(n42794), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[336]), .B1(n42793), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[320]), .Y(n42436) );
+  sky130_fd_sc_hd__a22oi_1 U61953 ( .A1(n42352), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[344]), .B1(n42353), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[328]), .Y(n42435) );
+  sky130_fd_sc_hd__a22oi_1 U61954 ( .A1(n42355), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[340]), .B1(n37068), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[324]), .Y(n42434) );
+  sky130_fd_sc_hd__a22oi_1 U61955 ( .A1(n42357), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[348]), .B1(n42796), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[332]), .Y(n42433) );
+  sky130_fd_sc_hd__nand4_1 U61956 ( .A(n42436), .B(n42435), .C(n42434), .D(
+        n42433), .Y(n42453) );
+  sky130_fd_sc_hd__a22oi_1 U61957 ( .A1(n42784), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[338]), .B1(n42783), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[322]), .Y(n42440) );
+  sky130_fd_sc_hd__a22oi_1 U61958 ( .A1(n42365), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[346]), .B1(n42785), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[330]), .Y(n42439) );
+  sky130_fd_sc_hd__a22oi_1 U61959 ( .A1(n42366), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[342]), .B1(n42787), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[326]), .Y(n42438) );
+  sky130_fd_sc_hd__a22oi_1 U61960 ( .A1(n42367), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[350]), .B1(n42788), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[334]), .Y(n42437) );
+  sky130_fd_sc_hd__nand4_1 U61961 ( .A(n42440), .B(n42439), .C(n42438), .D(
+        n42437), .Y(n42452) );
+  sky130_fd_sc_hd__a22oi_1 U61962 ( .A1(n37010), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[339]), .B1(n42803), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[323]), .Y(n42444) );
+  sky130_fd_sc_hd__a22oi_1 U61963 ( .A1(n42375), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[347]), .B1(n42376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[331]), .Y(n42443) );
+  sky130_fd_sc_hd__a22oi_1 U61964 ( .A1(n37016), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[343]), .B1(n36998), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[327]), .Y(n42442) );
+  sky130_fd_sc_hd__a22oi_1 U61965 ( .A1(n37014), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[351]), .B1(n36996), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[335]), .Y(n42441) );
+  sky130_fd_sc_hd__nand4_1 U61966 ( .A(n42444), .B(n42443), .C(n42442), .D(
+        n42441), .Y(n42450) );
+  sky130_fd_sc_hd__a22oi_1 U61967 ( .A1(n37008), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[337]), .B1(n42808), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[321]), .Y(n42448) );
+  sky130_fd_sc_hd__a22oi_1 U61968 ( .A1(n42392), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[345]), .B1(n42394), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[329]), .Y(n42447) );
+  sky130_fd_sc_hd__a22oi_1 U61969 ( .A1(n37015), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[341]), .B1(n42398), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[325]), .Y(n42446) );
+  sky130_fd_sc_hd__a22oi_1 U61970 ( .A1(n37013), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[349]), .B1(n42403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[333]), .Y(n42445) );
+  sky130_fd_sc_hd__nand4_1 U61971 ( .A(n42448), .B(n42447), .C(n42446), .D(
+        n42445), .Y(n42449) );
+  sky130_fd_sc_hd__nor3_1 U61972 ( .A(n42453), .B(n42452), .C(n42451), .Y(
+        n42454) );
+  sky130_fd_sc_hd__nand2_1 U61973 ( .A(n42514), .B(n42489), .Y(n42816) );
+  sky130_fd_sc_hd__o22a_1 U61974 ( .A1(n42455), .A2(n42780), .B1(n42454), .B2(
+        n42816), .X(n42519) );
+  sky130_fd_sc_hd__nand2_1 U61975 ( .A(n42456), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[6]), .Y(n42457) );
+  sky130_fd_sc_hd__a22oi_1 U61976 ( .A1(n42458), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[4]), .B1(n42457), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[1]), .Y(n42462) );
+  sky130_fd_sc_hd__xor2_1 U61977 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[2]), .X(n42460) );
+  sky130_fd_sc_hd__xnor2_1 U61978 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n42459) );
+  sky130_fd_sc_hd__xor2_1 U61979 ( .A(n42460), .B(n42459), .X(n42461) );
+  sky130_fd_sc_hd__xnor2_1 U61980 ( .A(n42462), .B(n42461), .Y(n42468) );
+  sky130_fd_sc_hd__nand2_1 U61981 ( .A(n42464), .B(n42463), .Y(n42466) );
+  sky130_fd_sc_hd__nand2_1 U61982 ( .A(n42466), .B(n42465), .Y(n42467) );
+  sky130_fd_sc_hd__xor2_1 U61983 ( .A(n42468), .B(n42467), .X(n42821) );
+  sky130_fd_sc_hd__a22oi_1 U61984 ( .A1(n42784), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[466]), .B1(n42783), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[450]), .Y(n42472) );
+  sky130_fd_sc_hd__a22oi_1 U61985 ( .A1(n42365), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[474]), .B1(n42785), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[458]), .Y(n42471) );
+  sky130_fd_sc_hd__a22oi_1 U61986 ( .A1(n42366), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[470]), .B1(n42787), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[454]), .Y(n42470) );
+  sky130_fd_sc_hd__a22oi_1 U61987 ( .A1(n42367), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[478]), .B1(n42788), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[462]), .Y(n42469) );
+  sky130_fd_sc_hd__nand4_1 U61988 ( .A(n42472), .B(n42471), .C(n42470), .D(
+        n42469), .Y(n42478) );
+  sky130_fd_sc_hd__a22oi_1 U61989 ( .A1(n42794), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[464]), .B1(n42793), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[448]), .Y(n42476) );
+  sky130_fd_sc_hd__a22oi_1 U61990 ( .A1(n42352), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[472]), .B1(n42353), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[456]), .Y(n42475) );
+  sky130_fd_sc_hd__a22oi_1 U61991 ( .A1(n42355), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[468]), .B1(n37068), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[452]), .Y(n42474) );
+  sky130_fd_sc_hd__a22oi_1 U61992 ( .A1(n42357), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[476]), .B1(n42796), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[460]), .Y(n42473) );
+  sky130_fd_sc_hd__nand4_1 U61993 ( .A(n42476), .B(n42475), .C(n42474), .D(
+        n42473), .Y(n42477) );
+  sky130_fd_sc_hd__nor2_1 U61994 ( .A(n42478), .B(n42477), .Y(n42492) );
+  sky130_fd_sc_hd__a22oi_1 U61995 ( .A1(n37010), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[467]), .B1(n42803), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[451]), .Y(n42482) );
+  sky130_fd_sc_hd__a22oi_1 U61996 ( .A1(n42375), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[475]), .B1(n42376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[459]), .Y(n42481) );
+  sky130_fd_sc_hd__a22oi_1 U61997 ( .A1(n37016), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[471]), .B1(n36998), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[455]), .Y(n42480) );
+  sky130_fd_sc_hd__a22oi_1 U61998 ( .A1(n37014), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[479]), .B1(n36996), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[463]), .Y(n42479) );
+  sky130_fd_sc_hd__nand4_1 U61999 ( .A(n42482), .B(n42481), .C(n42480), .D(
+        n42479), .Y(n42488) );
+  sky130_fd_sc_hd__a22oi_1 U62000 ( .A1(n37008), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[465]), .B1(n42808), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[449]), .Y(n42486) );
+  sky130_fd_sc_hd__a22oi_1 U62001 ( .A1(n42392), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[473]), .B1(n42394), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[457]), .Y(n42485) );
+  sky130_fd_sc_hd__a22oi_1 U62002 ( .A1(n37015), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[469]), .B1(n42398), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[453]), .Y(n42484) );
+  sky130_fd_sc_hd__a22oi_1 U62003 ( .A1(n37013), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[477]), .B1(n42403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[461]), .Y(n42483) );
+  sky130_fd_sc_hd__nand4_1 U62004 ( .A(n42486), .B(n42485), .C(n42484), .D(
+        n42483), .Y(n42487) );
+  sky130_fd_sc_hd__nor2_1 U62005 ( .A(n42488), .B(n42487), .Y(n42491) );
+  sky130_fd_sc_hd__nand2_1 U62006 ( .A(n42490), .B(n42489), .Y(n42734) );
+  sky130_fd_sc_hd__a21o_1 U62007 ( .A1(n42492), .A2(n42491), .B1(n42734), .X(
+        n42518) );
+  sky130_fd_sc_hd__a22oi_1 U62008 ( .A1(n42784), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[274]), .B1(n42783), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[258]), .Y(n42496) );
+  sky130_fd_sc_hd__a22oi_1 U62009 ( .A1(n42365), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[282]), .B1(n42785), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[266]), .Y(n42495) );
+  sky130_fd_sc_hd__a22oi_1 U62010 ( .A1(n42366), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[278]), .B1(n42787), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[262]), .Y(n42494) );
+  sky130_fd_sc_hd__a22oi_1 U62011 ( .A1(n42367), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[286]), .B1(n42788), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[270]), .Y(n42493) );
+  sky130_fd_sc_hd__nand4_1 U62012 ( .A(n42496), .B(n42495), .C(n42494), .D(
+        n42493), .Y(n42502) );
+  sky130_fd_sc_hd__a22oi_1 U62013 ( .A1(n42794), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[272]), .B1(n42793), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[256]), .Y(n42500) );
+  sky130_fd_sc_hd__a22oi_1 U62014 ( .A1(n42352), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[280]), .B1(n42353), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[264]), .Y(n42499) );
+  sky130_fd_sc_hd__a22oi_1 U62015 ( .A1(n42355), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[276]), .B1(n37068), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[260]), .Y(n42498) );
+  sky130_fd_sc_hd__a22oi_1 U62016 ( .A1(n42357), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[284]), .B1(n42796), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[268]), .Y(n42497) );
+  sky130_fd_sc_hd__nand4_1 U62017 ( .A(n42500), .B(n42499), .C(n42498), .D(
+        n42497), .Y(n42501) );
+  sky130_fd_sc_hd__nor2_1 U62018 ( .A(n42502), .B(n42501), .Y(n42516) );
+  sky130_fd_sc_hd__a22oi_1 U62019 ( .A1(n37010), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[275]), .B1(n42803), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[259]), .Y(n42506) );
+  sky130_fd_sc_hd__a22oi_1 U62020 ( .A1(n42375), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[283]), .B1(n42376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[267]), .Y(n42505) );
+  sky130_fd_sc_hd__a22oi_1 U62021 ( .A1(n37016), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[279]), .B1(n36998), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[263]), .Y(n42504) );
+  sky130_fd_sc_hd__a22oi_1 U62022 ( .A1(n37014), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[287]), .B1(n36996), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[271]), .Y(n42503) );
+  sky130_fd_sc_hd__nand4_1 U62023 ( .A(n42506), .B(n42505), .C(n42504), .D(
+        n42503), .Y(n42512) );
+  sky130_fd_sc_hd__a22oi_1 U62024 ( .A1(n37008), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[273]), .B1(n42808), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[257]), .Y(n42510) );
+  sky130_fd_sc_hd__a22oi_1 U62025 ( .A1(n42392), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[281]), .B1(n42394), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[265]), .Y(n42509) );
+  sky130_fd_sc_hd__a22oi_1 U62026 ( .A1(n37015), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[277]), .B1(n42398), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[261]), .Y(n42508) );
+  sky130_fd_sc_hd__a22oi_1 U62027 ( .A1(n37013), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[285]), .B1(n42403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[269]), .Y(n42507) );
+  sky130_fd_sc_hd__nand4_1 U62028 ( .A(n42510), .B(n42509), .C(n42508), .D(
+        n42507), .Y(n42511) );
+  sky130_fd_sc_hd__nor2_1 U62029 ( .A(n42512), .B(n42511), .Y(n42515) );
+  sky130_fd_sc_hd__nand2_1 U62030 ( .A(n42514), .B(n42513), .Y(n42756) );
+  sky130_fd_sc_hd__a21o_1 U62031 ( .A1(n42516), .A2(n42515), .B1(n42756), .X(
+        n42517) );
+  sky130_fd_sc_hd__nand4_1 U62032 ( .A(n42519), .B(n42821), .C(n42518), .D(
+        n42517), .Y(n42616) );
+  sky130_fd_sc_hd__a22oi_1 U62033 ( .A1(n42794), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[16]), .B1(n42793), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[0]), .Y(n42523) );
+  sky130_fd_sc_hd__a22oi_1 U62034 ( .A1(n42352), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[24]), .B1(n42353), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[8]), .Y(n42522) );
+  sky130_fd_sc_hd__a22oi_1 U62035 ( .A1(n42355), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[20]), .B1(n37068), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[4]), .Y(n42521) );
+  sky130_fd_sc_hd__a22oi_1 U62036 ( .A1(n42357), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[28]), .B1(n42796), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[12]), .Y(n42520) );
+  sky130_fd_sc_hd__nand4_1 U62037 ( .A(n42523), .B(n42522), .C(n42521), .D(
+        n42520), .Y(n42540) );
+  sky130_fd_sc_hd__a22oi_1 U62038 ( .A1(n42784), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[18]), .B1(n42783), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[2]), .Y(n42527) );
+  sky130_fd_sc_hd__a22oi_1 U62039 ( .A1(n42365), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[26]), .B1(n42785), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[10]), .Y(n42526) );
+  sky130_fd_sc_hd__a22oi_1 U62040 ( .A1(n42366), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[22]), .B1(n42787), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[6]), .Y(n42525) );
+  sky130_fd_sc_hd__a22oi_1 U62041 ( .A1(n42367), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[30]), .B1(n42788), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[14]), .Y(n42524) );
+  sky130_fd_sc_hd__nand4_1 U62042 ( .A(n42527), .B(n42526), .C(n42525), .D(
+        n42524), .Y(n42539) );
+  sky130_fd_sc_hd__a22oi_1 U62043 ( .A1(n37010), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[19]), .B1(n42803), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[3]), .Y(n42531) );
+  sky130_fd_sc_hd__a22oi_1 U62044 ( .A1(n42375), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[27]), .B1(n42376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[11]), .Y(n42530) );
+  sky130_fd_sc_hd__a22oi_1 U62045 ( .A1(n37016), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[23]), .B1(n36998), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[7]), .Y(n42529) );
+  sky130_fd_sc_hd__a22oi_1 U62046 ( .A1(n37014), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[31]), .B1(n36996), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[15]), .Y(n42528) );
+  sky130_fd_sc_hd__nand4_1 U62047 ( .A(n42531), .B(n42530), .C(n42529), .D(
+        n42528), .Y(n42537) );
+  sky130_fd_sc_hd__a22oi_1 U62048 ( .A1(n37008), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[17]), .B1(n42808), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[1]), .Y(n42535) );
+  sky130_fd_sc_hd__a22oi_1 U62049 ( .A1(n42392), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[25]), .B1(n42394), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[9]), .Y(n42534) );
+  sky130_fd_sc_hd__a22oi_1 U62050 ( .A1(n37015), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[21]), .B1(n42398), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[5]), .Y(n42533) );
+  sky130_fd_sc_hd__a22oi_1 U62051 ( .A1(n37013), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[29]), .B1(n42403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[13]), .Y(n42532) );
+  sky130_fd_sc_hd__nand4_1 U62052 ( .A(n42535), .B(n42534), .C(n42533), .D(
+        n42532), .Y(n42536) );
+  sky130_fd_sc_hd__nor3_1 U62053 ( .A(n42540), .B(n42539), .C(n42538), .Y(
+        n42541) );
+  sky130_fd_sc_hd__a22oi_1 U62054 ( .A1(n42794), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[80]), .B1(n42793), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[64]), .Y(n42545) );
+  sky130_fd_sc_hd__a22oi_1 U62055 ( .A1(n42352), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[88]), .B1(n42353), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[72]), .Y(n42544) );
+  sky130_fd_sc_hd__a22oi_1 U62056 ( .A1(n42355), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[84]), .B1(n37068), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[68]), .Y(n42543) );
+  sky130_fd_sc_hd__a22oi_1 U62057 ( .A1(n42357), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[92]), .B1(n42796), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[76]), .Y(n42542) );
+  sky130_fd_sc_hd__nand4_1 U62058 ( .A(n42545), .B(n42544), .C(n42543), .D(
+        n42542), .Y(n42562) );
+  sky130_fd_sc_hd__a22oi_1 U62059 ( .A1(n42784), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[82]), .B1(n42783), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[66]), .Y(n42549) );
+  sky130_fd_sc_hd__a22oi_1 U62060 ( .A1(n42365), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[90]), .B1(n42785), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[74]), .Y(n42548) );
+  sky130_fd_sc_hd__a22oi_1 U62061 ( .A1(n42366), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[86]), .B1(n42787), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[70]), .Y(n42547) );
+  sky130_fd_sc_hd__a22oi_1 U62062 ( .A1(n42367), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[94]), .B1(n42788), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[78]), .Y(n42546) );
+  sky130_fd_sc_hd__nand4_1 U62063 ( .A(n42549), .B(n42548), .C(n42547), .D(
+        n42546), .Y(n42561) );
+  sky130_fd_sc_hd__a22oi_1 U62064 ( .A1(n37010), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[83]), .B1(n42803), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[67]), .Y(n42553) );
+  sky130_fd_sc_hd__a22oi_1 U62065 ( .A1(n42375), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[91]), .B1(n42376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[75]), .Y(n42552) );
+  sky130_fd_sc_hd__a22oi_1 U62066 ( .A1(n37016), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[87]), .B1(n36998), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[71]), .Y(n42551) );
+  sky130_fd_sc_hd__a22oi_1 U62067 ( .A1(n37014), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[95]), .B1(n36996), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[79]), .Y(n42550) );
+  sky130_fd_sc_hd__nand4_1 U62068 ( .A(n42553), .B(n42552), .C(n42551), .D(
+        n42550), .Y(n42559) );
+  sky130_fd_sc_hd__a22oi_1 U62069 ( .A1(n37008), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[81]), .B1(n42808), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[65]), .Y(n42557) );
+  sky130_fd_sc_hd__a22oi_1 U62070 ( .A1(n42392), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[89]), .B1(n42394), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[73]), .Y(n42556) );
+  sky130_fd_sc_hd__a22oi_1 U62071 ( .A1(n37015), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[85]), .B1(n42398), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[69]), .Y(n42555) );
+  sky130_fd_sc_hd__a22oi_1 U62072 ( .A1(n37013), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[93]), .B1(n42403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[77]), .Y(n42554) );
+  sky130_fd_sc_hd__nand4_1 U62073 ( .A(n42557), .B(n42556), .C(n42555), .D(
+        n42554), .Y(n42558) );
+  sky130_fd_sc_hd__nor3_1 U62074 ( .A(n42562), .B(n42561), .C(n42560), .Y(
+        n42563) );
+  sky130_fd_sc_hd__a22oi_1 U62075 ( .A1(n42567), .A2(n42566), .B1(n42565), 
+        .B2(n42564), .Y(n42614) );
+  sky130_fd_sc_hd__a22oi_1 U62076 ( .A1(n42784), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[210]), .B1(n42783), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[194]), .Y(n42571) );
+  sky130_fd_sc_hd__a22oi_1 U62077 ( .A1(n42365), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[218]), .B1(n42785), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[202]), .Y(n42570) );
+  sky130_fd_sc_hd__a22oi_1 U62078 ( .A1(n42366), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[214]), .B1(n42787), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[198]), .Y(n42569) );
+  sky130_fd_sc_hd__a22oi_1 U62079 ( .A1(n42367), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[222]), .B1(n42788), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[206]), .Y(n42568) );
+  sky130_fd_sc_hd__nand4_1 U62080 ( .A(n42571), .B(n42570), .C(n42569), .D(
+        n42568), .Y(n42577) );
+  sky130_fd_sc_hd__a22oi_1 U62081 ( .A1(n42794), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[208]), .B1(n42793), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[192]), .Y(n42575) );
+  sky130_fd_sc_hd__a22oi_1 U62082 ( .A1(n42352), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[216]), .B1(n42353), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[200]), .Y(n42574) );
+  sky130_fd_sc_hd__a22oi_1 U62083 ( .A1(n42355), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[212]), .B1(n37068), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[196]), .Y(n42573) );
+  sky130_fd_sc_hd__a22oi_1 U62084 ( .A1(n42357), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[220]), .B1(n42796), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[204]), .Y(n42572) );
+  sky130_fd_sc_hd__nand4_1 U62085 ( .A(n42575), .B(n42574), .C(n42573), .D(
+        n42572), .Y(n42576) );
+  sky130_fd_sc_hd__nor2_1 U62086 ( .A(n42577), .B(n42576), .Y(n42589) );
+  sky130_fd_sc_hd__a22oi_1 U62087 ( .A1(n37010), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[211]), .B1(n42803), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[195]), .Y(n42581) );
+  sky130_fd_sc_hd__a22oi_1 U62088 ( .A1(n42375), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[219]), .B1(n42376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[203]), .Y(n42580) );
+  sky130_fd_sc_hd__a22oi_1 U62089 ( .A1(n37016), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[215]), .B1(n36998), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[199]), .Y(n42579) );
+  sky130_fd_sc_hd__a22oi_1 U62090 ( .A1(n37014), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[223]), .B1(n36996), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[207]), .Y(n42578) );
+  sky130_fd_sc_hd__nand4_1 U62091 ( .A(n42581), .B(n42580), .C(n42579), .D(
+        n42578), .Y(n42587) );
+  sky130_fd_sc_hd__a22oi_1 U62092 ( .A1(n37008), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[209]), .B1(n42808), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[193]), .Y(n42585) );
+  sky130_fd_sc_hd__a22oi_1 U62093 ( .A1(n42392), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[217]), .B1(n42394), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[201]), .Y(n42584) );
+  sky130_fd_sc_hd__a22oi_1 U62094 ( .A1(n37015), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[213]), .B1(n42398), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[197]), .Y(n42583) );
+  sky130_fd_sc_hd__a22oi_1 U62095 ( .A1(n37013), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[221]), .B1(n42403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[205]), .Y(n42582) );
+  sky130_fd_sc_hd__nand4_1 U62096 ( .A(n42585), .B(n42584), .C(n42583), .D(
+        n42582), .Y(n42586) );
+  sky130_fd_sc_hd__nor2_1 U62097 ( .A(n42587), .B(n42586), .Y(n42588) );
+  sky130_fd_sc_hd__a21o_1 U62098 ( .A1(n42589), .A2(n42588), .B1(n42734), .X(
+        n42613) );
+  sky130_fd_sc_hd__a22oi_1 U62099 ( .A1(n42784), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[146]), .B1(n42783), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[130]), .Y(n42593) );
+  sky130_fd_sc_hd__a22oi_1 U62100 ( .A1(n42365), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[154]), .B1(n42785), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[138]), .Y(n42592) );
+  sky130_fd_sc_hd__a22oi_1 U62101 ( .A1(n42366), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[150]), .B1(n42787), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[134]), .Y(n42591) );
+  sky130_fd_sc_hd__a22oi_1 U62102 ( .A1(n42367), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[158]), .B1(n42788), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[142]), .Y(n42590) );
+  sky130_fd_sc_hd__nand4_1 U62103 ( .A(n42593), .B(n42592), .C(n42591), .D(
+        n42590), .Y(n42599) );
+  sky130_fd_sc_hd__a22oi_1 U62104 ( .A1(n42794), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[144]), .B1(n42793), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[128]), .Y(n42597) );
+  sky130_fd_sc_hd__a22oi_1 U62105 ( .A1(n42352), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[152]), .B1(n42353), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[136]), .Y(n42596) );
+  sky130_fd_sc_hd__a22oi_1 U62106 ( .A1(n42355), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[148]), .B1(n37068), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[132]), .Y(n42595) );
+  sky130_fd_sc_hd__a22oi_1 U62107 ( .A1(n42357), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[156]), .B1(n42796), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[140]), .Y(n42594) );
+  sky130_fd_sc_hd__nand4_1 U62108 ( .A(n42597), .B(n42596), .C(n42595), .D(
+        n42594), .Y(n42598) );
+  sky130_fd_sc_hd__nor2_1 U62109 ( .A(n42599), .B(n42598), .Y(n42611) );
+  sky130_fd_sc_hd__a22oi_1 U62110 ( .A1(n37010), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[147]), .B1(n42803), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[131]), .Y(n42603) );
+  sky130_fd_sc_hd__a22oi_1 U62111 ( .A1(n42375), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[155]), .B1(n42376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[139]), .Y(n42602) );
+  sky130_fd_sc_hd__a22oi_1 U62112 ( .A1(n37016), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[151]), .B1(n36998), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[135]), .Y(n42601) );
+  sky130_fd_sc_hd__a22oi_1 U62113 ( .A1(n37014), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[159]), .B1(n36996), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[143]), .Y(n42600) );
+  sky130_fd_sc_hd__nand4_1 U62114 ( .A(n42603), .B(n42602), .C(n42601), .D(
+        n42600), .Y(n42609) );
+  sky130_fd_sc_hd__a22oi_1 U62115 ( .A1(n37008), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[145]), .B1(n42808), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[129]), .Y(n42607) );
+  sky130_fd_sc_hd__a22oi_1 U62116 ( .A1(n42392), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[153]), .B1(n42394), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[137]), .Y(n42606) );
+  sky130_fd_sc_hd__a22oi_1 U62117 ( .A1(n37015), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[149]), .B1(n42398), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[133]), .Y(n42605) );
+  sky130_fd_sc_hd__a22oi_1 U62118 ( .A1(n37013), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[157]), .B1(n42403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[141]), .Y(n42604) );
+  sky130_fd_sc_hd__nand4_1 U62119 ( .A(n42607), .B(n42606), .C(n42605), .D(
+        n42604), .Y(n42608) );
+  sky130_fd_sc_hd__nor2_1 U62120 ( .A(n42609), .B(n42608), .Y(n42610) );
+  sky130_fd_sc_hd__a21o_1 U62121 ( .A1(n42611), .A2(n42610), .B1(n42780), .X(
+        n42612) );
+  sky130_fd_sc_hd__nand4_1 U62122 ( .A(n42614), .B(n42710), .C(n42613), .D(
+        n42612), .Y(n42615) );
+  sky130_fd_sc_hd__nand2_1 U62123 ( .A(n42616), .B(n42615), .Y(n42617) );
+  sky130_fd_sc_hd__nand2_1 U62124 ( .A(n42617), .B(n64007), .Y(n42827) );
+  sky130_fd_sc_hd__a22oi_1 U62125 ( .A1(n42794), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[176]), .B1(n42793), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[160]), .Y(n42621) );
+  sky130_fd_sc_hd__a22oi_1 U62126 ( .A1(n42352), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[184]), .B1(n42353), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[168]), .Y(n42620) );
+  sky130_fd_sc_hd__a22oi_1 U62127 ( .A1(n42355), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[180]), .B1(n37068), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[164]), .Y(n42619) );
+  sky130_fd_sc_hd__a22oi_1 U62128 ( .A1(n42357), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[188]), .B1(n42796), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[172]), .Y(n42618) );
+  sky130_fd_sc_hd__nand4_1 U62129 ( .A(n42621), .B(n42620), .C(n42619), .D(
+        n42618), .Y(n42638) );
+  sky130_fd_sc_hd__a22oi_1 U62130 ( .A1(n42784), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[178]), .B1(n42783), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[162]), .Y(n42625) );
+  sky130_fd_sc_hd__a22oi_1 U62131 ( .A1(n42365), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[186]), .B1(n42785), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[170]), .Y(n42624) );
+  sky130_fd_sc_hd__a22oi_1 U62132 ( .A1(n42366), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[182]), .B1(n42787), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[166]), .Y(n42623) );
+  sky130_fd_sc_hd__a22oi_1 U62133 ( .A1(n42367), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[190]), .B1(n42788), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[174]), .Y(n42622) );
+  sky130_fd_sc_hd__nand4_1 U62134 ( .A(n42625), .B(n42624), .C(n42623), .D(
+        n42622), .Y(n42637) );
+  sky130_fd_sc_hd__a22oi_1 U62135 ( .A1(n37010), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[179]), .B1(n42803), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[163]), .Y(n42629) );
+  sky130_fd_sc_hd__a22oi_1 U62136 ( .A1(n42375), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[187]), .B1(n42376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[171]), .Y(n42628) );
+  sky130_fd_sc_hd__a22oi_1 U62137 ( .A1(n37016), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[183]), .B1(n36998), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[167]), .Y(n42627) );
+  sky130_fd_sc_hd__a22oi_1 U62138 ( .A1(n37014), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[191]), .B1(n36996), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[175]), .Y(n42626) );
+  sky130_fd_sc_hd__nand4_1 U62139 ( .A(n42629), .B(n42628), .C(n42627), .D(
+        n42626), .Y(n42635) );
+  sky130_fd_sc_hd__a22oi_1 U62140 ( .A1(n37008), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[177]), .B1(n42808), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[161]), .Y(n42633) );
+  sky130_fd_sc_hd__a22oi_1 U62141 ( .A1(n42392), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[185]), .B1(n42394), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[169]), .Y(n42632) );
+  sky130_fd_sc_hd__a22oi_1 U62142 ( .A1(n37015), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[181]), .B1(n42398), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[165]), .Y(n42631) );
+  sky130_fd_sc_hd__a22oi_1 U62143 ( .A1(n37013), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[189]), .B1(n42403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[173]), .Y(n42630) );
+  sky130_fd_sc_hd__nand4_1 U62144 ( .A(n42633), .B(n42632), .C(n42631), .D(
+        n42630), .Y(n42634) );
+  sky130_fd_sc_hd__a22oi_1 U62145 ( .A1(n42794), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[112]), .B1(n42793), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[96]), .Y(n42643) );
+  sky130_fd_sc_hd__a22oi_1 U62146 ( .A1(n42352), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[120]), .B1(n42353), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[104]), .Y(n42642) );
+  sky130_fd_sc_hd__a22oi_1 U62147 ( .A1(n42355), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[116]), .B1(n37068), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[100]), .Y(n42641) );
+  sky130_fd_sc_hd__a22oi_1 U62148 ( .A1(n42357), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[124]), .B1(n42796), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[108]), .Y(n42640) );
+  sky130_fd_sc_hd__nand4_1 U62149 ( .A(n42643), .B(n42642), .C(n42641), .D(
+        n42640), .Y(n42660) );
+  sky130_fd_sc_hd__a22oi_1 U62150 ( .A1(n42784), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[114]), .B1(n42783), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[98]), .Y(n42647) );
+  sky130_fd_sc_hd__a22oi_1 U62151 ( .A1(n42365), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[122]), .B1(n42785), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[106]), .Y(n42646) );
+  sky130_fd_sc_hd__a22oi_1 U62152 ( .A1(n42366), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[118]), .B1(n42787), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[102]), .Y(n42645) );
+  sky130_fd_sc_hd__a22oi_1 U62153 ( .A1(n42367), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[126]), .B1(n42788), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[110]), .Y(n42644) );
+  sky130_fd_sc_hd__nand4_1 U62154 ( .A(n42647), .B(n42646), .C(n42645), .D(
+        n42644), .Y(n42659) );
+  sky130_fd_sc_hd__a22oi_1 U62155 ( .A1(n37010), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[115]), .B1(n42803), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[99]), .Y(n42651) );
+  sky130_fd_sc_hd__a22oi_1 U62156 ( .A1(n42375), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[123]), .B1(n42376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[107]), .Y(n42650) );
+  sky130_fd_sc_hd__a22oi_1 U62157 ( .A1(n37016), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[119]), .B1(n36998), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[103]), .Y(n42649) );
+  sky130_fd_sc_hd__a22oi_1 U62158 ( .A1(n37014), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[127]), .B1(n36996), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[111]), .Y(n42648) );
+  sky130_fd_sc_hd__nand4_1 U62159 ( .A(n42651), .B(n42650), .C(n42649), .D(
+        n42648), .Y(n42657) );
+  sky130_fd_sc_hd__a22oi_1 U62160 ( .A1(n37008), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[113]), .B1(n42808), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[97]), .Y(n42655) );
+  sky130_fd_sc_hd__a22oi_1 U62161 ( .A1(n42392), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[121]), .B1(n42394), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[105]), .Y(n42654) );
+  sky130_fd_sc_hd__a22oi_1 U62162 ( .A1(n37015), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[117]), .B1(n42398), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[101]), .Y(n42653) );
+  sky130_fd_sc_hd__a22oi_1 U62163 ( .A1(n37013), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[125]), .B1(n42403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[109]), .Y(n42652) );
+  sky130_fd_sc_hd__nand4_1 U62164 ( .A(n42655), .B(n42654), .C(n42653), .D(
+        n42652), .Y(n42656) );
+  sky130_fd_sc_hd__nor3_1 U62165 ( .A(n42660), .B(n42659), .C(n42658), .Y(
+        n42661) );
+  sky130_fd_sc_hd__a2bb2oi_1 U62166 ( .B1(n42663), .B2(n42662), .A1_N(n42661), 
+        .A2_N(n42816), .Y(n42711) );
+  sky130_fd_sc_hd__a22oi_1 U62167 ( .A1(n42784), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[242]), .B1(n42783), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[226]), .Y(n42667) );
+  sky130_fd_sc_hd__a22oi_1 U62168 ( .A1(n42365), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[250]), .B1(n42785), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[234]), .Y(n42666) );
+  sky130_fd_sc_hd__a22oi_1 U62169 ( .A1(n42366), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[246]), .B1(n42787), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[230]), .Y(n42665) );
+  sky130_fd_sc_hd__a22oi_1 U62170 ( .A1(n42367), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[254]), .B1(n42788), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[238]), .Y(n42664) );
+  sky130_fd_sc_hd__nand4_1 U62171 ( .A(n42667), .B(n42666), .C(n42665), .D(
+        n42664), .Y(n42673) );
+  sky130_fd_sc_hd__a22oi_1 U62172 ( .A1(n42794), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[240]), .B1(n42793), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[224]), .Y(n42671) );
+  sky130_fd_sc_hd__a22oi_1 U62173 ( .A1(n42352), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[248]), .B1(n42353), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[232]), .Y(n42670) );
+  sky130_fd_sc_hd__a22oi_1 U62174 ( .A1(n42355), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[244]), .B1(n37068), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[228]), .Y(n42669) );
+  sky130_fd_sc_hd__a22oi_1 U62175 ( .A1(n42357), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[252]), .B1(n42796), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[236]), .Y(n42668) );
+  sky130_fd_sc_hd__nand4_1 U62176 ( .A(n42671), .B(n42670), .C(n42669), .D(
+        n42668), .Y(n42672) );
+  sky130_fd_sc_hd__nor2_1 U62177 ( .A(n42673), .B(n42672), .Y(n42685) );
+  sky130_fd_sc_hd__a22oi_1 U62178 ( .A1(n37010), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[243]), .B1(n42803), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[227]), .Y(n42677) );
+  sky130_fd_sc_hd__a22oi_1 U62179 ( .A1(n42375), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[251]), .B1(n42376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[235]), .Y(n42676) );
+  sky130_fd_sc_hd__a22oi_1 U62180 ( .A1(n37016), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[247]), .B1(n36998), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[231]), .Y(n42675) );
+  sky130_fd_sc_hd__a22oi_1 U62181 ( .A1(n37014), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[255]), .B1(n36996), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[239]), .Y(n42674) );
+  sky130_fd_sc_hd__nand4_1 U62182 ( .A(n42677), .B(n42676), .C(n42675), .D(
+        n42674), .Y(n42683) );
+  sky130_fd_sc_hd__a22oi_1 U62183 ( .A1(n37008), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[241]), .B1(n42808), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[225]), .Y(n42681) );
+  sky130_fd_sc_hd__a22oi_1 U62184 ( .A1(n42392), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[249]), .B1(n42394), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[233]), .Y(n42680) );
+  sky130_fd_sc_hd__a22oi_1 U62185 ( .A1(n37015), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[245]), .B1(n42398), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[229]), .Y(n42679) );
+  sky130_fd_sc_hd__a22oi_1 U62186 ( .A1(n37013), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[253]), .B1(n42403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[237]), .Y(n42678) );
+  sky130_fd_sc_hd__nand4_1 U62187 ( .A(n42681), .B(n42680), .C(n42679), .D(
+        n42678), .Y(n42682) );
+  sky130_fd_sc_hd__nor2_1 U62188 ( .A(n42683), .B(n42682), .Y(n42684) );
+  sky130_fd_sc_hd__a21o_1 U62189 ( .A1(n42685), .A2(n42684), .B1(n42734), .X(
+        n42709) );
+  sky130_fd_sc_hd__a22oi_1 U62190 ( .A1(n42784), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[50]), .B1(n42783), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[34]), .Y(n42689) );
+  sky130_fd_sc_hd__a22oi_1 U62191 ( .A1(n42365), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[58]), .B1(n42785), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[42]), .Y(n42688) );
+  sky130_fd_sc_hd__a22oi_1 U62192 ( .A1(n42366), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[54]), .B1(n42787), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[38]), .Y(n42687) );
+  sky130_fd_sc_hd__a22oi_1 U62193 ( .A1(n42367), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[62]), .B1(n42788), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[46]), .Y(n42686) );
+  sky130_fd_sc_hd__nand4_1 U62194 ( .A(n42689), .B(n42688), .C(n42687), .D(
+        n42686), .Y(n42695) );
+  sky130_fd_sc_hd__a22oi_1 U62195 ( .A1(n42794), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[48]), .B1(n42793), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[32]), .Y(n42693) );
+  sky130_fd_sc_hd__a22oi_1 U62196 ( .A1(n42352), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[56]), .B1(n42353), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[40]), .Y(n42692) );
+  sky130_fd_sc_hd__a22oi_1 U62197 ( .A1(n42355), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[52]), .B1(n37068), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[36]), .Y(n42691) );
+  sky130_fd_sc_hd__a22oi_1 U62198 ( .A1(n42357), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[60]), .B1(n42796), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[44]), .Y(n42690) );
+  sky130_fd_sc_hd__nand4_1 U62199 ( .A(n42693), .B(n42692), .C(n42691), .D(
+        n42690), .Y(n42694) );
+  sky130_fd_sc_hd__nor2_1 U62200 ( .A(n42695), .B(n42694), .Y(n42707) );
+  sky130_fd_sc_hd__a22oi_1 U62201 ( .A1(n37010), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[51]), .B1(n42803), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[35]), .Y(n42699) );
+  sky130_fd_sc_hd__a22oi_1 U62202 ( .A1(n42375), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[59]), .B1(n42376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[43]), .Y(n42698) );
+  sky130_fd_sc_hd__a22oi_1 U62203 ( .A1(n37016), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[55]), .B1(n36998), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[39]), .Y(n42697) );
+  sky130_fd_sc_hd__a22oi_1 U62204 ( .A1(n37014), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[63]), .B1(n36996), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[47]), .Y(n42696) );
+  sky130_fd_sc_hd__nand4_1 U62205 ( .A(n42699), .B(n42698), .C(n42697), .D(
+        n42696), .Y(n42705) );
+  sky130_fd_sc_hd__a22oi_1 U62206 ( .A1(n37008), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[49]), .B1(n42808), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[33]), .Y(n42703) );
+  sky130_fd_sc_hd__a22oi_1 U62207 ( .A1(n42392), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[57]), .B1(n42394), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[41]), .Y(n42702) );
+  sky130_fd_sc_hd__a22oi_1 U62208 ( .A1(n37015), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[53]), .B1(n42398), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[37]), .Y(n42701) );
+  sky130_fd_sc_hd__a22oi_1 U62209 ( .A1(n37013), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[61]), .B1(n42403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[45]), .Y(n42700) );
+  sky130_fd_sc_hd__nand4_1 U62210 ( .A(n42703), .B(n42702), .C(n42701), .D(
+        n42700), .Y(n42704) );
+  sky130_fd_sc_hd__nor2_1 U62211 ( .A(n42705), .B(n42704), .Y(n42706) );
+  sky130_fd_sc_hd__a21o_1 U62212 ( .A1(n42707), .A2(n42706), .B1(n42756), .X(
+        n42708) );
+  sky130_fd_sc_hd__nand4_1 U62213 ( .A(n42711), .B(n42710), .C(n42709), .D(
+        n42708), .Y(n42824) );
+  sky130_fd_sc_hd__a22oi_1 U62214 ( .A1(n42794), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[496]), .B1(n42793), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[480]), .Y(n42715) );
+  sky130_fd_sc_hd__a22oi_1 U62215 ( .A1(n42352), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[504]), .B1(n42353), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[488]), .Y(n42714) );
+  sky130_fd_sc_hd__a22oi_1 U62216 ( .A1(n42355), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[500]), .B1(n37068), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[484]), .Y(n42713) );
+  sky130_fd_sc_hd__a22oi_1 U62217 ( .A1(n42357), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[508]), .B1(n42796), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[492]), .Y(n42712) );
+  sky130_fd_sc_hd__nand4_1 U62218 ( .A(n42715), .B(n42714), .C(n42713), .D(
+        n42712), .Y(n42732) );
+  sky130_fd_sc_hd__a22oi_1 U62219 ( .A1(n42784), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[498]), .B1(n42783), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[482]), .Y(n42719) );
+  sky130_fd_sc_hd__a22oi_1 U62220 ( .A1(n42365), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[506]), .B1(n42785), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[490]), .Y(n42718) );
+  sky130_fd_sc_hd__a22oi_1 U62221 ( .A1(n42366), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[502]), .B1(n42787), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[486]), .Y(n42717) );
+  sky130_fd_sc_hd__a22oi_1 U62222 ( .A1(n42367), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[510]), .B1(n42788), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[494]), .Y(n42716) );
+  sky130_fd_sc_hd__nand4_1 U62223 ( .A(n42719), .B(n42718), .C(n42717), .D(
+        n42716), .Y(n42731) );
+  sky130_fd_sc_hd__a22oi_1 U62224 ( .A1(n37010), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[499]), .B1(n42803), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[483]), .Y(n42723) );
+  sky130_fd_sc_hd__a22oi_1 U62225 ( .A1(n42375), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[507]), .B1(n42376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[491]), .Y(n42722) );
+  sky130_fd_sc_hd__a22oi_1 U62226 ( .A1(n37016), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[503]), .B1(n36998), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[487]), .Y(n42721) );
+  sky130_fd_sc_hd__a22oi_1 U62227 ( .A1(n37014), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[511]), .B1(n36996), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[495]), .Y(n42720) );
+  sky130_fd_sc_hd__nand4_1 U62228 ( .A(n42723), .B(n42722), .C(n42721), .D(
+        n42720), .Y(n42729) );
+  sky130_fd_sc_hd__a22oi_1 U62229 ( .A1(n37008), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[497]), .B1(n42808), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[481]), .Y(n42727) );
+  sky130_fd_sc_hd__a22oi_1 U62230 ( .A1(n42392), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[505]), .B1(n42394), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[489]), .Y(n42726) );
+  sky130_fd_sc_hd__a22oi_1 U62231 ( .A1(n37015), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[501]), .B1(n42398), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[485]), .Y(n42725) );
+  sky130_fd_sc_hd__a22oi_1 U62232 ( .A1(n37013), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[509]), .B1(n42403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[493]), .Y(n42724) );
+  sky130_fd_sc_hd__nand4_1 U62233 ( .A(n42727), .B(n42726), .C(n42725), .D(
+        n42724), .Y(n42728) );
+  sky130_fd_sc_hd__nor3_1 U62234 ( .A(n42732), .B(n42731), .C(n42730), .Y(
+        n42733) );
+  sky130_fd_sc_hd__a22oi_1 U62235 ( .A1(n42794), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[304]), .B1(n42793), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[288]), .Y(n42738) );
+  sky130_fd_sc_hd__a22oi_1 U62236 ( .A1(n42352), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[312]), .B1(n42353), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[296]), .Y(n42737) );
+  sky130_fd_sc_hd__a22oi_1 U62237 ( .A1(n42355), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[308]), .B1(n37068), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[292]), .Y(n42736) );
+  sky130_fd_sc_hd__a22oi_1 U62238 ( .A1(n42357), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[316]), .B1(n42796), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[300]), .Y(n42735) );
+  sky130_fd_sc_hd__nand4_1 U62239 ( .A(n42738), .B(n42737), .C(n42736), .D(
+        n42735), .Y(n42755) );
+  sky130_fd_sc_hd__a22oi_1 U62240 ( .A1(n42784), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[306]), .B1(n42783), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[290]), .Y(n42742) );
+  sky130_fd_sc_hd__a22oi_1 U62241 ( .A1(n42365), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[314]), .B1(n42785), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[298]), .Y(n42741) );
+  sky130_fd_sc_hd__a22oi_1 U62242 ( .A1(n42366), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[310]), .B1(n42787), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[294]), .Y(n42740) );
+  sky130_fd_sc_hd__a22oi_1 U62243 ( .A1(n42367), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[318]), .B1(n42788), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[302]), .Y(n42739) );
+  sky130_fd_sc_hd__nand4_1 U62244 ( .A(n42742), .B(n42741), .C(n42740), .D(
+        n42739), .Y(n42754) );
+  sky130_fd_sc_hd__a22oi_1 U62245 ( .A1(n37010), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[307]), .B1(n42803), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[291]), .Y(n42746) );
+  sky130_fd_sc_hd__a22oi_1 U62246 ( .A1(n42375), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[315]), .B1(n42376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[299]), .Y(n42745) );
+  sky130_fd_sc_hd__a22oi_1 U62247 ( .A1(n37016), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[311]), .B1(n36998), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[295]), .Y(n42744) );
+  sky130_fd_sc_hd__a22oi_1 U62248 ( .A1(n37014), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[319]), .B1(n36996), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[303]), .Y(n42743) );
+  sky130_fd_sc_hd__nand4_1 U62249 ( .A(n42746), .B(n42745), .C(n42744), .D(
+        n42743), .Y(n42752) );
+  sky130_fd_sc_hd__a22oi_1 U62250 ( .A1(n37008), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[305]), .B1(n42808), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[289]), .Y(n42750) );
+  sky130_fd_sc_hd__a22oi_1 U62251 ( .A1(n42392), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[313]), .B1(n42394), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[297]), .Y(n42749) );
+  sky130_fd_sc_hd__a22oi_1 U62252 ( .A1(n37015), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[309]), .B1(n42398), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[293]), .Y(n42748) );
+  sky130_fd_sc_hd__a22oi_1 U62253 ( .A1(n37013), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[317]), .B1(n42403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[301]), .Y(n42747) );
+  sky130_fd_sc_hd__nand4_1 U62254 ( .A(n42750), .B(n42749), .C(n42748), .D(
+        n42747), .Y(n42751) );
+  sky130_fd_sc_hd__nor3_1 U62255 ( .A(n42755), .B(n42754), .C(n42753), .Y(
+        n42757) );
+  sky130_fd_sc_hd__a22oi_1 U62256 ( .A1(n42784), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[434]), .B1(n42783), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[418]), .Y(n42763) );
+  sky130_fd_sc_hd__a22oi_1 U62257 ( .A1(n42365), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[442]), .B1(n42785), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[426]), .Y(n42762) );
+  sky130_fd_sc_hd__a22oi_1 U62258 ( .A1(n42366), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[438]), .B1(n42787), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[422]), .Y(n42761) );
+  sky130_fd_sc_hd__a22oi_1 U62259 ( .A1(n42367), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[446]), .B1(n42788), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[430]), .Y(n42760) );
+  sky130_fd_sc_hd__nand4_1 U62260 ( .A(n42763), .B(n42762), .C(n42761), .D(
+        n42760), .Y(n42769) );
+  sky130_fd_sc_hd__a22oi_1 U62261 ( .A1(n42794), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[432]), .B1(n42793), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[416]), .Y(n42767) );
+  sky130_fd_sc_hd__a22oi_1 U62262 ( .A1(n42352), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[440]), .B1(n42353), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[424]), .Y(n42766) );
+  sky130_fd_sc_hd__a22oi_1 U62263 ( .A1(n42355), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[436]), .B1(n37068), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[420]), .Y(n42765) );
+  sky130_fd_sc_hd__a22oi_1 U62264 ( .A1(n42357), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[444]), .B1(n42796), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[428]), .Y(n42764) );
+  sky130_fd_sc_hd__nand4_1 U62265 ( .A(n42767), .B(n42766), .C(n42765), .D(
+        n42764), .Y(n42768) );
+  sky130_fd_sc_hd__nor2_1 U62266 ( .A(n42769), .B(n42768), .Y(n42782) );
+  sky130_fd_sc_hd__a22oi_1 U62267 ( .A1(n37010), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[435]), .B1(n42803), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[419]), .Y(n42773) );
+  sky130_fd_sc_hd__a22oi_1 U62268 ( .A1(n42375), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[443]), .B1(n42376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[427]), .Y(n42772) );
+  sky130_fd_sc_hd__a22oi_1 U62269 ( .A1(n37016), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[439]), .B1(n36998), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[423]), .Y(n42771) );
+  sky130_fd_sc_hd__a22oi_1 U62270 ( .A1(n37014), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[447]), .B1(n36996), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[431]), .Y(n42770) );
+  sky130_fd_sc_hd__nand4_1 U62271 ( .A(n42773), .B(n42772), .C(n42771), .D(
+        n42770), .Y(n42779) );
+  sky130_fd_sc_hd__a22oi_1 U62272 ( .A1(n37008), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[433]), .B1(n42808), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[417]), .Y(n42777) );
+  sky130_fd_sc_hd__a22oi_1 U62273 ( .A1(n42392), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[441]), .B1(n42394), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[425]), .Y(n42776) );
+  sky130_fd_sc_hd__a22oi_1 U62274 ( .A1(n37015), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[437]), .B1(n42398), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[421]), .Y(n42775) );
+  sky130_fd_sc_hd__a22oi_1 U62275 ( .A1(n37013), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[445]), .B1(n42403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[429]), .Y(n42774) );
+  sky130_fd_sc_hd__nand4_1 U62276 ( .A(n42777), .B(n42776), .C(n42775), .D(
+        n42774), .Y(n42778) );
+  sky130_fd_sc_hd__nor2_1 U62277 ( .A(n42779), .B(n42778), .Y(n42781) );
+  sky130_fd_sc_hd__a21o_1 U62278 ( .A1(n42782), .A2(n42781), .B1(n42780), .X(
+        n42820) );
+  sky130_fd_sc_hd__a22oi_1 U62279 ( .A1(n42784), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[370]), .B1(n42783), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[354]), .Y(n42792) );
+  sky130_fd_sc_hd__a22oi_1 U62280 ( .A1(n42365), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[378]), .B1(n42785), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[362]), .Y(n42791) );
+  sky130_fd_sc_hd__a22oi_1 U62281 ( .A1(n42366), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[374]), .B1(n42787), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[358]), .Y(n42790) );
+  sky130_fd_sc_hd__a22oi_1 U62282 ( .A1(n42367), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[382]), .B1(n42788), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[366]), .Y(n42789) );
+  sky130_fd_sc_hd__nand4_1 U62283 ( .A(n42792), .B(n42791), .C(n42790), .D(
+        n42789), .Y(n42802) );
+  sky130_fd_sc_hd__a22oi_1 U62284 ( .A1(n42794), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[368]), .B1(n42793), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[352]), .Y(n42800) );
+  sky130_fd_sc_hd__a22oi_1 U62285 ( .A1(n42352), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[376]), .B1(n42353), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[360]), .Y(n42799) );
+  sky130_fd_sc_hd__a22oi_1 U62286 ( .A1(n42355), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[372]), .B1(n37068), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[356]), .Y(n42798) );
+  sky130_fd_sc_hd__a22oi_1 U62287 ( .A1(n42357), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[380]), .B1(n42796), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[364]), .Y(n42797) );
+  sky130_fd_sc_hd__nand4_1 U62288 ( .A(n42800), .B(n42799), .C(n42798), .D(
+        n42797), .Y(n42801) );
+  sky130_fd_sc_hd__nor2_1 U62289 ( .A(n42802), .B(n42801), .Y(n42818) );
+  sky130_fd_sc_hd__a22oi_1 U62290 ( .A1(n37010), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[371]), .B1(n42803), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[355]), .Y(n42807) );
+  sky130_fd_sc_hd__a22oi_1 U62291 ( .A1(n42375), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[379]), .B1(n42376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[363]), .Y(n42806) );
+  sky130_fd_sc_hd__a22oi_1 U62292 ( .A1(n37016), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[375]), .B1(n36998), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[359]), .Y(n42805) );
+  sky130_fd_sc_hd__a22oi_1 U62293 ( .A1(n37014), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[383]), .B1(n36996), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[367]), .Y(n42804) );
+  sky130_fd_sc_hd__nand4_1 U62294 ( .A(n42807), .B(n42806), .C(n42805), .D(
+        n42804), .Y(n42815) );
+  sky130_fd_sc_hd__a22oi_1 U62295 ( .A1(n37008), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[369]), .B1(n42808), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[353]), .Y(n42813) );
+  sky130_fd_sc_hd__a22oi_1 U62296 ( .A1(n42392), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[377]), .B1(n42394), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[361]), .Y(n42812) );
+  sky130_fd_sc_hd__a22oi_1 U62297 ( .A1(n37015), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[373]), .B1(n42398), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[357]), .Y(n42811) );
+  sky130_fd_sc_hd__a22oi_1 U62298 ( .A1(n37013), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[381]), .B1(n42403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_table_[365]), .Y(n42810) );
+  sky130_fd_sc_hd__nand4_1 U62299 ( .A(n42813), .B(n42812), .C(n42811), .D(
+        n42810), .Y(n42814) );
+  sky130_fd_sc_hd__nor2_1 U62300 ( .A(n42815), .B(n42814), .Y(n42817) );
+  sky130_fd_sc_hd__a21o_1 U62301 ( .A1(n42818), .A2(n42817), .B1(n42816), .X(
+        n42819) );
+  sky130_fd_sc_hd__nand4_1 U62302 ( .A(n42822), .B(n42821), .C(n42820), .D(
+        n42819), .Y(n42823) );
+  sky130_fd_sc_hd__nand2_1 U62303 ( .A(n42824), .B(n42823), .Y(n42825) );
+  sky130_fd_sc_hd__nand2_1 U62304 ( .A(n42825), .B(n72059), .Y(n42826) );
+  sky130_fd_sc_hd__inv_2 U62305 ( .A(n60790), .Y(n73283) );
+  sky130_fd_sc_hd__nand2_1 U62306 ( .A(n73283), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_13[1]), .Y(n42834) );
+  sky130_fd_sc_hd__nand2_1 U62307 ( .A(n73282), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_10[1]), .Y(n42833) );
+  sky130_fd_sc_hd__nand2_1 U62308 ( .A(n73285), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_12[1]), .Y(n42832) );
+  sky130_fd_sc_hd__nand2_1 U62309 ( .A(n72722), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_25[1]), .Y(n42831) );
+  sky130_fd_sc_hd__nand4_1 U62310 ( .A(n42834), .B(n42833), .C(n42832), .D(
+        n42831), .Y(n42840) );
+  sky130_fd_sc_hd__nand2_1 U62311 ( .A(n72721), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_26[1]), .Y(n42838) );
+  sky130_fd_sc_hd__nand2_1 U62312 ( .A(n73287), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_22[1]), .Y(n42837) );
+  sky130_fd_sc_hd__nand2_1 U62313 ( .A(n73284), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_23[1]), .Y(n42836) );
+  sky130_fd_sc_hd__inv_2 U62314 ( .A(n57383), .Y(n73286) );
+  sky130_fd_sc_hd__nand2_1 U62315 ( .A(n73286), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_21[1]), .Y(n42835) );
+  sky130_fd_sc_hd__nand4_1 U62316 ( .A(n42838), .B(n42837), .C(n42836), .D(
+        n42835), .Y(n42839) );
+  sky130_fd_sc_hd__nor2_1 U62317 ( .A(n42840), .B(n42839), .Y(n42869) );
+  sky130_fd_sc_hd__nand2_1 U62318 ( .A(n73295), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_18[1]), .Y(n42844) );
+  sky130_fd_sc_hd__nand2_1 U62319 ( .A(n73289), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_17[1]), .Y(n42843) );
+  sky130_fd_sc_hd__nand2_1 U62320 ( .A(n72727), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_24[1]), .Y(n42842) );
+  sky130_fd_sc_hd__nand2_1 U62321 ( .A(n73288), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_19[1]), .Y(n42841) );
+  sky130_fd_sc_hd__nand4_1 U62322 ( .A(n42844), .B(n42843), .C(n42842), .D(
+        n42841), .Y(n42850) );
+  sky130_fd_sc_hd__nand2_1 U62323 ( .A(n73297), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_5[1]), .Y(n42848) );
+  sky130_fd_sc_hd__nand2_1 U62324 ( .A(n73294), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_4[1]), .Y(n42847) );
+  sky130_fd_sc_hd__inv_2 U62325 ( .A(n57386), .Y(n73296) );
+  sky130_fd_sc_hd__nand2_1 U62326 ( .A(n73296), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_6[1]), .Y(n42846) );
+  sky130_fd_sc_hd__nand2_1 U62327 ( .A(n73301), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_15[1]), .Y(n42845) );
+  sky130_fd_sc_hd__nand4_1 U62328 ( .A(n42848), .B(n42847), .C(n42846), .D(
+        n42845), .Y(n42849) );
+  sky130_fd_sc_hd__nor2_1 U62329 ( .A(n42850), .B(n42849), .Y(n42868) );
+  sky130_fd_sc_hd__nand2_1 U62330 ( .A(n73275), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_3[1]), .Y(n42855) );
+  sky130_fd_sc_hd__nand2_1 U62331 ( .A(n73273), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_1[1]), .Y(n42854) );
+  sky130_fd_sc_hd__nand2_1 U62332 ( .A(n73277), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_2[1]), .Y(n42853) );
+  sky130_fd_sc_hd__inv_2 U62333 ( .A(n42851), .Y(n73276) );
+  sky130_fd_sc_hd__nand2_1 U62334 ( .A(n73276), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_0[1]), .Y(n42852) );
+  sky130_fd_sc_hd__and4_1 U62335 ( .A(n42855), .B(n42854), .C(n42853), .D(
+        n42852), .X(n42867) );
+  sky130_fd_sc_hd__nand2_1 U62336 ( .A(n73299), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_14[1]), .Y(n42859) );
+  sky130_fd_sc_hd__nand2_1 U62337 ( .A(n73300), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_9[1]), .Y(n42858) );
+  sky130_fd_sc_hd__nand2_1 U62338 ( .A(n73298), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_7[1]), .Y(n42857) );
+  sky130_fd_sc_hd__inv_2 U62339 ( .A(n63988), .Y(n73271) );
+  sky130_fd_sc_hd__nand2_1 U62340 ( .A(n73271), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_8[1]), .Y(n42856) );
+  sky130_fd_sc_hd__nand4_1 U62341 ( .A(n42859), .B(n42858), .C(n42857), .D(
+        n42856), .Y(n42865) );
+  sky130_fd_sc_hd__nand2_1 U62342 ( .A(n73270), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_11[1]), .Y(n42863) );
+  sky130_fd_sc_hd__nand2_1 U62343 ( .A(n72732), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_27[1]), .Y(n42862) );
+  sky130_fd_sc_hd__inv_2 U62344 ( .A(n60785), .Y(n73274) );
+  sky130_fd_sc_hd__nand2_1 U62345 ( .A(n73274), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_16[1]), .Y(n42861) );
+  sky130_fd_sc_hd__nand2_1 U62346 ( .A(n73272), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_20[1]), .Y(n42860) );
+  sky130_fd_sc_hd__nand4_1 U62347 ( .A(n42863), .B(n42862), .C(n42861), .D(
+        n42860), .Y(n42864) );
+  sky130_fd_sc_hd__nor2_1 U62348 ( .A(n42865), .B(n42864), .Y(n42866) );
+  sky130_fd_sc_hd__nand4_1 U62349 ( .A(n42869), .B(n42868), .C(n42867), .D(
+        n42866), .Y(n42876) );
+  sky130_fd_sc_hd__nor2_1 U62350 ( .A(n42870), .B(n42876), .Y(n42920) );
+  sky130_fd_sc_hd__mux2i_1 U62351 ( .A0(n42872), .A1(n42871), .S(n42876), .Y(
+        n42919) );
+  sky130_fd_sc_hd__mux2i_1 U62352 ( .A0(n42874), .A1(n42873), .S(n42876), .Y(
+        n42918) );
+  sky130_fd_sc_hd__nand2_1 U62353 ( .A(n73275), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_3[0]), .Y(n42880) );
+  sky130_fd_sc_hd__nand2_1 U62354 ( .A(n73273), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_1[0]), .Y(n42879) );
+  sky130_fd_sc_hd__nand2_1 U62355 ( .A(n73277), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_2[0]), .Y(n42878) );
+  sky130_fd_sc_hd__nand2_1 U62356 ( .A(n73276), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_0[0]), .Y(n42877) );
+  sky130_fd_sc_hd__nand4_1 U62357 ( .A(n42880), .B(n42879), .C(n42878), .D(
+        n42877), .Y(n42886) );
+  sky130_fd_sc_hd__a22oi_1 U62358 ( .A1(n73283), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_13[0]), .B1(n73282), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_10[0]), .Y(n42884) );
+  sky130_fd_sc_hd__a22oi_1 U62359 ( .A1(n73287), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_22[0]), .B1(n72721), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_26[0]), .Y(n42883) );
+  sky130_fd_sc_hd__a22oi_1 U62360 ( .A1(n72722), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_25[0]), .B1(n73285), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_12[0]), .Y(n42882) );
+  sky130_fd_sc_hd__a22oi_1 U62361 ( .A1(n73286), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_21[0]), .B1(n73284), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_23[0]), .Y(n42881) );
+  sky130_fd_sc_hd__nand4_1 U62362 ( .A(n42884), .B(n42883), .C(n42882), .D(
+        n42881), .Y(n42885) );
+  sky130_fd_sc_hd__nor2_1 U62363 ( .A(n42886), .B(n42885), .Y(n42896) );
+  sky130_fd_sc_hd__a22oi_1 U62364 ( .A1(n73295), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_18[0]), .B1(n73289), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_17[0]), .Y(n42890) );
+  sky130_fd_sc_hd__a22oi_1 U62365 ( .A1(n73288), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_19[0]), .B1(n72727), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_24[0]), .Y(n42889) );
+  sky130_fd_sc_hd__a22oi_1 U62366 ( .A1(n73297), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_5[0]), .B1(n73294), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_4[0]), .Y(n42888) );
+  sky130_fd_sc_hd__a22oi_1 U62367 ( .A1(n73296), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_6[0]), .B1(n73301), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_15[0]), .Y(n42887) );
+  sky130_fd_sc_hd__and4_1 U62368 ( .A(n42890), .B(n42889), .C(n42888), .D(
+        n42887), .X(n42895) );
+  sky130_fd_sc_hd__a22oi_1 U62369 ( .A1(n73299), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_14[0]), .B1(n73300), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_9[0]), .Y(n42894) );
+  sky130_fd_sc_hd__a22oi_1 U62370 ( .A1(n73298), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_7[0]), .B1(n73271), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_8[0]), .Y(n42893) );
+  sky130_fd_sc_hd__a22oi_1 U62371 ( .A1(n73270), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_11[0]), .B1(n72732), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_27[0]), .Y(n42892) );
+  sky130_fd_sc_hd__a22oi_1 U62372 ( .A1(n73274), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_16[0]), .B1(n73272), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_20[0]), .Y(n42891) );
+  sky130_fd_sc_hd__and3_1 U62373 ( .A(n42896), .B(n42895), .C(n38381), .X(
+        n42917) );
+  sky130_fd_sc_hd__a22oi_1 U62374 ( .A1(n73285), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_12[2]), .B1(n72727), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_24[2]), .Y(n42900) );
+  sky130_fd_sc_hd__a22oi_1 U62375 ( .A1(n73284), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_23[2]), .B1(n73271), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_8[2]), .Y(n42899) );
+  sky130_fd_sc_hd__a22oi_1 U62376 ( .A1(n72722), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_25[2]), .B1(n73283), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_13[2]), .Y(n42898) );
+  sky130_fd_sc_hd__a22oi_1 U62377 ( .A1(n73275), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_3[2]), .B1(n73294), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_4[2]), .Y(n42897) );
+  sky130_fd_sc_hd__nand4_1 U62378 ( .A(n42900), .B(n42899), .C(n42898), .D(
+        n42897), .Y(n42903) );
+  sky130_fd_sc_hd__a22oi_1 U62379 ( .A1(n73286), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_21[2]), .B1(n73299), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_14[2]), .Y(n42902) );
+  sky130_fd_sc_hd__a22oi_1 U62380 ( .A1(n73272), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_20[2]), .B1(n73301), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_15[2]), .Y(n42901) );
+  sky130_fd_sc_hd__nand3b_1 U62381 ( .A_N(n42903), .B(n42902), .C(n42901), .Y(
+        n42915) );
+  sky130_fd_sc_hd__a22oi_1 U62382 ( .A1(n72721), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_26[2]), .B1(n73289), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_17[2]), .Y(n42907) );
+  sky130_fd_sc_hd__a22oi_1 U62383 ( .A1(n73297), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_5[2]), .B1(n73296), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_6[2]), .Y(n42906) );
+  sky130_fd_sc_hd__a22oi_1 U62384 ( .A1(n73298), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_7[2]), .B1(n72732), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_27[2]), .Y(n42905) );
+  sky130_fd_sc_hd__a22oi_1 U62385 ( .A1(n73273), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_1[2]), .B1(n73282), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_10[2]), .Y(n42904) );
+  sky130_fd_sc_hd__nand4_1 U62386 ( .A(n42907), .B(n42906), .C(n42905), .D(
+        n42904), .Y(n42913) );
+  sky130_fd_sc_hd__a22oi_1 U62387 ( .A1(n73277), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_2[2]), .B1(n73295), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_18[2]), .Y(n42911) );
+  sky130_fd_sc_hd__a22oi_1 U62388 ( .A1(n73287), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_22[2]), .B1(n73300), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_9[2]), .Y(n42910) );
+  sky130_fd_sc_hd__a22oi_1 U62389 ( .A1(n73276), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_0[2]), .B1(n73274), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_16[2]), .Y(n42909) );
+  sky130_fd_sc_hd__a22oi_1 U62390 ( .A1(n73288), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_19[2]), .B1(n73270), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_idxPages_11[2]), .Y(n42908) );
+  sky130_fd_sc_hd__nand4_1 U62391 ( .A(n42911), .B(n42910), .C(n42909), .D(
+        n42908), .Y(n42912) );
+  sky130_fd_sc_hd__nor2_1 U62392 ( .A(n42915), .B(n42914), .Y(n42916) );
+  sky130_fd_sc_hd__mux4_2 U62393 ( .A0(n42920), .A1(n42919), .A2(n42918), .A3(
+        n38419), .S0(n42917), .S1(n42916), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_valid) );
+  sky130_fd_sc_hd__inv_2 U62395 ( .A(n42985), .Y(n73160) );
+  sky130_fd_sc_hd__nand3_1 U62396 ( .A(n42921), .B(n72802), .C(n42942), .Y(
+        n43030) );
+  sky130_fd_sc_hd__nand2_1 U62397 ( .A(n42935), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[3]), .Y(n42924) );
+  sky130_fd_sc_hd__nand2_1 U62398 ( .A(n42925), .B(n42924), .Y(n59980) );
+  sky130_fd_sc_hd__nand2_1 U62399 ( .A(n59980), .B(n42942), .Y(n43058) );
+  sky130_fd_sc_hd__nand2_1 U62400 ( .A(n43030), .B(n43058), .Y(n43032) );
+  sky130_fd_sc_hd__nor3_1 U62401 ( .A(n85504), .B(n85502), .C(n85490), .Y(
+        n42926) );
+  sky130_fd_sc_hd__nand4_1 U62402 ( .A(n59778), .B(n76216), .C(n42926), .D(
+        n85509), .Y(n42929) );
+  sky130_fd_sc_hd__nor2_1 U62403 ( .A(n85512), .B(n59779), .Y(n53298) );
+  sky130_fd_sc_hd__nor2_1 U62404 ( .A(n85491), .B(n85494), .Y(n42927) );
+  sky130_fd_sc_hd__nand4_1 U62405 ( .A(n53298), .B(n75780), .C(n42927), .D(
+        n85497), .Y(n42928) );
+  sky130_fd_sc_hd__o22ai_1 U62406 ( .A1(n53277), .A2(n42929), .B1(n59777), 
+        .B2(n42928), .Y(n59776) );
+  sky130_fd_sc_hd__nand2_1 U62407 ( .A(n72802), .B(n59776), .Y(n42941) );
+  sky130_fd_sc_hd__nor2_1 U62408 ( .A(n85518), .B(n76196), .Y(n42931) );
+  sky130_fd_sc_hd__nor3_1 U62409 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_partial_insn_valid), .B(n85516), .C(n85513), .Y(n42930) );
+  sky130_fd_sc_hd__nand3_1 U62410 ( .A(n59767), .B(n42931), .C(n42930), .Y(
+        n59784) );
+  sky130_fd_sc_hd__nand2b_1 U62411 ( .A_N(n59784), .B(n76204), .Y(n59775) );
+  sky130_fd_sc_hd__nor2_1 U62412 ( .A(n85503), .B(n85499), .Y(n42934) );
+  sky130_fd_sc_hd__nand3_1 U62413 ( .A(n59772), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[15]), .C(n58688), .Y(n42932) );
+  sky130_fd_sc_hd__nand3_1 U62414 ( .A(n42935), .B(n42934), .C(n42933), .Y(
+        n59773) );
+  sky130_fd_sc_hd__o21a_1 U62415 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[1]), .A2(n59775), .B1(n59773), .X(n42940) );
+  sky130_fd_sc_hd__nand3_1 U62416 ( .A(n42938), .B(n42937), .C(n42936), .Y(
+        n63962) );
+  sky130_fd_sc_hd__a21oi_1 U62417 ( .A1(n42941), .A2(n42940), .B1(n42939), .Y(
+        n59982) );
+  sky130_fd_sc_hd__nand2_1 U62418 ( .A(n59982), .B(n42942), .Y(n42984) );
+  sky130_fd_sc_hd__nand3_1 U62419 ( .A(n73275), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_3[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_3[0]), .Y(n42946) );
+  sky130_fd_sc_hd__nand3_1 U62420 ( .A(n73273), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_1[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_1[0]), .Y(n42945) );
+  sky130_fd_sc_hd__nand3_1 U62421 ( .A(n73277), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_2[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_2[0]), .Y(n42944) );
+  sky130_fd_sc_hd__nand3_1 U62422 ( .A(n73276), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_0[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_0[0]), .Y(n42943) );
+  sky130_fd_sc_hd__nand4_1 U62423 ( .A(n42946), .B(n42945), .C(n42944), .D(
+        n42943), .Y(n42980) );
+  sky130_fd_sc_hd__nand3_1 U62424 ( .A(n73283), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_13[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_13[0]), .Y(n42950) );
+  sky130_fd_sc_hd__nand3_1 U62425 ( .A(n73282), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_10[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_10[0]), .Y(n42949) );
+  sky130_fd_sc_hd__nand3_1 U62426 ( .A(n73285), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_12[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_12[0]), .Y(n42948) );
+  sky130_fd_sc_hd__nand3_1 U62427 ( .A(n72722), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_25[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_25[0]), .Y(n42947) );
+  sky130_fd_sc_hd__nand4_1 U62428 ( .A(n42950), .B(n42949), .C(n42948), .D(
+        n42947), .Y(n42956) );
+  sky130_fd_sc_hd__nand3_1 U62429 ( .A(n72721), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_26[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_26[0]), .Y(n42954) );
+  sky130_fd_sc_hd__nand3_1 U62430 ( .A(n73287), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_22[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_22[0]), .Y(n42953) );
+  sky130_fd_sc_hd__nand3_1 U62431 ( .A(n73284), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_23[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_23[0]), .Y(n42952) );
+  sky130_fd_sc_hd__nand3_1 U62432 ( .A(n73286), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_21[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_21[0]), .Y(n42951) );
+  sky130_fd_sc_hd__nand4_1 U62433 ( .A(n42954), .B(n42953), .C(n42952), .D(
+        n42951), .Y(n42955) );
+  sky130_fd_sc_hd__nor2_1 U62434 ( .A(n42956), .B(n42955), .Y(n42979) );
+  sky130_fd_sc_hd__nand3_1 U62435 ( .A(n73295), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_18[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_18[0]), .Y(n42960) );
+  sky130_fd_sc_hd__nand3_1 U62436 ( .A(n73289), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_17[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_17[0]), .Y(n42959) );
+  sky130_fd_sc_hd__nand3_1 U62437 ( .A(n72727), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_24[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_24[0]), .Y(n42958) );
+  sky130_fd_sc_hd__nand3_1 U62438 ( .A(n73288), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_19[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_19[0]), .Y(n42957) );
+  sky130_fd_sc_hd__nand4_1 U62439 ( .A(n42960), .B(n42959), .C(n42958), .D(
+        n42957), .Y(n42966) );
+  sky130_fd_sc_hd__nand3_1 U62440 ( .A(n73297), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_5[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_5[0]), .Y(n42964) );
+  sky130_fd_sc_hd__nand3_1 U62441 ( .A(n73294), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_4[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_4[0]), .Y(n42963) );
+  sky130_fd_sc_hd__nand3_1 U62442 ( .A(n73296), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_6[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_6[0]), .Y(n42962) );
+  sky130_fd_sc_hd__nand3_1 U62443 ( .A(n73301), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_15[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_15[0]), .Y(n42961) );
+  sky130_fd_sc_hd__nand4_1 U62444 ( .A(n42964), .B(n42963), .C(n42962), .D(
+        n42961), .Y(n42965) );
+  sky130_fd_sc_hd__nor2_1 U62445 ( .A(n42966), .B(n42965), .Y(n42978) );
+  sky130_fd_sc_hd__nand3_1 U62446 ( .A(n73299), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_14[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_14[0]), .Y(n42970) );
+  sky130_fd_sc_hd__nand3_1 U62447 ( .A(n73300), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_9[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_9[0]), .Y(n42969) );
+  sky130_fd_sc_hd__nand3_1 U62448 ( .A(n73298), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_7[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_7[0]), .Y(n42968) );
+  sky130_fd_sc_hd__nand3_1 U62449 ( .A(n73271), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_8[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_8[0]), .Y(n42967) );
+  sky130_fd_sc_hd__nand4_1 U62450 ( .A(n42970), .B(n42969), .C(n42968), .D(
+        n42967), .Y(n42976) );
+  sky130_fd_sc_hd__nand3_1 U62451 ( .A(n73270), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_11[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_11[0]), .Y(n42974) );
+  sky130_fd_sc_hd__nand3_1 U62452 ( .A(n72732), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_27[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_27[0]), .Y(n42973) );
+  sky130_fd_sc_hd__nand3_1 U62453 ( .A(n73274), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_16[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_16[0]), .Y(n42972) );
+  sky130_fd_sc_hd__nand3_1 U62454 ( .A(n73272), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_20[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_cfiType_20[0]), .Y(n42971) );
+  sky130_fd_sc_hd__nand4_1 U62455 ( .A(n42974), .B(n42973), .C(n42972), .D(
+        n42971), .Y(n42975) );
+  sky130_fd_sc_hd__nor2_1 U62456 ( .A(n42976), .B(n42975), .Y(n42977) );
+  sky130_fd_sc_hd__nand4b_1 U62457 ( .A_N(n42980), .B(n42979), .C(n42978), .D(
+        n42977), .Y(n42981) );
+  sky130_fd_sc_hd__nand2_1 U62458 ( .A(n42981), .B(n63962), .Y(n42982) );
+  sky130_fd_sc_hd__nand2_1 U62459 ( .A(n42984), .B(n42982), .Y(n42986) );
+  sky130_fd_sc_hd__nor2_1 U62460 ( .A(n43032), .B(n42986), .Y(n42983) );
+  sky130_fd_sc_hd__nor2_2 U62461 ( .A(n60795), .B(n53246), .Y(n73325) );
+  sky130_fd_sc_hd__nand3_1 U62462 ( .A(n42991), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pos[2]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pos[0]), .Y(n42987) );
+  sky130_fd_sc_hd__nor2_1 U62463 ( .A(n42987), .B(n43128), .Y(n42988) );
+  sky130_fd_sc_hd__inv_2 U62464 ( .A(n42988), .Y(n68932) );
+  sky130_fd_sc_hd__a22oi_1 U62465 ( .A1(n73325), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24[5]), .B1(n61937), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[6]), .Y(n43123) );
+  sky130_fd_sc_hd__a22oi_1 U62466 ( .A1(n42989), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25[5]), .B1(n73326), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26[5]), .Y(n43122) );
+  sky130_fd_sc_hd__nand2_1 U62467 ( .A(n42991), .B(n42990), .Y(n63958) );
+  sky130_fd_sc_hd__nand2_1 U62468 ( .A(n63958), .B(n43124), .Y(n42992) );
+  sky130_fd_sc_hd__nor2_1 U62470 ( .A(n42993), .B(n43128), .Y(n42994) );
+  sky130_fd_sc_hd__inv_2 U62471 ( .A(n42994), .Y(n69028) );
+  sky130_fd_sc_hd__inv_2 U62472 ( .A(n69028), .Y(n58462) );
+  sky130_fd_sc_hd__nand2_1 U62473 ( .A(n42995), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pos[2]), .Y(n63954) );
+  sky130_fd_sc_hd__nor2_1 U62474 ( .A(n63954), .B(n43128), .Y(n42996) );
+  sky130_fd_sc_hd__a22oi_1 U62475 ( .A1(n58462), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[6]), .B1(n72357), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[6]), .Y(n43121) );
+  sky130_fd_sc_hd__a22oi_1 U62476 ( .A1(n73271), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8[5]), .B1(n73270), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11[5]), .Y(n43000) );
+  sky130_fd_sc_hd__a22oi_1 U62477 ( .A1(n73272), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20[5]), .B1(n73273), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1[5]), .Y(n42999) );
+  sky130_fd_sc_hd__a22oi_1 U62478 ( .A1(n73276), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0[5]), .B1(n73277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2[5]), .Y(n42998) );
+  sky130_fd_sc_hd__a22oi_1 U62479 ( .A1(n73274), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16[5]), .B1(n73275), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3[5]), .Y(n42997) );
+  sky130_fd_sc_hd__nand4_1 U62480 ( .A(n43000), .B(n42999), .C(n42998), .D(
+        n42997), .Y(n43011) );
+  sky130_fd_sc_hd__a22oi_1 U62481 ( .A1(n73283), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13[5]), .B1(n73282), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10[5]), .Y(n43004) );
+  sky130_fd_sc_hd__a22oi_1 U62482 ( .A1(n73285), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12[5]), .B1(n73284), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23[5]), .Y(n43003) );
+  sky130_fd_sc_hd__a22oi_1 U62483 ( .A1(n73287), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22[5]), .B1(n73286), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21[5]), .Y(n43002) );
+  sky130_fd_sc_hd__a22oi_1 U62484 ( .A1(n73288), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19[5]), .B1(n73289), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17[5]), .Y(n43001) );
+  sky130_fd_sc_hd__nand4_1 U62485 ( .A(n43004), .B(n43003), .C(n43002), .D(
+        n43001), .Y(n43010) );
+  sky130_fd_sc_hd__a22oi_1 U62486 ( .A1(n73295), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18[5]), .B1(n73294), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4[5]), .Y(n43008) );
+  sky130_fd_sc_hd__a22oi_1 U62487 ( .A1(n73297), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5[5]), .B1(n73296), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6[5]), .Y(n43007) );
+  sky130_fd_sc_hd__a22oi_1 U62488 ( .A1(n73299), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14[5]), .B1(n73298), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7[5]), .Y(n43006) );
+  sky130_fd_sc_hd__a22oi_1 U62489 ( .A1(n73301), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15[5]), .B1(n73300), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9[5]), .Y(n43005) );
+  sky130_fd_sc_hd__nand4_1 U62490 ( .A(n43008), .B(n43007), .C(n43006), .D(
+        n43005), .Y(n43009) );
+  sky130_fd_sc_hd__nor3_1 U62491 ( .A(n43011), .B(n43010), .C(n43009), .Y(
+        n43119) );
+  sky130_fd_sc_hd__a22oi_1 U62492 ( .A1(n43018), .A2(n85494), .B1(n53274), 
+        .B2(n85496), .Y(n43014) );
+  sky130_fd_sc_hd__nor2_1 U62494 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[4]), .B(n43022), .Y(n44173) );
+  sky130_fd_sc_hd__nand2_1 U62495 ( .A(n53278), .B(n85752), .Y(n43016) );
+  sky130_fd_sc_hd__a22oi_1 U62496 ( .A1(n53271), .A2(n85491), .B1(n53274), 
+        .B2(n85497), .Y(n43015) );
+  sky130_fd_sc_hd__o211ai_1 U62497 ( .A1(n76200), .A2(n53277), .B1(n43016), 
+        .C1(n43015), .Y(n43021) );
+  sky130_fd_sc_hd__nor2_1 U62498 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[3]), .B(n43021), .Y(n58421) );
+  sky130_fd_sc_hd__nor2_1 U62499 ( .A(n44173), .B(n58421), .Y(n43024) );
+  sky130_fd_sc_hd__a22oi_1 U62500 ( .A1(n43018), .A2(n85498), .B1(n53274), 
+        .B2(n85752), .Y(n43017) );
+  sky130_fd_sc_hd__o21ai_1 U62501 ( .A1(n67335), .A2(n53277), .B1(n43017), .Y(
+        n73309) );
+  sky130_fd_sc_hd__nand2_1 U62502 ( .A(n73309), .B(n43018), .Y(n73310) );
+  sky130_fd_sc_hd__a22oi_1 U62503 ( .A1(n43018), .A2(n85507), .B1(n53274), 
+        .B2(n85511), .Y(n43019) );
+  sky130_fd_sc_hd__o21ai_1 U62504 ( .A1(n76198), .A2(n53277), .B1(n43019), .Y(
+        n43020) );
+  sky130_fd_sc_hd__nor2_1 U62505 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[2]), .B(n43020), .Y(n58554) );
+  sky130_fd_sc_hd__nand2_1 U62506 ( .A(n43020), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[2]), .Y(n58555) );
+  sky130_fd_sc_hd__nand2_1 U62508 ( .A(n43021), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[3]), .Y(n58422) );
+  sky130_fd_sc_hd__nand2_1 U62509 ( .A(n43022), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[4]), .Y(n44174) );
+  sky130_fd_sc_hd__o21ai_1 U62510 ( .A1(n58422), .A2(n44173), .B1(n44174), .Y(
+        n43023) );
+  sky130_fd_sc_hd__a21oi_1 U62511 ( .A1(n43024), .A2(n44176), .B1(n43023), .Y(
+        n53296) );
+  sky130_fd_sc_hd__mux2i_1 U62512 ( .A0(n76214), .A1(n76222), .S(n59779), .Y(
+        n43025) );
+  sky130_fd_sc_hd__nand2_1 U62513 ( .A(n43025), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[5]), .Y(n58401) );
+  sky130_fd_sc_hd__a21oi_1 U62514 ( .A1(n58504), .A2(n58402), .B1(n53281), .Y(
+        n43029) );
+  sky130_fd_sc_hd__a22oi_1 U62515 ( .A1(n59779), .A2(n85491), .B1(n53271), 
+        .B2(n85752), .Y(n43026) );
+  sky130_fd_sc_hd__o21ai_1 U62516 ( .A1(n68428), .A2(n53273), .B1(n43026), .Y(
+        n43027) );
+  sky130_fd_sc_hd__nand2_1 U62517 ( .A(n43027), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[6]), .Y(n53279) );
+  sky130_fd_sc_hd__nand2_1 U62518 ( .A(n38410), .B(n53279), .Y(n43028) );
+  sky130_fd_sc_hd__xor2_1 U62519 ( .A(n43029), .B(n43028), .X(n43031) );
+  sky130_fd_sc_hd__nand2_1 U62520 ( .A(n43031), .B(n73312), .Y(n43062) );
+  sky130_fd_sc_hd__nand2_1 U62521 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .Y(n44171) );
+  sky130_fd_sc_hd__nor2_1 U62522 ( .A(n44171), .B(n43033), .Y(n53304) );
+  sky130_fd_sc_hd__xor2_1 U62523 ( .A(n58497), .B(n58498), .X(n43060) );
+  sky130_fd_sc_hd__mux2_2 U62524 ( .A0(n85499), .A1(n85500), .S(n73315), .X(
+        n43039) );
+  sky130_fd_sc_hd__mux2_2 U62525 ( .A0(n85518), .A1(n43035), .S(n59770), .X(
+        n53322) );
+  sky130_fd_sc_hd__nor2_1 U62526 ( .A(n43036), .B(n43037), .Y(n53314) );
+  sky130_fd_sc_hd__nand2_1 U62527 ( .A(n43037), .B(n43036), .Y(n53313) );
+  sky130_fd_sc_hd__nand2_1 U62528 ( .A(n43038), .B(n53313), .Y(n43057) );
+  sky130_fd_sc_hd__fa_1 U62529 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[5]), .B(n73315), .CIN(n43039), .COUT(n43036), .SUM(n43054) );
+  sky130_fd_sc_hd__nor2_1 U62530 ( .A(n43054), .B(n43055), .Y(n58394) );
+  sky130_fd_sc_hd__mux2_2 U62531 ( .A0(n85493), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[8]), .S(n58688), .X(n43040) );
+  sky130_fd_sc_hd__mux2_2 U62532 ( .A0(n85503), .A1(n43040), .S(n73315), .X(
+        n73314) );
+  sky130_fd_sc_hd__nand2_1 U62533 ( .A(n73314), .B(n73315), .Y(n73316) );
+  sky130_fd_sc_hd__ha_1 U62534 ( .A(n73315), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[2]), .COUT(n43046), .SUM(n43042) );
+  sky130_fd_sc_hd__mux2_2 U62535 ( .A0(n85515), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[9]), .S(n58688), .X(n43041) );
+  sky130_fd_sc_hd__mux2_2 U62536 ( .A0(n85501), .A1(n43041), .S(n73315), .X(
+        n43043) );
+  sky130_fd_sc_hd__nor2_1 U62537 ( .A(n43042), .B(n43043), .Y(n58550) );
+  sky130_fd_sc_hd__nand2_1 U62538 ( .A(n43043), .B(n43042), .Y(n58551) );
+  sky130_fd_sc_hd__mux2_2 U62540 ( .A0(n85490), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[10]), .S(n58688), .X(n43044) );
+  sky130_fd_sc_hd__mux2_2 U62541 ( .A0(n43045), .A1(n43044), .S(n73315), .X(
+        n43047) );
+  sky130_fd_sc_hd__fa_1 U62542 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[3]), .B(n73315), .CIN(n43046), .COUT(n43051), .SUM(n43048) );
+  sky130_fd_sc_hd__nand2_1 U62543 ( .A(n43048), .B(n43047), .Y(n58417) );
+  sky130_fd_sc_hd__a21oi_1 U62544 ( .A1(n58420), .A2(n58418), .B1(n43049), .Y(
+        n44169) );
+  sky130_fd_sc_hd__mux2_2 U62545 ( .A0(n85516), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[11]), .S(n58688), .X(n43050) );
+  sky130_fd_sc_hd__mux2_2 U62546 ( .A0(n85513), .A1(n43050), .S(n73315), .X(
+        n43052) );
+  sky130_fd_sc_hd__fa_1 U62547 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[4]), .B(n73315), .CIN(n43051), .COUT(n43055), .SUM(n43053) );
+  sky130_fd_sc_hd__nor2_1 U62548 ( .A(n43052), .B(n43053), .Y(n44166) );
+  sky130_fd_sc_hd__nand2_1 U62549 ( .A(n43053), .B(n43052), .Y(n44167) );
+  sky130_fd_sc_hd__o21ai_1 U62550 ( .A1(n44169), .A2(n44166), .B1(n44167), .Y(
+        n53316) );
+  sky130_fd_sc_hd__nand2_1 U62551 ( .A(n43055), .B(n43054), .Y(n58395) );
+  sky130_fd_sc_hd__o21ai_1 U62552 ( .A1(n58394), .A2(n58398), .B1(n58395), .Y(
+        n43056) );
+  sky130_fd_sc_hd__xnor2_1 U62553 ( .A(n43057), .B(n43056), .Y(n43059) );
+  sky130_fd_sc_hd__a22oi_1 U62554 ( .A1(n73229), .A2(n43060), .B1(n43059), 
+        .B2(n73319), .Y(n43061) );
+  sky130_fd_sc_hd__nand2_1 U62555 ( .A(n43062), .B(n43061), .Y(n43063) );
+  sky130_fd_sc_hd__nand2_1 U62556 ( .A(n69216), .B(n43063), .Y(n43118) );
+  sky130_fd_sc_hd__nor2_1 U62557 ( .A(n58437), .B(n72712), .Y(n53257) );
+  sky130_fd_sc_hd__nor2_1 U62558 ( .A(n43066), .B(n70489), .Y(n73097) );
+  sky130_fd_sc_hd__nand2_1 U62559 ( .A(n65607), .B(n43067), .Y(n43070) );
+  sky130_fd_sc_hd__o21a_1 U62561 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_xcpt), .A2(n43068), .B1(n43097), .X(n43073) );
+  sky130_fd_sc_hd__nand2b_1 U62562 ( .A_N(n43097), .B(n43174), .Y(n43075) );
+  sky130_fd_sc_hd__o21ai_1 U62563 ( .A1(n65607), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_cause[2]), .B1(n43097), .Y(n78490) );
+  sky130_fd_sc_hd__a21oi_1 U62564 ( .A1(n78284), .A2(n76396), .B1(n36653), .Y(
+        n43079) );
+  sky130_fd_sc_hd__nand3_1 U62565 ( .A(n43097), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_xcpt), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_cause[3]), .Y(n43074) );
+  sky130_fd_sc_hd__nand2_1 U62566 ( .A(n43075), .B(n43074), .Y(n78287) );
+  sky130_fd_sc_hd__nor2_1 U62567 ( .A(n78284), .B(n78287), .Y(n43081) );
+  sky130_fd_sc_hd__a21oi_1 U62568 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_mideleg_9_), .A2(n78490), .B1(n78493), .Y(n43076) );
+  sky130_fd_sc_hd__a21oi_1 U62569 ( .A1(n43081), .A2(n43077), .B1(n43076), .Y(
+        n43078) );
+  sky130_fd_sc_hd__nand3_1 U62570 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_xcpt), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_cause[31]), .C(n43097), .Y(n82480) );
+  sky130_fd_sc_hd__a31oi_1 U62571 ( .A1(n78279), .A2(n43079), .A3(n43078), 
+        .B1(n82480), .Y(n43080) );
+  sky130_fd_sc_hd__nand2b_1 U62572 ( .A_N(n43080), .B(n78268), .Y(n43096) );
+  sky130_fd_sc_hd__nand4_1 U62573 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_xcpt), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_cause[3]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_cause[2]), .D(n43097), .Y(n43103) );
+  sky130_fd_sc_hd__a22oi_1 U62574 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_3), .A2(n43081), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_15), .B2(n43086), .Y(n43083) );
+  sky130_fd_sc_hd__nand3_1 U62575 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_13), .B(n43086), .C(n43104), .Y(n43082) );
+  sky130_fd_sc_hd__o21ai_1 U62576 ( .A1(n43083), .A2(n43104), .B1(n43082), .Y(
+        n43094) );
+  sky130_fd_sc_hd__o22ai_1 U62577 ( .A1(n78284), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_2), .B1(n78490), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_6), .Y(n43085) );
+  sky130_fd_sc_hd__nand2_1 U62578 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_10), .B(n78287), .Y(n43084) );
+  sky130_fd_sc_hd__o21ai_1 U62579 ( .A1(n78287), .A2(n43085), .B1(n43084), .Y(
+        n43091) );
+  sky130_fd_sc_hd__o221ai_1 U62580 ( .A1(n78284), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_0), .B1(n78490), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_4), .C1(n78493), .Y(n43089) );
+  sky130_fd_sc_hd__nor2_1 U62581 ( .A(n78284), .B(n78493), .Y(n43087) );
+  sky130_fd_sc_hd__a22oi_1 U62582 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_8), .A2(n43087), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_12), .B2(n43086), .Y(n43088) );
+  sky130_fd_sc_hd__nand3_1 U62583 ( .A(n43104), .B(n43089), .C(n43088), .Y(
+        n43090) );
+  sky130_fd_sc_hd__o21ai_1 U62585 ( .A1(n43092), .A2(n78279), .B1(n82480), .Y(
+        n43093) );
+  sky130_fd_sc_hd__a21oi_1 U62586 ( .A1(n78279), .A2(n43094), .B1(n43093), .Y(
+        n43095) );
+  sky130_fd_sc_hd__nor2_1 U62587 ( .A(n43174), .B(n43097), .Y(n58429) );
+  sky130_fd_sc_hd__a21oi_1 U62588 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__causeIsDebugBreak_T_3_3), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_1_status_prv[0]), .B1(n78268), .Y(n43100) );
+  sky130_fd_sc_hd__nand2_1 U62589 ( .A(n78268), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_1_status_prv[0]), .Y(n43098) );
+  sky130_fd_sc_hd__o22ai_1 U62590 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_1_status_prv[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__causeIsDebugBreak_T_3[0]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__causeIsDebugBreak_T_3[1]), .B2(n43098), .Y(n43099) );
+  sky130_fd_sc_hd__nor2_1 U62591 ( .A(n43100), .B(n43099), .Y(n43101) );
+  sky130_fd_sc_hd__nand2_1 U62592 ( .A(n58429), .B(n43101), .Y(n43102) );
+  sky130_fd_sc_hd__nand3_1 U62593 ( .A(n43102), .B(n74165), .C(n82483), .Y(
+        n43105) );
+  sky130_fd_sc_hd__nor3_1 U62594 ( .A(n78279), .B(n43104), .C(n43103), .Y(
+        n82481) );
+  sky130_fd_sc_hd__nand2_1 U62595 ( .A(n65638), .B(n78252), .Y(n65609) );
+  sky130_fd_sc_hd__nand2_1 U62596 ( .A(n78557), .B(n78245), .Y(n53250) );
+  sky130_fd_sc_hd__nor2_1 U62597 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[0]), .B(n53250), .Y(n58528) );
+  sky130_fd_sc_hd__nand2_1 U62598 ( .A(n58528), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[6]), .Y(n43112) );
+  sky130_fd_sc_hd__nand2_1 U62599 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[7]), .Y(n43107) );
+  sky130_fd_sc_hd__nand2b_1 U62600 ( .A_N(n78245), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[9]), .Y(n43108) );
+  sky130_fd_sc_hd__nor2_1 U62601 ( .A(n43107), .B(n43108), .Y(n73261) );
+  sky130_fd_sc_hd__a22oi_1 U62602 ( .A1(n78262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[6]), .B1(n73261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[6]), .Y(n43111) );
+  sky130_fd_sc_hd__nand2_1 U62603 ( .A(n78252), .B(n78251), .Y(n43106) );
+  sky130_fd_sc_hd__nand2_1 U62604 ( .A(n78276), .B(n78245), .Y(n53251) );
+  sky130_fd_sc_hd__nor2_1 U62605 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[0]), .B(n53251), .Y(n58522) );
+  sky130_fd_sc_hd__nand2_1 U62606 ( .A(n58522), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[6]), .Y(n43110) );
+  sky130_fd_sc_hd__nor2_1 U62607 ( .A(n64078), .B(n43108), .Y(n78265) );
+  sky130_fd_sc_hd__nand2_1 U62608 ( .A(n78265), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[6]), .Y(n43109) );
+  sky130_fd_sc_hd__nand4_1 U62609 ( .A(n43112), .B(n43111), .C(n43110), .D(
+        n43109), .Y(n43113) );
+  sky130_fd_sc_hd__a21oi_1 U62610 ( .A1(n73097), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[6]), .B1(n43113), .Y(n43114) );
+  sky130_fd_sc_hd__o21ai_0 U62611 ( .A1(n65182), .A2(n73154), .B1(n43114), .Y(
+        n43115) );
+  sky130_fd_sc_hd__a21oi_1 U62612 ( .A1(n43116), .A2(n72458), .B1(n43115), .Y(
+        n43117) );
+  sky130_fd_sc_hd__o211ai_1 U62613 ( .A1(n43119), .A2(n53246), .B1(n43118), 
+        .C1(n43117), .Y(n43120) );
+  sky130_fd_sc_hd__and4b_1 U62614 ( .B(n43123), .C(n43122), .D(n43121), .A_N(
+        n43120), .X(n43132) );
+  sky130_fd_sc_hd__nand2_1 U62615 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pos[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pos[0]), .Y(n43125) );
+  sky130_fd_sc_hd__nand2_1 U62616 ( .A(n63956), .B(n43124), .Y(n59799) );
+  sky130_fd_sc_hd__nor2_1 U62617 ( .A(n59799), .B(n43128), .Y(n53347) );
+  sky130_fd_sc_hd__inv_2 U62618 ( .A(n53347), .Y(n68969) );
+  sky130_fd_sc_hd__nand2_1 U62619 ( .A(n43125), .B(n43124), .Y(n63966) );
+  sky130_fd_sc_hd__nand2_1 U62620 ( .A(n63959), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pos[1]), .Y(n59800) );
+  sky130_fd_sc_hd__inv_2 U62621 ( .A(n43126), .Y(n69029) );
+  sky130_fd_sc_hd__a22oi_1 U62622 ( .A1(n69413), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[6]), .B1(n53348), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[6]), .Y(n43131) );
+  sky130_fd_sc_hd__nand2_1 U62623 ( .A(n63959), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pos[0]), .Y(n59798) );
+  sky130_fd_sc_hd__nor2_1 U62624 ( .A(n59798), .B(n43128), .Y(n43129) );
+  sky130_fd_sc_hd__inv_2 U62625 ( .A(n43129), .Y(n61127) );
+  sky130_fd_sc_hd__inv_2 U62626 ( .A(n61127), .Y(n72510) );
+  sky130_fd_sc_hd__a22oi_1 U62627 ( .A1(n43127), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27[5]), .B1(n72510), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[6]), .Y(n43130) );
+  sky130_fd_sc_hd__a2bb2oi_1 U62628 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[8]), .B2(n44598), .A1_N(n44597), .A2_N(n76087), .Y(n43134) );
+  sky130_fd_sc_hd__nand2_1 U62629 ( .A(n44599), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[0]), .Y(n43133) );
+  sky130_fd_sc_hd__o211ai_1 U62630 ( .A1(n76708), .A2(n44602), .B1(n43134), 
+        .C1(n43133), .Y(n43164) );
+  sky130_fd_sc_hd__o22ai_1 U62631 ( .A1(n78381), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[7]), .B1(n78385), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[12]), .Y(n43135) );
+  sky130_fd_sc_hd__a221oi_1 U62632 ( .A1(n78381), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[7]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[12]), .B2(n78385), .C1(n43135), .Y(n43163) );
+  sky130_fd_sc_hd__o22ai_1 U62633 ( .A1(n60340), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[20]), .B1(n64172), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[5]), .Y(n43136) );
+  sky130_fd_sc_hd__a221oi_1 U62634 ( .A1(n60340), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[20]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[5]), .B2(n64172), .C1(n43136), .Y(n43142) );
+  sky130_fd_sc_hd__o22ai_1 U62635 ( .A1(n76611), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[3]), .B1(n60337), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[8]), .Y(n43137) );
+  sky130_fd_sc_hd__a221oi_1 U62636 ( .A1(n76611), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[3]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[8]), .B2(n60337), .C1(n43137), .Y(n43141) );
+  sky130_fd_sc_hd__o22ai_1 U62637 ( .A1(n78387), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[16]), .B1(n60343), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[17]), .Y(n43138) );
+  sky130_fd_sc_hd__a221oi_1 U62638 ( .A1(n78387), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[16]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[17]), .B2(n60343), .C1(n43138), .Y(n43140) );
+  sky130_fd_sc_hd__xor2_1 U62639 ( .A(n60342), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[18]), .X(n43139) );
+  sky130_fd_sc_hd__nand4_1 U62640 ( .A(n43142), .B(n43141), .C(n43140), .D(
+        n43139), .Y(n43161) );
+  sky130_fd_sc_hd__o22ai_1 U62641 ( .A1(n83026), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[1]), .B1(n60338), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[24]), .Y(n43143) );
+  sky130_fd_sc_hd__a221oi_1 U62642 ( .A1(n83026), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[1]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[24]), .B2(n60338), .C1(n43143), .Y(n43150) );
+  sky130_fd_sc_hd__o22ai_1 U62643 ( .A1(n60339), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[22]), .B1(n60334), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[13]), .Y(n43144) );
+  sky130_fd_sc_hd__a221oi_1 U62644 ( .A1(n60339), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[22]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[13]), .B2(n60334), .C1(n43144), .Y(n43149) );
+  sky130_fd_sc_hd__o22ai_1 U62645 ( .A1(n76619), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[4]), .B1(n60332), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[15]), .Y(n43145) );
+  sky130_fd_sc_hd__a221oi_1 U62646 ( .A1(n76619), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[4]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[15]), .B2(n60332), .C1(n43145), .Y(n43148) );
+  sky130_fd_sc_hd__o22ai_1 U62647 ( .A1(n78383), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[10]), .B1(n78389), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[21]), .Y(n43146) );
+  sky130_fd_sc_hd__a221oi_1 U62648 ( .A1(n78383), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[10]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[21]), .B2(n78389), .C1(n43146), .Y(n43147) );
+  sky130_fd_sc_hd__nand4_1 U62649 ( .A(n43150), .B(n43149), .C(n43148), .D(
+        n43147), .Y(n43160) );
+  sky130_fd_sc_hd__o22ai_1 U62650 ( .A1(n60335), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[11]), .B1(n78392), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[23]), .Y(n43151) );
+  sky130_fd_sc_hd__a221oi_1 U62651 ( .A1(n60335), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[11]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[23]), .B2(n78392), .C1(n43151), .Y(n43158) );
+  sky130_fd_sc_hd__o22ai_1 U62652 ( .A1(n83024), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[0]), .B1(n76604), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[2]), .Y(n43152) );
+  sky130_fd_sc_hd__a221oi_1 U62653 ( .A1(n83024), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[0]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[2]), .B2(n76604), .C1(n43152), .Y(n43157) );
+  sky130_fd_sc_hd__o22ai_1 U62654 ( .A1(n60333), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[14]), .B1(n60341), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[19]), .Y(n43153) );
+  sky130_fd_sc_hd__a221oi_1 U62655 ( .A1(n60333), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[14]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[19]), .B2(n60341), .C1(n43153), .Y(n43156) );
+  sky130_fd_sc_hd__o22ai_1 U62656 ( .A1(n60344), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[6]), .B1(n60336), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[9]), .Y(n43154) );
+  sky130_fd_sc_hd__a221oi_1 U62657 ( .A1(n60344), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[6]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscAddr[9]), .B2(n60336), .C1(n43154), .Y(n43155) );
+  sky130_fd_sc_hd__nand4_1 U62658 ( .A(n43158), .B(n43157), .C(n43156), .D(
+        n43155), .Y(n43159) );
+  sky130_fd_sc_hd__nor3_1 U62659 ( .A(n43161), .B(n43160), .C(n43159), .Y(
+        n43162) );
+  sky130_fd_sc_hd__a31oi_1 U62660 ( .A1(n43163), .A2(n43162), .A3(n83041), 
+        .B1(n53474), .Y(n59846) );
+  sky130_fd_sc_hd__nor2_1 U62661 ( .A(n43164), .B(n59846), .Y(n43168) );
+  sky130_fd_sc_hd__nand2_1 U62662 ( .A(n65309), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[0]), .Y(n43166) );
+  sky130_fd_sc_hd__nand2_1 U62663 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[32]), .B(n57658), .Y(n43165) );
+  sky130_fd_sc_hd__nand2_1 U62664 ( .A(n43166), .B(n43165), .Y(n66197) );
+  sky130_fd_sc_hd__o22a_1 U62665 ( .A1(n43168), .A2(n57647), .B1(n43167), .B2(
+        n43222), .X(n43215) );
+  sky130_fd_sc_hd__a2bb2oi_1 U62666 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[0]), .B2(n57630), .A1_N(n43169), .A2_N(n60101), .Y(n43170) );
+  sky130_fd_sc_hd__a21oi_1 U62668 ( .A1(n60103), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[0]), .B1(n43172), .Y(n43184) );
+  sky130_fd_sc_hd__nor2_1 U62669 ( .A(n64083), .B(n64082), .Y(n43173) );
+  sky130_fd_sc_hd__nand2_1 U62670 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_tselect), .B(n43173), .Y(n43178) );
+  sky130_fd_sc_hd__nand4_1 U62671 ( .A(n43176), .B(n43175), .C(n43174), .D(
+        n59803), .Y(n43177) );
+  sky130_fd_sc_hd__o211ai_1 U62672 ( .A1(n43179), .A2(n64251), .B1(n43178), 
+        .C1(n43177), .Y(n43180) );
+  sky130_fd_sc_hd__a21oi_1 U62673 ( .A1(n76373), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_lo_6_0), .B1(n43180), .Y(n43183) );
+  sky130_fd_sc_hd__a22oi_1 U62674 ( .A1(n43485), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_mcounteren[0]), .B1(n60104), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[0]), .Y(n43182) );
+  sky130_fd_sc_hd__nand2_1 U62675 ( .A(n45362), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[0]), .Y(n43181) );
+  sky130_fd_sc_hd__nand4_1 U62676 ( .A(n43184), .B(n43183), .C(n43182), .D(
+        n43181), .Y(n43188) );
+  sky130_fd_sc_hd__o22ai_1 U62677 ( .A1(n43186), .A2(n83886), .B1(n83888), 
+        .B2(n43185), .Y(n43187) );
+  sky130_fd_sc_hd__nor2_1 U62678 ( .A(n43188), .B(n43187), .Y(n43212) );
+  sky130_fd_sc_hd__a22oi_1 U62679 ( .A1(n60091), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[0]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[0]), .B2(n60093), .Y(n43200) );
+  sky130_fd_sc_hd__nand2_1 U62680 ( .A(n65637), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[0]), .Y(n43190) );
+  sky130_fd_sc_hd__nand2_1 U62681 ( .A(n64084), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_r), .Y(n43189) );
+  sky130_fd_sc_hd__o211ai_1 U62682 ( .A1(n70474), .A2(n45358), .B1(n43190), 
+        .C1(n43189), .Y(n43191) );
+  sky130_fd_sc_hd__a21oi_1 U62683 ( .A1(n45352), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[0]), .B1(n43191), .Y(n43199) );
+  sky130_fd_sc_hd__nand3_1 U62684 ( .A(n43192), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[5]), .C(n59803), .Y(n70476) );
+  sky130_fd_sc_hd__a2bb2oi_1 U62685 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[0]), .B2(n57622), .A1_N(n72704), .A2_N(n70476), .Y(n43198) );
+  sky130_fd_sc_hd__nand2_1 U62686 ( .A(n60025), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[0]), .Y(n43194) );
+  sky130_fd_sc_hd__nand2_1 U62687 ( .A(n78282), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mcause[0]), .Y(n43193) );
+  sky130_fd_sc_hd__o211ai_1 U62688 ( .A1(n43195), .A2(n60132), .B1(n43194), 
+        .C1(n43193), .Y(n43196) );
+  sky130_fd_sc_hd__a21oi_1 U62689 ( .A1(n45955), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[32]), .B1(n43196), .Y(n43197) );
+  sky130_fd_sc_hd__nand4_1 U62690 ( .A(n43200), .B(n43199), .C(n43198), .D(
+        n43197), .Y(n43209) );
+  sky130_fd_sc_hd__o2bb2ai_1 U62691 ( .B1(n43201), .B2(n44763), .A1_N(n69974), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_scause[0]), .Y(n43202) );
+  sky130_fd_sc_hd__a21oi_1 U62692 ( .A1(n57634), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[0]), .B1(n43202), .Y(n43207) );
+  sky130_fd_sc_hd__a22oi_1 U62693 ( .A1(n60096), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[0]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[0]), .B2(n60100), .Y(n43206) );
+  sky130_fd_sc_hd__o22a_1 U62694 ( .A1(n74826), .A2(n60043), .B1(n74281), .B2(
+        n45945), .X(n43205) );
+  sky130_fd_sc_hd__o22a_1 U62695 ( .A1(n59253), .A2(n44021), .B1(n43203), .B2(
+        n63155), .X(n43204) );
+  sky130_fd_sc_hd__nand4_1 U62696 ( .A(n43207), .B(n43206), .C(n43205), .D(
+        n43204), .Y(n43208) );
+  sky130_fd_sc_hd__nor2_1 U62697 ( .A(n43209), .B(n43208), .Y(n43211) );
+  sky130_fd_sc_hd__nand2_1 U62698 ( .A(n57619), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[32]), .Y(n43210) );
+  sky130_fd_sc_hd__nand3_1 U62699 ( .A(n43212), .B(n43211), .C(n43210), .Y(
+        n44129) );
+  sky130_fd_sc_hd__nand2_1 U62700 ( .A(n57665), .B(n44129), .Y(n43214) );
+  sky130_fd_sc_hd__nand2_1 U62701 ( .A(n57663), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[0]), .Y(n43213) );
+  sky130_fd_sc_hd__and3_1 U62702 ( .A(n43215), .B(n43214), .C(n43213), .X(
+        n70079) );
+  sky130_fd_sc_hd__nor2_1 U62703 ( .A(n76048), .B(n44602), .Y(n43219) );
+  sky130_fd_sc_hd__a2bb2oi_1 U62704 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[13]), .B2(n44598), .A1_N(n44597), .A2_N(n76156), .Y(n43216) );
+  sky130_fd_sc_hd__nor2_1 U62706 ( .A(n43219), .B(n43218), .Y(n43224) );
+  sky130_fd_sc_hd__nand2_1 U62707 ( .A(n65309), .B(n37027), .Y(n43221) );
+  sky130_fd_sc_hd__nand2_1 U62708 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[37]), .B(n57658), .Y(n43220) );
+  sky130_fd_sc_hd__nand2_1 U62709 ( .A(n43221), .B(n43220), .Y(n66648) );
+  sky130_fd_sc_hd__o22a_1 U62710 ( .A1(n43224), .A2(n57647), .B1(n43223), .B2(
+        n43222), .X(n43260) );
+  sky130_fd_sc_hd__nand2_1 U62711 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie[5]), .B(n78525), .Y(n43257) );
+  sky130_fd_sc_hd__a2bb2oi_1 U62712 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[5]), .B2(n57622), .A1_N(n71132), .A2_N(n60034), .Y(n43228) );
+  sky130_fd_sc_hd__a22oi_1 U62713 ( .A1(n60104), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[5]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[5]), .B2(n64977), .Y(n43227) );
+  sky130_fd_sc_hd__nand2_1 U62714 ( .A(n45362), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[5]), .Y(n43226) );
+  sky130_fd_sc_hd__nand2_1 U62715 ( .A(n60553), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_spie), .Y(n43225) );
+  sky130_fd_sc_hd__nand4_1 U62716 ( .A(n43228), .B(n43227), .C(n43226), .D(
+        n43225), .Y(n43235) );
+  sky130_fd_sc_hd__a22oi_1 U62717 ( .A1(n60091), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[5]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[5]), .B2(n60100), .Y(n43233) );
+  sky130_fd_sc_hd__o22a_1 U62718 ( .A1(n70405), .A2(n57620), .B1(n74291), .B2(
+        n45945), .X(n43232) );
+  sky130_fd_sc_hd__a2bb2oi_1 U62719 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[5]), .B2(n60093), .A1_N(n74835), .A2_N(n60043), .Y(n43231) );
+  sky130_fd_sc_hd__a2bb2oi_1 U62720 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[5]), .B2(n60096), .A1_N(n43229), .A2_N(n45367), .Y(n43230) );
+  sky130_fd_sc_hd__nand4_1 U62721 ( .A(n43233), .B(n43232), .C(n43231), .D(
+        n43230), .Y(n43234) );
+  sky130_fd_sc_hd__nor2_1 U62722 ( .A(n43235), .B(n43234), .Y(n43256) );
+  sky130_fd_sc_hd__nand2_1 U62723 ( .A(n64975), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[5]), .Y(n43241) );
+  sky130_fd_sc_hd__nand2_1 U62724 ( .A(n60103), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[5]), .Y(n43240) );
+  sky130_fd_sc_hd__o22ai_1 U62725 ( .A1(n70412), .A2(n43267), .B1(n43236), 
+        .B2(n60101), .Y(n43237) );
+  sky130_fd_sc_hd__a21oi_1 U62726 ( .A1(n57630), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[5]), .B1(n43237), .Y(n43239) );
+  sky130_fd_sc_hd__nand2_1 U62727 ( .A(n60025), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[5]), .Y(n43238) );
+  sky130_fd_sc_hd__nand4_1 U62728 ( .A(n43241), .B(n43240), .C(n43239), .D(
+        n43238), .Y(n43245) );
+  sky130_fd_sc_hd__nand2_1 U62729 ( .A(n78524), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie[5]), .Y(n43243) );
+  sky130_fd_sc_hd__nand2_1 U62730 ( .A(n75803), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__read_hvip_T_5), .Y(n43242) );
+  sky130_fd_sc_hd__a31oi_1 U62731 ( .A1(n43243), .A2(n68629), .A3(n43242), 
+        .B1(n76396), .Y(n43244) );
+  sky130_fd_sc_hd__nor2_1 U62732 ( .A(n43245), .B(n43244), .Y(n43252) );
+  sky130_fd_sc_hd__nand2_1 U62733 ( .A(n57619), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[37]), .Y(n43251) );
+  sky130_fd_sc_hd__nand2_1 U62734 ( .A(n44617), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[5]), .Y(n43248) );
+  sky130_fd_sc_hd__nand2_1 U62735 ( .A(n65602), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[5]), .Y(n43247) );
+  sky130_fd_sc_hd__nand2_1 U62736 ( .A(n68643), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__read_hvip_T_5), .Y(n43246) );
+  sky130_fd_sc_hd__nand3_1 U62737 ( .A(n43248), .B(n43247), .C(n43246), .Y(
+        n43249) );
+  sky130_fd_sc_hd__a21oi_1 U62738 ( .A1(n44611), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[5]), .B1(n43249), .Y(n43250) );
+  sky130_fd_sc_hd__nand3_1 U62739 ( .A(n43252), .B(n43251), .C(n43250), .Y(
+        n43253) );
+  sky130_fd_sc_hd__nor2_1 U62740 ( .A(n43254), .B(n43253), .Y(n43255) );
+  sky130_fd_sc_hd__nand3_1 U62741 ( .A(n43257), .B(n43256), .C(n43255), .Y(
+        n55606) );
+  sky130_fd_sc_hd__nand2_1 U62742 ( .A(n57665), .B(n55606), .Y(n43259) );
+  sky130_fd_sc_hd__nand2_1 U62743 ( .A(n57663), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[5]), .Y(n43258) );
+  sky130_fd_sc_hd__nand3_1 U62744 ( .A(n43260), .B(n43259), .C(n43258), .Y(
+        n85559) );
+  sky130_fd_sc_hd__a2bb2oi_1 U62745 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[14]), .B2(n44598), .A1_N(n44597), .A2_N(n76158), .Y(n43262) );
+  sky130_fd_sc_hd__nand2_1 U62746 ( .A(n44599), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[6]), .Y(n43261) );
+  sky130_fd_sc_hd__o211ai_1 U62747 ( .A1(n76060), .A2(n44602), .B1(n43262), 
+        .C1(n43261), .Y(n43263) );
+  sky130_fd_sc_hd__a2bb2oi_1 U62748 ( .B1(n44604), .B2(n43263), .A1_N(n56052), 
+        .A2_N(n45337), .Y(n43303) );
+  sky130_fd_sc_hd__nand2_1 U62749 ( .A(n65309), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[6]), .Y(n43265) );
+  sky130_fd_sc_hd__nand2_1 U62750 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[38]), .B(n57658), .Y(n43264) );
+  sky130_fd_sc_hd__nand2_1 U62751 ( .A(n43265), .B(n43264), .Y(n69593) );
+  sky130_fd_sc_hd__nand2_1 U62752 ( .A(n57662), .B(n69593), .Y(n43302) );
+  sky130_fd_sc_hd__nand2_1 U62753 ( .A(n44611), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[6]), .Y(n43272) );
+  sky130_fd_sc_hd__o22ai_1 U62754 ( .A1(n70508), .A2(n43267), .B1(n43266), 
+        .B2(n60101), .Y(n43268) );
+  sky130_fd_sc_hd__a21oi_1 U62755 ( .A1(n57630), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[6]), .B1(n43268), .Y(n43271) );
+  sky130_fd_sc_hd__nand2_1 U62756 ( .A(n44617), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[6]), .Y(n43270) );
+  sky130_fd_sc_hd__nand2_1 U62757 ( .A(n64975), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[6]), .Y(n43269) );
+  sky130_fd_sc_hd__nand4_1 U62758 ( .A(n43272), .B(n43271), .C(n43270), .D(
+        n43269), .Y(n43277) );
+  sky130_fd_sc_hd__a2bb2oi_1 U62759 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[6]), .B2(n57622), .A1_N(n43273), .A2_N(n60034), .Y(n43276) );
+  sky130_fd_sc_hd__a22oi_1 U62760 ( .A1(n60104), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[6]), .B1(n60103), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[6]), .Y(n43275) );
+  sky130_fd_sc_hd__nand2_1 U62761 ( .A(n45362), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[6]), .Y(n43274) );
+  sky130_fd_sc_hd__nand4b_1 U62762 ( .A_N(n43277), .B(n43276), .C(n43275), .D(
+        n43274), .Y(n43290) );
+  sky130_fd_sc_hd__a22oi_1 U62763 ( .A1(n60100), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[6]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[6]), .B2(n60093), .Y(n43287) );
+  sky130_fd_sc_hd__a22oi_1 U62764 ( .A1(n60091), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[6]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[6]), .B2(n60096), .Y(n43286) );
+  sky130_fd_sc_hd__nand2_1 U62765 ( .A(n64977), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[6]), .Y(n43280) );
+  sky130_fd_sc_hd__nand2_1 U62766 ( .A(n60025), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[6]), .Y(n43279) );
+  sky130_fd_sc_hd__nand2_1 U62767 ( .A(n65602), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[6]), .Y(n43278) );
+  sky130_fd_sc_hd__nand3_1 U62768 ( .A(n43280), .B(n43279), .C(n43278), .Y(
+        n43281) );
+  sky130_fd_sc_hd__a21oi_1 U62769 ( .A1(n45955), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[38]), .B1(n43281), .Y(n43285) );
+  sky130_fd_sc_hd__o22a_1 U62770 ( .A1(n43283), .A2(n60043), .B1(n43282), .B2(
+        n45945), .X(n43284) );
+  sky130_fd_sc_hd__nand4_1 U62771 ( .A(n43287), .B(n43286), .C(n43285), .D(
+        n43284), .Y(n43288) );
+  sky130_fd_sc_hd__nor3_1 U62772 ( .A(n43290), .B(n43289), .C(n43288), .Y(
+        n43300) );
+  sky130_fd_sc_hd__nand2_1 U62773 ( .A(n76373), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_lo_6[6]), .Y(n43299) );
+  sky130_fd_sc_hd__o22ai_1 U62774 ( .A1(n43292), .A2(n63155), .B1(n43291), 
+        .B2(n45367), .Y(n43296) );
+  sky130_fd_sc_hd__o22ai_1 U62775 ( .A1(n43294), .A2(n83886), .B1(n83888), 
+        .B2(n43293), .Y(n43295) );
+  sky130_fd_sc_hd__nor2_1 U62776 ( .A(n43296), .B(n43295), .Y(n43298) );
+  sky130_fd_sc_hd__nand2_1 U62777 ( .A(n57619), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[38]), .Y(n43297) );
+  sky130_fd_sc_hd__nand4_1 U62778 ( .A(n43300), .B(n43299), .C(n43298), .D(
+        n43297), .Y(n56050) );
+  sky130_fd_sc_hd__nand2_1 U62779 ( .A(n57665), .B(n56050), .Y(n43301) );
+  sky130_fd_sc_hd__and3_1 U62780 ( .A(n43303), .B(n43302), .C(n43301), .X(
+        n65177) );
+  sky130_fd_sc_hd__nand2_1 U62782 ( .A(n53944), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[6]), .Y(n43305) );
+  sky130_fd_sc_hd__nand2_1 U62783 ( .A(n37071), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[6]), .Y(n43304) );
+  sky130_fd_sc_hd__nand2_1 U62784 ( .A(n43305), .B(n43304), .Y(n43306) );
+  sky130_fd_sc_hd__o22a_1 U62785 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_bypass_1), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[6]), .B1(n43307), .B2(n43306), .X(n85612) );
+  sky130_fd_sc_hd__nor2_1 U62786 ( .A(n78430), .B(n56044), .Y(n84388) );
+  sky130_fd_sc_hd__nand2_1 U62787 ( .A(n54312), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[54]), .Y(n43310) );
+  sky130_fd_sc_hd__nand2_1 U62788 ( .A(n54795), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[22]), .Y(n43309) );
+  sky130_fd_sc_hd__nand2_1 U62789 ( .A(n43310), .B(n43309), .Y(n84750) );
+  sky130_fd_sc_hd__nor2_1 U62790 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_22[0]), .B(
+        n54216), .Y(n43311) );
+  sky130_fd_sc_hd__o21ai_1 U62791 ( .A1(n43311), .A2(n36791), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_22[1]), .Y(
+        n43323) );
+  sky130_fd_sc_hd__nand2_1 U62792 ( .A(n54218), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_22[1]), .Y(
+        n43312) );
+  sky130_fd_sc_hd__o21ai_1 U62793 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_22[1]), .A2(
+        n54220), .B1(n43312), .Y(n43313) );
+  sky130_fd_sc_hd__nand2_1 U62795 ( .A(n38466), .B(n43315), .Y(n43319) );
+  sky130_fd_sc_hd__xnor2_1 U62797 ( .A(n43319), .B(n48467), .Y(n43320) );
+  sky130_fd_sc_hd__a22oi_1 U62798 ( .A1(n54228), .A2(n43320), .B1(n52494), 
+        .B2(n84750), .Y(n43321) );
+  sky130_fd_sc_hd__nand3_1 U62799 ( .A(n43323), .B(n43322), .C(n43321), .Y(
+        n84364) );
+  sky130_fd_sc_hd__nand2_1 U62800 ( .A(n41606), .B(n50438), .Y(n50488) );
+  sky130_fd_sc_hd__nor2_1 U62801 ( .A(n50494), .B(n50488), .Y(n56980) );
+  sky130_fd_sc_hd__nand2_1 U62802 ( .A(n51484), .B(n57120), .Y(n43367) );
+  sky130_fd_sc_hd__nor2_1 U62804 ( .A(n57316), .B(n46761), .Y(n57133) );
+  sky130_fd_sc_hd__inv_2 U62805 ( .A(n57297), .Y(n52412) );
+  sky130_fd_sc_hd__nand2_1 U62806 ( .A(n51834), .B(n52412), .Y(n48327) );
+  sky130_fd_sc_hd__nor2_1 U62807 ( .A(n52744), .B(n48327), .Y(n50159) );
+  sky130_fd_sc_hd__inv_2 U62808 ( .A(n41772), .Y(n57334) );
+  sky130_fd_sc_hd__nor2_1 U62809 ( .A(n36774), .B(n48281), .Y(n46048) );
+  sky130_fd_sc_hd__nor2_1 U62810 ( .A(n57334), .B(n57441), .Y(n51546) );
+  sky130_fd_sc_hd__nand2_1 U62811 ( .A(n57415), .B(n52412), .Y(n52108) );
+  sky130_fd_sc_hd__nor2_1 U62812 ( .A(n52691), .B(n57540), .Y(n50980) );
+  sky130_fd_sc_hd__nand2_1 U62813 ( .A(n52108), .B(n50980), .Y(n57353) );
+  sky130_fd_sc_hd__nor2_1 U62814 ( .A(n51546), .B(n45724), .Y(n46828) );
+  sky130_fd_sc_hd__nand2_1 U62815 ( .A(n56588), .B(n44251), .Y(n45725) );
+  sky130_fd_sc_hd__a21oi_1 U62816 ( .A1(n46828), .A2(n45725), .B1(n57549), .Y(
+        n50158) );
+  sky130_fd_sc_hd__nor2_1 U62817 ( .A(n41606), .B(n50438), .Y(n52764) );
+  sky130_fd_sc_hd__nand2_1 U62818 ( .A(n50374), .B(n52764), .Y(n57529) );
+  sky130_fd_sc_hd__nor2_1 U62819 ( .A(n56430), .B(n57496), .Y(n45442) );
+  sky130_fd_sc_hd__nor2_1 U62820 ( .A(n52144), .B(n50461), .Y(n50338) );
+  sky130_fd_sc_hd__nor2_1 U62821 ( .A(n57356), .B(n50338), .Y(n43324) );
+  sky130_fd_sc_hd__o21ai_0 U62822 ( .A1(n57529), .A2(n52710), .B1(n43324), .Y(
+        n51549) );
+  sky130_fd_sc_hd__nor4_1 U62823 ( .A(n57133), .B(n50159), .C(n50158), .D(
+        n51549), .Y(n51860) );
+  sky130_fd_sc_hd__nor2_1 U62824 ( .A(n50981), .B(n50450), .Y(n43624) );
+  sky130_fd_sc_hd__nor2_1 U62825 ( .A(n56581), .B(n57136), .Y(n52194) );
+  sky130_fd_sc_hd__nor2_1 U62826 ( .A(n50476), .B(n57472), .Y(n47069) );
+  sky130_fd_sc_hd__nor2_1 U62827 ( .A(n52194), .B(n47069), .Y(n52170) );
+  sky130_fd_sc_hd__nor2_1 U62828 ( .A(n57526), .B(n57480), .Y(n52416) );
+  sky130_fd_sc_hd__nor2_1 U62829 ( .A(n50981), .B(n50488), .Y(n46486) );
+  sky130_fd_sc_hd__nand2_1 U62830 ( .A(n48242), .B(n46486), .Y(n44880) );
+  sky130_fd_sc_hd__o21a_1 U62831 ( .A1(n48281), .A2(n46492), .B1(n45431), .X(
+        n47068) );
+  sky130_fd_sc_hd__nand2_1 U62832 ( .A(n47068), .B(n52744), .Y(n48122) );
+  sky130_fd_sc_hd__nor2_1 U62833 ( .A(n51484), .B(n47162), .Y(n50397) );
+  sky130_fd_sc_hd__nor2_1 U62834 ( .A(n50476), .B(n48281), .Y(n52088) );
+  sky130_fd_sc_hd__nor2_1 U62835 ( .A(n56581), .B(n52088), .Y(n50378) );
+  sky130_fd_sc_hd__nor2_1 U62836 ( .A(n52404), .B(n56457), .Y(n47148) );
+  sky130_fd_sc_hd__nand2_1 U62837 ( .A(n57040), .B(n57482), .Y(n57039) );
+  sky130_fd_sc_hd__nor2_1 U62838 ( .A(n52108), .B(n48281), .Y(n46723) );
+  sky130_fd_sc_hd__nor2_1 U62839 ( .A(n48257), .B(n47086), .Y(n45874) );
+  sky130_fd_sc_hd__a21oi_1 U62840 ( .A1(n57508), .A2(n50437), .B1(n45874), .Y(
+        n47067) );
+  sky130_fd_sc_hd__nand2b_1 U62841 ( .A_N(n47148), .B(n47067), .Y(n48283) );
+  sky130_fd_sc_hd__nand2_1 U62842 ( .A(n52744), .B(n48283), .Y(n57058) );
+  sky130_fd_sc_hd__nand4_1 U62843 ( .A(n57129), .B(n44880), .C(n48122), .D(
+        n57058), .Y(n43325) );
+  sky130_fd_sc_hd__a21oi_1 U62844 ( .A1(n43624), .A2(n52437), .B1(n43325), .Y(
+        n57360) );
+  sky130_fd_sc_hd__nand2_1 U62845 ( .A(n57540), .B(n48247), .Y(n57489) );
+  sky130_fd_sc_hd__a21oi_1 U62846 ( .A1(n57490), .A2(n57489), .B1(n36975), .Y(
+        n47152) );
+  sky130_fd_sc_hd__nor2_1 U62847 ( .A(n47152), .B(n43326), .Y(n57304) );
+  sky130_fd_sc_hd__nand2_1 U62848 ( .A(n52169), .B(n50235), .Y(n52215) );
+  sky130_fd_sc_hd__nand2_1 U62849 ( .A(n43627), .B(n46334), .Y(n57069) );
+  sky130_fd_sc_hd__o22ai_1 U62850 ( .A1(n57304), .A2(n46322), .B1(n57134), 
+        .B2(n57069), .Y(n45919) );
+  sky130_fd_sc_hd__a21oi_1 U62851 ( .A1(n57305), .A2(n43326), .B1(n45919), .Y(
+        n43327) );
+  sky130_fd_sc_hd__nand2_1 U62852 ( .A(n44275), .B(n37003), .Y(n56604) );
+  sky130_fd_sc_hd__nor2_2 U62853 ( .A(n57542), .B(n56604), .Y(n57361) );
+  sky130_fd_sc_hd__a31oi_1 U62854 ( .A1(n51860), .A2(n57360), .A3(n43327), 
+        .B1(n50282), .Y(n43378) );
+  sky130_fd_sc_hd__nand2_1 U62855 ( .A(n50462), .B(n51840), .Y(n57443) );
+  sky130_fd_sc_hd__nand2_1 U62856 ( .A(n50467), .B(n57443), .Y(n50180) );
+  sky130_fd_sc_hd__nor2_1 U62857 ( .A(n56542), .B(n50436), .Y(n50396) );
+  sky130_fd_sc_hd__nor3_1 U62858 ( .A(n52169), .B(n51840), .C(n51839), .Y(
+        n52400) );
+  sky130_fd_sc_hd__a21oi_1 U62859 ( .A1(n57163), .A2(n48162), .B1(n52400), .Y(
+        n48096) );
+  sky130_fd_sc_hd__nand2_1 U62860 ( .A(n57540), .B(n48281), .Y(n52120) );
+  sky130_fd_sc_hd__nand2_1 U62861 ( .A(n56540), .B(n52120), .Y(n43555) );
+  sky130_fd_sc_hd__nor2_1 U62862 ( .A(n43368), .B(n43555), .Y(n51842) );
+  sky130_fd_sc_hd__nand2_1 U62863 ( .A(n57481), .B(n48062), .Y(n51505) );
+  sky130_fd_sc_hd__nand2_1 U62864 ( .A(n52088), .B(n51484), .Y(n50974) );
+  sky130_fd_sc_hd__nand2_1 U62865 ( .A(n52199), .B(n57482), .Y(n56994) );
+  sky130_fd_sc_hd__nand2_1 U62866 ( .A(n57123), .B(n56994), .Y(n50489) );
+  sky130_fd_sc_hd__nand2_1 U62867 ( .A(n41606), .B(n56542), .Y(n43328) );
+  sky130_fd_sc_hd__a21oi_1 U62868 ( .A1(n50974), .A2(n50489), .B1(n43328), .Y(
+        n52709) );
+  sky130_fd_sc_hd__nand2_1 U62869 ( .A(n50438), .B(n52709), .Y(n47199) );
+  sky130_fd_sc_hd__a21oi_1 U62871 ( .A1(n51842), .A2(n56542), .B1(n48098), .Y(
+        n43330) );
+  sky130_fd_sc_hd__nor2_1 U62872 ( .A(n57496), .B(n57542), .Y(n48133) );
+  sky130_fd_sc_hd__nand2_1 U62873 ( .A(n48133), .B(n43329), .Y(n57153) );
+  sky130_fd_sc_hd__nor2_1 U62874 ( .A(n57153), .B(n57347), .Y(n47200) );
+  sky130_fd_sc_hd__a21oi_1 U62875 ( .A1(n48096), .A2(n43330), .B1(n57344), .Y(
+        n43377) );
+  sky130_fd_sc_hd__nor2_1 U62876 ( .A(n36774), .B(n86738), .Y(n52725) );
+  sky130_fd_sc_hd__nor2_1 U62877 ( .A(n57136), .B(n52725), .Y(n56475) );
+  sky130_fd_sc_hd__nand2_1 U62878 ( .A(n57540), .B(n51836), .Y(n45666) );
+  sky130_fd_sc_hd__o22ai_1 U62879 ( .A1(n57193), .A2(n45666), .B1(n57297), 
+        .B2(n52691), .Y(n43331) );
+  sky130_fd_sc_hd__a21oi_1 U62880 ( .A1(n52210), .A2(n56597), .B1(n43331), .Y(
+        n43336) );
+  sky130_fd_sc_hd__nand2_1 U62881 ( .A(n50919), .B(n57508), .Y(n48275) );
+  sky130_fd_sc_hd__nand2_1 U62882 ( .A(n48234), .B(n57192), .Y(n51821) );
+  sky130_fd_sc_hd__nand2_1 U62883 ( .A(n50476), .B(n51484), .Y(n48057) );
+  sky130_fd_sc_hd__o22ai_1 U62884 ( .A1(n57136), .A2(n51821), .B1(n48057), 
+        .B2(n47162), .Y(n43334) );
+  sky130_fd_sc_hd__nand2_1 U62885 ( .A(n57120), .B(n46492), .Y(n50314) );
+  sky130_fd_sc_hd__nand2_1 U62886 ( .A(n37012), .B(n50314), .Y(n48295) );
+  sky130_fd_sc_hd__nand2_1 U62887 ( .A(n51484), .B(n57193), .Y(n51506) );
+  sky130_fd_sc_hd__nor2_1 U62888 ( .A(n43556), .B(n52412), .Y(n48077) );
+  sky130_fd_sc_hd__nand2_1 U62889 ( .A(n51834), .B(n48077), .Y(n52382) );
+  sky130_fd_sc_hd__a21oi_1 U62890 ( .A1(n56588), .A2(n51506), .B1(n46799), .Y(
+        n43332) );
+  sky130_fd_sc_hd__o21ai_1 U62891 ( .A1(n44805), .A2(n52121), .B1(n43332), .Y(
+        n43333) );
+  sky130_fd_sc_hd__nor4_1 U62892 ( .A(n46334), .B(n57203), .C(n43334), .D(
+        n43333), .Y(n43335) );
+  sky130_fd_sc_hd__nand2_1 U62893 ( .A(n41779), .B(n43556), .Y(n52165) );
+  sky130_fd_sc_hd__nand2_1 U62894 ( .A(n45431), .B(n45454), .Y(n56453) );
+  sky130_fd_sc_hd__nand2_1 U62895 ( .A(n52237), .B(n57096), .Y(n56509) );
+  sky130_fd_sc_hd__a31oi_1 U62896 ( .A1(n43336), .A2(n43335), .A3(n56453), 
+        .B1(n56509), .Y(n43376) );
+  sky130_fd_sc_hd__inv_2 U62897 ( .A(n57153), .Y(n56999) );
+  sky130_fd_sc_hd__nand2_1 U62898 ( .A(n56542), .B(n56999), .Y(n46311) );
+  sky130_fd_sc_hd__nor2_1 U62899 ( .A(n57414), .B(n46311), .Y(n48064) );
+  sky130_fd_sc_hd__nand2_1 U62900 ( .A(n50374), .B(n56989), .Y(n57429) );
+  sky130_fd_sc_hd__nand2_1 U62901 ( .A(n50474), .B(n52763), .Y(n56472) );
+  sky130_fd_sc_hd__o21ai_0 U62902 ( .A1(n36797), .A2(n57449), .B1(n56472), .Y(
+        n43354) );
+  sky130_fd_sc_hd__nor2_1 U62903 ( .A(n43337), .B(n56593), .Y(n47076) );
+  sky130_fd_sc_hd__nand2_1 U62904 ( .A(n45431), .B(n47076), .Y(n50997) );
+  sky130_fd_sc_hd__nand2_1 U62905 ( .A(n57134), .B(n52199), .Y(n51837) );
+  sky130_fd_sc_hd__nand3_1 U62906 ( .A(n57407), .B(n56999), .C(n56474), .Y(
+        n43668) );
+  sky130_fd_sc_hd__o21ai_0 U62907 ( .A1(n50997), .A2(n52263), .B1(n43668), .Y(
+        n43353) );
+  sky130_fd_sc_hd__nor3_1 U62908 ( .A(n57540), .B(n41624), .C(n48247), .Y(
+        n50379) );
+  sky130_fd_sc_hd__nand2_1 U62909 ( .A(n45408), .B(n57415), .Y(n51509) );
+  sky130_fd_sc_hd__nor2_1 U62910 ( .A(n48281), .B(n52100), .Y(n56422) );
+  sky130_fd_sc_hd__nor3_1 U62911 ( .A(n57540), .B(n56422), .C(n56542), .Y(
+        n48235) );
+  sky130_fd_sc_hd__nor2_1 U62912 ( .A(n50379), .B(n48235), .Y(n43338) );
+  sky130_fd_sc_hd__nand2_1 U62913 ( .A(n56999), .B(n36975), .Y(n52701) );
+  sky130_fd_sc_hd__nor2_1 U62914 ( .A(n57040), .B(n50252), .Y(n45423) );
+  sky130_fd_sc_hd__o22ai_1 U62915 ( .A1(n43338), .A2(n52701), .B1(n57061), 
+        .B2(n48130), .Y(n43352) );
+  sky130_fd_sc_hd__nor2_1 U62916 ( .A(n51822), .B(n57540), .Y(n50907) );
+  sky130_fd_sc_hd__nand2_1 U62917 ( .A(n50907), .B(n45408), .Y(n52126) );
+  sky130_fd_sc_hd__o22ai_1 U62918 ( .A1(n57415), .A2(n52126), .B1(n48062), 
+        .B2(n56575), .Y(n43339) );
+  sky130_fd_sc_hd__a21oi_1 U62919 ( .A1(n46351), .A2(n41625), .B1(n43339), .Y(
+        n57538) );
+  sky130_fd_sc_hd__a21oi_1 U62920 ( .A1(n57538), .A2(n48275), .B1(n57061), .Y(
+        n45866) );
+  sky130_fd_sc_hd__a31oi_1 U62921 ( .A1(n52764), .A2(n52763), .A3(n52227), 
+        .B1(n45866), .Y(n43350) );
+  sky130_fd_sc_hd__nand2_1 U62922 ( .A(n52199), .B(n52725), .Y(n56456) );
+  sky130_fd_sc_hd__nand2_1 U62923 ( .A(n57549), .B(n52181), .Y(n52266) );
+  sky130_fd_sc_hd__nand2_1 U62924 ( .A(n57485), .B(n57490), .Y(n48267) );
+  sky130_fd_sc_hd__nand2_1 U62925 ( .A(n46315), .B(n52179), .Y(n48259) );
+  sky130_fd_sc_hd__nand2_1 U62926 ( .A(n48290), .B(n45442), .Y(n57527) );
+  sky130_fd_sc_hd__nand2_1 U62927 ( .A(n57013), .B(n43673), .Y(n57431) );
+  sky130_fd_sc_hd__nand2_1 U62928 ( .A(n51484), .B(n50984), .Y(n57543) );
+  sky130_fd_sc_hd__nor2_1 U62929 ( .A(n37012), .B(n57543), .Y(n57533) );
+  sky130_fd_sc_hd__o211ai_1 U62930 ( .A1(n56456), .A2(n52266), .B1(n48080), 
+        .C1(n43340), .Y(n44824) );
+  sky130_fd_sc_hd__nor2_1 U62931 ( .A(n50488), .B(n48269), .Y(n57419) );
+  sky130_fd_sc_hd__nor2b_1 U62932 ( .B_N(n57419), .A(n50494), .Y(n47065) );
+  sky130_fd_sc_hd__nand2_1 U62933 ( .A(n43627), .B(n52741), .Y(n52253) );
+  sky130_fd_sc_hd__nand2_1 U62934 ( .A(n52725), .B(n44826), .Y(n51524) );
+  sky130_fd_sc_hd__o21ai_1 U62935 ( .A1(n57528), .A2(n57316), .B1(n51524), .Y(
+        n50191) );
+  sky130_fd_sc_hd__nor2_1 U62936 ( .A(n47065), .B(n50191), .Y(n51871) );
+  sky130_fd_sc_hd__nand2_1 U62937 ( .A(n57549), .B(n48234), .Y(n57296) );
+  sky130_fd_sc_hd__nand2_1 U62938 ( .A(n41779), .B(n43367), .Y(n50238) );
+  sky130_fd_sc_hd__nor2_1 U62939 ( .A(n46315), .B(n50238), .Y(n45456) );
+  sky130_fd_sc_hd__nand2_1 U62940 ( .A(n41606), .B(n45456), .Y(n43342) );
+  sky130_fd_sc_hd__nand2_1 U62941 ( .A(n50162), .B(n48281), .Y(n43341) );
+  sky130_fd_sc_hd__nand2b_1 U62942 ( .A_N(n57011), .B(n44221), .Y(n45441) );
+  sky130_fd_sc_hd__nand2_1 U62943 ( .A(n44221), .B(n37029), .Y(n46734) );
+  sky130_fd_sc_hd__nor2_1 U62944 ( .A(n56431), .B(n57496), .Y(n50986) );
+  sky130_fd_sc_hd__a21oi_1 U62945 ( .A1(n56444), .A2(n56993), .B1(n52144), .Y(
+        n44260) );
+  sky130_fd_sc_hd__nand2_1 U62946 ( .A(n44260), .B(n57120), .Y(n48263) );
+  sky130_fd_sc_hd__nor2_1 U62947 ( .A(n52744), .B(n48263), .Y(n43343) );
+  sky130_fd_sc_hd__a21oi_1 U62948 ( .A1(n46480), .A2(n50986), .B1(n43343), .Y(
+        n57535) );
+  sky130_fd_sc_hd__nand3_1 U62949 ( .A(n51871), .B(n48079), .C(n57535), .Y(
+        n43344) );
+  sky130_fd_sc_hd__o21ai_0 U62950 ( .A1(n44824), .A2(n43344), .B1(n57088), .Y(
+        n43349) );
+  sky130_fd_sc_hd__nor2_1 U62951 ( .A(n57040), .B(n57528), .Y(n45715) );
+  sky130_fd_sc_hd__nand4_1 U62952 ( .A(n48068), .B(n52763), .C(n56540), .D(
+        n57181), .Y(n43348) );
+  sky130_fd_sc_hd__nor2_1 U62953 ( .A(n52412), .B(n36838), .Y(n52746) );
+  sky130_fd_sc_hd__nand2_1 U62954 ( .A(n52102), .B(n57482), .Y(n50440) );
+  sky130_fd_sc_hd__nand2_1 U62955 ( .A(n57040), .B(n50440), .Y(n56499) );
+  sky130_fd_sc_hd__nand2_1 U62956 ( .A(n56557), .B(n56588), .Y(n46772) );
+  sky130_fd_sc_hd__nor2_1 U62957 ( .A(n57481), .B(n48257), .Y(n56590) );
+  sky130_fd_sc_hd__o211ai_1 U62958 ( .A1(n46772), .A2(n51484), .B1(n57521), 
+        .C1(n56441), .Y(n43346) );
+  sky130_fd_sc_hd__nand2_1 U62959 ( .A(n51535), .B(n48133), .Y(n57158) );
+  sky130_fd_sc_hd__nand4_1 U62961 ( .A(n43350), .B(n43349), .C(n43348), .D(
+        n43347), .Y(n43351) );
+  sky130_fd_sc_hd__nor4_1 U62962 ( .A(n43354), .B(n43353), .C(n43352), .D(
+        n43351), .Y(n43374) );
+  sky130_fd_sc_hd__nand2_1 U62963 ( .A(n36774), .B(n43355), .Y(n46784) );
+  sky130_fd_sc_hd__nor2_1 U62964 ( .A(n57134), .B(n57123), .Y(n43766) );
+  sky130_fd_sc_hd__nand2_1 U62965 ( .A(n56440), .B(n43766), .Y(n48059) );
+  sky130_fd_sc_hd__nand2_1 U62966 ( .A(n57526), .B(n52229), .Y(n44234) );
+  sky130_fd_sc_hd__nand2_1 U62967 ( .A(n50397), .B(n44234), .Y(n51544) );
+  sky130_fd_sc_hd__nand2_1 U62968 ( .A(n57415), .B(n36774), .Y(n57412) );
+  sky130_fd_sc_hd__nand2_1 U62969 ( .A(n56456), .B(n57412), .Y(n56568) );
+  sky130_fd_sc_hd__nand2_1 U62970 ( .A(n57164), .B(n57139), .Y(n45859) );
+  sky130_fd_sc_hd__nand4_1 U62971 ( .A(n46784), .B(n48059), .C(n51544), .D(
+        n45859), .Y(n43362) );
+  sky130_fd_sc_hd__nand2_1 U62972 ( .A(n46490), .B(n51484), .Y(n47100) );
+  sky130_fd_sc_hd__nand2_1 U62973 ( .A(n57528), .B(n44804), .Y(n57085) );
+  sky130_fd_sc_hd__nor2_1 U62974 ( .A(n52744), .B(n43363), .Y(n45716) );
+  sky130_fd_sc_hd__o21ai_0 U62975 ( .A1(n41606), .A2(n57085), .B1(n45716), .Y(
+        n43356) );
+  sky130_fd_sc_hd__a31oi_1 U62976 ( .A1(n41606), .A2(n57181), .A3(n47100), 
+        .B1(n43356), .Y(n43359) );
+  sky130_fd_sc_hd__nand2_1 U62977 ( .A(n57013), .B(n48304), .Y(n51482) );
+  sky130_fd_sc_hd__nand2_1 U62978 ( .A(n43357), .B(n51482), .Y(n43675) );
+  sky130_fd_sc_hd__nand2_1 U62979 ( .A(n43358), .B(n43675), .Y(n57063) );
+  sky130_fd_sc_hd__nor2_1 U62980 ( .A(n57496), .B(n57063), .Y(n51533) );
+  sky130_fd_sc_hd__nor2_1 U62981 ( .A(n52466), .B(n57482), .Y(n57539) );
+  sky130_fd_sc_hd__nor3_1 U62982 ( .A(n43359), .B(n51533), .C(n57539), .Y(
+        n52431) );
+  sky130_fd_sc_hd__nor2_1 U62983 ( .A(n50476), .B(n41780), .Y(n52271) );
+  sky130_fd_sc_hd__nor2_1 U62984 ( .A(n41779), .B(n52271), .Y(n50160) );
+  sky130_fd_sc_hd__nand2_1 U62985 ( .A(n50907), .B(n50160), .Y(n45899) );
+  sky130_fd_sc_hd__a21oi_1 U62986 ( .A1(n43654), .A2(n45899), .B1(n52744), .Y(
+        n45714) );
+  sky130_fd_sc_hd__a21oi_1 U62987 ( .A1(n52431), .A2(n43360), .B1(n57079), .Y(
+        n43361) );
+  sky130_fd_sc_hd__a21oi_1 U62988 ( .A1(n47186), .A2(n43362), .B1(n43361), .Y(
+        n47189) );
+  sky130_fd_sc_hd__nor2_1 U62989 ( .A(n41606), .B(n43556), .Y(n48303) );
+  sky130_fd_sc_hd__nor3_1 U62990 ( .A(n48303), .B(n48258), .C(n43363), .Y(
+        n47155) );
+  sky130_fd_sc_hd__nor2_1 U62991 ( .A(n52262), .B(n56457), .Y(n52143) );
+  sky130_fd_sc_hd__nor2_1 U62992 ( .A(n47155), .B(n52143), .Y(n51876) );
+  sky130_fd_sc_hd__nor2_1 U62993 ( .A(n56498), .B(n52401), .Y(n47153) );
+  sky130_fd_sc_hd__nor2_1 U62994 ( .A(n57040), .B(n52122), .Y(n52201) );
+  sky130_fd_sc_hd__nor2_1 U62995 ( .A(n57188), .B(n57070), .Y(n48115) );
+  sky130_fd_sc_hd__nor2_1 U62996 ( .A(n52144), .B(n36838), .Y(n44812) );
+  sky130_fd_sc_hd__nor2_1 U62997 ( .A(n44812), .B(n52746), .Y(n57312) );
+  sky130_fd_sc_hd__nand2_1 U62999 ( .A(n51836), .B(n43364), .Y(n45896) );
+  sky130_fd_sc_hd__o21ai_0 U63000 ( .A1(n52752), .A2(n47162), .B1(n45896), .Y(
+        n51491) );
+  sky130_fd_sc_hd__nor4_1 U63001 ( .A(n47153), .B(n48115), .C(n48289), .D(
+        n51491), .Y(n43365) );
+  sky130_fd_sc_hd__nor2_1 U63002 ( .A(n57501), .B(n50942), .Y(n57514) );
+  sky130_fd_sc_hd__a21oi_1 U63003 ( .A1(n51876), .A2(n43365), .B1(n57313), .Y(
+        n43372) );
+  sky130_fd_sc_hd__nand2_1 U63004 ( .A(n45431), .B(n46781), .Y(n56995) );
+  sky130_fd_sc_hd__nand2_1 U63005 ( .A(n57549), .B(n47164), .Y(n57327) );
+  sky130_fd_sc_hd__a21oi_1 U63006 ( .A1(n51484), .A2(n57040), .B1(n57327), .Y(
+        n57173) );
+  sky130_fd_sc_hd__nor2_1 U63007 ( .A(n43366), .B(n41606), .Y(n46320) );
+  sky130_fd_sc_hd__nor3_1 U63008 ( .A(n46315), .B(n52144), .C(n52468), .Y(
+        n47064) );
+  sky130_fd_sc_hd__nor2_1 U63009 ( .A(n57173), .B(n47064), .Y(n51558) );
+  sky130_fd_sc_hd__nor2_1 U63010 ( .A(n52744), .B(n57140), .Y(n56556) );
+  sky130_fd_sc_hd__nor2_1 U63011 ( .A(n52710), .B(n52270), .Y(n57324) );
+  sky130_fd_sc_hd__nand2_1 U63012 ( .A(n57549), .B(n45727), .Y(n50194) );
+  sky130_fd_sc_hd__nand2_1 U63013 ( .A(n36797), .B(n43367), .Y(n50917) );
+  sky130_fd_sc_hd__nand2_1 U63014 ( .A(n50917), .B(n57160), .Y(n43666) );
+  sky130_fd_sc_hd__nor2_1 U63015 ( .A(n50194), .B(n43666), .Y(n50256) );
+  sky130_fd_sc_hd__nor3_1 U63016 ( .A(n51484), .B(n52710), .C(n57316), .Y(
+        n57507) );
+  sky130_fd_sc_hd__nand2_1 U63017 ( .A(n52219), .B(n43367), .Y(n45642) );
+  sky130_fd_sc_hd__nor2_1 U63018 ( .A(n46315), .B(n45642), .Y(n48099) );
+  sky130_fd_sc_hd__nand2_1 U63019 ( .A(n51484), .B(n50160), .Y(n50342) );
+  sky130_fd_sc_hd__nor2_1 U63020 ( .A(n48099), .B(n50401), .Y(n57241) );
+  sky130_fd_sc_hd__nor2_1 U63021 ( .A(n50496), .B(n43368), .Y(n57435) );
+  sky130_fd_sc_hd__nor2_1 U63022 ( .A(n48062), .B(n57334), .Y(n45436) );
+  sky130_fd_sc_hd__nor2_1 U63023 ( .A(n52412), .B(n52402), .Y(n57475) );
+  sky130_fd_sc_hd__nand2_1 U63024 ( .A(n48111), .B(n56435), .Y(n56979) );
+  sky130_fd_sc_hd__nor2_1 U63025 ( .A(n45436), .B(n56979), .Y(n50253) );
+  sky130_fd_sc_hd__o22ai_1 U63026 ( .A1(n57241), .A2(n57484), .B1(n50253), 
+        .B2(n52744), .Y(n43369) );
+  sky130_fd_sc_hd__nor4_1 U63027 ( .A(n57324), .B(n50256), .C(n57507), .D(
+        n43369), .Y(n43370) );
+  sky130_fd_sc_hd__a21oi_1 U63028 ( .A1(n51558), .A2(n43370), .B1(n57325), .Y(
+        n43371) );
+  sky130_fd_sc_hd__nor2_1 U63029 ( .A(n57134), .B(n56575), .Y(n45911) );
+  sky130_fd_sc_hd__a21oi_1 U63030 ( .A1(n57139), .A2(n52219), .B1(n45911), .Y(
+        n46798) );
+  sky130_fd_sc_hd__nand2_1 U63031 ( .A(n57297), .B(n50397), .Y(n52085) );
+  sky130_fd_sc_hd__a31oi_1 U63032 ( .A1(n46798), .A2(n52085), .A3(n48059), 
+        .B1(n56451), .Y(n45885) );
+  sky130_fd_sc_hd__nor3_1 U63033 ( .A(n43372), .B(n43371), .C(n45885), .Y(
+        n43373) );
+  sky130_fd_sc_hd__a31oi_1 U63034 ( .A1(n43374), .A2(n47189), .A3(n43373), 
+        .B1(n57517), .Y(n43375) );
+  sky130_fd_sc_hd__nor4_1 U63035 ( .A(n43378), .B(n43377), .C(n43376), .D(
+        n43375), .Y(n43418) );
+  sky130_fd_sc_hd__nor2_1 U63036 ( .A(n77488), .B(n43379), .Y(n52796) );
+  sky130_fd_sc_hd__nand4_1 U63037 ( .A(n52796), .B(n48497), .C(n43380), .D(
+        n43802), .Y(n50526) );
+  sky130_fd_sc_hd__nor3_1 U63038 ( .A(n77488), .B(n48497), .C(n43379), .Y(
+        n44844) );
+  sky130_fd_sc_hd__nand3_1 U63039 ( .A(n44844), .B(n43380), .C(n52790), .Y(
+        n44299) );
+  sky130_fd_sc_hd__nand2_1 U63040 ( .A(n52794), .B(n52790), .Y(n48498) );
+  sky130_fd_sc_hd__nor2_1 U63041 ( .A(n43396), .B(n43381), .Y(n52828) );
+  sky130_fd_sc_hd__a31oi_1 U63042 ( .A1(n50526), .A2(n44299), .A3(n48498), 
+        .B1(n51903), .Y(n43399) );
+  sky130_fd_sc_hd__nand2_1 U63043 ( .A(n77488), .B(n45694), .Y(n45472) );
+  sky130_fd_sc_hd__nor2_1 U63044 ( .A(n43802), .B(n45472), .Y(n43581) );
+  sky130_fd_sc_hd__nand2_1 U63045 ( .A(n43382), .B(n43581), .Y(n52067) );
+  sky130_fd_sc_hd__a22oi_1 U63046 ( .A1(n52809), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[22]), 
+        .B1(n52811), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[22]), 
+        .Y(n43388) );
+  sky130_fd_sc_hd__a22oi_1 U63047 ( .A1(n56952), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[22]), 
+        .B1(n52810), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[22]), 
+        .Y(n43387) );
+  sky130_fd_sc_hd__a22oi_1 U63049 ( .A1(n52812), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[22]), 
+        .B1(n52808), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[22]), 
+        .Y(n43386) );
+  sky130_fd_sc_hd__a22oi_1 U63050 ( .A1(n52814), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[22]), 
+        .B1(n52813), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[22]), 
+        .Y(n43385) );
+  sky130_fd_sc_hd__nand4_1 U63051 ( .A(n43388), .B(n43387), .C(n43386), .D(
+        n43385), .Y(n43395) );
+  sky130_fd_sc_hd__nor2_1 U63052 ( .A(n77488), .B(n43393), .Y(n52821) );
+  sky130_fd_sc_hd__a22oi_1 U63053 ( .A1(n52812), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[22]), 
+        .B1(n52811), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[22]), 
+        .Y(n43392) );
+  sky130_fd_sc_hd__a22oi_1 U63054 ( .A1(n52814), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[22]), 
+        .B1(n52813), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[22]), 
+        .Y(n43391) );
+  sky130_fd_sc_hd__a22oi_1 U63055 ( .A1(n56952), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[22]), 
+        .B1(n52810), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[22]), 
+        .Y(n43390) );
+  sky130_fd_sc_hd__a22oi_1 U63056 ( .A1(n52809), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[22]), 
+        .B1(n52808), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[22]), 
+        .Y(n43389) );
+  sky130_fd_sc_hd__nand4_1 U63057 ( .A(n43392), .B(n43391), .C(n43390), .D(
+        n43389), .Y(n43394) );
+  sky130_fd_sc_hd__nor2_1 U63058 ( .A(n52788), .B(n43393), .Y(n52806) );
+  sky130_fd_sc_hd__a222oi_1 U63059 ( .A1(n43395), .A2(n52821), .B1(n52807), 
+        .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[22]), 
+        .C1(n43394), .C2(n52806), .Y(n43397) );
+  sky130_fd_sc_hd__nand2_1 U63060 ( .A(n56967), .B(n43396), .Y(n52822) );
+  sky130_fd_sc_hd__nor2_1 U63061 ( .A(n43397), .B(n52822), .Y(n43398) );
+  sky130_fd_sc_hd__a31oi_1 U63062 ( .A1(n43399), .A2(n52827), .A3(n52067), 
+        .B1(n43398), .Y(n43417) );
+  sky130_fd_sc_hd__nand2_1 U63063 ( .A(n37065), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[54]), .Y(n43410) );
+  sky130_fd_sc_hd__nand2_1 U63064 ( .A(n57570), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[6]), .Y(n43407) );
+  sky130_fd_sc_hd__a22oi_1 U63065 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[21]), 
+        .A2(n57560), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[21]), 
+        .B2(n57559), .Y(n43406) );
+  sky130_fd_sc_hd__nand2_1 U63066 ( .A(n52780), .B(n52774), .Y(n43401) );
+  sky130_fd_sc_hd__inv_2 U63067 ( .A(n43401), .Y(n57562) );
+  sky130_fd_sc_hd__nand2_1 U63068 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[0]), .B(
+        n43402), .Y(n45588) );
+  sky130_fd_sc_hd__nor2_1 U63069 ( .A(n46470), .B(n45588), .Y(n57564) );
+  sky130_fd_sc_hd__a22oi_1 U63070 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[53]), 
+        .A2(n57562), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[53]), 
+        .B2(n57564), .Y(n43405) );
+  sky130_fd_sc_hd__nor2b_1 U63071 ( .B_N(n43403), .A(n67678), .Y(n52775) );
+  sky130_fd_sc_hd__a22oi_1 U63072 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[21]), 
+        .A2(n57561), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[53]), 
+        .B2(n57563), .Y(n43404) );
+  sky130_fd_sc_hd__nand4_1 U63073 ( .A(n43407), .B(n43406), .C(n43405), .D(
+        n43404), .Y(n43408) );
+  sky130_fd_sc_hd__a21oi_1 U63074 ( .A1(n57588), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[6]), .B1(n43408), .Y(
+        n43409) );
+  sky130_fd_sc_hd__nand2_1 U63075 ( .A(n43410), .B(n43409), .Y(n43415) );
+  sky130_fd_sc_hd__a22oi_1 U63076 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__6[6]), .A2(n56525), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[22]), .B2(n57558), .Y(n43413) );
+  sky130_fd_sc_hd__a22oi_1 U63077 ( .A1(n57571), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[54]), .B1(n57587), .B2(MarmotCaravelChip_dut_sys_clint_oldBytes__2[6]), .Y(n43412)
+         );
+  sky130_fd_sc_hd__a22oi_1 U63078 ( .A1(n41794), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[22]), .B1(n38443), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[22]), .Y(n43411) );
+  sky130_fd_sc_hd__nand3_1 U63079 ( .A(n43413), .B(n43412), .C(n43411), .Y(
+        n43414) );
+  sky130_fd_sc_hd__nor2_1 U63080 ( .A(n43415), .B(n43414), .Y(n43416) );
+  sky130_fd_sc_hd__nand3_1 U63081 ( .A(n43418), .B(n43417), .C(n43416), .Y(
+        n84501) );
+  sky130_fd_sc_hd__o22ai_1 U63082 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_fmt_endian), .A2(n80566), .B1(n48170), .B2(n80548), .Y(n85071) );
+  sky130_fd_sc_hd__nor2b_1 U63083 ( .B_N(n85071), .A(n48171), .Y(n85328) );
+  sky130_fd_sc_hd__mux2_2 U63084 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[54]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[22]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .X(n84565) );
+  sky130_fd_sc_hd__nor2_1 U63085 ( .A(n44092), .B(n83303), .Y(n44593) );
+  sky130_fd_sc_hd__nor2_1 U63086 ( .A(n77567), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget__enable_T_3_1_), .Y(n43419) );
+  sky130_fd_sc_hd__o221ai_1 U63088 ( .A1(n83297), .A2(n85328), .B1(n51918), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_2[6]), .C1(n57100), .Y(n43421) );
+  sky130_fd_sc_hd__a22oi_1 U63089 ( .A1(n57367), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_22[0]), .B1(
+        n57600), .B2(n84565), .Y(n43420) );
+  sky130_fd_sc_hd__nand2_1 U63090 ( .A(n43421), .B(n43420), .Y(n84546) );
+  sky130_fd_sc_hd__nand2_1 U63091 ( .A(n65309), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[27]), .Y(n43423) );
+  sky130_fd_sc_hd__nand2_1 U63092 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[59]), .B(n57658), .Y(n43422) );
+  sky130_fd_sc_hd__nand2_1 U63093 ( .A(n43423), .B(n43422), .Y(n65659) );
+  sky130_fd_sc_hd__a21oi_1 U63094 ( .A1(n57662), .A2(n65659), .B1(n57661), .Y(
+        n43450) );
+  sky130_fd_sc_hd__a22oi_1 U63095 ( .A1(n57618), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[27]), .B1(n60103), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[27]), .Y(n43426) );
+  sky130_fd_sc_hd__a22oi_1 U63096 ( .A1(n64980), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[27]), .B1(n65602), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[27]), .Y(n43425) );
+  sky130_fd_sc_hd__a22oi_1 U63097 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[27]), .A2(n60100), .B1(n64975), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[27]), .Y(n43424) );
+  sky130_fd_sc_hd__nand3_1 U63098 ( .A(n43426), .B(n43425), .C(n43424), .Y(
+        n43448) );
+  sky130_fd_sc_hd__o22ai_1 U63099 ( .A1(n43428), .A2(n45945), .B1(n43427), 
+        .B2(n60043), .Y(n43430) );
+  sky130_fd_sc_hd__o22ai_1 U63100 ( .A1(n45367), .A2(n70359), .B1(n72567), 
+        .B2(n83886), .Y(n43429) );
+  sky130_fd_sc_hd__a211oi_1 U63101 ( .A1(n57619), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[59]), .B1(n43430), .C1(n43429), .Y(n43443) );
+  sky130_fd_sc_hd__nand2_1 U63102 ( .A(n64084), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_a[0]), .Y(n43435) );
+  sky130_fd_sc_hd__a22oi_1 U63103 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[27]), .A2(n57630), .B1(n65637), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[27]), .Y(n43434) );
+  sky130_fd_sc_hd__nand2_1 U63104 ( .A(n57613), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[27]), .Y(n43433) );
+  sky130_fd_sc_hd__o22a_1 U63105 ( .A1(n43431), .A2(n60101), .B1(n83888), .B2(
+        n60045), .X(n43432) );
+  sky130_fd_sc_hd__nand4_1 U63106 ( .A(n43435), .B(n43434), .C(n43433), .D(
+        n43432), .Y(n43439) );
+  sky130_fd_sc_hd__nor2_1 U63107 ( .A(n71107), .B(n60034), .Y(n43438) );
+  sky130_fd_sc_hd__o22ai_1 U63108 ( .A1(n70698), .A2(n60030), .B1(n43436), 
+        .B2(n44763), .Y(n43437) );
+  sky130_fd_sc_hd__nor3_1 U63109 ( .A(n43439), .B(n43438), .C(n43437), .Y(
+        n43442) );
+  sky130_fd_sc_hd__a22oi_1 U63110 ( .A1(n45955), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[59]), .B1(n57622), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[27]), .Y(n43441) );
+  sky130_fd_sc_hd__a22oi_1 U63111 ( .A1(n60091), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[27]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[27]), .B2(n60093), .Y(n43440) );
+  sky130_fd_sc_hd__nand4_1 U63112 ( .A(n43443), .B(n43442), .C(n43441), .D(
+        n43440), .Y(n43446) );
+  sky130_fd_sc_hd__a22oi_1 U63113 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[27]), .A2(n60096), .B1(n60104), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[27]), .Y(n43445) );
+  sky130_fd_sc_hd__a22oi_1 U63114 ( .A1(n64977), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[27]), .B1(n60025), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[27]), .Y(n43444) );
+  sky130_fd_sc_hd__nand3b_1 U63115 ( .A_N(n43446), .B(n43445), .C(n43444), .Y(
+        n43447) );
+  sky130_fd_sc_hd__nor2_1 U63116 ( .A(n43448), .B(n43447), .Y(n44137) );
+  sky130_fd_sc_hd__a2bb2oi_1 U63117 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[27]), .B2(n57663), .A1_N(n44137), .A2_N(n45979), .Y(n43449) );
+  sky130_fd_sc_hd__o211ai_1 U63118 ( .A1(n75982), .A2(n57668), .B1(n43450), 
+        .C1(n43449), .Y(n85609) );
+  sky130_fd_sc_hd__nand2_1 U63119 ( .A(n65309), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[19]), .Y(n43452) );
+  sky130_fd_sc_hd__nand2_1 U63120 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[51]), .B(n57658), .Y(n43451) );
+  sky130_fd_sc_hd__nand2_1 U63121 ( .A(n43452), .B(n43451), .Y(n65651) );
+  sky130_fd_sc_hd__a2bb2oi_1 U63122 ( .B1(n65651), .B2(n57662), .A1_N(n75962), 
+        .A2_N(n57668), .Y(n43482) );
+  sky130_fd_sc_hd__a21oi_1 U63123 ( .A1(n57663), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[19]), .B1(n57661), .Y(n43481) );
+  sky130_fd_sc_hd__a22oi_1 U63124 ( .A1(n57618), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[19]), .B1(n60025), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[19]), .Y(n43479) );
+  sky130_fd_sc_hd__a22oi_1 U63125 ( .A1(n64975), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[19]), .B1(n60104), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[19]), .Y(n43478) );
+  sky130_fd_sc_hd__o22ai_1 U63126 ( .A1(n70917), .A2(n45945), .B1(n74873), 
+        .B2(n60043), .Y(n43459) );
+  sky130_fd_sc_hd__a22o_1 U63127 ( .A1(n45955), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[51]), .B1(n57622), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[19]), .X(n43458) );
+  sky130_fd_sc_hd__nand2_1 U63128 ( .A(n60096), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[19]), .Y(n43456) );
+  sky130_fd_sc_hd__nand2_1 U63129 ( .A(n60100), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[19]), .Y(n43455) );
+  sky130_fd_sc_hd__nand2_1 U63130 ( .A(n60091), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[19]), .Y(n43454) );
+  sky130_fd_sc_hd__nand2_1 U63131 ( .A(n60093), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[19]), .Y(n43453) );
+  sky130_fd_sc_hd__nand4_1 U63132 ( .A(n43456), .B(n43455), .C(n43454), .D(
+        n43453), .Y(n43457) );
+  sky130_fd_sc_hd__nor3_1 U63133 ( .A(n43459), .B(n43458), .C(n43457), .Y(
+        n43477) );
+  sky130_fd_sc_hd__nand2_1 U63134 ( .A(n60103), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[19]), .Y(n43461) );
+  sky130_fd_sc_hd__nand2_1 U63135 ( .A(n57630), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[19]), .Y(n43460) );
+  sky130_fd_sc_hd__nand3_1 U63136 ( .A(n43461), .B(n45952), .C(n43460), .Y(
+        n43462) );
+  sky130_fd_sc_hd__a21oi_1 U63137 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_cfg_a[0]), .A2(n64223), .B1(n43462), .Y(n43474) );
+  sky130_fd_sc_hd__nand2_1 U63138 ( .A(n64977), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[19]), .Y(n43466) );
+  sky130_fd_sc_hd__nand2_1 U63139 ( .A(n57613), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[19]), .Y(n43465) );
+  sky130_fd_sc_hd__nand2_1 U63140 ( .A(n57625), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[19]), .Y(n43464) );
+  sky130_fd_sc_hd__nand2_1 U63141 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[19]), .B(n64980), .Y(n43463) );
+  sky130_fd_sc_hd__nand4_1 U63142 ( .A(n43466), .B(n43465), .C(n43464), .D(
+        n43463), .Y(n43467) );
+  sky130_fd_sc_hd__a21oi_1 U63143 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[19]), .A2(n45362), .B1(n43467), .Y(n43473) );
+  sky130_fd_sc_hd__nand2_1 U63144 ( .A(n57634), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[19]), .Y(n43472) );
+  sky130_fd_sc_hd__nand2_1 U63145 ( .A(n65637), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[19]), .Y(n43469) );
+  sky130_fd_sc_hd__nand2_1 U63146 ( .A(n64084), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_a[0]), .Y(n43468) );
+  sky130_fd_sc_hd__o211ai_1 U63147 ( .A1(n65611), .A2(n45358), .B1(n43469), 
+        .C1(n43468), .Y(n43470) );
+  sky130_fd_sc_hd__a21oi_1 U63148 ( .A1(n45352), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[19]), .B1(n43470), .Y(n43471) );
+  sky130_fd_sc_hd__nand4_1 U63149 ( .A(n43474), .B(n43473), .C(n43472), .D(
+        n43471), .Y(n43475) );
+  sky130_fd_sc_hd__a21oi_1 U63150 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[51]), .A2(n57619), .B1(n43475), .Y(n43476) );
+  sky130_fd_sc_hd__nand4_1 U63151 ( .A(n43479), .B(n43478), .C(n43477), .D(
+        n43476), .Y(n44710) );
+  sky130_fd_sc_hd__nand2_1 U63152 ( .A(n57665), .B(n44710), .Y(n43480) );
+  sky130_fd_sc_hd__nand3_1 U63153 ( .A(n43482), .B(n43481), .C(n43480), .Y(
+        n85556) );
+  sky130_fd_sc_hd__nand2_1 U63154 ( .A(n40808), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[7]), .Y(n43483) );
+  sky130_fd_sc_hd__o21ai_1 U63155 ( .A1(n71920), .A2(n40808), .B1(n43483), .Y(
+        n85346) );
+  sky130_fd_sc_hd__nand2_1 U63156 ( .A(n36798), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[7]), .Y(n43484) );
+  sky130_fd_sc_hd__o21ai_1 U63157 ( .A1(n71920), .A2(n36798), .B1(n43484), .Y(
+        n84281) );
+  sky130_fd_sc_hd__a22oi_1 U63158 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_mcounteren[2]), .A2(n43485), .B1(n64975), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[2]), .Y(n43495) );
+  sky130_fd_sc_hd__nand2_1 U63159 ( .A(n57625), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[2]), .Y(n43490) );
+  sky130_fd_sc_hd__nand2_1 U63160 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_x), .B(n64084), .Y(n43487) );
+  sky130_fd_sc_hd__a22oi_1 U63161 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_lo_6_2), .A2(n76373), .B1(n69974), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_scause[2]), .Y(n43486) );
+  sky130_fd_sc_hd__nand2_1 U63162 ( .A(n43487), .B(n43486), .Y(n43488) );
+  sky130_fd_sc_hd__a21oi_1 U63163 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[2]), .A2(n64980), .B1(n43488), .Y(n43489) );
+  sky130_fd_sc_hd__nand3_1 U63164 ( .A(n43490), .B(n45952), .C(n43489), .Y(
+        n43491) );
+  sky130_fd_sc_hd__a21oi_1 U63165 ( .A1(n60103), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[2]), .B1(n43491), .Y(n43494) );
+  sky130_fd_sc_hd__nand2b_1 U63166 ( .A_N(n44763), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_cfg_x), .Y(n43493) );
+  sky130_fd_sc_hd__nand2_1 U63167 ( .A(n45362), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[2]), .Y(n43492) );
+  sky130_fd_sc_hd__nand4_1 U63168 ( .A(n43495), .B(n43494), .C(n43493), .D(
+        n43492), .Y(n43500) );
+  sky130_fd_sc_hd__o22ai_1 U63169 ( .A1(n43498), .A2(n43497), .B1(n43496), 
+        .B2(n83886), .Y(n43499) );
+  sky130_fd_sc_hd__a211oi_1 U63170 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[34]), .A2(n57619), .B1(n43500), .C1(n43499), .Y(n43527) );
+  sky130_fd_sc_hd__a22oi_1 U63171 ( .A1(n60104), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[2]), .B1(n65602), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[2]), .Y(n43526) );
+  sky130_fd_sc_hd__a22oi_1 U63172 ( .A1(n65637), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[2]), .B1(n78282), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mcause[2]), .Y(n43502) );
+  sky130_fd_sc_hd__nand2_1 U63173 ( .A(n64977), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[2]), .Y(n43501) );
+  sky130_fd_sc_hd__o211ai_1 U63174 ( .A1(n71128), .A2(n60034), .B1(n43502), 
+        .C1(n43501), .Y(n43505) );
+  sky130_fd_sc_hd__o2bb2ai_1 U63175 ( .B1(n43503), .B2(n70476), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[2]), .A2_N(n57622), .Y(n43504) );
+  sky130_fd_sc_hd__nor2_1 U63176 ( .A(n43505), .B(n43504), .Y(n43508) );
+  sky130_fd_sc_hd__a22oi_1 U63177 ( .A1(n60100), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[2]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[2]), .B2(n60093), .Y(n43507) );
+  sky130_fd_sc_hd__o22a_1 U63178 ( .A1(n70447), .A2(n57620), .B1(n74756), .B2(
+        n60043), .X(n43506) );
+  sky130_fd_sc_hd__nand3_1 U63179 ( .A(n43508), .B(n43507), .C(n43506), .Y(
+        n43524) );
+  sky130_fd_sc_hd__nand2_1 U63180 ( .A(n44609), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_x), .Y(n43522) );
+  sky130_fd_sc_hd__o22a_1 U63181 ( .A1(n73264), .A2(n44021), .B1(n43509), .B2(
+        n45367), .X(n43521) );
+  sky130_fd_sc_hd__o22ai_1 U63182 ( .A1(n43510), .A2(n63155), .B1(n74282), 
+        .B2(n45945), .Y(n43514) );
+  sky130_fd_sc_hd__nand2_1 U63183 ( .A(n60096), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[2]), .Y(n43512) );
+  sky130_fd_sc_hd__nand2_1 U63184 ( .A(n60091), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[2]), .Y(n43511) );
+  sky130_fd_sc_hd__nand2_1 U63185 ( .A(n43512), .B(n43511), .Y(n43513) );
+  sky130_fd_sc_hd__nor2_1 U63186 ( .A(n43514), .B(n43513), .Y(n43520) );
+  sky130_fd_sc_hd__nand2_1 U63187 ( .A(n43515), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_scounteren[2]), .Y(n43517) );
+  sky130_fd_sc_hd__nand2_1 U63188 ( .A(n60025), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[2]), .Y(n43516) );
+  sky130_fd_sc_hd__o211ai_1 U63189 ( .A1(n60046), .A2(n59008), .B1(n43517), 
+        .C1(n43516), .Y(n43518) );
+  sky130_fd_sc_hd__a21oi_1 U63190 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[2]), .A2(n44617), .B1(n43518), .Y(n43519) );
+  sky130_fd_sc_hd__nand4_1 U63191 ( .A(n43522), .B(n43521), .C(n43520), .D(
+        n43519), .Y(n43523) );
+  sky130_fd_sc_hd__nor2_1 U63192 ( .A(n43524), .B(n43523), .Y(n43525) );
+  sky130_fd_sc_hd__nand3_1 U63193 ( .A(n43527), .B(n43526), .C(n43525), .Y(
+        n55613) );
+  sky130_fd_sc_hd__a22oi_1 U63194 ( .A1(n57665), .A2(n55613), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[2]), .B2(n57663), .Y(n43535) );
+  sky130_fd_sc_hd__o22ai_1 U63195 ( .A1(n76635), .A2(n43528), .B1(n75980), 
+        .B2(n44597), .Y(n43529) );
+  sky130_fd_sc_hd__a21oi_1 U63196 ( .A1(n44599), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[2]), .B1(n43529), .Y(n43530) );
+  sky130_fd_sc_hd__nand2_1 U63198 ( .A(n65309), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[2]), .Y(n43532) );
+  sky130_fd_sc_hd__nand2_1 U63199 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[34]), .B(n57658), .Y(n43531) );
+  sky130_fd_sc_hd__nand2_1 U63200 ( .A(n43532), .B(n43531), .Y(n69918) );
+  sky130_fd_sc_hd__a22oi_1 U63201 ( .A1(n44604), .A2(n43533), .B1(n57662), 
+        .B2(n69918), .Y(n43534) );
+  sky130_fd_sc_hd__nand2_1 U63202 ( .A(n43535), .B(n43534), .Y(n85620) );
+  sky130_fd_sc_hd__nand2_1 U63204 ( .A(n53944), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[2]), .Y(n43538) );
+  sky130_fd_sc_hd__nand2_1 U63205 ( .A(n37071), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[2]), .Y(n43537) );
+  sky130_fd_sc_hd__nand2_1 U63206 ( .A(n43538), .B(n43537), .Y(n43539) );
+  sky130_fd_sc_hd__o22a_1 U63207 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_bypass_1), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[2]), .B1(n43540), .B2(n43539), .X(n85616) );
+  sky130_fd_sc_hd__nor2_1 U63208 ( .A(n78442), .B(n56044), .Y(n84390) );
+  sky130_fd_sc_hd__mux2_2 U63209 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[58]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[26]), .S(n54795), .X(n84746) );
+  sky130_fd_sc_hd__nor2_1 U63210 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_26[1]), .B(
+        n54220), .Y(n43542) );
+  sky130_fd_sc_hd__a211oi_1 U63211 ( .A1(n54218), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_26[1]), .B1(
+        n43542), .C1(n48464), .Y(n43552) );
+  sky130_fd_sc_hd__nand2_1 U63212 ( .A(n43545), .B(n43544), .Y(n43546) );
+  sky130_fd_sc_hd__xor2_1 U63213 ( .A(n43547), .B(n43546), .X(n43548) );
+  sky130_fd_sc_hd__a22oi_1 U63214 ( .A1(n52494), .A2(n84746), .B1(n43548), 
+        .B2(n54228), .Y(n43551) );
+  sky130_fd_sc_hd__nor2_1 U63215 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_26[0]), .B(
+        n54216), .Y(n43549) );
+  sky130_fd_sc_hd__o21ai_1 U63216 ( .A1(n43549), .A2(n36791), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_26[1]), .Y(
+        n43550) );
+  sky130_fd_sc_hd__o211ai_1 U63217 ( .A1(n43696), .A2(n43552), .B1(n43551), 
+        .C1(n43550), .Y(n84367) );
+  sky130_fd_sc_hd__nor2_1 U63218 ( .A(n52725), .B(n46723), .Y(n50318) );
+  sky130_fd_sc_hd__nor2_1 U63219 ( .A(n52744), .B(n52262), .Y(n43623) );
+  sky130_fd_sc_hd__nor3_1 U63220 ( .A(n50436), .B(n51483), .C(n57482), .Y(
+        n51528) );
+  sky130_fd_sc_hd__nor2_1 U63221 ( .A(n57040), .B(n56435), .Y(n48314) );
+  sky130_fd_sc_hd__nor2_1 U63222 ( .A(n51528), .B(n48314), .Y(n43553) );
+  sky130_fd_sc_hd__nand2_1 U63223 ( .A(n52183), .B(n56557), .Y(n52408) );
+  sky130_fd_sc_hd__nand3_1 U63224 ( .A(n57136), .B(n52181), .C(n56581), .Y(
+        n50935) );
+  sky130_fd_sc_hd__a31oi_1 U63225 ( .A1(n43553), .A2(n52408), .A3(n50935), 
+        .B1(n52744), .Y(n43554) );
+  sky130_fd_sc_hd__a21oi_1 U63226 ( .A1(n50318), .A2(n43623), .B1(n43554), .Y(
+        n43575) );
+  sky130_fd_sc_hd__nand2_1 U63227 ( .A(n57177), .B(n56992), .Y(n50174) );
+  sky130_fd_sc_hd__a22oi_1 U63228 ( .A1(n50918), .A2(n43555), .B1(n50397), 
+        .B2(n50174), .Y(n43565) );
+  sky130_fd_sc_hd__nor2_1 U63229 ( .A(n86738), .B(n46781), .Y(n48233) );
+  sky130_fd_sc_hd__nand2_1 U63230 ( .A(n43556), .B(n36797), .Y(n57216) );
+  sky130_fd_sc_hd__nor2_1 U63231 ( .A(n57216), .B(n52691), .Y(n51857) );
+  sky130_fd_sc_hd__nand2_1 U63232 ( .A(n57144), .B(n52102), .Y(n56503) );
+  sky130_fd_sc_hd__nor2_1 U63233 ( .A(n57013), .B(n86738), .Y(n56473) );
+  sky130_fd_sc_hd__o22ai_1 U63234 ( .A1(n46820), .A2(n56503), .B1(n57140), 
+        .B2(n57165), .Y(n43557) );
+  sky130_fd_sc_hd__a211oi_1 U63235 ( .A1(n48233), .A2(n57163), .B1(n51857), 
+        .C1(n43557), .Y(n43564) );
+  sky130_fd_sc_hd__nor2_1 U63236 ( .A(n57300), .B(n56539), .Y(n45728) );
+  sky130_fd_sc_hd__nor2_1 U63237 ( .A(n47162), .B(n57490), .Y(n48109) );
+  sky130_fd_sc_hd__nor2_1 U63238 ( .A(n50470), .B(n50476), .Y(n46797) );
+  sky130_fd_sc_hd__nand2_1 U63239 ( .A(n52167), .B(n46797), .Y(n48083) );
+  sky130_fd_sc_hd__nor2_1 U63240 ( .A(n56542), .B(n48083), .Y(n45449) );
+  sky130_fd_sc_hd__nand2_1 U63241 ( .A(n36774), .B(n50907), .Y(n57135) );
+  sky130_fd_sc_hd__o211ai_1 U63242 ( .A1(n46781), .A2(n57334), .B1(n46816), 
+        .C1(n57135), .Y(n43559) );
+  sky130_fd_sc_hd__nor2_1 U63243 ( .A(n52121), .B(n48057), .Y(n43558) );
+  sky130_fd_sc_hd__a21oi_1 U63244 ( .A1(n57422), .A2(n43559), .B1(n43558), .Y(
+        n43561) );
+  sky130_fd_sc_hd__nand2_1 U63245 ( .A(n50476), .B(n52210), .Y(n46716) );
+  sky130_fd_sc_hd__nand2_1 U63246 ( .A(n52219), .B(n52183), .Y(n43560) );
+  sky130_fd_sc_hd__nand4_1 U63247 ( .A(n43561), .B(n46716), .C(n57421), .D(
+        n43560), .Y(n43562) );
+  sky130_fd_sc_hd__nor4_1 U63248 ( .A(n45728), .B(n48109), .C(n45449), .D(
+        n43562), .Y(n43563) );
+  sky130_fd_sc_hd__a31oi_1 U63249 ( .A1(n43565), .A2(n43564), .A3(n43563), 
+        .B1(n57549), .Y(n43573) );
+  sky130_fd_sc_hd__nand2_1 U63250 ( .A(n45408), .B(n52199), .Y(n57195) );
+  sky130_fd_sc_hd__nor2_1 U63251 ( .A(n50476), .B(n57013), .Y(n50423) );
+  sky130_fd_sc_hd__nor2_1 U63252 ( .A(n50977), .B(n48281), .Y(n50472) );
+  sky130_fd_sc_hd__nand2_1 U63253 ( .A(n57195), .B(n50357), .Y(n57050) );
+  sky130_fd_sc_hd__o22ai_1 U63254 ( .A1(n50260), .A2(n52270), .B1(n57050), 
+        .B2(n57543), .Y(n43571) );
+  sky130_fd_sc_hd__nand2_1 U63255 ( .A(n57192), .B(n57526), .Y(n52743) );
+  sky130_fd_sc_hd__a22oi_1 U63256 ( .A1(n50919), .A2(n52261), .B1(n50424), 
+        .B2(n52743), .Y(n43569) );
+  sky130_fd_sc_hd__nor2_1 U63257 ( .A(n52744), .B(n56444), .Y(n56559) );
+  sky130_fd_sc_hd__nor2_1 U63258 ( .A(n57415), .B(n57069), .Y(n56988) );
+  sky130_fd_sc_hd__a21oi_1 U63259 ( .A1(n56559), .A2(n57443), .B1(n56988), .Y(
+        n43568) );
+  sky130_fd_sc_hd__nor2_1 U63260 ( .A(n50436), .B(n46322), .Y(n50466) );
+  sky130_fd_sc_hd__nand2_1 U63261 ( .A(n57549), .B(n41624), .Y(n50344) );
+  sky130_fd_sc_hd__nor2_1 U63262 ( .A(n50344), .B(n57414), .Y(n50425) );
+  sky130_fd_sc_hd__nor2_1 U63263 ( .A(n50328), .B(n52265), .Y(n46479) );
+  sky130_fd_sc_hd__a21oi_1 U63264 ( .A1(n50466), .A2(n57472), .B1(n46479), .Y(
+        n43567) );
+  sky130_fd_sc_hd__nand2_1 U63265 ( .A(n48258), .B(n50328), .Y(n45854) );
+  sky130_fd_sc_hd__nand2_1 U63266 ( .A(n50162), .B(n46487), .Y(n57053) );
+  sky130_fd_sc_hd__o2bb2ai_1 U63267 ( .B1(n47168), .B2(n44826), .A1_N(n47168), 
+        .A2_N(n57053), .Y(n43566) );
+  sky130_fd_sc_hd__nand4_1 U63268 ( .A(n43569), .B(n43568), .C(n43567), .D(
+        n43566), .Y(n43570) );
+  sky130_fd_sc_hd__nor4_1 U63269 ( .A(n43573), .B(n43572), .C(n43571), .D(
+        n43570), .Y(n43574) );
+  sky130_fd_sc_hd__a21oi_1 U63270 ( .A1(n43575), .A2(n43574), .B1(n57267), .Y(
+        n43576) );
+  sky130_fd_sc_hd__a22oi_1 U63271 ( .A1(n56525), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__7[2]), .B1(n37065), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[58]), .Y(n43598) );
+  sky130_fd_sc_hd__a22oi_1 U63272 ( .A1(n82278), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[26]), 
+        .B1(n82290), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[26]), 
+        .Y(n43589) );
+  sky130_fd_sc_hd__a22oi_1 U63273 ( .A1(n82296), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[26]), 
+        .B1(n38397), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[26]), 
+        .Y(n43580) );
+  sky130_fd_sc_hd__a22oi_1 U63274 ( .A1(n82333), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[26]), 
+        .B1(n82321), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[26]), 
+        .Y(n43579) );
+  sky130_fd_sc_hd__a22oi_1 U63275 ( .A1(n38396), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[26]), 
+        .B1(n82327), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[26]), 
+        .Y(n43578) );
+  sky130_fd_sc_hd__nand2_1 U63276 ( .A(n38401), .B(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[26]), 
+        .Y(n43577) );
+  sky130_fd_sc_hd__nand4_1 U63277 ( .A(n43580), .B(n43579), .C(n43578), .D(
+        n43577), .Y(n43587) );
+  sky130_fd_sc_hd__a22oi_1 U63278 ( .A1(n82339), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[26]), 
+        .B1(n82303), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[26]), 
+        .Y(n43585) );
+  sky130_fd_sc_hd__a22oi_1 U63279 ( .A1(n82284), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[26]), 
+        .B1(n82361), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[26]), 
+        .Y(n43584) );
+  sky130_fd_sc_hd__a22oi_1 U63280 ( .A1(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[26]), 
+        .A2(n82309), .B1(n43581), .B2(n48497), .Y(n43583) );
+  sky130_fd_sc_hd__a22oi_1 U63281 ( .A1(n82315), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[26]), 
+        .B1(n82350), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[26]), 
+        .Y(n43582) );
+  sky130_fd_sc_hd__nand4_1 U63282 ( .A(n43585), .B(n43584), .C(n43583), .D(
+        n43582), .Y(n43586) );
+  sky130_fd_sc_hd__a211oi_1 U63283 ( .A1(n57586), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[26]), 
+        .B1(n43587), .C1(n43586), .Y(n43588) );
+  sky130_fd_sc_hd__a31oi_1 U63284 ( .A1(n43589), .A2(n43588), .A3(n43814), 
+        .B1(n43381), .Y(n43590) );
+  sky130_fd_sc_hd__a21oi_1 U63285 ( .A1(n38443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[26]), .B1(n43590), .Y(n43597) );
+  sky130_fd_sc_hd__a22oi_1 U63286 ( .A1(n57571), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[58]), .B1(n57587), .B2(MarmotCaravelChip_dut_sys_clint_oldBytes__3[2]), .Y(n43596)
+         );
+  sky130_fd_sc_hd__nand2_1 U63287 ( .A(n57570), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[2]), .Y(n43593) );
+  sky130_fd_sc_hd__a22oi_1 U63288 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[25]), 
+        .A2(n57561), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[25]), 
+        .B2(n57559), .Y(n43592) );
+  sky130_fd_sc_hd__nand2_1 U63289 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[25]), .B(
+        n57560), .Y(n43591) );
+  sky130_fd_sc_hd__nand3_1 U63290 ( .A(n43593), .B(n43592), .C(n43591), .Y(
+        n43594) );
+  sky130_fd_sc_hd__a21oi_1 U63291 ( .A1(n57588), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[2]), .B1(n43594), .Y(
+        n43595) );
+  sky130_fd_sc_hd__nor2_1 U63292 ( .A(n57427), .B(n56495), .Y(n48071) );
+  sky130_fd_sc_hd__o22ai_1 U63293 ( .A1(n57525), .A2(n48252), .B1(n44234), 
+        .B2(n57449), .Y(n43602) );
+  sky130_fd_sc_hd__nor2_1 U63294 ( .A(n57422), .B(n46781), .Y(n50233) );
+  sky130_fd_sc_hd__nor2_1 U63295 ( .A(n52216), .B(n46311), .Y(n56470) );
+  sky130_fd_sc_hd__nand2_1 U63296 ( .A(n56989), .B(n50424), .Y(n57020) );
+  sky130_fd_sc_hd__o22ai_1 U63297 ( .A1(n50233), .A2(n57445), .B1(n56475), 
+        .B2(n57020), .Y(n43601) );
+  sky130_fd_sc_hd__nand2_1 U63298 ( .A(n57208), .B(n52763), .Y(n57005) );
+  sky130_fd_sc_hd__nand2_1 U63299 ( .A(n56989), .B(n46486), .Y(n56406) );
+  sky130_fd_sc_hd__o22ai_1 U63300 ( .A1(n45657), .A2(n57005), .B1(n56406), 
+        .B2(n51506), .Y(n43600) );
+  sky130_fd_sc_hd__nor2_1 U63301 ( .A(n57427), .B(n48297), .Y(n52698) );
+  sky130_fd_sc_hd__nand2_1 U63302 ( .A(n57123), .B(n52698), .Y(n57437) );
+  sky130_fd_sc_hd__nor2_1 U63303 ( .A(n57040), .B(n41780), .Y(n50485) );
+  sky130_fd_sc_hd__o22ai_1 U63304 ( .A1(n57472), .A2(n56472), .B1(n57437), 
+        .B2(n50196), .Y(n43599) );
+  sky130_fd_sc_hd__nor4_1 U63305 ( .A(n43602), .B(n43601), .C(n43600), .D(
+        n43599), .Y(n43691) );
+  sky130_fd_sc_hd__nor2_1 U63306 ( .A(n52219), .B(n57013), .Y(n56497) );
+  sky130_fd_sc_hd__nor2_1 U63307 ( .A(n57427), .B(n57188), .Y(n52234) );
+  sky130_fd_sc_hd__nand2_1 U63308 ( .A(n57415), .B(n57482), .Y(n57456) );
+  sky130_fd_sc_hd__nor2_1 U63309 ( .A(n57153), .B(n56495), .Y(n43730) );
+  sky130_fd_sc_hd__o22ai_1 U63310 ( .A1(n56497), .A2(n52426), .B1(n51863), 
+        .B2(n57448), .Y(n43622) );
+  sky130_fd_sc_hd__nor2_1 U63311 ( .A(n52402), .B(n57153), .Y(n57041) );
+  sky130_fd_sc_hd__o22ai_1 U63312 ( .A1(n50977), .A2(n57455), .B1(n57061), 
+        .B2(n46022), .Y(n43621) );
+  sky130_fd_sc_hd__nand2_1 U63313 ( .A(n45442), .B(n50235), .Y(n57432) );
+  sky130_fd_sc_hd__nand2_1 U63314 ( .A(n36975), .B(n50986), .Y(n57217) );
+  sky130_fd_sc_hd__nand2_1 U63315 ( .A(n57123), .B(n52378), .Y(n52728) );
+  sky130_fd_sc_hd__o22ai_1 U63316 ( .A1(n57021), .A2(n57432), .B1(n57441), 
+        .B2(n52728), .Y(n43612) );
+  sky130_fd_sc_hd__nand2_1 U63317 ( .A(n50438), .B(n50162), .Y(n50475) );
+  sky130_fd_sc_hd__nand2_1 U63318 ( .A(n52264), .B(n52214), .Y(n44329) );
+  sky130_fd_sc_hd__nand2_1 U63319 ( .A(n52169), .B(n57125), .Y(n50243) );
+  sky130_fd_sc_hd__o22ai_1 U63320 ( .A1(n50494), .A2(n44329), .B1(n50243), 
+        .B2(n52752), .Y(n43611) );
+  sky130_fd_sc_hd__nor2b_1 U63321 ( .B_N(n56994), .A(n57413), .Y(n43604) );
+  sky130_fd_sc_hd__nand2_1 U63322 ( .A(n57208), .B(n50233), .Y(n46310) );
+  sky130_fd_sc_hd__nor2_1 U63323 ( .A(n36774), .B(n50475), .Y(n46333) );
+  sky130_fd_sc_hd__nand2_1 U63324 ( .A(n46333), .B(n52401), .Y(n46762) );
+  sky130_fd_sc_hd__nor2_1 U63326 ( .A(n52219), .B(n41626), .Y(n50347) );
+  sky130_fd_sc_hd__nor2_1 U63327 ( .A(n50436), .B(n50438), .Y(n56543) );
+  sky130_fd_sc_hd__o22ai_1 U63328 ( .A1(n50347), .A2(n52216), .B1(n52221), 
+        .B2(n56456), .Y(n43603) );
+  sky130_fd_sc_hd__nor3_1 U63329 ( .A(n43604), .B(n43607), .C(n43603), .Y(
+        n43609) );
+  sky130_fd_sc_hd__o22ai_1 U63330 ( .A1(n57014), .A2(n57413), .B1(n52221), 
+        .B2(n57482), .Y(n43606) );
+  sky130_fd_sc_hd__nand2_1 U63331 ( .A(n57422), .B(n52102), .Y(n44270) );
+  sky130_fd_sc_hd__o22ai_1 U63332 ( .A1(n52216), .A2(n44270), .B1(n52217), 
+        .B2(n57165), .Y(n43605) );
+  sky130_fd_sc_hd__nor3_1 U63333 ( .A(n43607), .B(n43606), .C(n43605), .Y(
+        n43608) );
+  sky130_fd_sc_hd__o22ai_1 U63334 ( .A1(n43609), .A2(n50496), .B1(n43608), 
+        .B2(n50981), .Y(n43610) );
+  sky130_fd_sc_hd__nor3_1 U63335 ( .A(n43612), .B(n43611), .C(n43610), .Y(
+        n43618) );
+  sky130_fd_sc_hd__nand2_1 U63336 ( .A(n50919), .B(n52183), .Y(n57019) );
+  sky130_fd_sc_hd__nor2_1 U63337 ( .A(n56444), .B(n56499), .Y(n43616) );
+  sky130_fd_sc_hd__nor2_1 U63338 ( .A(n52710), .B(n56498), .Y(n45893) );
+  sky130_fd_sc_hd__a21oi_1 U63339 ( .A1(n52199), .A2(n48258), .B1(n48257), .Y(
+        n52160) );
+  sky130_fd_sc_hd__nand2_1 U63340 ( .A(n50462), .B(n50357), .Y(n50394) );
+  sky130_fd_sc_hd__o22ai_1 U63341 ( .A1(n51507), .A2(n47100), .B1(n52404), 
+        .B2(n50394), .Y(n43613) );
+  sky130_fd_sc_hd__nor2_1 U63342 ( .A(n52160), .B(n43613), .Y(n43614) );
+  sky130_fd_sc_hd__nor2_1 U63343 ( .A(n57013), .B(n50467), .Y(n50908) );
+  sky130_fd_sc_hd__nand2_1 U63344 ( .A(n57473), .B(n50908), .Y(n48131) );
+  sky130_fd_sc_hd__nand2_1 U63345 ( .A(n56587), .B(n50918), .Y(n56434) );
+  sky130_fd_sc_hd__nand3_1 U63346 ( .A(n43614), .B(n48131), .C(n56434), .Y(
+        n43615) );
+  sky130_fd_sc_hd__nor4b_1 U63347 ( .D_N(n57019), .A(n43616), .B(n45893), .C(
+        n43615), .Y(n43617) );
+  sky130_fd_sc_hd__o22ai_1 U63348 ( .A1(n43618), .A2(n57079), .B1(n43617), 
+        .B2(n57427), .Y(n43620) );
+  sky130_fd_sc_hd__nor4_1 U63349 ( .A(n51484), .B(n57438), .C(n52691), .D(
+        n57496), .Y(n48072) );
+  sky130_fd_sc_hd__nand2_1 U63350 ( .A(n56989), .B(n50425), .Y(n56991) );
+  sky130_fd_sc_hd__nor2_1 U63351 ( .A(n46781), .B(n56991), .Y(n48070) );
+  sky130_fd_sc_hd__o21a_1 U63352 ( .A1(n48072), .A2(n48070), .B1(n52401), .X(
+        n43619) );
+  sky130_fd_sc_hd__nor4_1 U63353 ( .A(n43622), .B(n43621), .C(n43620), .D(
+        n43619), .Y(n43690) );
+  sky130_fd_sc_hd__o22ai_1 U63354 ( .A1(n50260), .A2(n56538), .B1(n48123), 
+        .B2(n57070), .Y(n43625) );
+  sky130_fd_sc_hd__a31oi_1 U63355 ( .A1(n50980), .A2(n52744), .A3(n57452), 
+        .B1(n43625), .Y(n43645) );
+  sky130_fd_sc_hd__o22ai_1 U63356 ( .A1(n57527), .A2(n50977), .B1(n57526), 
+        .B2(n52253), .Y(n43643) );
+  sky130_fd_sc_hd__nor2_1 U63357 ( .A(n52169), .B(n41606), .Y(n48236) );
+  sky130_fd_sc_hd__nor2_1 U63358 ( .A(n50981), .B(n48095), .Y(n57493) );
+  sky130_fd_sc_hd__nand2_1 U63359 ( .A(n57123), .B(n57493), .Y(n51862) );
+  sky130_fd_sc_hd__o22ai_1 U63360 ( .A1(n43628), .A2(n51862), .B1(n57192), 
+        .B2(n52265), .Y(n43642) );
+  sky130_fd_sc_hd__nand3_1 U63361 ( .A(n57139), .B(n52102), .C(n52108), .Y(
+        n51543) );
+  sky130_fd_sc_hd__nand2_1 U63362 ( .A(n45431), .B(n48242), .Y(n46042) );
+  sky130_fd_sc_hd__nand2_1 U63363 ( .A(n52181), .B(n48062), .Y(n56502) );
+  sky130_fd_sc_hd__nor3_1 U63364 ( .A(n57525), .B(n52744), .C(n56502), .Y(
+        n44222) );
+  sky130_fd_sc_hd__a21oi_1 U63365 ( .A1(n56556), .A2(n52264), .B1(n44222), .Y(
+        n43626) );
+  sky130_fd_sc_hd__o221ai_1 U63366 ( .A1(n57549), .A2(n51543), .B1(n52744), 
+        .B2(n46042), .C1(n43626), .Y(n43641) );
+  sky130_fd_sc_hd__nor2_1 U63367 ( .A(n52744), .B(n52404), .Y(n51552) );
+  sky130_fd_sc_hd__nand2_1 U63368 ( .A(n43627), .B(n56543), .Y(n50315) );
+  sky130_fd_sc_hd__o22ai_1 U63369 ( .A1(n52271), .A2(n57543), .B1(n43628), 
+        .B2(n50315), .Y(n43630) );
+  sky130_fd_sc_hd__nand2_1 U63370 ( .A(n52412), .B(n52199), .Y(n50398) );
+  sky130_fd_sc_hd__o22ai_1 U63371 ( .A1(n50461), .A2(n56411), .B1(n57069), 
+        .B2(n50398), .Y(n43629) );
+  sky130_fd_sc_hd__a211oi_1 U63372 ( .A1(n51552), .A2(n57168), .B1(n43630), 
+        .C1(n43629), .Y(n43639) );
+  sky130_fd_sc_hd__o22ai_1 U63373 ( .A1(n57192), .A2(n36838), .B1(n57334), 
+        .B2(n50470), .Y(n43634) );
+  sky130_fd_sc_hd__nor2_1 U63374 ( .A(n36774), .B(n48057), .Y(n57055) );
+  sky130_fd_sc_hd__nor2_1 U63375 ( .A(n52121), .B(n52704), .Y(n52071) );
+  sky130_fd_sc_hd__a21oi_1 U63376 ( .A1(n41625), .A2(n50977), .B1(n52071), .Y(
+        n43632) );
+  sky130_fd_sc_hd__nor2_1 U63377 ( .A(n56458), .B(n51010), .Y(n46035) );
+  sky130_fd_sc_hd__a21oi_1 U63378 ( .A1(n45655), .A2(n57134), .B1(n46035), .Y(
+        n43631) );
+  sky130_fd_sc_hd__nand2_1 U63379 ( .A(n37029), .B(n50907), .Y(n52407) );
+  sky130_fd_sc_hd__nand2_1 U63380 ( .A(n50447), .B(n56542), .Y(n45403) );
+  sky130_fd_sc_hd__nand4_1 U63381 ( .A(n43632), .B(n43631), .C(n52407), .D(
+        n45403), .Y(n43633) );
+  sky130_fd_sc_hd__o31ai_1 U63382 ( .A1(n57424), .A2(n43634), .A3(n43633), 
+        .B1(n52744), .Y(n43637) );
+  sky130_fd_sc_hd__nor2_1 U63383 ( .A(n57415), .B(n57300), .Y(n43635) );
+  sky130_fd_sc_hd__o21ai_0 U63384 ( .A1(n46014), .A2(n43635), .B1(n57549), .Y(
+        n43636) );
+  sky130_fd_sc_hd__nand4_1 U63385 ( .A(n43639), .B(n43638), .C(n43637), .D(
+        n43636), .Y(n43640) );
+  sky130_fd_sc_hd__nor4_1 U63386 ( .A(n43643), .B(n43642), .C(n43641), .D(
+        n43640), .Y(n43644) );
+  sky130_fd_sc_hd__nand2_1 U63387 ( .A(n46067), .B(n57177), .Y(n52213) );
+  sky130_fd_sc_hd__nand2b_1 U63388 ( .A_N(n57483), .B(n52213), .Y(n56599) );
+  sky130_fd_sc_hd__nor2_1 U63389 ( .A(n57501), .B(n57542), .Y(n48329) );
+  sky130_fd_sc_hd__a31oi_1 U63390 ( .A1(n43645), .A2(n43644), .A3(n56599), 
+        .B1(n57155), .Y(n43688) );
+  sky130_fd_sc_hd__nand2_1 U63391 ( .A(n57549), .B(n45431), .Y(n51525) );
+  sky130_fd_sc_hd__nor2_1 U63392 ( .A(n51525), .B(n52704), .Y(n56565) );
+  sky130_fd_sc_hd__nor2_1 U63393 ( .A(n57422), .B(n41780), .Y(n50350) );
+  sky130_fd_sc_hd__nand2_1 U63394 ( .A(n41772), .B(n57021), .Y(n56585) );
+  sky130_fd_sc_hd__nand2_1 U63395 ( .A(n50252), .B(n56585), .Y(n48317) );
+  sky130_fd_sc_hd__a21oi_1 U63396 ( .A1(n50397), .A2(n50350), .B1(n48317), .Y(
+        n43648) );
+  sky130_fd_sc_hd__nor2_1 U63397 ( .A(n52199), .B(n52402), .Y(n57137) );
+  sky130_fd_sc_hd__o21bai_1 U63398 ( .A1(n48233), .A2(n56498), .B1_N(n57137), 
+        .Y(n43646) );
+  sky130_fd_sc_hd__a21oi_1 U63399 ( .A1(n57508), .A2(n46797), .B1(n43646), .Y(
+        n43647) );
+  sky130_fd_sc_hd__nand2_1 U63400 ( .A(n57422), .B(n51528), .Y(n46025) );
+  sky130_fd_sc_hd__a31oi_1 U63401 ( .A1(n43648), .A2(n43647), .A3(n46025), 
+        .B1(n52744), .Y(n43652) );
+  sky130_fd_sc_hd__o22ai_1 U63402 ( .A1(n52088), .A2(n57072), .B1(n51863), 
+        .B2(n57169), .Y(n43651) );
+  sky130_fd_sc_hd__o22ai_1 U63403 ( .A1(n45636), .A2(n56538), .B1(n46322), 
+        .B2(n43649), .Y(n43650) );
+  sky130_fd_sc_hd__nor4_1 U63404 ( .A(n56565), .B(n43652), .C(n43651), .D(
+        n43650), .Y(n43663) );
+  sky130_fd_sc_hd__nor2_1 U63405 ( .A(n50261), .B(n52710), .Y(n45659) );
+  sky130_fd_sc_hd__nand2_1 U63406 ( .A(n56543), .B(n43747), .Y(n44248) );
+  sky130_fd_sc_hd__nor3_1 U63409 ( .A(n41624), .B(n52216), .C(n57168), .Y(
+        n57206) );
+  sky130_fd_sc_hd__o21ai_0 U63410 ( .A1(n57165), .A2(n52262), .B1(n43654), .Y(
+        n56554) );
+  sky130_fd_sc_hd__a21oi_1 U63411 ( .A1(n57300), .A2(n57135), .B1(n52088), .Y(
+        n43655) );
+  sky130_fd_sc_hd__nor2_1 U63412 ( .A(n48281), .B(n46781), .Y(n56467) );
+  sky130_fd_sc_hd__nand2_1 U63413 ( .A(n50462), .B(n52401), .Y(n57433) );
+  sky130_fd_sc_hd__a21oi_1 U63414 ( .A1(n57450), .A2(n57144), .B1(n45410), .Y(
+        n43658) );
+  sky130_fd_sc_hd__nor3_1 U63415 ( .A(n52122), .B(n56498), .C(n57040), .Y(
+        n48321) );
+  sky130_fd_sc_hd__nor2_1 U63416 ( .A(n52691), .B(n56539), .Y(n43656) );
+  sky130_fd_sc_hd__nor2_1 U63417 ( .A(n56570), .B(n50195), .Y(n56555) );
+  sky130_fd_sc_hd__nor2b_1 U63418 ( .B_N(n56555), .A(n46781), .Y(n51830) );
+  sky130_fd_sc_hd__nor4_1 U63419 ( .A(n48321), .B(n43656), .C(n48109), .D(
+        n51830), .Y(n43657) );
+  sky130_fd_sc_hd__nand2_1 U63420 ( .A(n52183), .B(n52170), .Y(n46818) );
+  sky130_fd_sc_hd__nand2_1 U63421 ( .A(n57136), .B(n50397), .Y(n48291) );
+  sky130_fd_sc_hd__nand4_1 U63422 ( .A(n38402), .B(n43659), .C(n38367), .D(
+        n38427), .Y(n43660) );
+  sky130_fd_sc_hd__o31ai_1 U63423 ( .A1(n57206), .A2(n56554), .A3(n43660), 
+        .B1(n52744), .Y(n43661) );
+  sky130_fd_sc_hd__a31oi_1 U63424 ( .A1(n43663), .A2(n43662), .A3(n43661), 
+        .B1(n57325), .Y(n43687) );
+  sky130_fd_sc_hd__nand2_1 U63425 ( .A(n56989), .B(n50466), .Y(n57453) );
+  sky130_fd_sc_hd__nand2_1 U63426 ( .A(n52261), .B(n57184), .Y(n56986) );
+  sky130_fd_sc_hd__o22ai_1 U63427 ( .A1(n57453), .A2(n44270), .B1(n56986), 
+        .B2(n57070), .Y(n43664) );
+  sky130_fd_sc_hd__a31oi_1 U63428 ( .A1(n52183), .A2(n56999), .A3(n43747), 
+        .B1(n43664), .Y(n43670) );
+  sky130_fd_sc_hd__nand2_1 U63429 ( .A(n48236), .B(n50392), .Y(n52228) );
+  sky130_fd_sc_hd__nand2_1 U63430 ( .A(n50449), .B(n52763), .Y(n57015) );
+  sky130_fd_sc_hd__nand2_1 U63431 ( .A(n57415), .B(n52229), .Y(n52727) );
+  sky130_fd_sc_hd__nor2_1 U63432 ( .A(n52727), .B(n57199), .Y(n43743) );
+  sky130_fd_sc_hd__nand2_1 U63433 ( .A(n52237), .B(n41772), .Y(n57451) );
+  sky130_fd_sc_hd__o22ai_1 U63434 ( .A1(n46723), .A2(n57015), .B1(n43743), 
+        .B2(n57451), .Y(n43665) );
+  sky130_fd_sc_hd__a21oi_1 U63435 ( .A1(n52697), .A2(n43666), .B1(n43665), .Y(
+        n43669) );
+  sky130_fd_sc_hd__nand2_1 U63436 ( .A(n52237), .B(n51528), .Y(n43667) );
+  sky130_fd_sc_hd__nand4_1 U63437 ( .A(n43670), .B(n43669), .C(n43668), .D(
+        n43667), .Y(n43686) );
+  sky130_fd_sc_hd__nand2_1 U63438 ( .A(n50235), .B(n57408), .Y(n43671) );
+  sky130_fd_sc_hd__a21oi_1 U63440 ( .A1(n43673), .A2(n57050), .B1(n43672), .Y(
+        n43684) );
+  sky130_fd_sc_hd__nor2_1 U63441 ( .A(n52164), .B(n46805), .Y(n52109) );
+  sky130_fd_sc_hd__nand2_1 U63442 ( .A(n57481), .B(n51509), .Y(n57447) );
+  sky130_fd_sc_hd__nor2_1 U63443 ( .A(n52107), .B(n52402), .Y(n56508) );
+  sky130_fd_sc_hd__nand2_1 U63444 ( .A(n57473), .B(n57441), .Y(n48311) );
+  sky130_fd_sc_hd__nor2_1 U63445 ( .A(n41626), .B(n52269), .Y(n50177) );
+  sky130_fd_sc_hd__o22ai_1 U63446 ( .A1(n52269), .A2(n48311), .B1(n50177), 
+        .B2(n57300), .Y(n43682) );
+  sky130_fd_sc_hd__nand2_1 U63447 ( .A(n52271), .B(n52229), .Y(n56421) );
+  sky130_fd_sc_hd__nor2_1 U63448 ( .A(n57196), .B(n56421), .Y(n52208) );
+  sky130_fd_sc_hd__a21oi_1 U63449 ( .A1(n52072), .A2(n41772), .B1(n52208), .Y(
+        n43680) );
+  sky130_fd_sc_hd__nand2_1 U63450 ( .A(n57544), .B(n57489), .Y(n43674) );
+  sky130_fd_sc_hd__a22oi_1 U63451 ( .A1(n56588), .A2(n43674), .B1(n50397), 
+        .B2(n57335), .Y(n43678) );
+  sky130_fd_sc_hd__nor2_1 U63452 ( .A(n48281), .B(n43747), .Y(n51501) );
+  sky130_fd_sc_hd__nand2_1 U63453 ( .A(n50436), .B(n43675), .Y(n46482) );
+  sky130_fd_sc_hd__o22ai_1 U63454 ( .A1(n51501), .A2(n36838), .B1(n56430), 
+        .B2(n46482), .Y(n43676) );
+  sky130_fd_sc_hd__a21oi_1 U63455 ( .A1(n52183), .A2(n52201), .B1(n43676), .Y(
+        n43677) );
+  sky130_fd_sc_hd__nand4_1 U63456 ( .A(n43680), .B(n43679), .C(n43678), .D(
+        n43677), .Y(n43681) );
+  sky130_fd_sc_hd__nor4_1 U63457 ( .A(n52109), .B(n56508), .C(n43682), .D(
+        n43681), .Y(n43683) );
+  sky130_fd_sc_hd__o22ai_1 U63458 ( .A1(n43684), .A2(n57438), .B1(n43683), 
+        .B2(n56451), .Y(n43685) );
+  sky130_fd_sc_hd__nor4_1 U63459 ( .A(n43688), .B(n43687), .C(n43686), .D(
+        n43685), .Y(n43689) );
+  sky130_fd_sc_hd__a22oi_1 U63460 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[26]), .A2(n41794), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[26]), .B2(n57558), .Y(n43692) );
+  sky130_fd_sc_hd__nand4_1 U63461 ( .A(n43693), .B(n38446), .C(n38377), .D(
+        n43692), .Y(n84505) );
+  sky130_fd_sc_hd__mux2_2 U63462 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[58]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[26]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .X(n84569) );
+  sky130_fd_sc_hd__o22ai_1 U63463 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_fmt_endian), .A2(n80554), .B1(n48170), .B2(n80560), .Y(n85075) );
+  sky130_fd_sc_hd__nor2b_1 U63464 ( .B_N(n85075), .A(n48171), .Y(n85315) );
+  sky130_fd_sc_hd__a21oi_1 U63465 ( .A1(n57600), .A2(n84569), .B1(n43694), .Y(
+        n43695) );
+  sky130_fd_sc_hd__nor2_1 U63467 ( .A(n70260), .B(n65110), .Y(n70173) );
+  sky130_fd_sc_hd__nand2_1 U63468 ( .A(n70173), .B(n70254), .Y(n85486) );
+  sky130_fd_sc_hd__nand2_1 U63470 ( .A(n53944), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[4]), .Y(n43699) );
+  sky130_fd_sc_hd__nand2_1 U63471 ( .A(n37071), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[4]), .Y(n43698) );
+  sky130_fd_sc_hd__nand2_1 U63472 ( .A(n43699), .B(n43698), .Y(n43700) );
+  sky130_fd_sc_hd__o22a_1 U63473 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_bypass_1), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[4]), .B1(n43701), .B2(n43700), .X(n85614) );
+  sky130_fd_sc_hd__nor2_1 U63474 ( .A(n78448), .B(n56044), .Y(n84392) );
+  sky130_fd_sc_hd__mux2_2 U63475 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[60]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[28]), .S(n54795), .X(n84744) );
+  sky130_fd_sc_hd__nor2_1 U63476 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_28[1]), .B(
+        n54220), .Y(n43702) );
+  sky130_fd_sc_hd__a211oi_1 U63477 ( .A1(n54218), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_28[1]), .B1(
+        n43702), .C1(n48464), .Y(n43712) );
+  sky130_fd_sc_hd__nand2_1 U63478 ( .A(n43705), .B(n43704), .Y(n43706) );
+  sky130_fd_sc_hd__xor2_1 U63479 ( .A(n43707), .B(n43706), .X(n43708) );
+  sky130_fd_sc_hd__a22oi_1 U63480 ( .A1(n52494), .A2(n84744), .B1(n43708), 
+        .B2(n54228), .Y(n43711) );
+  sky130_fd_sc_hd__nor2_1 U63481 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_28[0]), .B(
+        n54216), .Y(n43709) );
+  sky130_fd_sc_hd__o211ai_1 U63483 ( .A1(n43832), .A2(n43712), .B1(n43711), 
+        .C1(n43710), .Y(n84369) );
+  sky130_fd_sc_hd__nor2_1 U63484 ( .A(n57481), .B(n52217), .Y(n57124) );
+  sky130_fd_sc_hd__nor2_1 U63485 ( .A(n56542), .B(n46323), .Y(n50934) );
+  sky130_fd_sc_hd__nand2_1 U63486 ( .A(n41779), .B(n52181), .Y(n52104) );
+  sky130_fd_sc_hd__nor2_1 U63487 ( .A(n57120), .B(n52104), .Y(n51511) );
+  sky130_fd_sc_hd__nor2_1 U63488 ( .A(n50934), .B(n51511), .Y(n43713) );
+  sky130_fd_sc_hd__nand3_1 U63489 ( .A(n52219), .B(n52183), .C(n57120), .Y(
+        n52094) );
+  sky130_fd_sc_hd__a31oi_1 U63490 ( .A1(n43713), .A2(n46025), .A3(n52094), 
+        .B1(n57153), .Y(n43764) );
+  sky130_fd_sc_hd__nor2_1 U63491 ( .A(n56581), .B(n48291), .Y(n56461) );
+  sky130_fd_sc_hd__o22ai_1 U63492 ( .A1(n56444), .A2(n51010), .B1(n36838), 
+        .B2(n56421), .Y(n43718) );
+  sky130_fd_sc_hd__nand2_1 U63493 ( .A(n50931), .B(n44269), .Y(n57352) );
+  sky130_fd_sc_hd__nor2_1 U63494 ( .A(n57040), .B(n57352), .Y(n46017) );
+  sky130_fd_sc_hd__o21bai_1 U63495 ( .A1(n52194), .A2(n56502), .B1_N(n46017), 
+        .Y(n43717) );
+  sky130_fd_sc_hd__nor2_1 U63496 ( .A(n57199), .B(n57300), .Y(n52191) );
+  sky130_fd_sc_hd__nor2_1 U63497 ( .A(n56993), .B(n56457), .Y(n52136) );
+  sky130_fd_sc_hd__a21oi_1 U63498 ( .A1(n57454), .A2(n52191), .B1(n52136), .Y(
+        n43715) );
+  sky130_fd_sc_hd__nor2_1 U63499 ( .A(n57422), .B(n56458), .Y(n48279) );
+  sky130_fd_sc_hd__nor2_1 U63500 ( .A(n57177), .B(n57196), .Y(n48129) );
+  sky130_fd_sc_hd__a21oi_1 U63501 ( .A1(n48279), .A2(n52122), .B1(n48129), .Y(
+        n43714) );
+  sky130_fd_sc_hd__nand2_1 U63502 ( .A(n36774), .B(n57137), .Y(n57157) );
+  sky130_fd_sc_hd__nand3_1 U63503 ( .A(n43715), .B(n43714), .C(n57157), .Y(
+        n43716) );
+  sky130_fd_sc_hd__nor4_1 U63504 ( .A(n56461), .B(n43718), .C(n43717), .D(
+        n43716), .Y(n43726) );
+  sky130_fd_sc_hd__nand2_1 U63505 ( .A(n52712), .B(n57120), .Y(n47089) );
+  sky130_fd_sc_hd__o21ai_0 U63506 ( .A1(n57196), .A2(n57134), .B1(n47089), .Y(
+        n46354) );
+  sky130_fd_sc_hd__nor2_1 U63507 ( .A(n57040), .B(n56575), .Y(n46824) );
+  sky130_fd_sc_hd__nor4_1 U63508 ( .A(n56416), .B(n52200), .C(n44812), .D(
+        n46824), .Y(n43721) );
+  sky130_fd_sc_hd__nor2_1 U63509 ( .A(n52699), .B(n48257), .Y(n56545) );
+  sky130_fd_sc_hd__nand2_1 U63510 ( .A(n46351), .B(n41772), .Y(n52083) );
+  sky130_fd_sc_hd__o22ai_1 U63511 ( .A1(n57526), .A2(n36838), .B1(n57482), 
+        .B2(n56498), .Y(n44334) );
+  sky130_fd_sc_hd__nor4_1 U63512 ( .A(n56545), .B(n44320), .C(n43719), .D(
+        n44334), .Y(n43720) );
+  sky130_fd_sc_hd__nand2_1 U63513 ( .A(n51528), .B(n57040), .Y(n45900) );
+  sky130_fd_sc_hd__nand2_1 U63514 ( .A(n57238), .B(n57160), .Y(n52377) );
+  sky130_fd_sc_hd__nand2_1 U63515 ( .A(n45727), .B(n52377), .Y(n46775) );
+  sky130_fd_sc_hd__nand4_1 U63516 ( .A(n43721), .B(n43720), .C(n45900), .D(
+        n46775), .Y(n43722) );
+  sky130_fd_sc_hd__nor2_1 U63517 ( .A(n46354), .B(n43722), .Y(n43723) );
+  sky130_fd_sc_hd__nand2_1 U63518 ( .A(n47164), .B(n57040), .Y(n46774) );
+  sky130_fd_sc_hd__nand3_1 U63519 ( .A(n43723), .B(n57352), .C(n46774), .Y(
+        n43724) );
+  sky130_fd_sc_hd__a21oi_1 U63520 ( .A1(n56588), .A2(n48267), .B1(n43724), .Y(
+        n43725) );
+  sky130_fd_sc_hd__o22ai_1 U63521 ( .A1(n43726), .A2(n57061), .B1(n43725), 
+        .B2(n56451), .Y(n43763) );
+  sky130_fd_sc_hd__nand2_1 U63522 ( .A(n52378), .B(n52198), .Y(n52424) );
+  sky130_fd_sc_hd__nor2_1 U63523 ( .A(n57540), .B(n52424), .Y(n47185) );
+  sky130_fd_sc_hd__a22oi_1 U63524 ( .A1(n52234), .A2(n51505), .B1(n47185), 
+        .B2(n56597), .Y(n43742) );
+  sky130_fd_sc_hd__nor2_1 U63525 ( .A(n50436), .B(n52263), .Y(n50186) );
+  sky130_fd_sc_hd__nor2_1 U63526 ( .A(n56431), .B(n57061), .Y(n43729) );
+  sky130_fd_sc_hd__nor2_1 U63527 ( .A(n46483), .B(n50261), .Y(n50251) );
+  sky130_fd_sc_hd__nand2_1 U63528 ( .A(n56989), .B(n50251), .Y(n57457) );
+  sky130_fd_sc_hd__o22ai_1 U63529 ( .A1(n57433), .A2(n57451), .B1(n57457), 
+        .B2(n44270), .Y(n43728) );
+  sky130_fd_sc_hd__nand2_1 U63530 ( .A(n52763), .B(n46334), .Y(n57017) );
+  sky130_fd_sc_hd__o22ai_1 U63531 ( .A1(n57297), .A2(n57015), .B1(n50233), 
+        .B2(n57017), .Y(n43727) );
+  sky130_fd_sc_hd__a211oi_1 U63532 ( .A1(n50186), .A2(n43729), .B1(n43728), 
+        .C1(n43727), .Y(n43741) );
+  sky130_fd_sc_hd__nand2_1 U63533 ( .A(n52264), .B(n52210), .Y(n52163) );
+  sky130_fd_sc_hd__o22ai_1 U63534 ( .A1(n56422), .A2(n56991), .B1(n57153), 
+        .B2(n52163), .Y(n43735) );
+  sky130_fd_sc_hd__nor2_1 U63535 ( .A(n51840), .B(n50476), .Y(n51481) );
+  sky130_fd_sc_hd__inv_2 U63536 ( .A(n51481), .Y(n57004) );
+  sky130_fd_sc_hd__nand2_1 U63537 ( .A(n57177), .B(n57004), .Y(n52220) );
+  sky130_fd_sc_hd__o22ai_1 U63538 ( .A1(n57143), .A2(n56996), .B1(n57020), 
+        .B2(n47086), .Y(n43734) );
+  sky130_fd_sc_hd__nand2_1 U63539 ( .A(n56989), .B(n50978), .Y(n52230) );
+  sky130_fd_sc_hd__o22ai_1 U63540 ( .A1(n56499), .A2(n57453), .B1(n48273), 
+        .B2(n52230), .Y(n43733) );
+  sky130_fd_sc_hd__nand2_1 U63541 ( .A(n56543), .B(n52763), .Y(n57012) );
+  sky130_fd_sc_hd__nor2_1 U63542 ( .A(n57136), .B(n37029), .Y(n52231) );
+  sky130_fd_sc_hd__nor2_1 U63543 ( .A(n56540), .B(n56406), .Y(n45641) );
+  sky130_fd_sc_hd__a21oi_1 U63544 ( .A1(n43730), .A2(n57138), .B1(n45641), .Y(
+        n43731) );
+  sky130_fd_sc_hd__nand2_1 U63545 ( .A(n48071), .B(n57452), .Y(n57463) );
+  sky130_fd_sc_hd__o211ai_1 U63546 ( .A1(n57012), .A2(n57443), .B1(n43731), 
+        .C1(n57463), .Y(n43732) );
+  sky130_fd_sc_hd__nor4_1 U63547 ( .A(n43735), .B(n43734), .C(n43733), .D(
+        n43732), .Y(n43740) );
+  sky130_fd_sc_hd__o22ai_1 U63548 ( .A1(n52262), .A2(n47086), .B1(n36838), 
+        .B2(n52227), .Y(n43738) );
+  sky130_fd_sc_hd__nor2_1 U63549 ( .A(n37012), .B(n56498), .Y(n44813) );
+  sky130_fd_sc_hd__nand2_1 U63550 ( .A(n41626), .B(n50397), .Y(n51527) );
+  sky130_fd_sc_hd__nor2_1 U63551 ( .A(n44813), .B(n57425), .Y(n48230) );
+  sky130_fd_sc_hd__nand2_1 U63552 ( .A(n46781), .B(n48279), .Y(n48117) );
+  sky130_fd_sc_hd__nand2_1 U63553 ( .A(n57163), .B(n52072), .Y(n43736) );
+  sky130_fd_sc_hd__nand4_1 U63554 ( .A(n48230), .B(n48117), .C(n56441), .D(
+        n43736), .Y(n43737) );
+  sky130_fd_sc_hd__nand4_1 U63556 ( .A(n43742), .B(n43741), .C(n43740), .D(
+        n43739), .Y(n43762) );
+  sky130_fd_sc_hd__nor2_1 U63557 ( .A(n51507), .B(n47100), .Y(n43752) );
+  sky130_fd_sc_hd__nor3_1 U63558 ( .A(n50259), .B(n51483), .C(n50258), .Y(
+        n51872) );
+  sky130_fd_sc_hd__nand2_1 U63559 ( .A(n56497), .B(n57192), .Y(n47078) );
+  sky130_fd_sc_hd__nand2_1 U63560 ( .A(n56431), .B(n56430), .Y(n43744) );
+  sky130_fd_sc_hd__a31oi_1 U63561 ( .A1(n50235), .A2(n86738), .A3(n43744), 
+        .B1(n45436), .Y(n43745) );
+  sky130_fd_sc_hd__o21ai_0 U63562 ( .A1(n56993), .A2(n47078), .B1(n43745), .Y(
+        n43751) );
+  sky130_fd_sc_hd__nand2_1 U63563 ( .A(n57414), .B(n52221), .Y(n44328) );
+  sky130_fd_sc_hd__nor3_1 U63564 ( .A(n56981), .B(n52402), .C(n52108), .Y(
+        n43746) );
+  sky130_fd_sc_hd__a31oi_1 U63565 ( .A1(n41624), .A2(n43747), .A3(n44328), 
+        .B1(n43746), .Y(n43749) );
+  sky130_fd_sc_hd__nand2_1 U63566 ( .A(n37012), .B(n57482), .Y(n52257) );
+  sky130_fd_sc_hd__nor2_1 U63567 ( .A(n57458), .B(n48257), .Y(n45726) );
+  sky130_fd_sc_hd__nor2_1 U63568 ( .A(n57136), .B(n41780), .Y(n57446) );
+  sky130_fd_sc_hd__nor2_1 U63569 ( .A(n57446), .B(n56570), .Y(n48248) );
+  sky130_fd_sc_hd__a211oi_1 U63570 ( .A1(n50923), .A2(n41625), .B1(n45726), 
+        .C1(n48248), .Y(n43748) );
+  sky130_fd_sc_hd__nand2_1 U63571 ( .A(n50919), .B(n50397), .Y(n47066) );
+  sky130_fd_sc_hd__nand2_1 U63572 ( .A(n37029), .B(n57163), .Y(n57541) );
+  sky130_fd_sc_hd__nand4_1 U63573 ( .A(n43749), .B(n43748), .C(n47066), .D(
+        n57541), .Y(n43750) );
+  sky130_fd_sc_hd__nor4_1 U63574 ( .A(n43752), .B(n51872), .C(n43751), .D(
+        n43750), .Y(n43760) );
+  sky130_fd_sc_hd__o22ai_1 U63575 ( .A1(n50328), .A2(n56498), .B1(n56495), 
+        .B2(n51010), .Y(n46045) );
+  sky130_fd_sc_hd__nand4_1 U63577 ( .A(n46784), .B(n46328), .C(n52104), .D(
+        n43753), .Y(n43758) );
+  sky130_fd_sc_hd__nor2_1 U63578 ( .A(n57415), .B(n48257), .Y(n52202) );
+  sky130_fd_sc_hd__nor2_1 U63579 ( .A(n48297), .B(n50328), .Y(n51480) );
+  sky130_fd_sc_hd__a21oi_1 U63580 ( .A1(n52202), .A2(n45408), .B1(n51480), .Y(
+        n43756) );
+  sky130_fd_sc_hd__nor2_1 U63581 ( .A(n51822), .B(n52752), .Y(n52123) );
+  sky130_fd_sc_hd__a211oi_1 U63582 ( .A1(n56475), .A2(n52183), .B1(n52123), 
+        .C1(n43754), .Y(n43755) );
+  sky130_fd_sc_hd__nand2_1 U63583 ( .A(n57508), .B(n50422), .Y(n56510) );
+  sky130_fd_sc_hd__nand2_1 U63584 ( .A(n57407), .B(n46351), .Y(n44336) );
+  sky130_fd_sc_hd__nand4_1 U63585 ( .A(n43756), .B(n43755), .C(n56510), .D(
+        n44336), .Y(n43757) );
+  sky130_fd_sc_hd__nor4_1 U63586 ( .A(n57137), .B(n46045), .C(n43758), .D(
+        n43757), .Y(n43759) );
+  sky130_fd_sc_hd__o22ai_1 U63587 ( .A1(n43760), .A2(n57158), .B1(n43759), 
+        .B2(n57409), .Y(n43761) );
+  sky130_fd_sc_hd__nor4_1 U63588 ( .A(n43764), .B(n43763), .C(n43762), .D(
+        n43761), .Y(n43800) );
+  sky130_fd_sc_hd__nand2_1 U63589 ( .A(n57407), .B(n46781), .Y(n51531) );
+  sky130_fd_sc_hd__o21ai_0 U63590 ( .A1(n57040), .A2(n51531), .B1(n48117), .Y(
+        n57474) );
+  sky130_fd_sc_hd__a21oi_1 U63591 ( .A1(n41624), .A2(n57419), .B1(n57474), .Y(
+        n57148) );
+  sky130_fd_sc_hd__o21ai_0 U63592 ( .A1(n57196), .A2(n37012), .B1(n48275), .Y(
+        n45653) );
+  sky130_fd_sc_hd__nand2_1 U63593 ( .A(n57526), .B(n57485), .Y(n50439) );
+  sky130_fd_sc_hd__nand2_1 U63594 ( .A(n41772), .B(n56411), .Y(n57410) );
+  sky130_fd_sc_hd__o21ai_1 U63595 ( .A1(n52267), .A2(n52404), .B1(n57410), .Y(
+        n43765) );
+  sky130_fd_sc_hd__a211oi_1 U63596 ( .A1(n57139), .A2(n44234), .B1(n45653), 
+        .C1(n43765), .Y(n43770) );
+  sky130_fd_sc_hd__nand2_1 U63597 ( .A(n45727), .B(n43766), .Y(n45665) );
+  sky130_fd_sc_hd__o22ai_1 U63599 ( .A1(n36774), .A2(n56575), .B1(n52402), 
+        .B2(n50260), .Y(n43767) );
+  sky130_fd_sc_hd__nor4_1 U63600 ( .A(n48110), .B(n45893), .C(n43768), .D(
+        n43767), .Y(n43769) );
+  sky130_fd_sc_hd__a31oi_1 U63601 ( .A1(n57148), .A2(n43770), .A3(n43769), 
+        .B1(n56583), .Y(n43798) );
+  sky130_fd_sc_hd__nor3_1 U63602 ( .A(n48062), .B(n57300), .C(n56593), .Y(
+        n43797) );
+  sky130_fd_sc_hd__nor2_1 U63603 ( .A(n57482), .B(n52404), .Y(n45999) );
+  sky130_fd_sc_hd__nand2_1 U63604 ( .A(n50919), .B(n41625), .Y(n48264) );
+  sky130_fd_sc_hd__nor2_1 U63605 ( .A(n45999), .B(n46714), .Y(n46819) );
+  sky130_fd_sc_hd__a21oi_1 U63606 ( .A1(n46819), .A2(n43771), .B1(n56574), .Y(
+        n43796) );
+  sky130_fd_sc_hd__o22ai_1 U63607 ( .A1(n50494), .A2(n48083), .B1(n50243), 
+        .B2(n46482), .Y(n43783) );
+  sky130_fd_sc_hd__nand2_1 U63608 ( .A(n50159), .B(n51484), .Y(n57121) );
+  sky130_fd_sc_hd__o22ai_1 U63609 ( .A1(n57509), .A2(n57121), .B1(n50394), 
+        .B2(n51862), .Y(n43782) );
+  sky130_fd_sc_hd__nor2_1 U63610 ( .A(n57481), .B(n52466), .Y(n57117) );
+  sky130_fd_sc_hd__nor2_1 U63611 ( .A(n57072), .B(n57216), .Y(n47146) );
+  sky130_fd_sc_hd__a21oi_1 U63612 ( .A1(n57117), .A2(n51484), .B1(n47146), .Y(
+        n45443) );
+  sky130_fd_sc_hd__nand2_1 U63613 ( .A(n50980), .B(n52744), .Y(n57295) );
+  sky130_fd_sc_hd__nand2_1 U63614 ( .A(n50235), .B(n52727), .Y(n46725) );
+  sky130_fd_sc_hd__o22ai_1 U63615 ( .A1(n52271), .A2(n57295), .B1(n46047), 
+        .B2(n46725), .Y(n43772) );
+  sky130_fd_sc_hd__a31oi_1 U63616 ( .A1(n45442), .A2(n44221), .A3(n56473), 
+        .B1(n43772), .Y(n43780) );
+  sky130_fd_sc_hd__o22ai_1 U63617 ( .A1(n46067), .A2(n57069), .B1(n52710), 
+        .B2(n52265), .Y(n43775) );
+  sky130_fd_sc_hd__nand2_1 U63618 ( .A(n52144), .B(n57192), .Y(n57016) );
+  sky130_fd_sc_hd__a22o_1 U63619 ( .A1(n44826), .A2(n56475), .B1(n57016), .B2(
+        n50251), .X(n43774) );
+  sky130_fd_sc_hd__nor2_1 U63620 ( .A(n46351), .B(n57339), .Y(n56985) );
+  sky130_fd_sc_hd__nand2_1 U63621 ( .A(n50374), .B(n52214), .Y(n51864) );
+  sky130_fd_sc_hd__nor2_1 U63622 ( .A(n46483), .B(n50436), .Y(n47084) );
+  sky130_fd_sc_hd__o22ai_1 U63623 ( .A1(n56985), .A2(n51864), .B1(n57262), 
+        .B2(n52220), .Y(n43773) );
+  sky130_fd_sc_hd__nor3_1 U63624 ( .A(n43775), .B(n43774), .C(n43773), .Y(
+        n43779) );
+  sky130_fd_sc_hd__nand2_1 U63625 ( .A(n45655), .B(n57040), .Y(n52387) );
+  sky130_fd_sc_hd__o21ai_0 U63626 ( .A1(n57140), .A2(n51010), .B1(n52387), .Y(
+        n43777) );
+  sky130_fd_sc_hd__nand3_1 U63627 ( .A(n57549), .B(n47164), .C(n51484), .Y(
+        n44230) );
+  sky130_fd_sc_hd__nor2_1 U63628 ( .A(n57422), .B(n44230), .Y(n46500) );
+  sky130_fd_sc_hd__nand2_1 U63629 ( .A(n57415), .B(n46048), .Y(n57001) );
+  sky130_fd_sc_hd__o22ai_1 U63630 ( .A1(n57192), .A2(n57483), .B1(n57480), 
+        .B2(n57001), .Y(n43776) );
+  sky130_fd_sc_hd__a211oi_1 U63631 ( .A1(n57496), .A2(n43777), .B1(n46500), 
+        .C1(n43776), .Y(n43778) );
+  sky130_fd_sc_hd__nand4_1 U63632 ( .A(n45443), .B(n43780), .C(n43779), .D(
+        n43778), .Y(n43781) );
+  sky130_fd_sc_hd__nor3_1 U63633 ( .A(n43783), .B(n43782), .C(n43781), .Y(
+        n43794) );
+  sky130_fd_sc_hd__a21oi_1 U63634 ( .A1(n57407), .A2(n56981), .B1(n45898), .Y(
+        n57513) );
+  sky130_fd_sc_hd__nand2_1 U63635 ( .A(n57513), .B(n44279), .Y(n57207) );
+  sky130_fd_sc_hd__o21ai_0 U63636 ( .A1(n51010), .A2(n56993), .B1(n48130), .Y(
+        n43792) );
+  sky130_fd_sc_hd__nor2_1 U63637 ( .A(n57415), .B(n36838), .Y(n50930) );
+  sky130_fd_sc_hd__nand2_1 U63638 ( .A(n50930), .B(n45408), .Y(n57522) );
+  sky130_fd_sc_hd__o21ai_0 U63639 ( .A1(n44805), .A2(n51822), .B1(n57522), .Y(
+        n43791) );
+  sky130_fd_sc_hd__a21oi_1 U63640 ( .A1(n57216), .A2(n43784), .B1(n52121), .Y(
+        n43785) );
+  sky130_fd_sc_hd__a21oi_1 U63641 ( .A1(n50397), .A2(n46490), .B1(n43785), .Y(
+        n43789) );
+  sky130_fd_sc_hd__a2bb2oi_1 U63642 ( .B1(n41779), .B2(n52090), .A1_N(n48257), 
+        .A2_N(n52465), .Y(n43788) );
+  sky130_fd_sc_hd__nand2_1 U63643 ( .A(n51836), .B(n43786), .Y(n46767) );
+  sky130_fd_sc_hd__nand2_1 U63644 ( .A(n50980), .B(n57452), .Y(n43787) );
+  sky130_fd_sc_hd__nand4_1 U63645 ( .A(n43789), .B(n43788), .C(n46767), .D(
+        n43787), .Y(n43790) );
+  sky130_fd_sc_hd__nor4_1 U63646 ( .A(n57207), .B(n43792), .C(n43791), .D(
+        n43790), .Y(n43793) );
+  sky130_fd_sc_hd__o22ai_1 U63647 ( .A1(n57542), .A2(n43794), .B1(n43793), 
+        .B2(n50942), .Y(n43795) );
+  sky130_fd_sc_hd__nor4_1 U63648 ( .A(n43798), .B(n43797), .C(n43796), .D(
+        n43795), .Y(n43799) );
+  sky130_fd_sc_hd__o22ai_1 U63649 ( .A1(n57517), .A2(n43800), .B1(n43799), 
+        .B2(n56604), .Y(n43829) );
+  sky130_fd_sc_hd__a22oi_1 U63650 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[28]), .A2(n41794), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[28]), .B2(n57558), .Y(n43828) );
+  sky130_fd_sc_hd__a22oi_1 U63651 ( .A1(n56525), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__7[4]), .B1(n37065), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[60]), .Y(n43827) );
+  sky130_fd_sc_hd__a22oi_1 U63652 ( .A1(n82339), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[28]), 
+        .B1(n38397), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[28]), 
+        .Y(n43816) );
+  sky130_fd_sc_hd__nand2_1 U63653 ( .A(n45690), .B(n43801), .Y(n52787) );
+  sky130_fd_sc_hd__nor2_1 U63654 ( .A(n48496), .B(n52787), .Y(n45473) );
+  sky130_fd_sc_hd__nand2_1 U63655 ( .A(n77488), .B(n45473), .Y(n44848) );
+  sky130_fd_sc_hd__nor2_1 U63656 ( .A(n43802), .B(n44848), .Y(n50211) );
+  sky130_fd_sc_hd__a21oi_1 U63657 ( .A1(n56953), .A2(n43803), .B1(n50211), .Y(
+        n43807) );
+  sky130_fd_sc_hd__a22oi_1 U63658 ( .A1(n82290), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[28]), 
+        .B1(n82327), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[28]), 
+        .Y(n43806) );
+  sky130_fd_sc_hd__a22oi_1 U63659 ( .A1(n82309), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[28]), 
+        .B1(n82321), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[28]), 
+        .Y(n43805) );
+  sky130_fd_sc_hd__a22oi_1 U63660 ( .A1(n82278), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[28]), 
+        .B1(n82333), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[28]), 
+        .Y(n43804) );
+  sky130_fd_sc_hd__nand4_1 U63661 ( .A(n43807), .B(n43806), .C(n43805), .D(
+        n43804), .Y(n43813) );
+  sky130_fd_sc_hd__a22oi_1 U63662 ( .A1(n82315), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[28]), 
+        .B1(n38396), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[28]), 
+        .Y(n43811) );
+  sky130_fd_sc_hd__a22oi_1 U63663 ( .A1(n38401), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[28]), 
+        .B1(n82303), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[28]), 
+        .Y(n43810) );
+  sky130_fd_sc_hd__a22oi_1 U63664 ( .A1(n82284), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[28]), 
+        .B1(n82361), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[28]), 
+        .Y(n43809) );
+  sky130_fd_sc_hd__a22oi_1 U63665 ( .A1(n82296), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[28]), 
+        .B1(n82350), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[28]), 
+        .Y(n43808) );
+  sky130_fd_sc_hd__nand4_1 U63666 ( .A(n43811), .B(n43810), .C(n43809), .D(
+        n43808), .Y(n43812) );
+  sky130_fd_sc_hd__a211oi_1 U63667 ( .A1(n57586), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[28]), 
+        .B1(n43813), .C1(n43812), .Y(n43815) );
+  sky130_fd_sc_hd__a31oi_1 U63668 ( .A1(n43816), .A2(n43815), .A3(n43814), 
+        .B1(n43381), .Y(n43825) );
+  sky130_fd_sc_hd__a22oi_1 U63669 ( .A1(n57587), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__3[4]), .B1(n38443), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[28]), .Y(n43823) );
+  sky130_fd_sc_hd__a22oi_1 U63670 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[27]), 
+        .A2(n57561), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[27]), 
+        .B2(n57559), .Y(n43817) );
+  sky130_fd_sc_hd__a21oi_1 U63672 ( .A1(n57570), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[4]), .B1(n43820), .Y(
+        n43822) );
+  sky130_fd_sc_hd__a22oi_1 U63673 ( .A1(n57588), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[4]), .B1(n57571), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[60]), .Y(n43821) );
+  sky130_fd_sc_hd__nand3_1 U63674 ( .A(n43823), .B(n43822), .C(n43821), .Y(
+        n43824) );
+  sky130_fd_sc_hd__nor2_1 U63675 ( .A(n43825), .B(n43824), .Y(n43826) );
+  sky130_fd_sc_hd__nand4b_1 U63676 ( .A_N(n43829), .B(n43828), .C(n43827), .D(
+        n43826), .Y(n84507) );
+  sky130_fd_sc_hd__mux2_2 U63677 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[60]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[28]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .X(n84571) );
+  sky130_fd_sc_hd__o22ai_1 U63678 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_fmt_endian), .A2(n80553), .B1(n48170), .B2(n80564), .Y(n85073) );
+  sky130_fd_sc_hd__nor2b_1 U63679 ( .B_N(n85073), .A(n48171), .Y(n85275) );
+  sky130_fd_sc_hd__a21oi_1 U63680 ( .A1(n57600), .A2(n84571), .B1(n43830), .Y(
+        n43831) );
+  sky130_fd_sc_hd__o21ai_1 U63681 ( .A1(n57252), .A2(n43832), .B1(n43831), .Y(
+        n84551) );
+  sky130_fd_sc_hd__o2bb2ai_1 U63682 ( .B1(n65632), .B2(n45367), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[18]), .A2_N(n60100), .Y(n43839) );
+  sky130_fd_sc_hd__o2bb2ai_1 U63683 ( .B1(n59118), .B2(n60101), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[18]), .A2_N(n57630), .Y(n43833) );
+  sky130_fd_sc_hd__a21oi_1 U63684 ( .A1(n60103), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[18]), .B1(n43833), .Y(n43837) );
+  sky130_fd_sc_hd__nand2_1 U63685 ( .A(n45362), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[18]), .Y(n43836) );
+  sky130_fd_sc_hd__nand2_1 U63686 ( .A(n45352), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[18]), .Y(n43835) );
+  sky130_fd_sc_hd__nand2_1 U63687 ( .A(n45955), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[50]), .Y(n43834) );
+  sky130_fd_sc_hd__nand4_1 U63688 ( .A(n43837), .B(n43836), .C(n43835), .D(
+        n43834), .Y(n43838) );
+  sky130_fd_sc_hd__nor2_1 U63689 ( .A(n43839), .B(n43838), .Y(n43843) );
+  sky130_fd_sc_hd__a2bb2oi_1 U63690 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[18]), .B2(n57622), .A1_N(n71489), .A2_N(n60043), .Y(n43842) );
+  sky130_fd_sc_hd__a22oi_1 U63691 ( .A1(n60019), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[18]), .B1(n60093), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[18]), .Y(n43841) );
+  sky130_fd_sc_hd__nand2_1 U63692 ( .A(n57619), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[50]), .Y(n43840) );
+  sky130_fd_sc_hd__nand4_1 U63693 ( .A(n43843), .B(n43842), .C(n43841), .D(
+        n43840), .Y(n43853) );
+  sky130_fd_sc_hd__a22oi_1 U63694 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[18]), .A2(n60091), .B1(n64223), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_cfg_x), .Y(n43846) );
+  sky130_fd_sc_hd__a22oi_1 U63695 ( .A1(n64084), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_x), .B1(n60104), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[18]), .Y(n43845) );
+  sky130_fd_sc_hd__a22oi_1 U63696 ( .A1(n64977), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[18]), .B1(n64980), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[18]), .Y(n43844) );
+  sky130_fd_sc_hd__nand3_1 U63697 ( .A(n43846), .B(n43845), .C(n43844), .Y(
+        n43852) );
+  sky130_fd_sc_hd__a22oi_1 U63698 ( .A1(n65637), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[18]), .B1(n65602), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[18]), .Y(n43850) );
+  sky130_fd_sc_hd__a22oi_1 U63699 ( .A1(n57613), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[18]), .B1(n64210), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_isa[18]), .Y(n43849) );
+  sky130_fd_sc_hd__a22oi_1 U63700 ( .A1(n57618), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[18]), .B1(n60025), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[18]), .Y(n43848) );
+  sky130_fd_sc_hd__a22oi_1 U63701 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[18]), .A2(n60096), .B1(n64975), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[18]), .Y(n43847) );
+  sky130_fd_sc_hd__nand4_1 U63702 ( .A(n43850), .B(n43849), .C(n43848), .D(
+        n43847), .Y(n43851) );
+  sky130_fd_sc_hd__nor3_1 U63703 ( .A(n43853), .B(n43852), .C(n43851), .Y(
+        n43860) );
+  sky130_fd_sc_hd__a21oi_1 U63704 ( .A1(n57663), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[18]), .B1(n57661), .Y(n43857) );
+  sky130_fd_sc_hd__nand2_1 U63705 ( .A(n65309), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[18]), .Y(n43855) );
+  sky130_fd_sc_hd__nand2_1 U63706 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[50]), .B(n57658), .Y(n43854) );
+  sky130_fd_sc_hd__nand2_1 U63707 ( .A(n43855), .B(n43854), .Y(n65650) );
+  sky130_fd_sc_hd__a22oi_1 U63708 ( .A1(n57662), .A2(n65650), .B1(n45976), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[18]), .Y(n43856) );
+  sky130_fd_sc_hd__o211a_2 U63709 ( .A1(n43860), .A2(n45979), .B1(n43857), 
+        .C1(n43856), .X(n68921) );
+  sky130_fd_sc_hd__nand2_1 U63710 ( .A(n40808), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[4]), .Y(n43858) );
+  sky130_fd_sc_hd__o21ai_1 U63711 ( .A1(n70629), .A2(n40808), .B1(n43858), .Y(
+        n84290) );
+  sky130_fd_sc_hd__nand2_1 U63712 ( .A(n36798), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[4]), .Y(n43859) );
+  sky130_fd_sc_hd__o21ai_1 U63713 ( .A1(n70629), .A2(n36798), .B1(n43859), .Y(
+        n84279) );
+  sky130_fd_sc_hd__nor2_1 U63714 ( .A(n57607), .B(n43860), .Y(n43862) );
+  sky130_fd_sc_hd__mux2_2 U63715 ( .A0(n55614), .A1(n43862), .S(n43861), .X(
+        n85748) );
+  sky130_fd_sc_hd__nand2_1 U63716 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[6]), .Y(n43863) );
+  sky130_fd_sc_hd__nand2_1 U63717 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[13]), .Y(n43864) );
+  sky130_fd_sc_hd__o22ai_1 U63718 ( .A1(n74090), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[12]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[19]), .B2(n74144), .Y(n43865) );
+  sky130_fd_sc_hd__a221oi_1 U63719 ( .A1(n74090), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[12]), .B1(n74144), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[19]), .C1(n43865), .Y(n43880) );
+  sky130_fd_sc_hd__nand2_1 U63720 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[0]), .Y(n43866) );
+  sky130_fd_sc_hd__nand2_1 U63721 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[5]), .Y(n43867) );
+  sky130_fd_sc_hd__o22ai_1 U63722 ( .A1(n83025), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[6]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[11]), .B2(n64171), .Y(n43868) );
+  sky130_fd_sc_hd__a221oi_1 U63723 ( .A1(n83025), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[6]), .B1(n64171), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[11]), .C1(n43868), .Y(n43879) );
+  sky130_fd_sc_hd__nand2_1 U63724 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[11]), .Y(n43869) );
+  sky130_fd_sc_hd__o21ai_1 U63725 ( .A1(n74070), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[17]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_ack_wait), .Y(n43870) );
+  sky130_fd_sc_hd__a21oi_1 U63726 ( .A1(n74070), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[17]), .B1(n43870), .Y(n43878) );
+  sky130_fd_sc_hd__nand2_1 U63727 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[9]), .Y(n43871) );
+  sky130_fd_sc_hd__nand2_1 U63728 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[10]), .Y(n43872) );
+  sky130_fd_sc_hd__nand2_1 U63729 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[15]), .Y(n43873) );
+  sky130_fd_sc_hd__o22ai_1 U63730 ( .A1(n74085), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[16]), .B1(n74119), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[21]), .Y(n43874) );
+  sky130_fd_sc_hd__a221oi_1 U63731 ( .A1(n74085), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[16]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[21]), .B2(n74119), .C1(n43874), .Y(n43875) );
+  sky130_fd_sc_hd__a21oi_1 U63733 ( .A1(n74054), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[15]), .B1(n43876), .Y(n43877) );
+  sky130_fd_sc_hd__nand4_1 U63734 ( .A(n43880), .B(n43879), .C(n43878), .D(
+        n43877), .Y(n43901) );
+  sky130_fd_sc_hd__nand2_1 U63735 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[2]), .Y(n43881) );
+  sky130_fd_sc_hd__nand2_1 U63736 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[8]), .Y(n43882) );
+  sky130_fd_sc_hd__o22ai_1 U63737 ( .A1(n74172), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[8]), .B1(n74075), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[14]), .Y(n43883) );
+  sky130_fd_sc_hd__a221oi_1 U63738 ( .A1(n74172), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[8]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[14]), .B2(n74075), .C1(n43883), .Y(n43896) );
+  sky130_fd_sc_hd__nand2_1 U63739 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[7]), .Y(n43884) );
+  sky130_fd_sc_hd__nand2_1 U63740 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[14]), .Y(n43885) );
+  sky130_fd_sc_hd__o22ai_1 U63741 ( .A1(n74080), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[13]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[20]), .B2(n74107), .Y(n43886) );
+  sky130_fd_sc_hd__a221oi_1 U63742 ( .A1(n74080), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[13]), .B1(n74107), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[20]), .C1(n43886), .Y(n43895) );
+  sky130_fd_sc_hd__nand2_1 U63743 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[3]), .Y(n43887) );
+  sky130_fd_sc_hd__nand2_1 U63744 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[12]), .Y(n43888) );
+  sky130_fd_sc_hd__o22ai_1 U63745 ( .A1(n74169), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[9]), .B1(n74065), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[18]), .Y(n43889) );
+  sky130_fd_sc_hd__a221oi_1 U63746 ( .A1(n74169), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[9]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[18]), .B2(n74065), .C1(n43889), .Y(n43894) );
+  sky130_fd_sc_hd__nand2_1 U63747 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[1]), .Y(n43890) );
+  sky130_fd_sc_hd__nand2_1 U63748 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[4]), .Y(n43891) );
+  sky130_fd_sc_hd__o22ai_1 U63749 ( .A1(n83028), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[7]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[10]), .B2(n74175), .Y(n43892) );
+  sky130_fd_sc_hd__a221oi_1 U63750 ( .A1(n83028), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[7]), .B1(n74175), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[10]), .C1(n43892), .Y(n43893) );
+  sky130_fd_sc_hd__nand4_1 U63751 ( .A(n43896), .B(n43895), .C(n43894), .D(
+        n43893), .Y(n43900) );
+  sky130_fd_sc_hd__nor4_1 U63752 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_grantInProgress), .B(n43898), .C(n43897), .D(n78231), .Y(n43899) );
+  sky130_fd_sc_hd__o21a_1 U63753 ( .A1(n43901), .A2(n43900), .B1(n43899), .X(
+        n43904) );
+  sky130_fd_sc_hd__xnor2_1 U63754 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[16]), .Y(n43906) );
+  sky130_fd_sc_hd__xnor2_1 U63755 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[18]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[30]), .Y(n43905) );
+  sky130_fd_sc_hd__nand2_1 U63756 ( .A(n43906), .B(n43905), .Y(n43936) );
+  sky130_fd_sc_hd__xnor2_1 U63757 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[24]), .Y(n43908) );
+  sky130_fd_sc_hd__xnor2_1 U63758 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[27]), .Y(n43907) );
+  sky130_fd_sc_hd__nand2_1 U63759 ( .A(n43908), .B(n43907), .Y(n43935) );
+  sky130_fd_sc_hd__xnor2_1 U63760 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[14]), .Y(n43910) );
+  sky130_fd_sc_hd__xnor2_1 U63761 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[21]), .Y(n43909) );
+  sky130_fd_sc_hd__xnor2_1 U63762 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[12]), .B(n43911), .Y(n43913) );
+  sky130_fd_sc_hd__xor2_1 U63763 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[18]), .X(n43912) );
+  sky130_fd_sc_hd__nor2_1 U63764 ( .A(n43913), .B(n43912), .Y(n43917) );
+  sky130_fd_sc_hd__xnor2_1 U63765 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[13]), .Y(n43915) );
+  sky130_fd_sc_hd__xnor2_1 U63766 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[13]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[25]), .Y(n43914) );
+  sky130_fd_sc_hd__xnor2_1 U63767 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[28]), .Y(n43916) );
+  sky130_fd_sc_hd__nand4_1 U63768 ( .A(n43918), .B(n43917), .C(n38414), .D(
+        n43916), .Y(n43934) );
+  sky130_fd_sc_hd__xnor2_1 U63769 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[15]), .B(n43919), .Y(n43921) );
+  sky130_fd_sc_hd__xor2_1 U63770 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[17]), .X(n43920) );
+  sky130_fd_sc_hd__nor2_1 U63771 ( .A(n43921), .B(n43920), .Y(n43932) );
+  sky130_fd_sc_hd__xnor2_1 U63772 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[20]), .Y(n43923) );
+  sky130_fd_sc_hd__xnor2_1 U63773 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[17]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[29]), .Y(n43922) );
+  sky130_fd_sc_hd__xnor2_1 U63774 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[22]), .B(n43924), .Y(n43926) );
+  sky130_fd_sc_hd__xor2_1 U63775 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[23]), .X(n43925) );
+  sky130_fd_sc_hd__nor2_1 U63776 ( .A(n43926), .B(n43925), .Y(n43930) );
+  sky130_fd_sc_hd__xnor2_1 U63777 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[19]), .Y(n43928) );
+  sky130_fd_sc_hd__xnor2_1 U63778 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[26]), .Y(n43927) );
+  sky130_fd_sc_hd__nand4_1 U63779 ( .A(n43932), .B(n43931), .C(n43930), .D(
+        n43929), .Y(n43933) );
+  sky130_fd_sc_hd__o21ai_1 U63780 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[20]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[19]), .B1(n53445), .Y(n64178) );
+  sky130_fd_sc_hd__nand2_1 U63781 ( .A(n65309), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[25]), .Y(n43938) );
+  sky130_fd_sc_hd__nand2_1 U63782 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[57]), .B(n57658), .Y(n43937) );
+  sky130_fd_sc_hd__nand2_1 U63783 ( .A(n43938), .B(n43937), .Y(n65657) );
+  sky130_fd_sc_hd__a21oi_1 U63784 ( .A1(n57662), .A2(n65657), .B1(n57661), .Y(
+        n43963) );
+  sky130_fd_sc_hd__o2bb2ai_1 U63785 ( .B1(n71622), .B2(n44098), .A1_N(n64975), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[25]), .Y(n43939) );
+  sky130_fd_sc_hd__a21oi_1 U63786 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[25]), .A2(n57630), .B1(n43939), .Y(n43961) );
+  sky130_fd_sc_hd__nand2_1 U63787 ( .A(n57618), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[25]), .Y(n43943) );
+  sky130_fd_sc_hd__nand2_1 U63788 ( .A(n65637), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[25]), .Y(n43942) );
+  sky130_fd_sc_hd__nand2_1 U63789 ( .A(n57625), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[25]), .Y(n43941) );
+  sky130_fd_sc_hd__nand2_1 U63790 ( .A(n65602), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[25]), .Y(n43940) );
+  sky130_fd_sc_hd__nand4_1 U63791 ( .A(n43943), .B(n43942), .C(n43941), .D(
+        n43940), .Y(n43945) );
+  sky130_fd_sc_hd__o22ai_1 U63792 ( .A1(n70674), .A2(n60030), .B1(n71169), 
+        .B2(n60034), .Y(n43944) );
+  sky130_fd_sc_hd__nor2_1 U63793 ( .A(n43945), .B(n43944), .Y(n43948) );
+  sky130_fd_sc_hd__a2bb2oi_1 U63794 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[25]), .B2(n60093), .A1_N(n71456), .A2_N(n60043), .Y(n43947) );
+  sky130_fd_sc_hd__a22oi_1 U63795 ( .A1(n45955), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[57]), .B1(n57622), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[25]), .Y(n43946) );
+  sky130_fd_sc_hd__nand3_1 U63796 ( .A(n43948), .B(n43947), .C(n43946), .Y(
+        n43954) );
+  sky130_fd_sc_hd__nand2_1 U63797 ( .A(n57619), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[57]), .Y(n43952) );
+  sky130_fd_sc_hd__a22oi_1 U63798 ( .A1(n60019), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[25]), .B1(n60100), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[25]), .Y(n43951) );
+  sky130_fd_sc_hd__nand2_1 U63799 ( .A(n57634), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[25]), .Y(n43950) );
+  sky130_fd_sc_hd__nand2_1 U63800 ( .A(n60091), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[25]), .Y(n43949) );
+  sky130_fd_sc_hd__nand4_1 U63801 ( .A(n43952), .B(n43951), .C(n43950), .D(
+        n43949), .Y(n43953) );
+  sky130_fd_sc_hd__nor2_1 U63802 ( .A(n43954), .B(n43953), .Y(n43960) );
+  sky130_fd_sc_hd__a22oi_1 U63803 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_cfg_w), .A2(n64223), .B1(n60103), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[25]), .Y(n43958) );
+  sky130_fd_sc_hd__a22oi_1 U63804 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_w), .A2(n64084), .B1(n64980), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[25]), .Y(n43957) );
+  sky130_fd_sc_hd__a22oi_1 U63805 ( .A1(n57613), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[25]), .B1(n64977), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[25]), .Y(n43956) );
+  sky130_fd_sc_hd__a22oi_1 U63806 ( .A1(n60025), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[25]), .B1(n60104), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[25]), .Y(n43955) );
+  sky130_fd_sc_hd__and4_1 U63807 ( .A(n43958), .B(n43957), .C(n43956), .D(
+        n43955), .X(n43959) );
+  sky130_fd_sc_hd__nand3_1 U63808 ( .A(n43961), .B(n43960), .C(n43959), .Y(
+        n57609) );
+  sky130_fd_sc_hd__a22oi_1 U63809 ( .A1(n57665), .A2(n57609), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[25]), .B2(n57663), .Y(n43962) );
+  sky130_fd_sc_hd__o211ai_1 U63810 ( .A1(n75908), .A2(n57668), .B1(n43963), 
+        .C1(n43962), .Y(n85602) );
+  sky130_fd_sc_hd__nand2_1 U63811 ( .A(n65309), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[17]), .Y(n43965) );
+  sky130_fd_sc_hd__nand2_1 U63812 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[49]), .B(n57658), .Y(n43964) );
+  sky130_fd_sc_hd__nand2_1 U63813 ( .A(n43965), .B(n43964), .Y(n66331) );
+  sky130_fd_sc_hd__a21oi_1 U63814 ( .A1(n57662), .A2(n66331), .B1(n57661), .Y(
+        n43991) );
+  sky130_fd_sc_hd__nand2_1 U63815 ( .A(n57619), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[49]), .Y(n43978) );
+  sky130_fd_sc_hd__o22ai_1 U63816 ( .A1(n74310), .A2(n45945), .B1(n74746), 
+        .B2(n60043), .Y(n43969) );
+  sky130_fd_sc_hd__o22ai_1 U63817 ( .A1(n43967), .A2(n60046), .B1(n43966), 
+        .B2(n60101), .Y(n43968) );
+  sky130_fd_sc_hd__nor2_1 U63818 ( .A(n43969), .B(n43968), .Y(n43971) );
+  sky130_fd_sc_hd__nand2_1 U63819 ( .A(n65602), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[17]), .Y(n43970) );
+  sky130_fd_sc_hd__o211ai_1 U63820 ( .A1(n74472), .A2(n60030), .B1(n43971), 
+        .C1(n43970), .Y(n43974) );
+  sky130_fd_sc_hd__o22ai_1 U63821 ( .A1(n43972), .A2(n57620), .B1(n74238), 
+        .B2(n60034), .Y(n43973) );
+  sky130_fd_sc_hd__nor2_1 U63822 ( .A(n43974), .B(n43973), .Y(n43977) );
+  sky130_fd_sc_hd__a22oi_1 U63823 ( .A1(n57622), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[17]), .B1(n60096), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[17]), .Y(n43976) );
+  sky130_fd_sc_hd__a2bb2oi_1 U63824 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[17]), .B2(n60091), .A1_N(n66354), .A2_N(n45367), .Y(n43975) );
+  sky130_fd_sc_hd__nand4_1 U63825 ( .A(n43978), .B(n43977), .C(n43976), .D(
+        n43975), .Y(n43988) );
+  sky130_fd_sc_hd__a22oi_1 U63826 ( .A1(n64977), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[17]), .B1(n64980), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[17]), .Y(n43981) );
+  sky130_fd_sc_hd__a22oi_1 U63827 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[17]), .A2(n60100), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_cfg_w), .B2(n64223), .Y(n43980) );
+  sky130_fd_sc_hd__a22oi_1 U63828 ( .A1(n57613), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[17]), .B1(n60103), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[17]), .Y(n43979) );
+  sky130_fd_sc_hd__nand3_1 U63829 ( .A(n43981), .B(n43980), .C(n43979), .Y(
+        n43987) );
+  sky130_fd_sc_hd__a22oi_1 U63830 ( .A1(n65637), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[17]), .B1(n60025), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[17]), .Y(n43985) );
+  sky130_fd_sc_hd__a22oi_1 U63831 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[17]), .A2(n60093), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_w), .B2(n64084), .Y(n43984) );
+  sky130_fd_sc_hd__a22oi_1 U63832 ( .A1(n57618), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[17]), .B1(n60104), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[17]), .Y(n43983) );
+  sky130_fd_sc_hd__a22oi_1 U63833 ( .A1(n64975), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[17]), .B1(n45285), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_mprv), .Y(n43982) );
+  sky130_fd_sc_hd__nand4_1 U63834 ( .A(n43985), .B(n43984), .C(n43983), .D(
+        n43982), .Y(n43986) );
+  sky130_fd_sc_hd__nor3_1 U63835 ( .A(n43988), .B(n43987), .C(n43986), .Y(
+        n44132) );
+  sky130_fd_sc_hd__a2bb2oi_1 U63836 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[17]), .B2(n57663), .A1_N(n44132), .A2_N(n45979), .Y(n43990) );
+  sky130_fd_sc_hd__nand2_1 U63837 ( .A(n45976), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[17]), .Y(n43989) );
+  sky130_fd_sc_hd__nand3_1 U63838 ( .A(n43991), .B(n43990), .C(n43989), .Y(
+        n85558) );
+  sky130_fd_sc_hd__nand2_1 U63839 ( .A(n40808), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[3]), .Y(n43992) );
+  sky130_fd_sc_hd__o21ai_1 U63840 ( .A1(n66438), .A2(n40808), .B1(n43992), .Y(
+        n84289) );
+  sky130_fd_sc_hd__nand2_1 U63841 ( .A(n36798), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[3]), .Y(n43993) );
+  sky130_fd_sc_hd__o21ai_1 U63842 ( .A1(n66438), .A2(n36798), .B1(n43993), .Y(
+        n84278) );
+  sky130_fd_sc_hd__a2bb2oi_1 U63843 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[8]), .B2(n45347), .A1_N(n76087), .A2_N(n45341), .Y(n44037) );
+  sky130_fd_sc_hd__o22ai_1 U63844 ( .A1(n45367), .A2(n68548), .B1(n43994), 
+        .B2(n83886), .Y(n43999) );
+  sky130_fd_sc_hd__a22o_1 U63845 ( .A1(n60025), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[8]), .B1(n64975), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[8]), .X(n43995) );
+  sky130_fd_sc_hd__a21oi_1 U63846 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_cfg_r), .A2(n64223), .B1(n43995), .Y(n43996) );
+  sky130_fd_sc_hd__nor2_1 U63848 ( .A(n43999), .B(n43998), .Y(n44031) );
+  sky130_fd_sc_hd__o22ai_1 U63849 ( .A1(n68631), .A2(n57620), .B1(n74223), 
+        .B2(n60034), .Y(n44004) );
+  sky130_fd_sc_hd__nand2_1 U63850 ( .A(n57622), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[8]), .Y(n44002) );
+  sky130_fd_sc_hd__nand2_1 U63851 ( .A(n57618), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[8]), .Y(n44001) );
+  sky130_fd_sc_hd__nand2_1 U63852 ( .A(n64084), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_cfg_r), .Y(n44000) );
+  sky130_fd_sc_hd__nand3_1 U63853 ( .A(n44002), .B(n44001), .C(n44000), .Y(
+        n44003) );
+  sky130_fd_sc_hd__nor2_1 U63854 ( .A(n44004), .B(n44003), .Y(n44018) );
+  sky130_fd_sc_hd__a22oi_1 U63855 ( .A1(n60103), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[8]), .B1(n76373), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_lo_6[8]), .Y(n44017) );
+  sky130_fd_sc_hd__nand2_1 U63856 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[8]), .B(n64980), .Y(n44006) );
+  sky130_fd_sc_hd__a22oi_1 U63857 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[8]), .A2(n57625), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_spp), .B2(n60553), .Y(n44005) );
+  sky130_fd_sc_hd__nand2_1 U63858 ( .A(n44006), .B(n44005), .Y(n44007) );
+  sky130_fd_sc_hd__a21oi_1 U63859 ( .A1(n57630), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[8]), .B1(n44007), .Y(n44008) );
+  sky130_fd_sc_hd__a21oi_1 U63861 ( .A1(n60104), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[8]), .B1(n44010), .Y(n44016) );
+  sky130_fd_sc_hd__nand2_1 U63862 ( .A(n64977), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[8]), .Y(n44013) );
+  sky130_fd_sc_hd__nand2_1 U63863 ( .A(n65637), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[8]), .Y(n44012) );
+  sky130_fd_sc_hd__nand2_1 U63864 ( .A(n65602), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[8]), .Y(n44011) );
+  sky130_fd_sc_hd__nand3_1 U63865 ( .A(n44013), .B(n44012), .C(n44011), .Y(
+        n44014) );
+  sky130_fd_sc_hd__a21oi_1 U63866 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[8]), .A2(n45362), .B1(n44014), .Y(n44015) );
+  sky130_fd_sc_hd__nand4_1 U63867 ( .A(n44018), .B(n44017), .C(n44016), .D(
+        n44015), .Y(n44028) );
+  sky130_fd_sc_hd__a22oi_1 U63868 ( .A1(n60096), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[8]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[8]), .B2(n60093), .Y(n44026) );
+  sky130_fd_sc_hd__a2bb2oi_1 U63869 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[8]), .B2(n60100), .A1_N(n74775), .A2_N(n60043), .Y(n44025) );
+  sky130_fd_sc_hd__o22a_1 U63870 ( .A1(n44022), .A2(n44021), .B1(n44020), .B2(
+        n63155), .X(n44023) );
+  sky130_fd_sc_hd__nand4_1 U63871 ( .A(n44026), .B(n44025), .C(n44024), .D(
+        n44023), .Y(n44027) );
+  sky130_fd_sc_hd__nor2_1 U63872 ( .A(n44028), .B(n44027), .Y(n44030) );
+  sky130_fd_sc_hd__nand2_1 U63873 ( .A(n57619), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[40]), .Y(n44029) );
+  sky130_fd_sc_hd__nand3_1 U63874 ( .A(n44031), .B(n44030), .C(n44029), .Y(
+        n55604) );
+  sky130_fd_sc_hd__a21oi_1 U63875 ( .A1(n57665), .A2(n55604), .B1(n57649), .Y(
+        n44036) );
+  sky130_fd_sc_hd__nand2_1 U63876 ( .A(n65309), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[8]), .Y(n44033) );
+  sky130_fd_sc_hd__nand2_1 U63877 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[40]), .B(n57658), .Y(n44032) );
+  sky130_fd_sc_hd__nand2_1 U63878 ( .A(n44033), .B(n44032), .Y(n65993) );
+  sky130_fd_sc_hd__a2bb2oi_1 U63879 ( .B1(n65993), .B2(n57662), .A1_N(n44034), 
+        .A2_N(n45337), .Y(n44035) );
+  sky130_fd_sc_hd__and3_1 U63880 ( .A(n44037), .B(n44036), .C(n44035), .X(
+        n68588) );
+  sky130_fd_sc_hd__inv_1 U63881 ( .A(n53947), .Y(n53929) );
+  sky130_fd_sc_hd__a21oi_1 U63882 ( .A1(n53929), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[7]), .B1(n69617), .Y(n44039) );
+  sky130_fd_sc_hd__a22oi_1 U63883 ( .A1(n37071), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[7]), .B1(n53944), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[7]), .Y(n44038) );
+  sky130_fd_sc_hd__a2bb2oi_1 U63884 ( .B1(n44039), .B2(n44038), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_bypass_1), .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[7]), .Y(n85611) );
+  sky130_fd_sc_hd__nand2_1 U63885 ( .A(n44040), .B(n65139), .Y(n66741) );
+  sky130_fd_sc_hd__nand2_1 U63886 ( .A(n69617), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_size[1]), .Y(n66747) );
+  sky130_fd_sc_hd__nand2_1 U63887 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_size[0]), .B(n69617), .Y(n44041) );
+  sky130_fd_sc_hd__nand2_1 U63888 ( .A(n66747), .B(n44041), .Y(n66740) );
+  sky130_fd_sc_hd__nand2_1 U63889 ( .A(n53944), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[15]), .Y(n44044) );
+  sky130_fd_sc_hd__nand2_1 U63890 ( .A(n37071), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[15]), .Y(n44043) );
+  sky130_fd_sc_hd__nand2_1 U63891 ( .A(n53929), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[15]), .Y(n44042) );
+  sky130_fd_sc_hd__nand3_1 U63892 ( .A(n44044), .B(n44043), .C(n44042), .Y(
+        n69675) );
+  sky130_fd_sc_hd__nand2_1 U63893 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_size[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_bypass_1), .Y(n44045) );
+  sky130_fd_sc_hd__nand2_1 U63894 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_size[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_bypass_1), .Y(n66746) );
+  sky130_fd_sc_hd__nand2_1 U63895 ( .A(n44045), .B(n66746), .Y(n66739) );
+  sky130_fd_sc_hd__a22oi_1 U63896 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[15]), .A2(n66740), .B1(n69675), .B2(n66739), .Y(n44046) );
+  sky130_fd_sc_hd__o21ai_1 U63897 ( .A1(n66741), .A2(n65137), .B1(n44046), .Y(
+        n85549) );
+  sky130_fd_sc_hd__nor2_1 U63898 ( .A(n75916), .B(n56044), .Y(n84381) );
+  sky130_fd_sc_hd__nand2_1 U63899 ( .A(n54312), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[47]), .Y(n44048) );
+  sky130_fd_sc_hd__nand2_1 U63900 ( .A(n54307), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[15]), .Y(n44047) );
+  sky130_fd_sc_hd__nand2_1 U63901 ( .A(n44048), .B(n44047), .Y(n84757) );
+  sky130_fd_sc_hd__o21ai_0 U63902 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_15[0]), .A2(
+        n54216), .B1(n38490), .Y(n44049) );
+  sky130_fd_sc_hd__nand2_1 U63903 ( .A(n44049), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_15[1]), .Y(
+        n44064) );
+  sky130_fd_sc_hd__nand2_1 U63904 ( .A(n38465), .B(n44050), .Y(n44058) );
+  sky130_fd_sc_hd__a21oi_1 U63905 ( .A1(n51026), .A2(n44055), .B1(n44054), .Y(
+        n45390) );
+  sky130_fd_sc_hd__o21ai_1 U63906 ( .A1(n44056), .A2(n45390), .B1(n45387), .Y(
+        n44057) );
+  sky130_fd_sc_hd__xnor2_1 U63907 ( .A(n44058), .B(n44057), .Y(n44059) );
+  sky130_fd_sc_hd__a22oi_1 U63908 ( .A1(n54228), .A2(n44059), .B1(n52494), 
+        .B2(n84757), .Y(n44063) );
+  sky130_fd_sc_hd__nand2_1 U63909 ( .A(n54218), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_15[1]), .Y(
+        n44060) );
+  sky130_fd_sc_hd__nand3_1 U63912 ( .A(n44064), .B(n44063), .C(n44062), .Y(
+        n84357) );
+  sky130_fd_sc_hd__nand2_1 U63913 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[47]), .Y(n44066) );
+  sky130_fd_sc_hd__nand2_1 U63914 ( .A(n55037), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[15]), .Y(n44065) );
+  sky130_fd_sc_hd__nand2_1 U63915 ( .A(n44066), .B(n44065), .Y(n85666) );
+  sky130_fd_sc_hd__nor2_1 U63916 ( .A(n57316), .B(n52165), .Y(n52756) );
+  sky130_fd_sc_hd__a22oi_1 U63917 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__5[7]), .A2(n56525), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[15]), .B2(n57558), .Y(n44067) );
+  sky130_fd_sc_hd__a22oi_1 U63918 ( .A1(n57570), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[7]), .B1(n57587), .B2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__1[7]), .Y(n44070) );
+  sky130_fd_sc_hd__a22oi_1 U63919 ( .A1(n57571), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[47]), .B1(n38443), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[15]), .Y(n44069) );
+  sky130_fd_sc_hd__nand2_1 U63920 ( .A(n37065), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[47]), .Y(n44068) );
+  sky130_fd_sc_hd__nand4_1 U63921 ( .A(n44071), .B(n44070), .C(n44069), .D(
+        n44068), .Y(n44072) );
+  sky130_fd_sc_hd__a21oi_1 U63922 ( .A1(n57361), .A2(n52756), .B1(n44072), .Y(
+        n44090) );
+  sky130_fd_sc_hd__nand2_1 U63923 ( .A(n46781), .B(n57163), .Y(n52086) );
+  sky130_fd_sc_hd__nor2_1 U63924 ( .A(n57422), .B(n52086), .Y(n52399) );
+  sky130_fd_sc_hd__nand2_1 U63925 ( .A(n52399), .B(n47200), .Y(n48516) );
+  sky130_fd_sc_hd__a22o_1 U63926 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[46]), 
+        .A2(n57563), .B1(MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[7]), 
+        .B2(n57588), .X(n44088) );
+  sky130_fd_sc_hd__a22oi_1 U63927 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[14]), 
+        .A2(n57559), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[14]), 
+        .B2(n57560), .Y(n44074) );
+  sky130_fd_sc_hd__a22oi_1 U63928 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[4]), .A2(n57562), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[46]), 
+        .B2(n57564), .Y(n44073) );
+  sky130_fd_sc_hd__o211ai_1 U63929 ( .A1(n50909), .A2(n78156), .B1(n44074), 
+        .C1(n44073), .Y(n44087) );
+  sky130_fd_sc_hd__a22oi_1 U63930 ( .A1(n82296), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[15]), 
+        .B1(n82290), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[15]), 
+        .Y(n44078) );
+  sky130_fd_sc_hd__a22oi_1 U63931 ( .A1(n38397), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[15]), 
+        .B1(n82284), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[15]), 
+        .Y(n44077) );
+  sky130_fd_sc_hd__a22oi_1 U63932 ( .A1(n82315), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[15]), 
+        .B1(n82321), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[15]), 
+        .Y(n44076) );
+  sky130_fd_sc_hd__a22oi_1 U63933 ( .A1(n82309), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[15]), 
+        .B1(n38396), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[15]), 
+        .Y(n44075) );
+  sky130_fd_sc_hd__nand4_1 U63934 ( .A(n44078), .B(n44077), .C(n44076), .D(
+        n44075), .Y(n44084) );
+  sky130_fd_sc_hd__a22oi_1 U63935 ( .A1(n82278), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[15]), 
+        .B1(n82303), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[15]), 
+        .Y(n44082) );
+  sky130_fd_sc_hd__a22oi_1 U63936 ( .A1(n82339), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[15]), 
+        .B1(n82327), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[15]), 
+        .Y(n44081) );
+  sky130_fd_sc_hd__a22oi_1 U63937 ( .A1(n82333), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[15]), 
+        .B1(n38401), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[15]), 
+        .Y(n44080) );
+  sky130_fd_sc_hd__a22oi_1 U63938 ( .A1(n82350), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[15]), 
+        .B1(n82361), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[15]), 
+        .Y(n44079) );
+  sky130_fd_sc_hd__nand4_1 U63939 ( .A(n44082), .B(n44081), .C(n44080), .D(
+        n44079), .Y(n44083) );
+  sky130_fd_sc_hd__a211oi_1 U63940 ( .A1(n57586), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[15]), 
+        .B1(n44084), .C1(n44083), .Y(n44085) );
+  sky130_fd_sc_hd__nor2_1 U63941 ( .A(n44085), .B(n43381), .Y(n44086) );
+  sky130_fd_sc_hd__nor3_1 U63942 ( .A(n44088), .B(n44087), .C(n44086), .Y(
+        n44089) );
+  sky130_fd_sc_hd__nand3_1 U63943 ( .A(n44090), .B(n48516), .C(n44089), .Y(
+        n84498) );
+  sky130_fd_sc_hd__mux2_2 U63944 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[47]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[15]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .X(n84562) );
+  sky130_fd_sc_hd__nor2_1 U63945 ( .A(n77567), .B(n83302), .Y(n44592) );
+  sky130_fd_sc_hd__o21ai_1 U63946 ( .A1(n83303), .A2(n44592), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_written_once), .Y(n44091) );
+  sky130_fd_sc_hd__nor2_1 U63947 ( .A(n44092), .B(n44091), .Y(n83298) );
+  sky130_fd_sc_hd__nor2_1 U63948 ( .A(n56658), .B(n57101), .Y(n57368) );
+  sky130_fd_sc_hd__o2bb2ai_1 U63949 ( .B1(n83298), .B2(n48521), .A1_N(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_1[7]), .A2_N(n57368), .Y(n44093) );
+  sky130_fd_sc_hd__a21oi_1 U63950 ( .A1(n57600), .A2(n84562), .B1(n44093), .Y(
+        n44094) );
+  sky130_fd_sc_hd__nand2_1 U63952 ( .A(n40808), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[1]), .Y(n44096) );
+  sky130_fd_sc_hd__o21ai_1 U63953 ( .A1(n72363), .A2(n40808), .B1(n44096), .Y(
+        n84287) );
+  sky130_fd_sc_hd__nand2_1 U63954 ( .A(n36798), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[1]), .Y(n44097) );
+  sky130_fd_sc_hd__o21ai_1 U63955 ( .A1(n72363), .A2(n36798), .B1(n44097), .Y(
+        n84276) );
+  sky130_fd_sc_hd__o22ai_1 U63956 ( .A1(n74791), .A2(n60043), .B1(n75104), 
+        .B2(n44098), .Y(n44099) );
+  sky130_fd_sc_hd__a21oi_1 U63957 ( .A1(n65637), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[15]), .B1(n44099), .Y(n44120) );
+  sky130_fd_sc_hd__nand2_1 U63958 ( .A(n57619), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[47]), .Y(n44108) );
+  sky130_fd_sc_hd__o22ai_1 U63959 ( .A1(n74268), .A2(n45945), .B1(n44100), 
+        .B2(n60101), .Y(n44101) );
+  sky130_fd_sc_hd__a21oi_1 U63960 ( .A1(n57630), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[15]), .B1(n44101), .Y(n44103) );
+  sky130_fd_sc_hd__nand2_1 U63961 ( .A(n64084), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_cfg_l), .Y(n44102) );
+  sky130_fd_sc_hd__o211ai_1 U63962 ( .A1(n74444), .A2(n60030), .B1(n44103), 
+        .C1(n44102), .Y(n44104) );
+  sky130_fd_sc_hd__a21oi_1 U63963 ( .A1(n57634), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[15]), .B1(n44104), .Y(n44107) );
+  sky130_fd_sc_hd__a2bb2oi_1 U63964 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[15]), .B2(n57622), .A1_N(n71150), .A2_N(n60034), .Y(n44106) );
+  sky130_fd_sc_hd__a22oi_1 U63965 ( .A1(n45955), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[47]), .B1(n60091), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[15]), .Y(n44105) );
+  sky130_fd_sc_hd__nand4_1 U63966 ( .A(n44108), .B(n44107), .C(n44106), .D(
+        n44105), .Y(n44114) );
+  sky130_fd_sc_hd__a22oi_1 U63967 ( .A1(n64980), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[15]), .B1(n60104), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[15]), .Y(n44112) );
+  sky130_fd_sc_hd__a22oi_1 U63968 ( .A1(n60103), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[15]), .B1(n65602), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[15]), .Y(n44111) );
+  sky130_fd_sc_hd__a22oi_1 U63969 ( .A1(n57613), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[15]), .B1(n57618), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[15]), .Y(n44110) );
+  sky130_fd_sc_hd__a22oi_1 U63970 ( .A1(n64977), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[15]), .B1(n60025), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[15]), .Y(n44109) );
+  sky130_fd_sc_hd__nand4_1 U63971 ( .A(n44112), .B(n44111), .C(n44110), .D(
+        n44109), .Y(n44113) );
+  sky130_fd_sc_hd__nor2_1 U63972 ( .A(n44114), .B(n44113), .Y(n44119) );
+  sky130_fd_sc_hd__a22oi_1 U63973 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_cfg_l), .A2(n64223), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[15]), .B2(n60100), .Y(n44117) );
+  sky130_fd_sc_hd__a22oi_1 U63974 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[15]), .A2(n60093), .B1(n45965), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_15), .Y(n44116) );
+  sky130_fd_sc_hd__a22oi_1 U63975 ( .A1(n64975), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[15]), .B1(n76373), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__causeIsDebugBreak_T_3_3), .Y(n44115) );
+  sky130_fd_sc_hd__and3_1 U63976 ( .A(n44117), .B(n44116), .C(n44115), .X(
+        n44118) );
+  sky130_fd_sc_hd__nand3_1 U63977 ( .A(n44120), .B(n44119), .C(n44118), .Y(
+        n57651) );
+  sky130_fd_sc_hd__nand2_1 U63978 ( .A(n57651), .B(n70399), .Y(n44123) );
+  sky130_fd_sc_hd__nor2_1 U63979 ( .A(n44122), .B(n55614), .Y(n44121) );
+  sky130_fd_sc_hd__nand2_1 U63980 ( .A(n85747), .B(n72568), .Y(n64115) );
+  sky130_fd_sc_hd__nor2_1 U63981 ( .A(n57607), .B(n44127), .Y(n44128) );
+  sky130_fd_sc_hd__nand2_1 U63982 ( .A(n70401), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[11]), .Y(n72566) );
+  sky130_fd_sc_hd__nand2_1 U63983 ( .A(n85794), .B(n72568), .Y(n70009) );
+  sky130_fd_sc_hd__mux2i_1 U63984 ( .A0(n55614), .A1(n44131), .S(n44130), .Y(
+        n76378) );
+  sky130_fd_sc_hd__nor2_1 U63985 ( .A(n57607), .B(n44132), .Y(n44134) );
+  sky130_fd_sc_hd__mux2_2 U63986 ( .A0(n55614), .A1(n44134), .S(n44133), .X(
+        n85742) );
+  sky130_fd_sc_hd__nand2_1 U63987 ( .A(n44135), .B(n70399), .Y(n55958) );
+  sky130_fd_sc_hd__nand2b_1 U63988 ( .A_N(n44137), .B(n44136), .Y(n44138) );
+  sky130_fd_sc_hd__nand2_1 U63989 ( .A(n55614), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[27]), .Y(n55957) );
+  sky130_fd_sc_hd__nand2_1 U63990 ( .A(n44138), .B(n55957), .Y(n85632) );
+  sky130_fd_sc_hd__nand2_1 U63991 ( .A(n78251), .B(n78245), .Y(n64073) );
+  sky130_fd_sc_hd__o22ai_1 U63992 ( .A1(n65638), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[0]), .B1(n44139), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[0]), .Y(n44140) );
+  sky130_fd_sc_hd__nor4_1 U63993 ( .A(n72576), .B(n82480), .C(n64073), .D(
+        n44140), .Y(n58521) );
+  sky130_fd_sc_hd__a22oi_1 U63994 ( .A1(n78262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[4]), .B1(n73261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[4]), .Y(n44141) );
+  sky130_fd_sc_hd__a21oi_1 U63996 ( .A1(n58522), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[4]), .B1(n44142), .Y(n44143) );
+  sky130_fd_sc_hd__o21ai_0 U63997 ( .A1(n78490), .A2(n58370), .B1(n44143), .Y(
+        n44144) );
+  sky130_fd_sc_hd__a21oi_1 U63998 ( .A1(n58528), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[4]), .B1(n44144), .Y(n44145) );
+  sky130_fd_sc_hd__a21oi_1 U64000 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[4]), .A2(n73267), .B1(n44147), .Y(n44148) );
+  sky130_fd_sc_hd__o21ai_1 U64001 ( .A1(n44149), .A2(n43065), .B1(n44148), .Y(
+        n44185) );
+  sky130_fd_sc_hd__a22oi_1 U64002 ( .A1(n73285), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12[3]), .B1(n72721), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26[3]), .Y(n44153) );
+  sky130_fd_sc_hd__a22oi_1 U64003 ( .A1(n73287), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22[3]), .B1(n73284), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23[3]), .Y(n44152) );
+  sky130_fd_sc_hd__a22oi_1 U64004 ( .A1(n73286), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21[3]), .B1(n73295), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18[3]), .Y(n44151) );
+  sky130_fd_sc_hd__a22oi_1 U64005 ( .A1(n73289), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17[3]), .B1(n73288), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19[3]), .Y(n44150) );
+  sky130_fd_sc_hd__nand4_1 U64006 ( .A(n44153), .B(n44152), .C(n44151), .D(
+        n44150), .Y(n44165) );
+  sky130_fd_sc_hd__a22oi_1 U64007 ( .A1(n73297), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5[3]), .B1(n73294), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4[3]), .Y(n44157) );
+  sky130_fd_sc_hd__a22oi_1 U64008 ( .A1(n73296), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6[3]), .B1(n73301), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15[3]), .Y(n44156) );
+  sky130_fd_sc_hd__a22oi_1 U64009 ( .A1(n73299), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14[3]), .B1(n73298), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7[3]), .Y(n44155) );
+  sky130_fd_sc_hd__a22oi_1 U64010 ( .A1(n73300), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9[3]), .B1(n73270), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11[3]), .Y(n44154) );
+  sky130_fd_sc_hd__nand4_1 U64011 ( .A(n44157), .B(n44156), .C(n44155), .D(
+        n44154), .Y(n44164) );
+  sky130_fd_sc_hd__a22o_1 U64012 ( .A1(n73283), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13[3]), .B1(n73282), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10[3]), .X(n44158) );
+  sky130_fd_sc_hd__a21oi_1 U64013 ( .A1(n73276), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0[3]), .B1(n44158), .Y(n44162) );
+  sky130_fd_sc_hd__a22oi_1 U64014 ( .A1(n73271), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8[3]), .B1(n73274), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16[3]), .Y(n44161) );
+  sky130_fd_sc_hd__a22oi_1 U64015 ( .A1(n73275), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3[3]), .B1(n73272), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20[3]), .Y(n44160) );
+  sky130_fd_sc_hd__a22oi_1 U64016 ( .A1(n73273), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1[3]), .B1(n73277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2[3]), .Y(n44159) );
+  sky130_fd_sc_hd__nand4_1 U64017 ( .A(n44162), .B(n44161), .C(n44160), .D(
+        n44159), .Y(n44163) );
+  sky130_fd_sc_hd__nor3_1 U64018 ( .A(n44165), .B(n44164), .C(n44163), .Y(
+        n44183) );
+  sky130_fd_sc_hd__nand2_1 U64019 ( .A(n44168), .B(n44167), .Y(n44170) );
+  sky130_fd_sc_hd__xor2_1 U64020 ( .A(n44170), .B(n44169), .X(n44181) );
+  sky130_fd_sc_hd__xnor2_1 U64021 ( .A(n58399), .B(n44172), .Y(n44180) );
+  sky130_fd_sc_hd__nand2_1 U64022 ( .A(n44175), .B(n44174), .Y(n44178) );
+  sky130_fd_sc_hd__xnor2_1 U64024 ( .A(n44178), .B(n44177), .Y(n44179) );
+  sky130_fd_sc_hd__a222oi_1 U64025 ( .A1(n73319), .A2(n44181), .B1(n73229), 
+        .B2(n44180), .C1(n44179), .C2(n73312), .Y(n44182) );
+  sky130_fd_sc_hd__o22ai_1 U64026 ( .A1(n44183), .A2(n53246), .B1(n44182), 
+        .B2(n73322), .Y(n44184) );
+  sky130_fd_sc_hd__a211oi_1 U64027 ( .A1(n72510), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[4]), .B1(n44185), .C1(n44184), .Y(n44188) );
+  sky130_fd_sc_hd__a22oi_1 U64028 ( .A1(n73325), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24[3]), .B1(n72504), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[4]), .Y(n44187) );
+  sky130_fd_sc_hd__a22oi_1 U64029 ( .A1(n72203), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[4]), .B1(n42989), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25[3]), .Y(n44186) );
+  sky130_fd_sc_hd__inv_2 U64030 ( .A(n58412), .Y(n72252) );
+  sky130_fd_sc_hd__a22oi_1 U64031 ( .A1(n72252), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[4]), .B1(n69413), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[4]), .Y(n44190) );
+  sky130_fd_sc_hd__a22oi_1 U64032 ( .A1(n72202), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[4]), .B1(n43127), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27[3]), .Y(n44189) );
+  sky130_fd_sc_hd__nand3_1 U64033 ( .A(n44191), .B(n44190), .C(n44189), .Y(
+        n85770) );
+  sky130_fd_sc_hd__o22ai_1 U64034 ( .A1(n69586), .A2(n55598), .B1(n44193), 
+        .B2(n44192), .Y(n44194) );
+  sky130_fd_sc_hd__nand4_1 U64035 ( .A(n54921), .B(n44194), .C(n54910), .D(
+        n54911), .Y(n44201) );
+  sky130_fd_sc_hd__nand3_1 U64036 ( .A(n44195), .B(n36841), .C(n39316), .Y(
+        n54907) );
+  sky130_fd_sc_hd__nand2_1 U64037 ( .A(n54907), .B(n54979), .Y(n44200) );
+  sky130_fd_sc_hd__nand2_1 U64039 ( .A(n44198), .B(n44197), .Y(n44199) );
+  sky130_fd_sc_hd__nand3_1 U64040 ( .A(n44201), .B(n44200), .C(n44199), .Y(
+        n85405) );
+  sky130_fd_sc_hd__o21ai_1 U64041 ( .A1(n75922), .A2(n53947), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_bypass_1), .Y(n44205) );
+  sky130_fd_sc_hd__nand2_1 U64042 ( .A(n53944), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[3]), .Y(n44203) );
+  sky130_fd_sc_hd__nand2_1 U64043 ( .A(n37071), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[3]), .Y(n44202) );
+  sky130_fd_sc_hd__nand2_1 U64044 ( .A(n44203), .B(n44202), .Y(n44204) );
+  sky130_fd_sc_hd__o22a_1 U64045 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_bypass_1), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[3]), .B1(n44205), .B2(n44204), .X(n85615) );
+  sky130_fd_sc_hd__nor2_1 U64046 ( .A(n78445), .B(n56044), .Y(n84391) );
+  sky130_fd_sc_hd__mux2_2 U64047 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[59]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[27]), .S(n54795), .X(n84745) );
+  sky130_fd_sc_hd__nor2_1 U64048 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_27[0]), .B(
+        n54216), .Y(n44206) );
+  sky130_fd_sc_hd__o21ai_1 U64049 ( .A1(n44206), .A2(n36791), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_27[1]), .Y(
+        n44216) );
+  sky130_fd_sc_hd__nand2_1 U64050 ( .A(n54218), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_27[1]), .Y(
+        n44207) );
+  sky130_fd_sc_hd__o21ai_1 U64051 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_27[1]), .A2(
+        n54220), .B1(n44207), .Y(n44208) );
+  sky130_fd_sc_hd__nand2_1 U64053 ( .A(n44210), .B(n44209), .Y(n44212) );
+  sky130_fd_sc_hd__xnor2_1 U64054 ( .A(n44212), .B(n44211), .Y(n44213) );
+  sky130_fd_sc_hd__a22oi_1 U64055 ( .A1(n52494), .A2(n84745), .B1(n44213), 
+        .B2(n54228), .Y(n44214) );
+  sky130_fd_sc_hd__nand3_1 U64056 ( .A(n44216), .B(n44215), .C(n44214), .Y(
+        n84368) );
+  sky130_fd_sc_hd__nor2_1 U64057 ( .A(n57490), .B(n56406), .Y(n44220) );
+  sky130_fd_sc_hd__o22ai_1 U64058 ( .A1(n52199), .A2(n57017), .B1(n52264), 
+        .B2(n56472), .Y(n44219) );
+  sky130_fd_sc_hd__nand2_1 U64059 ( .A(n52763), .B(n52214), .Y(n56466) );
+  sky130_fd_sc_hd__nand2_1 U64060 ( .A(n52167), .B(n52763), .Y(n57010) );
+  sky130_fd_sc_hd__o22ai_1 U64061 ( .A1(n45636), .A2(n56466), .B1(n57454), 
+        .B2(n57010), .Y(n44218) );
+  sky130_fd_sc_hd__nand2_1 U64062 ( .A(n57088), .B(n44826), .Y(n56405) );
+  sky130_fd_sc_hd__o22ai_1 U64063 ( .A1(n46067), .A2(n56405), .B1(n57012), 
+        .B2(n56569), .Y(n44217) );
+  sky130_fd_sc_hd__nor4_1 U64064 ( .A(n44220), .B(n44219), .C(n44218), .D(
+        n44217), .Y(n44296) );
+  sky130_fd_sc_hd__nor2_1 U64065 ( .A(n50262), .B(n44244), .Y(n52413) );
+  sky130_fd_sc_hd__nor2_1 U64066 ( .A(n57484), .B(n56471), .Y(n44223) );
+  sky130_fd_sc_hd__nor4_1 U64067 ( .A(n52413), .B(n44223), .C(n57533), .D(
+        n44222), .Y(n44233) );
+  sky130_fd_sc_hd__nand3_1 U64068 ( .A(n57422), .B(n56980), .C(n44224), .Y(
+        n48125) );
+  sky130_fd_sc_hd__a21oi_1 U64069 ( .A1(n52265), .A2(n57069), .B1(n57485), .Y(
+        n44226) );
+  sky130_fd_sc_hd__nor2_1 U64070 ( .A(n46315), .B(n50917), .Y(n50187) );
+  sky130_fd_sc_hd__o2bb2ai_1 U64071 ( .B1(n44244), .B2(n45997), .A1_N(n50187), 
+        .A2_N(n50257), .Y(n44225) );
+  sky130_fd_sc_hd__nor3_1 U64072 ( .A(n57298), .B(n44226), .C(n44225), .Y(
+        n44232) );
+  sky130_fd_sc_hd__nor2_1 U64073 ( .A(n52144), .B(n57053), .Y(n46327) );
+  sky130_fd_sc_hd__nor2_1 U64074 ( .A(n57120), .B(n57318), .Y(n57500) );
+  sky130_fd_sc_hd__nor3_1 U64075 ( .A(n57496), .B(n52121), .C(n48057), .Y(
+        n57557) );
+  sky130_fd_sc_hd__nand2_1 U64076 ( .A(n57123), .B(n50185), .Y(n50316) );
+  sky130_fd_sc_hd__o22ai_1 U64077 ( .A1(n37012), .A2(n51864), .B1(n56539), 
+        .B2(n50316), .Y(n44229) );
+  sky130_fd_sc_hd__a21oi_1 U64078 ( .A1(n45410), .A2(n52744), .B1(n44826), .Y(
+        n44227) );
+  sky130_fd_sc_hd__o22ai_1 U64079 ( .A1(n52100), .A2(n44227), .B1(n50461), 
+        .B2(n57482), .Y(n44228) );
+  sky130_fd_sc_hd__nor4_1 U64080 ( .A(n57500), .B(n57557), .C(n44229), .D(
+        n44228), .Y(n44231) );
+  sky130_fd_sc_hd__nand4_1 U64081 ( .A(n44233), .B(n44232), .C(n44231), .D(
+        n44230), .Y(n44241) );
+  sky130_fd_sc_hd__nor2_1 U64082 ( .A(n57188), .B(n44234), .Y(n44238) );
+  sky130_fd_sc_hd__a21oi_1 U64083 ( .A1(n56498), .A2(n52126), .B1(n52100), .Y(
+        n44237) );
+  sky130_fd_sc_hd__a21oi_1 U64084 ( .A1(n52145), .A2(n50463), .B1(n52202), .Y(
+        n44322) );
+  sky130_fd_sc_hd__o21ai_0 U64085 ( .A1(n52267), .A2(n57334), .B1(n44322), .Y(
+        n44236) );
+  sky130_fd_sc_hd__o22ai_1 U64086 ( .A1(n36838), .A2(n50258), .B1(n52402), 
+        .B2(n47078), .Y(n44235) );
+  sky130_fd_sc_hd__nor4_1 U64087 ( .A(n44238), .B(n44237), .C(n44236), .D(
+        n44235), .Y(n44239) );
+  sky130_fd_sc_hd__nand2_1 U64088 ( .A(n52269), .B(n50907), .Y(n44282) );
+  sky130_fd_sc_hd__a31oi_1 U64089 ( .A1(n44239), .A2(n45403), .A3(n44282), 
+        .B1(n57153), .Y(n44240) );
+  sky130_fd_sc_hd__a21oi_1 U64090 ( .A1(n48329), .A2(n44241), .B1(n44240), .Y(
+        n44295) );
+  sky130_fd_sc_hd__nor2_1 U64091 ( .A(n86738), .B(n57069), .Y(n50346) );
+  sky130_fd_sc_hd__nand2_1 U64092 ( .A(n37012), .B(n52182), .Y(n52150) );
+  sky130_fd_sc_hd__a21oi_1 U64093 ( .A1(n50907), .A2(n41780), .B1(n51511), .Y(
+        n44242) );
+  sky130_fd_sc_hd__o22ai_1 U64094 ( .A1(n57549), .A2(n44242), .B1(n57295), 
+        .B2(n52227), .Y(n44243) );
+  sky130_fd_sc_hd__a21oi_1 U64095 ( .A1(n50346), .A2(n52252), .B1(n44243), .Y(
+        n44258) );
+  sky130_fd_sc_hd__o22ai_1 U64096 ( .A1(n41780), .A2(n52726), .B1(n50259), 
+        .B2(n44244), .Y(n44246) );
+  sky130_fd_sc_hd__o22ai_1 U64097 ( .A1(n52107), .A2(n57432), .B1(n52144), 
+        .B2(n57051), .Y(n44245) );
+  sky130_fd_sc_hd__a21oi_1 U64098 ( .A1(n57422), .A2(n44246), .B1(n44245), .Y(
+        n44257) );
+  sky130_fd_sc_hd__a21oi_1 U64099 ( .A1(n51481), .A2(n50449), .B1(n50333), .Y(
+        n44250) );
+  sky130_fd_sc_hd__o22ai_1 U64100 ( .A1(n46067), .A2(n50450), .B1(n50347), 
+        .B2(n52217), .Y(n44247) );
+  sky130_fd_sc_hd__a21oi_1 U64101 ( .A1(n52741), .A2(n57408), .B1(n44247), .Y(
+        n44249) );
+  sky130_fd_sc_hd__nand2_1 U64102 ( .A(n50474), .B(n51501), .Y(n50317) );
+  sky130_fd_sc_hd__nand4_1 U64103 ( .A(n44250), .B(n44249), .C(n44248), .D(
+        n50317), .Y(n44255) );
+  sky130_fd_sc_hd__nor2_1 U64104 ( .A(n46483), .B(n46734), .Y(n44254) );
+  sky130_fd_sc_hd__nor2_1 U64105 ( .A(n44251), .B(n45454), .Y(n44252) );
+  sky130_fd_sc_hd__nand2_1 U64106 ( .A(n41606), .B(n50986), .Y(n50954) );
+  sky130_fd_sc_hd__o22ai_1 U64107 ( .A1(n50315), .A2(n57443), .B1(n44252), 
+        .B2(n50954), .Y(n44253) );
+  sky130_fd_sc_hd__a211oi_1 U64108 ( .A1(n50374), .A2(n44255), .B1(n44254), 
+        .C1(n44253), .Y(n44256) );
+  sky130_fd_sc_hd__a31oi_1 U64109 ( .A1(n44258), .A2(n44257), .A3(n44256), 
+        .B1(n57325), .Y(n44293) );
+  sky130_fd_sc_hd__o22ai_1 U64110 ( .A1(n56495), .A2(n57436), .B1(n57188), 
+        .B2(n56457), .Y(n44259) );
+  sky130_fd_sc_hd__a21oi_1 U64111 ( .A1(n56494), .A2(n52107), .B1(n44259), .Y(
+        n44266) );
+  sky130_fd_sc_hd__nor3_1 U64112 ( .A(n52209), .B(n44260), .C(n46017), .Y(
+        n44265) );
+  sky130_fd_sc_hd__nor3_1 U64113 ( .A(n41779), .B(n57013), .C(n57140), .Y(
+        n52162) );
+  sky130_fd_sc_hd__nand2_1 U64114 ( .A(n41779), .B(n57508), .Y(n57536) );
+  sky130_fd_sc_hd__o21ai_0 U64115 ( .A1(n56471), .A2(n52262), .B1(n57536), .Y(
+        n44263) );
+  sky130_fd_sc_hd__o22ai_1 U64116 ( .A1(n52179), .A2(n52404), .B1(n52264), 
+        .B2(n56570), .Y(n44262) );
+  sky130_fd_sc_hd__o22ai_1 U64117 ( .A1(n57458), .A2(n57196), .B1(n56458), 
+        .B2(n57001), .Y(n44261) );
+  sky130_fd_sc_hd__nor4_1 U64118 ( .A(n52162), .B(n44263), .C(n44262), .D(
+        n44261), .Y(n44264) );
+  sky130_fd_sc_hd__a31oi_1 U64119 ( .A1(n44266), .A2(n44265), .A3(n44264), 
+        .B1(n57409), .Y(n44292) );
+  sky130_fd_sc_hd__nor2_1 U64120 ( .A(n52199), .B(n48257), .Y(n57406) );
+  sky130_fd_sc_hd__a21oi_1 U64121 ( .A1(n56494), .A2(n50476), .B1(n57406), .Y(
+        n44267) );
+  sky130_fd_sc_hd__o22ai_1 U64122 ( .A1(n44267), .A2(n56581), .B1(n36838), 
+        .B2(n56992), .Y(n44268) );
+  sky130_fd_sc_hd__a211oi_1 U64123 ( .A1(n56588), .A2(n57447), .B1(n56546), 
+        .C1(n44268), .Y(n44277) );
+  sky130_fd_sc_hd__nand2_1 U64124 ( .A(n52764), .B(n44269), .Y(n50358) );
+  sky130_fd_sc_hd__o21ai_0 U64125 ( .A1(n52215), .A2(n56457), .B1(n50358), .Y(
+        n44273) );
+  sky130_fd_sc_hd__nand2_1 U64126 ( .A(n52764), .B(n36797), .Y(n45890) );
+  sky130_fd_sc_hd__o21ai_1 U64127 ( .A1(n50177), .A2(n50450), .B1(n45890), .Y(
+        n44272) );
+  sky130_fd_sc_hd__nand2_1 U64128 ( .A(n52167), .B(n44270), .Y(n52101) );
+  sky130_fd_sc_hd__o22ai_1 U64129 ( .A1(n56557), .A2(n52101), .B1(n52216), 
+        .B2(n57168), .Y(n44271) );
+  sky130_fd_sc_hd__o31ai_1 U64130 ( .A1(n44273), .A2(n44272), .A3(n44271), 
+        .B1(n41624), .Y(n44276) );
+  sky130_fd_sc_hd__nand2_1 U64131 ( .A(n44275), .B(n44274), .Y(n51561) );
+  sky130_fd_sc_hd__a31oi_1 U64132 ( .A1(n44277), .A2(n56453), .A3(n44276), 
+        .B1(n51561), .Y(n44291) );
+  sky130_fd_sc_hd__nand2_1 U64133 ( .A(n41626), .B(n52181), .Y(n47088) );
+  sky130_fd_sc_hd__nor2_1 U64134 ( .A(n48281), .B(n41626), .Y(n57189) );
+  sky130_fd_sc_hd__a21oi_1 U64135 ( .A1(n57300), .A2(n47088), .B1(n57189), .Y(
+        n44278) );
+  sky130_fd_sc_hd__or3_1 U64136 ( .A(n56493), .B(n47148), .C(n44278), .X(
+        n44284) );
+  sky130_fd_sc_hd__nor2_1 U64137 ( .A(n48258), .B(n52402), .Y(n57151) );
+  sky130_fd_sc_hd__a31oi_1 U64138 ( .A1(n52129), .A2(n52199), .A3(n50462), 
+        .B1(n57151), .Y(n44283) );
+  sky130_fd_sc_hd__o21ai_0 U64139 ( .A1(n57014), .A2(n56993), .B1(n44279), .Y(
+        n44281) );
+  sky130_fd_sc_hd__nor2_1 U64140 ( .A(n41779), .B(n57193), .Y(n50441) );
+  sky130_fd_sc_hd__nor2_1 U64141 ( .A(n56444), .B(n52465), .Y(n57487) );
+  sky130_fd_sc_hd__nand2b_1 U64142 ( .A_N(n57487), .B(n56434), .Y(n44280) );
+  sky130_fd_sc_hd__nor2_1 U64143 ( .A(n57155), .B(n57496), .Y(n45406) );
+  sky130_fd_sc_hd__nand2_1 U64144 ( .A(n48269), .B(n52704), .Y(n56439) );
+  sky130_fd_sc_hd__nor2_1 U64145 ( .A(n50436), .B(n57485), .Y(n45720) );
+  sky130_fd_sc_hd__nand2_1 U64146 ( .A(n57336), .B(n45720), .Y(n51512) );
+  sky130_fd_sc_hd__nand2_1 U64147 ( .A(n45403), .B(n51512), .Y(n48271) );
+  sky130_fd_sc_hd__o22ai_1 U64148 ( .A1(n57525), .A2(n52126), .B1(n46816), 
+        .B2(n48062), .Y(n44285) );
+  sky130_fd_sc_hd__a211oi_1 U64149 ( .A1(n48234), .A2(n56439), .B1(n48271), 
+        .C1(n44285), .Y(n44286) );
+  sky130_fd_sc_hd__nor2b_1 U64150 ( .B_N(n45406), .A(n44286), .Y(n44287) );
+  sky130_fd_sc_hd__nand2_1 U64151 ( .A(n44289), .B(n44288), .Y(n44290) );
+  sky130_fd_sc_hd__nor4_1 U64152 ( .A(n44293), .B(n44292), .C(n44291), .D(
+        n44290), .Y(n44294) );
+  sky130_fd_sc_hd__a31oi_1 U64153 ( .A1(n44296), .A2(n44295), .A3(n44294), 
+        .B1(n57517), .Y(n44353) );
+  sky130_fd_sc_hd__nand2_1 U64154 ( .A(n52791), .B(n52790), .Y(n48499) );
+  sky130_fd_sc_hd__nor2_1 U64155 ( .A(n45689), .B(n44297), .Y(n77486) );
+  sky130_fd_sc_hd__a21oi_1 U64156 ( .A1(n51901), .A2(n48499), .B1(n77486), .Y(
+        n50225) );
+  sky130_fd_sc_hd__o21ai_0 U64157 ( .A1(n47231), .A2(n52790), .B1(n44844), .Y(
+        n50527) );
+  sky130_fd_sc_hd__o22ai_1 U64158 ( .A1(n50225), .A2(n44298), .B1(n45476), 
+        .B2(n50527), .Y(n50523) );
+  sky130_fd_sc_hd__nor2_1 U64159 ( .A(n45472), .B(n52795), .Y(n52797) );
+  sky130_fd_sc_hd__a21o_1 U64160 ( .A1(n50523), .A2(n44299), .B1(n52797), .X(
+        n51900) );
+  sky130_fd_sc_hd__nor2_1 U64161 ( .A(n48445), .B(n51900), .Y(n52069) );
+  sky130_fd_sc_hd__a22oi_1 U64162 ( .A1(n82315), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[27]), 
+        .B1(n38401), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[27]), 
+        .Y(n44303) );
+  sky130_fd_sc_hd__a22oi_1 U64163 ( .A1(n82309), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[27]), 
+        .B1(n82303), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[27]), 
+        .Y(n44302) );
+  sky130_fd_sc_hd__a22oi_1 U64164 ( .A1(n38396), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[27]), 
+        .B1(n82350), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[27]), 
+        .Y(n44301) );
+  sky130_fd_sc_hd__a22oi_1 U64165 ( .A1(n82296), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[27]), 
+        .B1(n38397), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[27]), 
+        .Y(n44300) );
+  sky130_fd_sc_hd__nand4_1 U64166 ( .A(n44303), .B(n44302), .C(n44301), .D(
+        n44300), .Y(n44309) );
+  sky130_fd_sc_hd__a22oi_1 U64167 ( .A1(n82290), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[27]), 
+        .B1(n82327), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[27]), 
+        .Y(n44307) );
+  sky130_fd_sc_hd__a22oi_1 U64168 ( .A1(n82321), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[27]), 
+        .B1(n82361), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[27]), 
+        .Y(n44306) );
+  sky130_fd_sc_hd__a22oi_1 U64169 ( .A1(n82284), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[27]), 
+        .B1(n82333), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[27]), 
+        .Y(n44305) );
+  sky130_fd_sc_hd__a22oi_1 U64170 ( .A1(n82339), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[27]), 
+        .B1(n82278), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[27]), 
+        .Y(n44304) );
+  sky130_fd_sc_hd__nand4_1 U64171 ( .A(n44307), .B(n44306), .C(n44305), .D(
+        n44304), .Y(n44308) );
+  sky130_fd_sc_hd__a211oi_1 U64172 ( .A1(n57586), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[27]), 
+        .B1(n44309), .C1(n44308), .Y(n44310) );
+  sky130_fd_sc_hd__a21oi_1 U64173 ( .A1(n52069), .A2(n44310), .B1(n43381), .Y(
+        n44311) );
+  sky130_fd_sc_hd__a21oi_1 U64174 ( .A1(n37065), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[59]), .B1(n44311), .Y(n44319) );
+  sky130_fd_sc_hd__a22oi_1 U64175 ( .A1(n57571), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[59]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[27]), .B2(n57558), .Y(n44318) );
+  sky130_fd_sc_hd__a22oi_1 U64176 ( .A1(n57587), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__3[3]), .B1(n38443), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[27]), .Y(n44317) );
+  sky130_fd_sc_hd__nand2_1 U64177 ( .A(n57570), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[3]), .Y(n44314) );
+  sky130_fd_sc_hd__a22oi_1 U64178 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[26]), 
+        .A2(n57561), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[26]), 
+        .B2(n57559), .Y(n44313) );
+  sky130_fd_sc_hd__nand2_1 U64179 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[26]), .B(
+        n57560), .Y(n44312) );
+  sky130_fd_sc_hd__nand3_1 U64180 ( .A(n44314), .B(n44313), .C(n44312), .Y(
+        n44315) );
+  sky130_fd_sc_hd__a21oi_1 U64181 ( .A1(n57588), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[3]), .B1(n44315), .Y(
+        n44316) );
+  sky130_fd_sc_hd__nand4_1 U64182 ( .A(n44319), .B(n44318), .C(n44317), .D(
+        n44316), .Y(n44352) );
+  sky130_fd_sc_hd__a211oi_1 U64184 ( .A1(n52170), .A2(n41625), .B1(n52712), 
+        .C1(n44320), .Y(n44324) );
+  sky130_fd_sc_hd__nor2_1 U64185 ( .A(n57188), .B(n57000), .Y(n57426) );
+  sky130_fd_sc_hd__nor2_1 U64186 ( .A(n52262), .B(n57544), .Y(n52383) );
+  sky130_fd_sc_hd__nor2_1 U64187 ( .A(n48318), .B(n52165), .Y(n56415) );
+  sky130_fd_sc_hd__nor2_1 U64188 ( .A(n52383), .B(n56415), .Y(n46029) );
+  sky130_fd_sc_hd__nand2_1 U64189 ( .A(n56981), .B(n57139), .Y(n46027) );
+  sky130_fd_sc_hd__nand4_1 U64190 ( .A(n46029), .B(n52407), .C(n46025), .D(
+        n46027), .Y(n44321) );
+  sky130_fd_sc_hd__nor4b_1 U64191 ( .D_N(n44322), .A(n45728), .B(n57426), .C(
+        n44321), .Y(n44323) );
+  sky130_fd_sc_hd__nand4_1 U64192 ( .A(n44324), .B(n44323), .C(n57521), .D(
+        n57541), .Y(n44325) );
+  sky130_fd_sc_hd__nor4_1 U64193 ( .A(n46350), .B(n45457), .C(n44326), .D(
+        n44325), .Y(n44349) );
+  sky130_fd_sc_hd__nand2_1 U64194 ( .A(n50990), .B(n57096), .Y(n52386) );
+  sky130_fd_sc_hd__nor2_1 U64195 ( .A(n57544), .B(n57480), .Y(n51556) );
+  sky130_fd_sc_hd__a21oi_1 U64196 ( .A1(n52741), .A2(n57297), .B1(n45659), .Y(
+        n44331) );
+  sky130_fd_sc_hd__o22ai_1 U64197 ( .A1(n57413), .A2(n57011), .B1(n50450), 
+        .B2(n57436), .Y(n44327) );
+  sky130_fd_sc_hd__a21oi_1 U64198 ( .A1(n56421), .A2(n44328), .B1(n44327), .Y(
+        n44330) );
+  sky130_fd_sc_hd__a31oi_1 U64199 ( .A1(n44331), .A2(n44330), .A3(n44329), 
+        .B1(n50496), .Y(n44347) );
+  sky130_fd_sc_hd__nand2_1 U64200 ( .A(n57123), .B(n41626), .Y(n50239) );
+  sky130_fd_sc_hd__nand2_1 U64201 ( .A(n44332), .B(n57493), .Y(n44823) );
+  sky130_fd_sc_hd__o21ai_1 U64202 ( .A1(n48062), .A2(n52728), .B1(n44823), .Y(
+        n44346) );
+  sky130_fd_sc_hd__nor2_1 U64203 ( .A(n52465), .B(n57300), .Y(n51003) );
+  sky130_fd_sc_hd__nor2_1 U64204 ( .A(n57120), .B(n56458), .Y(n44333) );
+  sky130_fd_sc_hd__nor2_1 U64205 ( .A(n57140), .B(n57001), .Y(n56479) );
+  sky130_fd_sc_hd__nor4_1 U64206 ( .A(n51003), .B(n44333), .C(n56479), .D(
+        n57487), .Y(n44344) );
+  sky130_fd_sc_hd__o22ai_1 U64207 ( .A1(n57481), .A2(n56570), .B1(n57334), 
+        .B2(n57050), .Y(n44342) );
+  sky130_fd_sc_hd__o22ai_1 U64208 ( .A1(n51501), .A2(n56993), .B1(n50328), 
+        .B2(n56495), .Y(n44341) );
+  sky130_fd_sc_hd__nor2_1 U64209 ( .A(n50262), .B(n47078), .Y(n50327) );
+  sky130_fd_sc_hd__a22oi_1 U64210 ( .A1(n51836), .A2(n50327), .B1(n57139), 
+        .B2(n50394), .Y(n44339) );
+  sky130_fd_sc_hd__nor2_1 U64211 ( .A(n50467), .B(n56444), .Y(n50922) );
+  sky130_fd_sc_hd__a21oi_1 U64212 ( .A1(n50922), .A2(n52102), .B1(n44334), .Y(
+        n44338) );
+  sky130_fd_sc_hd__nor2_1 U64213 ( .A(n57485), .B(n57300), .Y(n45435) );
+  sky130_fd_sc_hd__o22ai_1 U64214 ( .A1(n57189), .A2(n52404), .B1(n57458), 
+        .B2(n56458), .Y(n44335) );
+  sky130_fd_sc_hd__nor4b_1 U64215 ( .D_N(n44336), .A(n51857), .B(n45435), .C(
+        n44335), .Y(n44337) );
+  sky130_fd_sc_hd__nand4_1 U64216 ( .A(n44339), .B(n44338), .C(n44337), .D(
+        n56446), .Y(n44340) );
+  sky130_fd_sc_hd__nor3_1 U64217 ( .A(n44342), .B(n44341), .C(n44340), .Y(
+        n44343) );
+  sky130_fd_sc_hd__o22ai_1 U64218 ( .A1(n57549), .A2(n44344), .B1(n38426), 
+        .B2(n44343), .Y(n44345) );
+  sky130_fd_sc_hd__nor4_1 U64219 ( .A(n51556), .B(n44347), .C(n44346), .D(
+        n44345), .Y(n44348) );
+  sky130_fd_sc_hd__nand2_1 U64220 ( .A(n51535), .B(n37003), .Y(n57552) );
+  sky130_fd_sc_hd__nor2_2 U64221 ( .A(n41630), .B(n57552), .Y(n52690) );
+  sky130_fd_sc_hd__o22ai_1 U64222 ( .A1(n44349), .A2(n52386), .B1(n44348), 
+        .B2(n57222), .Y(n44351) );
+  sky130_fd_sc_hd__a22oi_1 U64223 ( .A1(n56525), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__7[3]), .B1(n41794), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[27]), .Y(n44350) );
+  sky130_fd_sc_hd__or4b_1 U64224 ( .A(n44353), .B(n44352), .C(n44351), .D_N(
+        n44350), .X(n84506) );
+  sky130_fd_sc_hd__mux2_2 U64225 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[59]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[27]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .X(n84570) );
+  sky130_fd_sc_hd__o22ai_1 U64226 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_fmt_endian), .A2(n80564), .B1(n48170), .B2(n80553), .Y(n85074) );
+  sky130_fd_sc_hd__nor2b_1 U64227 ( .B_N(n85074), .A(n48171), .Y(n85320) );
+  sky130_fd_sc_hd__a22oi_1 U64228 ( .A1(n57367), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_27[0]), .B1(
+        n57600), .B2(n84570), .Y(n44354) );
+  sky130_fd_sc_hd__nand2_1 U64229 ( .A(n57100), .B(n85320), .Y(n57258) );
+  sky130_fd_sc_hd__nand2_1 U64230 ( .A(n44354), .B(n57258), .Y(n84550) );
+  sky130_fd_sc_hd__nand2_1 U64231 ( .A(n44366), .B(n44364), .Y(n44355) );
+  sky130_fd_sc_hd__nand2_1 U64232 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_io_deq_bits_MPORT_addr[2]), .B(n44362), .Y(n44367) );
+  sky130_fd_sc_hd__nor2_1 U64233 ( .A(n44355), .B(n44367), .Y(n50766) );
+  sky130_fd_sc_hd__nand2_1 U64234 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_io_deq_bits_MPORT_addr[3]), .B(n83203), .Y(n44368) );
+  sky130_fd_sc_hd__nand2_1 U64235 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_io_deq_bits_MPORT_addr[0]), .Y(n83202) );
+  sky130_fd_sc_hd__nor2_1 U64236 ( .A(n44368), .B(n83202), .Y(n50774) );
+  sky130_fd_sc_hd__a22oi_1 U64237 ( .A1(n50766), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[371]), .B1(n50774), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[147]), .Y(n44359) );
+  sky130_fd_sc_hd__nand2_1 U64238 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_io_deq_bits_MPORT_addr[3]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_io_deq_bits_MPORT_addr[2]), .Y(n44370) );
+  sky130_fd_sc_hd__nor2_1 U64239 ( .A(n44370), .B(n44355), .Y(n50777) );
+  sky130_fd_sc_hd__nand2_1 U64240 ( .A(n44362), .B(n83203), .Y(n44369) );
+  sky130_fd_sc_hd__nor2_1 U64241 ( .A(n44369), .B(n44355), .Y(n50763) );
+  sky130_fd_sc_hd__a22oi_1 U64242 ( .A1(n50777), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[115]), .B1(n50763), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[499]), .Y(n44358) );
+  sky130_fd_sc_hd__nor2_1 U64243 ( .A(n44355), .B(n44368), .Y(n50773) );
+  sky130_fd_sc_hd__nor2_1 U64244 ( .A(n83203), .B(n83202), .Y(n83201) );
+  sky130_fd_sc_hd__nor2_1 U64245 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_io_deq_bits_MPORT_addr[3]), .B(n83205), .Y(n83204) );
+  sky130_fd_sc_hd__a22oi_1 U64246 ( .A1(n50773), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[243]), .B1(n83204), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[275]), .Y(n44357) );
+  sky130_fd_sc_hd__nand2_1 U64247 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_io_deq_bits_MPORT_addr[1]), .B(n44364), .Y(n83200) );
+  sky130_fd_sc_hd__nor2_1 U64248 ( .A(n83200), .B(n44367), .Y(n50767) );
+  sky130_fd_sc_hd__nor2_1 U64249 ( .A(n44362), .B(n83205), .Y(n50765) );
+  sky130_fd_sc_hd__a22oi_1 U64250 ( .A1(n50767), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[307]), .B1(n50765), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[19]), .Y(n44356) );
+  sky130_fd_sc_hd__nand4_1 U64251 ( .A(n44359), .B(n44358), .C(n44357), .D(
+        n44356), .Y(n44377) );
+  sky130_fd_sc_hd__nor4_2 U64252 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft_1[3]), .B(MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft_1[0]), .C(MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft_1[2]), .D(MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft_1[1]), .Y(n83111) );
+  sky130_fd_sc_hd__nand2_1 U64253 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state_1_1), 
+        .B(n83153), .Y(n83196) );
+  sky130_fd_sc_hd__nand2_1 U64254 ( .A(n83100), .B(n59704), .Y(n84070) );
+  sky130_fd_sc_hd__nand2_1 U64255 ( .A(n84070), .B(n83111), .Y(n83195) );
+  sky130_fd_sc_hd__o22ai_1 U64256 ( .A1(n59817), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_io_deq_bits_MPORT_addr[1]), .B1(n83203), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_MPORT_addr[2]), .Y(n44360) );
+  sky130_fd_sc_hd__a221oi_1 U64257 ( .A1(n59817), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_io_deq_bits_MPORT_addr[1]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_MPORT_addr[2]), .B2(n83203), .C1(n44360), .Y(n44361) );
+  sky130_fd_sc_hd__o221ai_1 U64258 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_MPORT_addr[3]), .A2(n44362), .B1(n83217), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_io_deq_bits_MPORT_addr[3]), .C1(n44361), .Y(n44363) );
+  sky130_fd_sc_hd__a221oi_1 U64259 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_MPORT_addr[0]), .A2(n44364), .B1(n59815), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_io_deq_bits_MPORT_addr[0]), .C1(n44363), .Y(n54406) );
+  sky130_fd_sc_hd__a21oi_1 U64260 ( .A1(n54406), .A2(n44365), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker__GEN_15_0_), .Y(n83193) );
+  sky130_fd_sc_hd__nand2b_1 U64261 ( .A_N(n83195), .B(n83193), .Y(n78350) );
+  sky130_fd_sc_hd__nor2_1 U64262 ( .A(n83200), .B(n44368), .Y(n50762) );
+  sky130_fd_sc_hd__nand2_1 U64263 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_io_deq_bits_MPORT_addr[0]), .B(n44366), .Y(n83199) );
+  sky130_fd_sc_hd__nor2_1 U64264 ( .A(n83199), .B(n44367), .Y(n50779) );
+  sky130_fd_sc_hd__a22oi_1 U64265 ( .A1(n50762), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[179]), .B1(n50779), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[339]), .Y(n44374) );
+  sky130_fd_sc_hd__nor2_1 U64266 ( .A(n44370), .B(n83199), .Y(n50778) );
+  sky130_fd_sc_hd__nor2_1 U64267 ( .A(n44369), .B(n83199), .Y(n50776) );
+  sky130_fd_sc_hd__a22oi_1 U64268 ( .A1(n50778), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[83]), .B1(n50776), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[467]), .Y(n44373) );
+  sky130_fd_sc_hd__nor2_1 U64269 ( .A(n44369), .B(n83202), .Y(n50772) );
+  sky130_fd_sc_hd__nor2_1 U64270 ( .A(n44368), .B(n83199), .Y(n50761) );
+  sky130_fd_sc_hd__a22oi_1 U64271 ( .A1(n50772), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[403]), .B1(n50761), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[211]), .Y(n44372) );
+  sky130_fd_sc_hd__nor2_1 U64272 ( .A(n44369), .B(n83200), .Y(n50764) );
+  sky130_fd_sc_hd__nor2_1 U64273 ( .A(n83200), .B(n44370), .Y(n50775) );
+  sky130_fd_sc_hd__a22oi_1 U64274 ( .A1(n50764), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[435]), .B1(n50775), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[51]), .Y(n44371) );
+  sky130_fd_sc_hd__nand4_1 U64275 ( .A(n44374), .B(n44373), .C(n44372), .D(
+        n44371), .Y(n44376) );
+  sky130_fd_sc_hd__a22o_1 U64276 ( .A1(n38371), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[19]), .B1(n37066), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[51]), .X(n44375) );
+  sky130_fd_sc_hd__a221oi_1 U64277 ( .A1(n44377), .A2(n55987), .B1(n44376), 
+        .B2(n37033), .C1(n44375), .Y(n44445) );
+  sky130_fd_sc_hd__nand2_1 U64278 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_io_deq_bits_MPORT_addr[0]), .B(n44378), .Y(n44385) );
+  sky130_fd_sc_hd__nand2_1 U64279 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_io_deq_bits_MPORT_addr[3]), .B(n83160), .Y(n44387) );
+  sky130_fd_sc_hd__nor2_1 U64280 ( .A(n44385), .B(n44387), .Y(n50688) );
+  sky130_fd_sc_hd__nand2_1 U64281 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_io_deq_bits_MPORT_addr[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_io_deq_bits_MPORT_addr[3]), .Y(n44388) );
+  sky130_fd_sc_hd__nand2_1 U64282 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_io_deq_bits_MPORT_addr[1]), .B(n44397), .Y(n44383) );
+  sky130_fd_sc_hd__nor2_1 U64283 ( .A(n44388), .B(n44383), .Y(n50702) );
+  sky130_fd_sc_hd__a22oi_1 U64284 ( .A1(n50688), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[211]), .B1(n50702), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[51]), .Y(n44382) );
+  sky130_fd_sc_hd__nand2_1 U64285 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_io_deq_bits_MPORT_addr[2]), .B(n44395), .Y(n44384) );
+  sky130_fd_sc_hd__nor2_1 U64286 ( .A(n44385), .B(n44384), .Y(n50687) );
+  sky130_fd_sc_hd__nor2_1 U64287 ( .A(n44378), .B(n44397), .Y(n83158) );
+  sky130_fd_sc_hd__a22oi_1 U64288 ( .A1(n50687), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[339]), .B1(n50685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[275]), .Y(n44381) );
+  sky130_fd_sc_hd__nor2_1 U64289 ( .A(n83159), .B(n44387), .Y(n50690) );
+  sky130_fd_sc_hd__nand2_1 U64290 ( .A(n83160), .B(n44395), .Y(n44386) );
+  sky130_fd_sc_hd__nor2_1 U64291 ( .A(n44386), .B(n44383), .Y(n50697) );
+  sky130_fd_sc_hd__a22oi_1 U64292 ( .A1(n50690), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[147]), .B1(n50697), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[435]), .Y(n44380) );
+  sky130_fd_sc_hd__nand2_1 U64293 ( .A(n44378), .B(n44397), .Y(n83157) );
+  sky130_fd_sc_hd__nor2_1 U64294 ( .A(n83157), .B(n44384), .Y(n50700) );
+  sky130_fd_sc_hd__nor2_1 U64295 ( .A(n44385), .B(n44388), .Y(n50691) );
+  sky130_fd_sc_hd__a22oi_1 U64296 ( .A1(n50700), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[371]), .B1(n50691), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[83]), .Y(n44379) );
+  sky130_fd_sc_hd__nand4_1 U64297 ( .A(n44382), .B(n44381), .C(n44380), .D(
+        n44379), .Y(n44400) );
+  sky130_fd_sc_hd__nor2_1 U64298 ( .A(n44386), .B(n83157), .Y(n50699) );
+  sky130_fd_sc_hd__nor2_1 U64299 ( .A(n44387), .B(n44383), .Y(n50701) );
+  sky130_fd_sc_hd__a22oi_1 U64300 ( .A1(n50699), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[499]), .B1(n50701), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[179]), .Y(n44392) );
+  sky130_fd_sc_hd__nor2_1 U64301 ( .A(n44386), .B(n83159), .Y(n50689) );
+  sky130_fd_sc_hd__nor2_1 U64302 ( .A(n44384), .B(n44383), .Y(n50686) );
+  sky130_fd_sc_hd__a22oi_1 U64303 ( .A1(n50689), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[403]), .B1(n50686), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[307]), .Y(n44391) );
+  sky130_fd_sc_hd__nor2_1 U64304 ( .A(n44386), .B(n44385), .Y(n50704) );
+  sky130_fd_sc_hd__nor2_1 U64305 ( .A(n83157), .B(n44387), .Y(n50703) );
+  sky130_fd_sc_hd__a22oi_1 U64306 ( .A1(n50704), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[467]), .B1(n50703), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[243]), .Y(n44390) );
+  sky130_fd_sc_hd__nor2_1 U64307 ( .A(n44388), .B(n83159), .Y(n50692) );
+  sky130_fd_sc_hd__nor2_1 U64308 ( .A(n44388), .B(n83157), .Y(n50698) );
+  sky130_fd_sc_hd__a22oi_1 U64309 ( .A1(n50692), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[19]), .B1(n50698), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[115]), .Y(n44389) );
+  sky130_fd_sc_hd__nand4_1 U64310 ( .A(n44392), .B(n44391), .C(n44390), .D(
+        n44389), .Y(n44399) );
+  sky130_fd_sc_hd__o22ai_1 U64311 ( .A1(n83160), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_MPORT_addr[2]), .B1(n60952), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_io_deq_bits_MPORT_addr[1]), .Y(n44393) );
+  sky130_fd_sc_hd__a221oi_1 U64312 ( .A1(n83160), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_MPORT_addr[2]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_io_deq_bits_MPORT_addr[1]), .B2(n60952), .C1(n44393), .Y(n44394) );
+  sky130_fd_sc_hd__o221ai_1 U64313 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_MPORT_addr[3]), .A2(n44395), .B1(n83173), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_io_deq_bits_MPORT_addr[3]), .C1(n44394), .Y(n44396) );
+  sky130_fd_sc_hd__a221oi_1 U64314 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_MPORT_addr[0]), .A2(n44397), .B1(n60951), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_io_deq_bits_MPORT_addr[0]), .C1(n44396), .Y(n59879) );
+  sky130_fd_sc_hd__a21oi_1 U64315 ( .A1(n44398), .A2(n59879), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1__GEN_15_0_), .Y(n53501) );
+  sky130_fd_sc_hd__nor2_1 U64316 ( .A(n83193), .B(n84077), .Y(n83154) );
+  sky130_fd_sc_hd__nand2_1 U64317 ( .A(n53501), .B(n83154), .Y(n84085) );
+  sky130_fd_sc_hd__nor2_1 U64318 ( .A(n83111), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state_1_2), 
+        .Y(n83151) );
+  sky130_fd_sc_hd__o21ai_1 U64319 ( .A1(n44400), .A2(n44399), .B1(n54782), .Y(
+        n44444) );
+  sky130_fd_sc_hd__nand2_1 U64320 ( .A(n44417), .B(n83121), .Y(n44406) );
+  sky130_fd_sc_hd__nor3_1 U64321 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[1]), .C(n44406), .Y(n50661) );
+  sky130_fd_sc_hd__clkbuf_1 U64322 ( .A(n50661), .X(n50729) );
+  sky130_fd_sc_hd__nand2b_1 U64323 ( .A_N(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[0]), .Y(n83117) );
+  sky130_fd_sc_hd__nand2_1 U64324 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[3]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[2]), .Y(n44408) );
+  sky130_fd_sc_hd__nor2_1 U64325 ( .A(n83117), .B(n44408), .Y(n50726) );
+  sky130_fd_sc_hd__a22oi_1 U64326 ( .A1(n50729), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[499]), .B1(n50726), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[83]), .Y(n44404) );
+  sky130_fd_sc_hd__nand2_1 U64327 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[3]), .B(n83121), .Y(n44405) );
+  sky130_fd_sc_hd__nand2b_1 U64328 ( .A_N(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[1]), .Y(n83118) );
+  sky130_fd_sc_hd__nor2_1 U64329 ( .A(n44405), .B(n83118), .Y(n50712) );
+  sky130_fd_sc_hd__nor2_1 U64330 ( .A(n44405), .B(n83117), .Y(n50711) );
+  sky130_fd_sc_hd__a22oi_1 U64331 ( .A1(n50712), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[179]), .B1(n50711), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[211]), .Y(n44403) );
+  sky130_fd_sc_hd__nand2_1 U64332 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[2]), .B(n44417), .Y(n44407) );
+  sky130_fd_sc_hd__nor2_1 U64333 ( .A(n44407), .B(n83117), .Y(n50713) );
+  sky130_fd_sc_hd__nor2_1 U64334 ( .A(n44406), .B(n83117), .Y(n50724) );
+  sky130_fd_sc_hd__a22oi_1 U64335 ( .A1(n50713), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[339]), .B1(n50724), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[467]), .Y(n44402) );
+  sky130_fd_sc_hd__nand3_1 U64336 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[1]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[2]), .Y(n83123) );
+  sky130_fd_sc_hd__nor2_1 U64337 ( .A(n44417), .B(n83123), .Y(n50716) );
+  sky130_fd_sc_hd__nor2_1 U64338 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[3]), .B(n83123), .Y(n83122) );
+  sky130_fd_sc_hd__a22oi_1 U64339 ( .A1(n50716), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[19]), .B1(n83122), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[275]), .Y(n44401) );
+  sky130_fd_sc_hd__nand4_1 U64340 ( .A(n44404), .B(n44403), .C(n44402), .D(
+        n44401), .Y(n44420) );
+  sky130_fd_sc_hd__nor3_1 U64341 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[1]), .C(n44407), .Y(n50717) );
+  sky130_fd_sc_hd__nor3_1 U64342 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[1]), .C(n44408), .Y(n50722) );
+  sky130_fd_sc_hd__clkbuf_1 U64343 ( .A(n50722), .X(n50615) );
+  sky130_fd_sc_hd__a22oi_1 U64344 ( .A1(n50717), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[371]), .B1(n50615), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[115]), .Y(n44412) );
+  sky130_fd_sc_hd__nor2_1 U64345 ( .A(n44406), .B(n83118), .Y(n50715) );
+  sky130_fd_sc_hd__nor3_1 U64346 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[1]), .C(n44405), .Y(n48671) );
+  sky130_fd_sc_hd__a22oi_1 U64347 ( .A1(n50715), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[435]), .B1(n48671), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[243]), .Y(n44411) );
+  sky130_fd_sc_hd__nand2_1 U64348 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[1]), .Y(n83120) );
+  sky130_fd_sc_hd__nor2_1 U64349 ( .A(n83120), .B(n44405), .Y(n50723) );
+  sky130_fd_sc_hd__nor2_1 U64350 ( .A(n83120), .B(n44406), .Y(n50728) );
+  sky130_fd_sc_hd__a22oi_1 U64351 ( .A1(n50723), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[147]), .B1(n50728), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[403]), .Y(n44410) );
+  sky130_fd_sc_hd__nor2_1 U64352 ( .A(n44407), .B(n83118), .Y(n50714) );
+  sky130_fd_sc_hd__nor2_1 U64353 ( .A(n83118), .B(n44408), .Y(n50725) );
+  sky130_fd_sc_hd__a22oi_1 U64354 ( .A1(n50714), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[307]), .B1(n50725), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[51]), .Y(n44409) );
+  sky130_fd_sc_hd__nand4_1 U64355 ( .A(n44412), .B(n44411), .C(n44410), .D(
+        n44409), .Y(n44419) );
+  sky130_fd_sc_hd__nand2_1 U64356 ( .A(n83154), .B(n83150), .Y(n83110) );
+  sky130_fd_sc_hd__o22ai_1 U64357 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[2]), .A2(n83131), .B1(n83121), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_MPORT_addr[2]), .Y(n44416) );
+  sky130_fd_sc_hd__o22ai_1 U64358 ( .A1(n59908), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[0]), .B1(n59907), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[1]), .Y(n44413) );
+  sky130_fd_sc_hd__a221oi_1 U64359 ( .A1(n59908), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[0]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[1]), .B2(n59907), .C1(n44413), .Y(n44414) );
+  sky130_fd_sc_hd__a211oi_1 U64361 ( .A1(n44417), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_MPORT_addr[3]), .B1(n44416), .C1(n44415), .Y(n59873) );
+  sky130_fd_sc_hd__a21oi_1 U64362 ( .A1(n59873), .A2(n44418), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2__GEN_15_0_), .Y(n83113) );
+  sky130_fd_sc_hd__nand2b_1 U64363 ( .A_N(n83110), .B(n83113), .Y(n84072) );
+  sky130_fd_sc_hd__nor2_1 U64364 ( .A(n83111), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state_1_3), 
+        .Y(n83109) );
+  sky130_fd_sc_hd__o21ai_1 U64365 ( .A1(n44420), .A2(n44419), .B1(n54781), .Y(
+        n44443) );
+  sky130_fd_sc_hd__nand2_1 U64366 ( .A(n44421), .B(n44438), .Y(n44427) );
+  sky130_fd_sc_hd__nand2_1 U64367 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_io_deq_bits_MPORT_addr[2]), .B(n83082), .Y(n44429) );
+  sky130_fd_sc_hd__nor2_1 U64368 ( .A(n44427), .B(n44429), .Y(n50754) );
+  sky130_fd_sc_hd__nand2_1 U64369 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_io_deq_bits_MPORT_addr[1]), .B(n44438), .Y(n83075) );
+  sky130_fd_sc_hd__nand2_1 U64370 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_io_deq_bits_MPORT_addr[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_io_deq_bits_MPORT_addr[3]), .Y(n44426) );
+  sky130_fd_sc_hd__nor2_1 U64371 ( .A(n83075), .B(n44426), .Y(n50748) );
+  sky130_fd_sc_hd__a22oi_1 U64372 ( .A1(n50754), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[371]), .B1(n50748), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[51]), .Y(n44425) );
+  sky130_fd_sc_hd__nand2_1 U64373 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_io_deq_bits_MPORT_addr[3]), .B(n83078), .Y(n44430) );
+  sky130_fd_sc_hd__nor2_1 U64374 ( .A(n44430), .B(n44427), .Y(n50741) );
+  sky130_fd_sc_hd__nand2_1 U64375 ( .A(n83078), .B(n83082), .Y(n44428) );
+  sky130_fd_sc_hd__nor2_1 U64376 ( .A(n44428), .B(n44427), .Y(n50749) );
+  sky130_fd_sc_hd__a22oi_1 U64377 ( .A1(n50741), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[243]), .B1(n50749), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[499]), .Y(n44424) );
+  sky130_fd_sc_hd__nand2_1 U64378 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_io_deq_bits_MPORT_addr[0]), .B(n44421), .Y(n83074) );
+  sky130_fd_sc_hd__nor2_1 U64379 ( .A(n44429), .B(n83074), .Y(n50736) );
+  sky130_fd_sc_hd__nor2_1 U64380 ( .A(n44428), .B(n83074), .Y(n50750) );
+  sky130_fd_sc_hd__a22oi_1 U64381 ( .A1(n50736), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[339]), .B1(n50750), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[467]), .Y(n44423) );
+  sky130_fd_sc_hd__nand2_1 U64382 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_io_deq_bits_MPORT_addr[0]), .Y(n83077) );
+  sky130_fd_sc_hd__nor2_1 U64383 ( .A(n83078), .B(n83077), .Y(n83076) );
+  sky130_fd_sc_hd__nor2_1 U64384 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_io_deq_bits_MPORT_addr[3]), .B(n83081), .Y(n50742) );
+  sky130_fd_sc_hd__nor2_1 U64385 ( .A(n83082), .B(n83081), .Y(n83080) );
+  sky130_fd_sc_hd__a22oi_1 U64386 ( .A1(n50742), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[275]), .B1(n83080), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[19]), .Y(n44422) );
+  sky130_fd_sc_hd__nand4_1 U64387 ( .A(n44425), .B(n44424), .C(n44423), .D(
+        n44422), .Y(n44441) );
+  sky130_fd_sc_hd__nor2_1 U64388 ( .A(n83075), .B(n44428), .Y(n50739) );
+  sky130_fd_sc_hd__nor2_1 U64389 ( .A(n44426), .B(n83074), .Y(n50751) );
+  sky130_fd_sc_hd__a22oi_1 U64390 ( .A1(n50739), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[435]), .B1(n50751), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[83]), .Y(n44434) );
+  sky130_fd_sc_hd__nor2_1 U64391 ( .A(n44427), .B(n44426), .Y(n50737) );
+  sky130_fd_sc_hd__nor2_1 U64392 ( .A(n44428), .B(n83077), .Y(n50752) );
+  sky130_fd_sc_hd__a22oi_1 U64393 ( .A1(n50737), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[115]), .B1(n50752), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[403]), .Y(n44433) );
+  sky130_fd_sc_hd__nor2_1 U64394 ( .A(n44430), .B(n83077), .Y(n50747) );
+  sky130_fd_sc_hd__nor2_1 U64395 ( .A(n44430), .B(n83074), .Y(n50740) );
+  sky130_fd_sc_hd__a22oi_1 U64396 ( .A1(n50747), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[147]), .B1(n50740), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[211]), .Y(n44432) );
+  sky130_fd_sc_hd__nor2_1 U64397 ( .A(n83075), .B(n44429), .Y(n50738) );
+  sky130_fd_sc_hd__nor2_1 U64398 ( .A(n83075), .B(n44430), .Y(n50753) );
+  sky130_fd_sc_hd__a22oi_1 U64399 ( .A1(n50738), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[307]), .B1(n50753), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[179]), .Y(n44431) );
+  sky130_fd_sc_hd__nand4_1 U64400 ( .A(n44434), .B(n44433), .C(n44432), .D(
+        n44431), .Y(n44440) );
+  sky130_fd_sc_hd__nor2_1 U64401 ( .A(n83113), .B(n83110), .Y(n83066) );
+  sky130_fd_sc_hd__o22ai_1 U64402 ( .A1(n83078), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_MPORT_addr[2]), .B1(n59902), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_io_deq_bits_MPORT_addr[1]), .Y(n44435) );
+  sky130_fd_sc_hd__a221oi_1 U64403 ( .A1(n83078), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_MPORT_addr[2]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_io_deq_bits_MPORT_addr[1]), .B2(n59902), .C1(n44435), .Y(n44436) );
+  sky130_fd_sc_hd__o221ai_1 U64404 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_MPORT_addr[3]), .A2(n83082), .B1(n59934), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_io_deq_bits_MPORT_addr[3]), .C1(n44436), .Y(n44437) );
+  sky130_fd_sc_hd__a221oi_1 U64405 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_MPORT_addr[0]), .A2(n44438), .B1(n59905), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_io_deq_bits_MPORT_addr[0]), .C1(n44437), .Y(n59888) );
+  sky130_fd_sc_hd__a21oi_1 U64406 ( .A1(n59888), .A2(n44439), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3__GEN_15_0_), .Y(n83070) );
+  sky130_fd_sc_hd__nand2_1 U64407 ( .A(n83066), .B(n83070), .Y(n84079) );
+  sky130_fd_sc_hd__o21ai_1 U64408 ( .A1(n83111), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state_1_4), 
+        .B1(n53500), .Y(n54359) );
+  sky130_fd_sc_hd__o21ai_1 U64409 ( .A1(n44441), .A2(n44440), .B1(n54746), .Y(
+        n44442) );
+  sky130_fd_sc_hd__nand4_1 U64410 ( .A(n44445), .B(n44444), .C(n44443), .D(
+        n44442), .Y(n85191) );
+  sky130_fd_sc_hd__a22oi_1 U64411 ( .A1(n55986), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[1]), .B1(n55985), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[1]), .Y(n44447) );
+  sky130_fd_sc_hd__a22oi_1 U64412 ( .A1(n54782), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[1]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[1]), .B2(n37033), .Y(n44446) );
+  sky130_fd_sc_hd__nand2_1 U64413 ( .A(n44447), .B(n44446), .Y(n85179) );
+  sky130_fd_sc_hd__a22o_1 U64414 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[1]), .B1(n55990), .B2(n85179), .X(n85180) );
+  sky130_fd_sc_hd__a22oi_1 U64415 ( .A1(n55986), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[0]), .B1(n55985), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[0]), .Y(n44449) );
+  sky130_fd_sc_hd__a22oi_1 U64416 ( .A1(n54782), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[0]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[0]), .B2(n55987), .Y(n44448) );
+  sky130_fd_sc_hd__nand2_1 U64417 ( .A(n44449), .B(n44448), .Y(n85182) );
+  sky130_fd_sc_hd__a22o_1 U64418 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[0]), .B1(n55990), .B2(n85182), .X(n85183) );
+  sky130_fd_sc_hd__a22oi_1 U64419 ( .A1(n50766), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[363]), .B1(n50774), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[139]), .Y(n44453) );
+  sky130_fd_sc_hd__a22oi_1 U64420 ( .A1(n50772), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[395]), .B1(n50763), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[491]), .Y(n44452) );
+  sky130_fd_sc_hd__a22oi_1 U64421 ( .A1(n50764), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[427]), .B1(n50773), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[235]), .Y(n44451) );
+  sky130_fd_sc_hd__a22oi_1 U64422 ( .A1(n50765), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[11]), .B1(n83204), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[267]), .Y(n44450) );
+  sky130_fd_sc_hd__nand4_1 U64423 ( .A(n44453), .B(n44452), .C(n44451), .D(
+        n44450), .Y(n44460) );
+  sky130_fd_sc_hd__a22oi_1 U64424 ( .A1(n50776), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[459]), .B1(n50761), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[203]), .Y(n44457) );
+  sky130_fd_sc_hd__a22oi_1 U64425 ( .A1(n50777), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[107]), .B1(n50762), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[171]), .Y(n44456) );
+  sky130_fd_sc_hd__a22oi_1 U64426 ( .A1(n50775), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[43]), .B1(n50767), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[299]), .Y(n44455) );
+  sky130_fd_sc_hd__a22oi_1 U64427 ( .A1(n50779), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[331]), .B1(n50778), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[75]), .Y(n44454) );
+  sky130_fd_sc_hd__nand4_1 U64428 ( .A(n44457), .B(n44456), .C(n44455), .D(
+        n44454), .Y(n44459) );
+  sky130_fd_sc_hd__a22o_1 U64429 ( .A1(n38371), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[11]), .B1(n37066), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[43]), .X(n44458) );
+  sky130_fd_sc_hd__a221oi_1 U64430 ( .A1(n44460), .A2(n37033), .B1(n44459), 
+        .B2(n55987), .C1(n44458), .Y(n44494) );
+  sky130_fd_sc_hd__a22oi_1 U64431 ( .A1(n50688), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[203]), .B1(n50697), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[427]), .Y(n44464) );
+  sky130_fd_sc_hd__a22oi_1 U64432 ( .A1(n50702), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[43]), .B1(n50691), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[75]), .Y(n44463) );
+  sky130_fd_sc_hd__a22oi_1 U64433 ( .A1(n50692), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[11]), .B1(n50685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[267]), .Y(n44462) );
+  sky130_fd_sc_hd__a22oi_1 U64434 ( .A1(n50689), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[395]), .B1(n50701), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[171]), .Y(n44461) );
+  sky130_fd_sc_hd__nand4_1 U64435 ( .A(n44464), .B(n44463), .C(n44462), .D(
+        n44461), .Y(n44470) );
+  sky130_fd_sc_hd__a22oi_1 U64436 ( .A1(n50698), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[107]), .B1(n50699), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[491]), .Y(n44468) );
+  sky130_fd_sc_hd__a22oi_1 U64437 ( .A1(n50690), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[139]), .B1(n50686), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[299]), .Y(n44467) );
+  sky130_fd_sc_hd__a22oi_1 U64438 ( .A1(n50703), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[235]), .B1(n50687), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[331]), .Y(n44466) );
+  sky130_fd_sc_hd__a22oi_1 U64439 ( .A1(n50704), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[459]), .B1(n50700), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[363]), .Y(n44465) );
+  sky130_fd_sc_hd__nand4_1 U64440 ( .A(n44468), .B(n44467), .C(n44466), .D(
+        n44465), .Y(n44469) );
+  sky130_fd_sc_hd__o21ai_1 U64441 ( .A1(n44470), .A2(n44469), .B1(n54782), .Y(
+        n44493) );
+  sky130_fd_sc_hd__a22oi_1 U64442 ( .A1(n50723), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[139]), .B1(n50726), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[75]), .Y(n44474) );
+  sky130_fd_sc_hd__a22oi_1 U64443 ( .A1(n50714), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[299]), .B1(n50715), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[427]), .Y(n44473) );
+  sky130_fd_sc_hd__a22oi_1 U64444 ( .A1(n50712), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[171]), .B1(n50716), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[11]), .Y(n44472) );
+  sky130_fd_sc_hd__a22oi_1 U64445 ( .A1(n50724), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[459]), .B1(n83122), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[267]), .Y(n44471) );
+  sky130_fd_sc_hd__nand4_1 U64446 ( .A(n44474), .B(n44473), .C(n44472), .D(
+        n44471), .Y(n44480) );
+  sky130_fd_sc_hd__a22oi_1 U64447 ( .A1(n50728), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[395]), .B1(n48671), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[235]), .Y(n44478) );
+  sky130_fd_sc_hd__a22oi_1 U64448 ( .A1(n50713), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[331]), .B1(n50615), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[107]), .Y(n44477) );
+  sky130_fd_sc_hd__a22oi_1 U64449 ( .A1(n50711), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[203]), .B1(n50717), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[363]), .Y(n44476) );
+  sky130_fd_sc_hd__a22oi_1 U64450 ( .A1(n50729), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[491]), .B1(n50725), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[43]), .Y(n44475) );
+  sky130_fd_sc_hd__nand4_1 U64451 ( .A(n44478), .B(n44477), .C(n44476), .D(
+        n44475), .Y(n44479) );
+  sky130_fd_sc_hd__o21ai_1 U64452 ( .A1(n44480), .A2(n44479), .B1(n54781), .Y(
+        n44492) );
+  sky130_fd_sc_hd__a22oi_1 U64453 ( .A1(n50737), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[107]), .B1(n50752), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[395]), .Y(n44484) );
+  sky130_fd_sc_hd__a22oi_1 U64454 ( .A1(n50754), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[363]), .B1(n50749), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[491]), .Y(n44483) );
+  sky130_fd_sc_hd__a22oi_1 U64455 ( .A1(n50736), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[331]), .B1(n83080), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[11]), .Y(n44482) );
+  sky130_fd_sc_hd__a22oi_1 U64456 ( .A1(n50751), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[75]), .B1(n50742), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[267]), .Y(n44481) );
+  sky130_fd_sc_hd__nand4_1 U64457 ( .A(n44484), .B(n44483), .C(n44482), .D(
+        n44481), .Y(n44490) );
+  sky130_fd_sc_hd__a22oi_1 U64458 ( .A1(n50747), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[139]), .B1(n50750), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[459]), .Y(n44488) );
+  sky130_fd_sc_hd__a22oi_1 U64459 ( .A1(n50739), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[427]), .B1(n50738), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[299]), .Y(n44487) );
+  sky130_fd_sc_hd__a22oi_1 U64460 ( .A1(n50740), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[203]), .B1(n50753), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[171]), .Y(n44486) );
+  sky130_fd_sc_hd__a22oi_1 U64461 ( .A1(n50741), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[235]), .B1(n50748), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[43]), .Y(n44485) );
+  sky130_fd_sc_hd__nand4_1 U64462 ( .A(n44488), .B(n44487), .C(n44486), .D(
+        n44485), .Y(n44489) );
+  sky130_fd_sc_hd__nand4_1 U64464 ( .A(n44494), .B(n44493), .C(n44492), .D(
+        n44491), .Y(n85211) );
+  sky130_fd_sc_hd__a22oi_1 U64465 ( .A1(n50775), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[59]), .B1(n50774), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[155]), .Y(n44498) );
+  sky130_fd_sc_hd__a22oi_1 U64466 ( .A1(n50773), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[251]), .B1(n50776), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[475]), .Y(n44497) );
+  sky130_fd_sc_hd__a22oi_1 U64467 ( .A1(n50762), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[187]), .B1(n50767), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[315]), .Y(n44496) );
+  sky130_fd_sc_hd__a22oi_1 U64468 ( .A1(n50765), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[27]), .B1(n83204), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[283]), .Y(n44495) );
+  sky130_fd_sc_hd__nand4_1 U64469 ( .A(n44498), .B(n44497), .C(n44496), .D(
+        n44495), .Y(n44505) );
+  sky130_fd_sc_hd__a22oi_1 U64470 ( .A1(n50779), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[347]), .B1(n50772), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[411]), .Y(n44502) );
+  sky130_fd_sc_hd__a22oi_1 U64471 ( .A1(n50778), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[91]), .B1(n50761), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[219]), .Y(n44501) );
+  sky130_fd_sc_hd__a22oi_1 U64472 ( .A1(n50777), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[123]), .B1(n50766), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[379]), .Y(n44500) );
+  sky130_fd_sc_hd__a22oi_1 U64473 ( .A1(n50764), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[443]), .B1(n50763), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[507]), .Y(n44499) );
+  sky130_fd_sc_hd__nand4_1 U64474 ( .A(n44502), .B(n44501), .C(n44500), .D(
+        n44499), .Y(n44504) );
+  sky130_fd_sc_hd__a22o_1 U64475 ( .A1(n38371), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[27]), .B1(n37066), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[59]), .X(n44503) );
+  sky130_fd_sc_hd__a221oi_1 U64476 ( .A1(n44505), .A2(n37033), .B1(n44504), 
+        .B2(n37033), .C1(n44503), .Y(n44539) );
+  sky130_fd_sc_hd__a22oi_1 U64477 ( .A1(n50700), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[379]), .B1(n50702), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[59]), .Y(n44509) );
+  sky130_fd_sc_hd__a22oi_1 U64478 ( .A1(n50689), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[411]), .B1(n50687), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[347]), .Y(n44508) );
+  sky130_fd_sc_hd__a22oi_1 U64479 ( .A1(n50704), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[475]), .B1(n50697), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[443]), .Y(n44507) );
+  sky130_fd_sc_hd__a22oi_1 U64480 ( .A1(n50703), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[251]), .B1(n50690), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[155]), .Y(n44506) );
+  sky130_fd_sc_hd__nand4_1 U64481 ( .A(n44509), .B(n44508), .C(n44507), .D(
+        n44506), .Y(n44515) );
+  sky130_fd_sc_hd__a22oi_1 U64482 ( .A1(n50692), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[27]), .B1(n50699), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[507]), .Y(n44513) );
+  sky130_fd_sc_hd__a22oi_1 U64483 ( .A1(n50701), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[187]), .B1(n50691), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[91]), .Y(n44512) );
+  sky130_fd_sc_hd__a22oi_1 U64484 ( .A1(n50698), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[123]), .B1(n50686), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[315]), .Y(n44511) );
+  sky130_fd_sc_hd__a22oi_1 U64485 ( .A1(n50688), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[219]), .B1(n50685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[283]), .Y(n44510) );
+  sky130_fd_sc_hd__nand4_1 U64486 ( .A(n44513), .B(n44512), .C(n44511), .D(
+        n44510), .Y(n44514) );
+  sky130_fd_sc_hd__o21ai_1 U64487 ( .A1(n44515), .A2(n44514), .B1(n54782), .Y(
+        n44538) );
+  sky130_fd_sc_hd__clkbuf_1 U64488 ( .A(n48671), .X(n50727) );
+  sky130_fd_sc_hd__a22oi_1 U64489 ( .A1(n50727), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[251]), .B1(n50724), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[475]), .Y(n44519) );
+  sky130_fd_sc_hd__a22oi_1 U64490 ( .A1(n50728), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[411]), .B1(n50725), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[59]), .Y(n44518) );
+  sky130_fd_sc_hd__a22oi_1 U64491 ( .A1(n50722), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[123]), .B1(n50715), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[443]), .Y(n44517) );
+  sky130_fd_sc_hd__a22oi_1 U64492 ( .A1(n50716), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[27]), .B1(n83122), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[283]), .Y(n44516) );
+  sky130_fd_sc_hd__nand4_1 U64493 ( .A(n44519), .B(n44518), .C(n44517), .D(
+        n44516), .Y(n44525) );
+  sky130_fd_sc_hd__a22oi_1 U64494 ( .A1(n50712), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[187]), .B1(n50717), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[379]), .Y(n44523) );
+  sky130_fd_sc_hd__a22oi_1 U64495 ( .A1(n50714), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[315]), .B1(n50711), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[219]), .Y(n44522) );
+  sky130_fd_sc_hd__a22oi_1 U64496 ( .A1(n50729), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[507]), .B1(n50713), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[347]), .Y(n44521) );
+  sky130_fd_sc_hd__a22oi_1 U64497 ( .A1(n50723), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[155]), .B1(n50726), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[91]), .Y(n44520) );
+  sky130_fd_sc_hd__nand4_1 U64498 ( .A(n44523), .B(n44522), .C(n44521), .D(
+        n44520), .Y(n44524) );
+  sky130_fd_sc_hd__o21ai_1 U64499 ( .A1(n44525), .A2(n44524), .B1(n54781), .Y(
+        n44537) );
+  sky130_fd_sc_hd__a22oi_1 U64500 ( .A1(n50741), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[251]), .B1(n50750), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[475]), .Y(n44529) );
+  sky130_fd_sc_hd__a22oi_1 U64501 ( .A1(n50747), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[155]), .B1(n50740), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[219]), .Y(n44528) );
+  sky130_fd_sc_hd__a22oi_1 U64502 ( .A1(n50739), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[443]), .B1(n83080), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[27]), .Y(n44527) );
+  sky130_fd_sc_hd__a22oi_1 U64503 ( .A1(n50752), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[411]), .B1(n50742), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[283]), .Y(n44526) );
+  sky130_fd_sc_hd__nand4_1 U64504 ( .A(n44529), .B(n44528), .C(n44527), .D(
+        n44526), .Y(n44535) );
+  sky130_fd_sc_hd__a22oi_1 U64505 ( .A1(n50737), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[123]), .B1(n50753), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[187]), .Y(n44533) );
+  sky130_fd_sc_hd__a22oi_1 U64506 ( .A1(n50738), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[315]), .B1(n50749), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[507]), .Y(n44532) );
+  sky130_fd_sc_hd__a22oi_1 U64507 ( .A1(n50748), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[59]), .B1(n50736), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[347]), .Y(n44531) );
+  sky130_fd_sc_hd__a22oi_1 U64508 ( .A1(n50754), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[379]), .B1(n50751), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[91]), .Y(n44530) );
+  sky130_fd_sc_hd__nand4_1 U64509 ( .A(n44533), .B(n44532), .C(n44531), .D(
+        n44530), .Y(n44534) );
+  sky130_fd_sc_hd__o21ai_1 U64510 ( .A1(n44535), .A2(n44534), .B1(n54746), .Y(
+        n44536) );
+  sky130_fd_sc_hd__nand4_1 U64511 ( .A(n44539), .B(n44538), .C(n44537), .D(
+        n44536), .Y(n84310) );
+  sky130_fd_sc_hd__nor2_1 U64512 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeat_count[1]), .B(n85180), .Y(n44540) );
+  sky130_fd_sc_hd__nor3_2 U64513 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeat_count[1]), .B(n44541), .C(n85180), .Y(n50683) );
+  sky130_fd_sc_hd__nor2_1 U64514 ( .A(n44541), .B(n44540), .Y(n50682) );
+  sky130_fd_sc_hd__a222oi_1 U64515 ( .A1(n85191), .A2(n50684), .B1(n85211), 
+        .B2(n50683), .C1(n84310), .C2(n50682), .Y(n44591) );
+  sky130_fd_sc_hd__a222oi_1 U64516 ( .A1(n50684), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[19]), .B1(n50683), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[11]), .C1(n50682), .C2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[27]), .Y(n44590) );
+  sky130_fd_sc_hd__a22oi_1 U64517 ( .A1(n50702), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[35]), .B1(n50691), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[67]), .Y(n44545) );
+  sky130_fd_sc_hd__a22oi_1 U64518 ( .A1(n50701), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[163]), .B1(n50685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[259]), .Y(n44544) );
+  sky130_fd_sc_hd__a22oi_1 U64519 ( .A1(n50700), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[355]), .B1(n50687), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[323]), .Y(n44543) );
+  sky130_fd_sc_hd__a22oi_1 U64520 ( .A1(n50703), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[227]), .B1(n50688), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[195]), .Y(n44542) );
+  sky130_fd_sc_hd__nand4_1 U64521 ( .A(n44545), .B(n44544), .C(n44543), .D(
+        n44542), .Y(n44551) );
+  sky130_fd_sc_hd__a22oi_1 U64522 ( .A1(n50699), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[483]), .B1(n50697), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[419]), .Y(n44549) );
+  sky130_fd_sc_hd__a22oi_1 U64523 ( .A1(n50692), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[3]), .B1(n50698), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[99]), .Y(n44548) );
+  sky130_fd_sc_hd__a22oi_1 U64524 ( .A1(n50689), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[387]), .B1(n50686), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[291]), .Y(n44547) );
+  sky130_fd_sc_hd__a22oi_1 U64525 ( .A1(n50704), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[451]), .B1(n50690), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[131]), .Y(n44546) );
+  sky130_fd_sc_hd__nand4_1 U64526 ( .A(n44549), .B(n44548), .C(n44547), .D(
+        n44546), .Y(n44550) );
+  sky130_fd_sc_hd__o21a_1 U64527 ( .A1(n44551), .A2(n44550), .B1(n54782), .X(
+        n44588) );
+  sky130_fd_sc_hd__a22oi_1 U64528 ( .A1(n38371), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[3]), .B1(n37066), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[35]), .Y(n44585) );
+  sky130_fd_sc_hd__a22oi_1 U64529 ( .A1(n50711), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[195]), .B1(n50713), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[323]), .Y(n44555) );
+  sky130_fd_sc_hd__a22oi_1 U64530 ( .A1(n50729), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[483]), .B1(n50724), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[451]), .Y(n44554) );
+  sky130_fd_sc_hd__a22oi_1 U64531 ( .A1(n50715), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[419]), .B1(n50716), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[3]), .Y(n44553) );
+  sky130_fd_sc_hd__clkbuf_1 U64532 ( .A(n50717), .X(n50565) );
+  sky130_fd_sc_hd__a22oi_1 U64533 ( .A1(n50565), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[355]), .B1(n83122), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[259]), .Y(n44552) );
+  sky130_fd_sc_hd__nand4_1 U64534 ( .A(n44555), .B(n44554), .C(n44553), .D(
+        n44552), .Y(n44561) );
+  sky130_fd_sc_hd__a22oi_1 U64535 ( .A1(n50712), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[163]), .B1(n50726), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[67]), .Y(n44559) );
+  sky130_fd_sc_hd__a22oi_1 U64536 ( .A1(n50714), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[291]), .B1(n50615), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[99]), .Y(n44558) );
+  sky130_fd_sc_hd__a22oi_1 U64537 ( .A1(n50723), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[131]), .B1(n50725), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[35]), .Y(n44557) );
+  sky130_fd_sc_hd__a22oi_1 U64538 ( .A1(n50728), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[387]), .B1(n48671), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[227]), .Y(n44556) );
+  sky130_fd_sc_hd__nand4_1 U64539 ( .A(n44559), .B(n44558), .C(n44557), .D(
+        n44556), .Y(n44560) );
+  sky130_fd_sc_hd__o21ai_1 U64540 ( .A1(n44561), .A2(n44560), .B1(n54781), .Y(
+        n44584) );
+  sky130_fd_sc_hd__a22oi_1 U64541 ( .A1(n50738), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[291]), .B1(n50751), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[67]), .Y(n44565) );
+  sky130_fd_sc_hd__a22oi_1 U64542 ( .A1(n50754), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[355]), .B1(n50736), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[323]), .Y(n44564) );
+  sky130_fd_sc_hd__a22oi_1 U64543 ( .A1(n50752), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[387]), .B1(n83080), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[3]), .Y(n44563) );
+  sky130_fd_sc_hd__a22oi_1 U64544 ( .A1(n50742), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[259]), .B1(n50749), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[483]), .Y(n44562) );
+  sky130_fd_sc_hd__nand4_1 U64545 ( .A(n44565), .B(n44564), .C(n44563), .D(
+        n44562), .Y(n44571) );
+  sky130_fd_sc_hd__a22oi_1 U64546 ( .A1(n50737), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[99]), .B1(n50740), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[195]), .Y(n44569) );
+  sky130_fd_sc_hd__a22oi_1 U64547 ( .A1(n50747), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[131]), .B1(n50753), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[163]), .Y(n44568) );
+  sky130_fd_sc_hd__a22oi_1 U64548 ( .A1(n50741), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[227]), .B1(n50750), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[451]), .Y(n44567) );
+  sky130_fd_sc_hd__a22oi_1 U64549 ( .A1(n50739), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[419]), .B1(n50748), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[35]), .Y(n44566) );
+  sky130_fd_sc_hd__nand4_1 U64550 ( .A(n44569), .B(n44568), .C(n44567), .D(
+        n44566), .Y(n44570) );
+  sky130_fd_sc_hd__o21ai_1 U64551 ( .A1(n44571), .A2(n44570), .B1(n54746), .Y(
+        n44583) );
+  sky130_fd_sc_hd__a22oi_1 U64552 ( .A1(n50772), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[387]), .B1(n50778), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[67]), .Y(n44575) );
+  sky130_fd_sc_hd__a22oi_1 U64553 ( .A1(n50779), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[323]), .B1(n50773), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[227]), .Y(n44574) );
+  sky130_fd_sc_hd__a22oi_1 U64554 ( .A1(n50762), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[163]), .B1(n50775), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[35]), .Y(n44573) );
+  sky130_fd_sc_hd__a22oi_1 U64555 ( .A1(n50765), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[3]), .B1(n83204), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[259]), .Y(n44572) );
+  sky130_fd_sc_hd__nand4_1 U64556 ( .A(n44575), .B(n44574), .C(n44573), .D(
+        n44572), .Y(n44581) );
+  sky130_fd_sc_hd__a22oi_1 U64557 ( .A1(n50764), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[419]), .B1(n50761), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[195]), .Y(n44579) );
+  sky130_fd_sc_hd__a22oi_1 U64558 ( .A1(n50777), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[99]), .B1(n50763), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[483]), .Y(n44578) );
+  sky130_fd_sc_hd__a22oi_1 U64559 ( .A1(n50767), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[291]), .B1(n50766), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[355]), .Y(n44577) );
+  sky130_fd_sc_hd__a22oi_1 U64560 ( .A1(n50774), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[131]), .B1(n50776), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[451]), .Y(n44576) );
+  sky130_fd_sc_hd__nand4_1 U64561 ( .A(n44579), .B(n44578), .C(n44577), .D(
+        n44576), .Y(n44580) );
+  sky130_fd_sc_hd__nand4_1 U64563 ( .A(n44585), .B(n44584), .C(n44583), .D(
+        n44582), .Y(n44587) );
+  sky130_fd_sc_hd__nor3_1 U64564 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeat_count[1]), .B(n44586), .C(n85180), .Y(n50790) );
+  sky130_fd_sc_hd__o221ai_1 U64566 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(n44591), .B1(n55990), .B2(n44590), .C1(n44589), .Y(n84401) );
+  sky130_fd_sc_hd__mux2_2 U64567 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[36]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[4]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .X(n84298) );
+  sky130_fd_sc_hd__nand2_1 U64568 ( .A(n57367), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_4[0]), .Y(
+        n44596) );
+  sky130_fd_sc_hd__nand2_1 U64569 ( .A(n57600), .B(n84298), .Y(n44595) );
+  sky130_fd_sc_hd__o221ai_1 U64571 ( .A1(n83299), .A2(n85275), .B1(n45072), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_0[4]), .C1(n57100), .Y(n44594) );
+  sky130_fd_sc_hd__nand3_1 U64572 ( .A(n44596), .B(n44595), .C(n44594), .Y(
+        n84532) );
+  sky130_fd_sc_hd__a2bb2oi_1 U64573 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[12]), .B2(n44598), .A1_N(n44597), .A2_N(n76144), .Y(n44601) );
+  sky130_fd_sc_hd__nand2_1 U64574 ( .A(n44599), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[4]), .Y(n44600) );
+  sky130_fd_sc_hd__o211ai_1 U64575 ( .A1(n76046), .A2(n44602), .B1(n44601), 
+        .C1(n44600), .Y(n44603) );
+  sky130_fd_sc_hd__a2bb2oi_1 U64576 ( .B1(n44604), .B2(n44603), .A1_N(n44644), 
+        .A2_N(n45337), .Y(n44641) );
+  sky130_fd_sc_hd__nand2_1 U64577 ( .A(n65309), .B(n37028), .Y(n44606) );
+  sky130_fd_sc_hd__nand2_1 U64578 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[36]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_resHi), .Y(n44605) );
+  sky130_fd_sc_hd__nand2_1 U64579 ( .A(n44606), .B(n44605), .Y(n69928) );
+  sky130_fd_sc_hd__nand2_1 U64580 ( .A(n57662), .B(n69928), .Y(n44640) );
+  sky130_fd_sc_hd__nand2_1 U64581 ( .A(n65637), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[4]), .Y(n44607) );
+  sky130_fd_sc_hd__nand2_1 U64582 ( .A(n44608), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_s), .Y(n44615) );
+  sky130_fd_sc_hd__nand2_1 U64583 ( .A(n44609), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_s), .Y(n44614) );
+  sky130_fd_sc_hd__a2bb2oi_1 U64584 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_scause[4]), .B2(n69974), .A1_N(n44610), .A2_N(n44763), .Y(n44613) );
+  sky130_fd_sc_hd__nand2_1 U64585 ( .A(n44611), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[4]), .Y(n44612) );
+  sky130_fd_sc_hd__nand4_1 U64586 ( .A(n44615), .B(n44614), .C(n44613), .D(
+        n44612), .Y(n44616) );
+  sky130_fd_sc_hd__a21oi_1 U64587 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[36]), .A2(n57619), .B1(n44616), .Y(n44637) );
+  sky130_fd_sc_hd__a22o_1 U64588 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_a[1]), .A2(n64084), .B1(n44617), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[4]), .X(n44618) );
+  sky130_fd_sc_hd__a21oi_1 U64589 ( .A1(n57634), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[4]), .B1(n44618), .Y(n44622) );
+  sky130_fd_sc_hd__a22oi_1 U64590 ( .A1(n45965), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_4), .B1(n60091), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[4]), .Y(n44621) );
+  sky130_fd_sc_hd__a2bb2oi_1 U64591 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[4]), .B2(n60096), .A1_N(n74834), .A2_N(n60043), .Y(n44620) );
+  sky130_fd_sc_hd__nand4_1 U64592 ( .A(n44622), .B(n44621), .C(n44620), .D(
+        n44619), .Y(n44635) );
+  sky130_fd_sc_hd__a22oi_1 U64593 ( .A1(n60025), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[4]), .B1(n64975), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[4]), .Y(n44629) );
+  sky130_fd_sc_hd__a2bb2oi_1 U64594 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[4]), .B2(n57630), .A1_N(n44623), .A2_N(n60101), .Y(n44624) );
+  sky130_fd_sc_hd__o21ai_1 U64595 ( .A1(n69973), .A2(n45358), .B1(n44624), .Y(
+        n44625) );
+  sky130_fd_sc_hd__a21oi_1 U64596 ( .A1(n60103), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[4]), .B1(n44625), .Y(n44628) );
+  sky130_fd_sc_hd__nand2_1 U64597 ( .A(n45362), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[4]), .Y(n44627) );
+  sky130_fd_sc_hd__a22oi_1 U64598 ( .A1(n60104), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[4]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[4]), .B2(n64977), .Y(n44626) );
+  sky130_fd_sc_hd__nand4_1 U64599 ( .A(n44629), .B(n44628), .C(n44627), .D(
+        n44626), .Y(n44633) );
+  sky130_fd_sc_hd__a22oi_1 U64600 ( .A1(n57622), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[4]), .B1(n60100), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[4]), .Y(n44632) );
+  sky130_fd_sc_hd__nand2_1 U64601 ( .A(n45352), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[4]), .Y(n44631) );
+  sky130_fd_sc_hd__nand2_1 U64602 ( .A(n45955), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[36]), .Y(n44630) );
+  sky130_fd_sc_hd__nand4b_1 U64603 ( .A_N(n44633), .B(n44632), .C(n44631), .D(
+        n44630), .Y(n44634) );
+  sky130_fd_sc_hd__nor2_1 U64604 ( .A(n44635), .B(n44634), .Y(n44636) );
+  sky130_fd_sc_hd__nand3_1 U64605 ( .A(n44638), .B(n44637), .C(n44636), .Y(
+        n44642) );
+  sky130_fd_sc_hd__nand2_1 U64606 ( .A(n57665), .B(n44642), .Y(n44639) );
+  sky130_fd_sc_hd__and3_1 U64607 ( .A(n44641), .B(n44640), .C(n44639), .X(
+        n64280) );
+  sky130_fd_sc_hd__nand2_1 U64608 ( .A(n44642), .B(n70399), .Y(n44645) );
+  sky130_fd_sc_hd__nor2_1 U64609 ( .A(n44644), .B(n55614), .Y(n44643) );
+  sky130_fd_sc_hd__a21o_1 U64610 ( .A1(n44645), .A2(n44644), .B1(n44643), .X(
+        n69995) );
+  sky130_fd_sc_hd__nand2_1 U64611 ( .A(n44646), .B(n70399), .Y(n44649) );
+  sky130_fd_sc_hd__nor2_1 U64612 ( .A(n44648), .B(n55614), .Y(n44647) );
+  sky130_fd_sc_hd__a21oi_1 U64613 ( .A1(n44649), .A2(n44648), .B1(n44647), .Y(
+        n85661) );
+  sky130_fd_sc_hd__nand2_1 U64614 ( .A(n85661), .B(n72568), .Y(n78294) );
+  sky130_fd_sc_hd__nand2_1 U64615 ( .A(n85632), .B(n72568), .Y(n70393) );
+  sky130_fd_sc_hd__a22oi_1 U64616 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[24]), .A2(n60093), .B1(n60104), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[24]), .Y(n44668) );
+  sky130_fd_sc_hd__a22oi_1 U64617 ( .A1(n57618), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[24]), .B1(n60103), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[24]), .Y(n44667) );
+  sky130_fd_sc_hd__nand2_1 U64618 ( .A(n57622), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[24]), .Y(n44653) );
+  sky130_fd_sc_hd__nand2_1 U64619 ( .A(n45352), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[24]), .Y(n44652) );
+  sky130_fd_sc_hd__nand2_1 U64620 ( .A(n45362), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[24]), .Y(n44651) );
+  sky130_fd_sc_hd__nand2_1 U64621 ( .A(n45955), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[56]), .Y(n44650) );
+  sky130_fd_sc_hd__nand4_1 U64622 ( .A(n44653), .B(n44652), .C(n44651), .D(
+        n44650), .Y(n44664) );
+  sky130_fd_sc_hd__nand2_1 U64623 ( .A(n57634), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[24]), .Y(n44662) );
+  sky130_fd_sc_hd__o22a_1 U64624 ( .A1(n44654), .A2(n60043), .B1(n70904), .B2(
+        n45945), .X(n44661) );
+  sky130_fd_sc_hd__nand2_1 U64625 ( .A(n64977), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[24]), .Y(n44660) );
+  sky130_fd_sc_hd__a22oi_1 U64626 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[24]), .A2(n60100), .B1(n65637), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[24]), .Y(n44656) );
+  sky130_fd_sc_hd__nand2_1 U64627 ( .A(n57630), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[24]), .Y(n44655) );
+  sky130_fd_sc_hd__o211ai_1 U64628 ( .A1(n44657), .A2(n60101), .B1(n44656), 
+        .C1(n44655), .Y(n44658) );
+  sky130_fd_sc_hd__a21oi_1 U64629 ( .A1(n64975), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[24]), .B1(n44658), .Y(n44659) );
+  sky130_fd_sc_hd__nand4_1 U64630 ( .A(n44662), .B(n44661), .C(n44660), .D(
+        n44659), .Y(n44663) );
+  sky130_fd_sc_hd__nor2_1 U64631 ( .A(n44664), .B(n44663), .Y(n44666) );
+  sky130_fd_sc_hd__nand2_1 U64632 ( .A(n57619), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[56]), .Y(n44665) );
+  sky130_fd_sc_hd__nand4_1 U64633 ( .A(n44668), .B(n44667), .C(n44666), .D(
+        n44665), .Y(n44674) );
+  sky130_fd_sc_hd__a22oi_1 U64634 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_r), .A2(n64084), .B1(n57613), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[24]), .Y(n44672) );
+  sky130_fd_sc_hd__a22oi_1 U64635 ( .A1(n64980), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[24]), .B1(n65602), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[24]), .Y(n44671) );
+  sky130_fd_sc_hd__a22oi_1 U64636 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[24]), .A2(n60096), .B1(n60025), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[24]), .Y(n44670) );
+  sky130_fd_sc_hd__a22oi_1 U64637 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[24]), .A2(n60091), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_cfg_r), .B2(n64223), .Y(n44669) );
+  sky130_fd_sc_hd__nand4_1 U64638 ( .A(n44672), .B(n44671), .C(n44670), .D(
+        n44669), .Y(n44673) );
+  sky130_fd_sc_hd__nor2_1 U64639 ( .A(n44674), .B(n44673), .Y(n44679) );
+  sky130_fd_sc_hd__nor2_1 U64640 ( .A(n57607), .B(n44679), .Y(n44676) );
+  sky130_fd_sc_hd__mux2_2 U64641 ( .A0(n55614), .A1(n44676), .S(n44675), .X(
+        n85641) );
+  sky130_fd_sc_hd__nand2_1 U64642 ( .A(n65309), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[24]), .Y(n44678) );
+  sky130_fd_sc_hd__nand2_1 U64643 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[56]), .B(n57658), .Y(n44677) );
+  sky130_fd_sc_hd__nand2_1 U64644 ( .A(n44678), .B(n44677), .Y(n65656) );
+  sky130_fd_sc_hd__a21oi_1 U64645 ( .A1(n57662), .A2(n65656), .B1(n57661), .Y(
+        n44681) );
+  sky130_fd_sc_hd__a2bb2oi_1 U64646 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[24]), .B2(n57663), .A1_N(n44679), .A2_N(n45979), .Y(n44680) );
+  sky130_fd_sc_hd__o211a_2 U64647 ( .A1(n76087), .A2(n57668), .B1(n44681), 
+        .C1(n44680), .X(n66125) );
+  sky130_fd_sc_hd__o22a_1 U64648 ( .A1(n71450), .A2(n60043), .B1(n74277), .B2(
+        n45945), .X(n44684) );
+  sky130_fd_sc_hd__nand2_1 U64649 ( .A(n60096), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[16]), .Y(n44683) );
+  sky130_fd_sc_hd__nand2_1 U64650 ( .A(n60100), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[16]), .Y(n44682) );
+  sky130_fd_sc_hd__nand3_1 U64651 ( .A(n44684), .B(n44683), .C(n44682), .Y(
+        n44685) );
+  sky130_fd_sc_hd__a21oi_1 U64652 ( .A1(n57619), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[48]), .B1(n44685), .Y(n44691) );
+  sky130_fd_sc_hd__o22ai_1 U64653 ( .A1(n74443), .A2(n60030), .B1(n75619), 
+        .B2(n44763), .Y(n44686) );
+  sky130_fd_sc_hd__a21oi_1 U64654 ( .A1(n57634), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[16]), .B1(n44686), .Y(n44690) );
+  sky130_fd_sc_hd__a22o_1 U64655 ( .A1(n57630), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[16]), .B1(n64084), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_r), .X(n44687) );
+  sky130_fd_sc_hd__a21oi_1 U64656 ( .A1(n45352), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[16]), .B1(n44687), .Y(n44689) );
+  sky130_fd_sc_hd__a22oi_1 U64657 ( .A1(n45955), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[48]), .B1(n57622), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[16]), .Y(n44688) );
+  sky130_fd_sc_hd__nand4_1 U64658 ( .A(n44691), .B(n44690), .C(n44689), .D(
+        n44688), .Y(n44701) );
+  sky130_fd_sc_hd__a22oi_1 U64659 ( .A1(n65637), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[16]), .B1(n64975), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[16]), .Y(n44695) );
+  sky130_fd_sc_hd__a22oi_1 U64660 ( .A1(n64980), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[16]), .B1(n65602), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[16]), .Y(n44694) );
+  sky130_fd_sc_hd__a22oi_1 U64661 ( .A1(n60103), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[16]), .B1(n60104), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[16]), .Y(n44693) );
+  sky130_fd_sc_hd__nand2_1 U64662 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[16]), .B(n57625), .Y(n44692) );
+  sky130_fd_sc_hd__nand4_1 U64663 ( .A(n44695), .B(n44694), .C(n44693), .D(
+        n44692), .Y(n44700) );
+  sky130_fd_sc_hd__a22oi_1 U64664 ( .A1(n64977), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[16]), .B1(n60025), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[16]), .Y(n44698) );
+  sky130_fd_sc_hd__a22oi_1 U64665 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[16]), .A2(n60091), .B1(n57618), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[16]), .Y(n44697) );
+  sky130_fd_sc_hd__a22oi_1 U64666 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[16]), .A2(n60093), .B1(n57613), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[16]), .Y(n44696) );
+  sky130_fd_sc_hd__nand3_1 U64667 ( .A(n44698), .B(n44697), .C(n44696), .Y(
+        n44699) );
+  sky130_fd_sc_hd__nor3_1 U64668 ( .A(n44701), .B(n44700), .C(n44699), .Y(
+        n57606) );
+  sky130_fd_sc_hd__a21oi_1 U64669 ( .A1(n57663), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[16]), .B1(n57661), .Y(n44705) );
+  sky130_fd_sc_hd__nand2_1 U64670 ( .A(n65309), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[16]), .Y(n44703) );
+  sky130_fd_sc_hd__nand2_1 U64671 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[48]), .B(n57658), .Y(n44702) );
+  sky130_fd_sc_hd__nand2_1 U64672 ( .A(n44703), .B(n44702), .Y(n66322) );
+  sky130_fd_sc_hd__a2bb2oi_1 U64673 ( .B1(n66322), .B2(n57662), .A1_N(n76708), 
+        .A2_N(n57668), .Y(n44704) );
+  sky130_fd_sc_hd__o211ai_1 U64674 ( .A1(n57606), .A2(n45979), .B1(n44705), 
+        .C1(n44704), .Y(n85590) );
+  sky130_fd_sc_hd__nand2_1 U64675 ( .A(n40808), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[2]), .Y(n44706) );
+  sky130_fd_sc_hd__o21ai_1 U64676 ( .A1(n72276), .A2(n40808), .B1(n44706), .Y(
+        n84288) );
+  sky130_fd_sc_hd__nand2_1 U64677 ( .A(n36798), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[2]), .Y(n44707) );
+  sky130_fd_sc_hd__o21ai_1 U64678 ( .A1(n72276), .A2(n36798), .B1(n44707), .Y(
+        n84277) );
+  sky130_fd_sc_hd__nand2_1 U64679 ( .A(n40808), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[5]), .Y(n44708) );
+  sky130_fd_sc_hd__o21ai_1 U64680 ( .A1(n63905), .A2(n40808), .B1(n44708), .Y(
+        n84291) );
+  sky130_fd_sc_hd__nand2_1 U64681 ( .A(n36798), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[5]), .Y(n44709) );
+  sky130_fd_sc_hd__nand2_1 U64683 ( .A(n44710), .B(n70399), .Y(n44713) );
+  sky130_fd_sc_hd__nor2_1 U64684 ( .A(n44712), .B(n55614), .Y(n44711) );
+  sky130_fd_sc_hd__o22ai_1 U64685 ( .A1(n74322), .A2(n45945), .B1(n44714), 
+        .B2(n45288), .Y(n44715) );
+  sky130_fd_sc_hd__a21oi_1 U64686 ( .A1(n60103), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[23]), .B1(n44715), .Y(n44739) );
+  sky130_fd_sc_hd__o22ai_1 U64687 ( .A1(n74824), .A2(n60043), .B1(n59114), 
+        .B2(n60101), .Y(n44716) );
+  sky130_fd_sc_hd__a21oi_1 U64688 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[23]), .A2(n45352), .B1(n44716), .Y(n44738) );
+  sky130_fd_sc_hd__a22oi_1 U64689 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[23]), .A2(n60091), .B1(n64210), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_isa[23]), .Y(n44730) );
+  sky130_fd_sc_hd__a2bb2oi_1 U64690 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[23]), .B2(n57622), .A1_N(n68872), .A2_N(n45367), .Y(n44729) );
+  sky130_fd_sc_hd__nand2_1 U64691 ( .A(n64977), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[23]), .Y(n44723) );
+  sky130_fd_sc_hd__a22oi_1 U64692 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_cfg_l), .A2(n64223), .B1(n65637), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[23]), .Y(n44718) );
+  sky130_fd_sc_hd__a22oi_1 U64693 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[23]), .A2(n60096), .B1(n57618), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[23]), .Y(n44717) );
+  sky130_fd_sc_hd__nand2_1 U64694 ( .A(n44718), .B(n44717), .Y(n44719) );
+  sky130_fd_sc_hd__a21oi_1 U64695 ( .A1(n57630), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[23]), .B1(n44719), .Y(n44721) );
+  sky130_fd_sc_hd__nand2_1 U64696 ( .A(n64084), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_l), .Y(n44720) );
+  sky130_fd_sc_hd__nand4_1 U64697 ( .A(n44723), .B(n44722), .C(n44721), .D(
+        n44720), .Y(n44726) );
+  sky130_fd_sc_hd__o22ai_1 U64698 ( .A1(n70706), .A2(n60030), .B1(n57620), 
+        .B2(n44724), .Y(n44725) );
+  sky130_fd_sc_hd__nor2_1 U64699 ( .A(n44726), .B(n44725), .Y(n44728) );
+  sky130_fd_sc_hd__nand2_1 U64700 ( .A(n57619), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[55]), .Y(n44727) );
+  sky130_fd_sc_hd__nand4_1 U64701 ( .A(n44730), .B(n44729), .C(n44728), .D(
+        n44727), .Y(n44736) );
+  sky130_fd_sc_hd__a22oi_1 U64702 ( .A1(n64980), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[23]), .B1(n60104), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[23]), .Y(n44734) );
+  sky130_fd_sc_hd__a22oi_1 U64703 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[23]), .A2(n60093), .B1(n57613), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[23]), .Y(n44733) );
+  sky130_fd_sc_hd__a22oi_1 U64704 ( .A1(n45965), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg[23]), .B1(n65602), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[23]), .Y(n44732) );
+  sky130_fd_sc_hd__a22oi_1 U64705 ( .A1(n60025), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[23]), .B1(n64975), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[23]), .Y(n44731) );
+  sky130_fd_sc_hd__nand4_1 U64706 ( .A(n44734), .B(n44733), .C(n44732), .D(
+        n44731), .Y(n44735) );
+  sky130_fd_sc_hd__nor2_1 U64707 ( .A(n44736), .B(n44735), .Y(n44737) );
+  sky130_fd_sc_hd__nand3_1 U64708 ( .A(n44739), .B(n44738), .C(n44737), .Y(
+        n44745) );
+  sky130_fd_sc_hd__nand2_1 U64709 ( .A(n44745), .B(n70399), .Y(n44742) );
+  sky130_fd_sc_hd__nor2_1 U64710 ( .A(n44741), .B(n55614), .Y(n44740) );
+  sky130_fd_sc_hd__nand2_1 U64711 ( .A(n65309), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[23]), .Y(n44744) );
+  sky130_fd_sc_hd__nand2_1 U64712 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[55]), .B(n57658), .Y(n44743) );
+  sky130_fd_sc_hd__nand2_1 U64713 ( .A(n44744), .B(n44743), .Y(n65655) );
+  sky130_fd_sc_hd__a21oi_1 U64714 ( .A1(n57662), .A2(n65655), .B1(n57661), .Y(
+        n44747) );
+  sky130_fd_sc_hd__a22oi_1 U64715 ( .A1(n57665), .A2(n44745), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[23]), .B2(n57663), .Y(n44746) );
+  sky130_fd_sc_hd__o211ai_1 U64716 ( .A1(n76085), .A2(n57668), .B1(n44747), 
+        .C1(n44746), .Y(n85595) );
+  sky130_fd_sc_hd__a2bb2oi_1 U64717 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[9]), .B2(n45347), .A1_N(n75908), .A2_N(n45341), .Y(n44787) );
+  sky130_fd_sc_hd__nand2_1 U64718 ( .A(n57619), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[41]), .Y(n44759) );
+  sky130_fd_sc_hd__nand2_1 U64719 ( .A(n57618), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[9]), .Y(n44750) );
+  sky130_fd_sc_hd__a22oi_1 U64720 ( .A1(n68643), .A2(n44748), .B1(n60025), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[9]), .Y(n44749) );
+  sky130_fd_sc_hd__o211ai_1 U64721 ( .A1(n68633), .A2(n57620), .B1(n44750), 
+        .C1(n44749), .Y(n44752) );
+  sky130_fd_sc_hd__o22ai_1 U64722 ( .A1(n70953), .A2(n45945), .B1(n71491), 
+        .B2(n60043), .Y(n44751) );
+  sky130_fd_sc_hd__nor2_1 U64723 ( .A(n44752), .B(n44751), .Y(n44758) );
+  sky130_fd_sc_hd__nand2_1 U64724 ( .A(n65637), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[9]), .Y(n44754) );
+  sky130_fd_sc_hd__nand2_1 U64725 ( .A(n64084), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_cfg_w), .Y(n44753) );
+  sky130_fd_sc_hd__o211ai_1 U64726 ( .A1(n68611), .A2(n45358), .B1(n44754), 
+        .C1(n44753), .Y(n44755) );
+  sky130_fd_sc_hd__a21oi_1 U64727 ( .A1(n45362), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[9]), .B1(n44755), .Y(n44757) );
+  sky130_fd_sc_hd__a2bb2oi_1 U64728 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[9]), .B2(n57622), .A1_N(n74222), .A2_N(n60034), .Y(n44756) );
+  sky130_fd_sc_hd__nand4_1 U64729 ( .A(n44759), .B(n44758), .C(n44757), .D(
+        n44756), .Y(n44782) );
+  sky130_fd_sc_hd__nand2_1 U64730 ( .A(n78524), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie[9]), .Y(n76491) );
+  sky130_fd_sc_hd__nand2b_1 U64732 ( .A_N(n44762), .B(n68629), .Y(n44765) );
+  sky130_fd_sc_hd__a2bb2oi_1 U64733 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_mideleg_9_), .B2(n44765), .A1_N(n44764), .A2_N(n44763), .Y(n44766) );
+  sky130_fd_sc_hd__o21ai_1 U64734 ( .A1(n45367), .A2(n68625), .B1(n44766), .Y(
+        n44778) );
+  sky130_fd_sc_hd__a2bb2oi_1 U64735 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[9]), .B2(n64980), .A1_N(n44767), .A2_N(n60101), .Y(n44768) );
+  sky130_fd_sc_hd__o21ai_1 U64736 ( .A1(n44769), .A2(n60094), .B1(n44768), .Y(
+        n44770) );
+  sky130_fd_sc_hd__a21oi_1 U64737 ( .A1(n60103), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[9]), .B1(n44770), .Y(n44776) );
+  sky130_fd_sc_hd__o22ai_1 U64738 ( .A1(n55690), .A2(n60046), .B1(n83822), 
+        .B2(n44771), .Y(n44772) );
+  sky130_fd_sc_hd__a21oi_1 U64739 ( .A1(n64975), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[9]), .B1(n44772), .Y(n44775) );
+  sky130_fd_sc_hd__nand2_1 U64740 ( .A(n78525), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie[9]), .Y(n44774) );
+  sky130_fd_sc_hd__a22oi_1 U64741 ( .A1(n60104), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[9]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[9]), .B2(n64977), .Y(n44773) );
+  sky130_fd_sc_hd__nand4_1 U64742 ( .A(n44776), .B(n44775), .C(n44774), .D(
+        n44773), .Y(n44777) );
+  sky130_fd_sc_hd__nor2_1 U64743 ( .A(n44778), .B(n44777), .Y(n44781) );
+  sky130_fd_sc_hd__a22oi_1 U64744 ( .A1(n60091), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[9]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[9]), .B2(n60093), .Y(n44780) );
+  sky130_fd_sc_hd__a22oi_1 U64745 ( .A1(n60096), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[9]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[9]), .B2(n60100), .Y(n44779) );
+  sky130_fd_sc_hd__nand4b_1 U64746 ( .A_N(n44782), .B(n44781), .C(n44780), .D(
+        n44779), .Y(n45281) );
+  sky130_fd_sc_hd__a21oi_1 U64747 ( .A1(n57665), .A2(n45281), .B1(n57649), .Y(
+        n44786) );
+  sky130_fd_sc_hd__nand2_1 U64748 ( .A(n65309), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[9]), .Y(n44784) );
+  sky130_fd_sc_hd__nand2_1 U64749 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[41]), .B(n57658), .Y(n44783) );
+  sky130_fd_sc_hd__nand2_1 U64750 ( .A(n44784), .B(n44783), .Y(n65995) );
+  sky130_fd_sc_hd__a2bb2oi_1 U64751 ( .B1(n65995), .B2(n57662), .A1_N(n68642), 
+        .A2_N(n45337), .Y(n44785) );
+  sky130_fd_sc_hd__nand3_1 U64752 ( .A(n44787), .B(n44786), .C(n44785), .Y(
+        n85592) );
+  sky130_fd_sc_hd__nor2_1 U64753 ( .A(n75944), .B(n56044), .Y(n84378) );
+  sky130_fd_sc_hd__nand2_1 U64754 ( .A(n54312), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[41]), .Y(n44789) );
+  sky130_fd_sc_hd__nand2_1 U64755 ( .A(n54307), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[9]), .Y(n44788) );
+  sky130_fd_sc_hd__nand2_1 U64756 ( .A(n44789), .B(n44788), .Y(n84760) );
+  sky130_fd_sc_hd__nor2_1 U64757 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_9[0]), .B(
+        n54216), .Y(n44790) );
+  sky130_fd_sc_hd__o21ai_1 U64758 ( .A1(n44790), .A2(n36791), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_9[1]), .Y(
+        n44801) );
+  sky130_fd_sc_hd__nand2_1 U64759 ( .A(n54218), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_9[1]), .Y(
+        n44791) );
+  sky130_fd_sc_hd__o21ai_1 U64760 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_9[1]), .A2(
+        n54220), .B1(n44791), .Y(n44792) );
+  sky130_fd_sc_hd__o21ai_1 U64761 ( .A1(n44792), .A2(n36790), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_9[0]), .Y(
+        n44800) );
+  sky130_fd_sc_hd__nand2_1 U64762 ( .A(n38454), .B(n44793), .Y(n44797) );
+  sky130_fd_sc_hd__xnor2_1 U64764 ( .A(n44797), .B(n44796), .Y(n44798) );
+  sky130_fd_sc_hd__a22oi_1 U64765 ( .A1(n54228), .A2(n44798), .B1(n52494), 
+        .B2(n84760), .Y(n44799) );
+  sky130_fd_sc_hd__nand3_1 U64766 ( .A(n44801), .B(n44800), .C(n44799), .Y(
+        n84351) );
+  sky130_fd_sc_hd__nand2_1 U64767 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[41]), .Y(n44803) );
+  sky130_fd_sc_hd__nand2_1 U64768 ( .A(n54996), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[9]), .Y(n44802) );
+  sky130_fd_sc_hd__nand2_1 U64769 ( .A(n44803), .B(n44802), .Y(n85587) );
+  sky130_fd_sc_hd__nand2_1 U64770 ( .A(n51550), .B(n57361), .Y(n57365) );
+  sky130_fd_sc_hd__nor3_1 U64771 ( .A(n44805), .B(n57176), .C(n57079), .Y(
+        n51855) );
+  sky130_fd_sc_hd__nand2_1 U64772 ( .A(n57088), .B(n56980), .Y(n57178) );
+  sky130_fd_sc_hd__nor2_1 U64773 ( .A(n44804), .B(n57178), .Y(n45878) );
+  sky130_fd_sc_hd__nand2_1 U64774 ( .A(n50185), .B(n45456), .Y(n51534) );
+  sky130_fd_sc_hd__o22ai_1 U64775 ( .A1(n57079), .A2(n51534), .B1(n57453), 
+        .B2(n57436), .Y(n44807) );
+  sky130_fd_sc_hd__nand2_1 U64776 ( .A(n57407), .B(n47076), .Y(n52692) );
+  sky130_fd_sc_hd__o22ai_1 U64777 ( .A1(n44805), .A2(n48251), .B1(n52227), 
+        .B2(n52692), .Y(n44806) );
+  sky130_fd_sc_hd__nor4_1 U64778 ( .A(n51855), .B(n45878), .C(n44807), .D(
+        n44806), .Y(n44837) );
+  sky130_fd_sc_hd__nand2_1 U64779 ( .A(n57177), .B(n48057), .Y(n48249) );
+  sky130_fd_sc_hd__a21oi_1 U64780 ( .A1(n51834), .A2(n48249), .B1(n51546), .Y(
+        n44808) );
+  sky130_fd_sc_hd__nand2_1 U64781 ( .A(n52129), .B(n50196), .Y(n47087) );
+  sky130_fd_sc_hd__nand2_1 U64782 ( .A(n46350), .B(n57040), .Y(n50407) );
+  sky130_fd_sc_hd__a31oi_1 U64783 ( .A1(n44808), .A2(n47087), .A3(n50407), 
+        .B1(n57427), .Y(n44834) );
+  sky130_fd_sc_hd__nand2_1 U64784 ( .A(n57544), .B(n50196), .Y(n50393) );
+  sky130_fd_sc_hd__nand2_1 U64785 ( .A(n57508), .B(n57452), .Y(n45433) );
+  sky130_fd_sc_hd__o22ai_1 U64786 ( .A1(n52073), .A2(n56991), .B1(n57409), 
+        .B2(n45433), .Y(n44833) );
+  sky130_fd_sc_hd__nand2_1 U64787 ( .A(n48071), .B(n57134), .Y(n57044) );
+  sky130_fd_sc_hd__o22ai_1 U64788 ( .A1(n52100), .A2(n57044), .B1(n52426), 
+        .B2(n48273), .Y(n44809) );
+  sky130_fd_sc_hd__a31oi_1 U64789 ( .A1(n50990), .A2(n48279), .A3(n57120), 
+        .B1(n44809), .Y(n44822) );
+  sky130_fd_sc_hd__nand2_1 U64790 ( .A(n51495), .B(n44810), .Y(n45729) );
+  sky130_fd_sc_hd__nor2_1 U64791 ( .A(n45654), .B(n52137), .Y(n50411) );
+  sky130_fd_sc_hd__nand2_1 U64792 ( .A(n45727), .B(n51481), .Y(n47198) );
+  sky130_fd_sc_hd__nor2_1 U64793 ( .A(n52691), .B(n48057), .Y(n56566) );
+  sky130_fd_sc_hd__nand2_1 U64794 ( .A(n52210), .B(n57177), .Y(n47179) );
+  sky130_fd_sc_hd__o22ai_1 U64795 ( .A1(n57472), .A2(n47179), .B1(n57300), 
+        .B2(n50202), .Y(n44811) );
+  sky130_fd_sc_hd__nor4bb_1 U64796 ( .C_N(n47198), .D_N(n57135), .A(n56566), 
+        .B(n44811), .Y(n44819) );
+  sky130_fd_sc_hd__nor2_1 U64797 ( .A(n57525), .B(n56502), .Y(n44817) );
+  sky130_fd_sc_hd__o22ai_1 U64798 ( .A1(n52412), .A2(n56458), .B1(n57134), 
+        .B2(n57140), .Y(n44816) );
+  sky130_fd_sc_hd__nor2_1 U64799 ( .A(n57414), .B(n57509), .Y(n50479) );
+  sky130_fd_sc_hd__nor3_1 U64800 ( .A(n44813), .B(n56493), .C(n44812), .Y(
+        n47048) );
+  sky130_fd_sc_hd__o21ai_0 U64801 ( .A1(n56542), .A2(n44814), .B1(n47048), .Y(
+        n44815) );
+  sky130_fd_sc_hd__nor4_1 U64802 ( .A(n45874), .B(n44817), .C(n44816), .D(
+        n44815), .Y(n44818) );
+  sky130_fd_sc_hd__nand4_1 U64803 ( .A(n50411), .B(n44819), .C(n44818), .D(
+        n45900), .Y(n44820) );
+  sky130_fd_sc_hd__nor2_1 U64804 ( .A(n57335), .B(n57020), .Y(n51002) );
+  sky130_fd_sc_hd__a21oi_1 U64805 ( .A1(n44820), .A2(n56999), .B1(n51002), .Y(
+        n44821) );
+  sky130_fd_sc_hd__nand2_1 U64806 ( .A(n44822), .B(n44821), .Y(n44832) );
+  sky130_fd_sc_hd__nand2_1 U64807 ( .A(n41626), .B(n57163), .Y(n57036) );
+  sky130_fd_sc_hd__nor2_1 U64808 ( .A(n52744), .B(n57036), .Y(n44825) );
+  sky130_fd_sc_hd__nor4_1 U64810 ( .A(n57227), .B(n44825), .C(n45647), .D(
+        n44824), .Y(n44830) );
+  sky130_fd_sc_hd__nand2_1 U64811 ( .A(n57549), .B(n56415), .Y(n52758) );
+  sky130_fd_sc_hd__nand2_1 U64812 ( .A(n44826), .B(n56981), .Y(n57174) );
+  sky130_fd_sc_hd__o211ai_1 U64813 ( .A1(n57481), .A2(n52270), .B1(n52758), 
+        .C1(n57174), .Y(n51013) );
+  sky130_fd_sc_hd__nand2_1 U64814 ( .A(n48077), .B(n50926), .Y(n57179) );
+  sky130_fd_sc_hd__a22oi_1 U64815 ( .A1(n57422), .A2(n57539), .B1(n45996), 
+        .B2(n52714), .Y(n57073) );
+  sky130_fd_sc_hd__o21ai_1 U64816 ( .A1(n50496), .A2(n46323), .B1(n57073), .Y(
+        n57220) );
+  sky130_fd_sc_hd__nor2_1 U64817 ( .A(n52229), .B(n51864), .Y(n50994) );
+  sky130_fd_sc_hd__a21oi_1 U64818 ( .A1(n46480), .A2(n50166), .B1(n50994), .Y(
+        n51829) );
+  sky130_fd_sc_hd__o21ai_0 U64819 ( .A1(n50954), .A2(n51506), .B1(n51829), .Y(
+        n44828) );
+  sky130_fd_sc_hd__o22ai_1 U64820 ( .A1(n52744), .A2(n47089), .B1(n57072), 
+        .B2(n57071), .Y(n44827) );
+  sky130_fd_sc_hd__nor4_1 U64821 ( .A(n51013), .B(n57220), .C(n44828), .D(
+        n44827), .Y(n44829) );
+  sky130_fd_sc_hd__o22ai_1 U64822 ( .A1(n44830), .A2(n52747), .B1(n44829), 
+        .B2(n57079), .Y(n44831) );
+  sky130_fd_sc_hd__nor4_1 U64823 ( .A(n44834), .B(n44833), .C(n44832), .D(
+        n44831), .Y(n44836) );
+  sky130_fd_sc_hd__nor2_1 U64824 ( .A(n57040), .B(n57327), .Y(n44835) );
+  sky130_fd_sc_hd__o21ai_1 U64825 ( .A1(n47102), .A2(n44835), .B1(n57184), .Y(
+        n57045) );
+  sky130_fd_sc_hd__a31oi_1 U64826 ( .A1(n44837), .A2(n44836), .A3(n57045), 
+        .B1(n57517), .Y(n44877) );
+  sky130_fd_sc_hd__nand2_1 U64827 ( .A(n41794), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[9]), .Y(n44843) );
+  sky130_fd_sc_hd__nand2_1 U64828 ( .A(n37065), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[41]), .Y(n44842) );
+  sky130_fd_sc_hd__a22oi_1 U64829 ( .A1(n56525), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__5[1]), .B1(n57587), .B2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__1[1]), .Y(n44838) );
+  sky130_fd_sc_hd__o21ai_0 U64830 ( .A1(n43401), .A2(n68052), .B1(n44838), .Y(
+        n44839) );
+  sky130_fd_sc_hd__a21oi_1 U64831 ( .A1(n57588), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[1]), .B1(n44839), .Y(
+        n44841) );
+  sky130_fd_sc_hd__a22oi_1 U64832 ( .A1(n57571), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[41]), .B1(n38443), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[9]), .Y(n44840) );
+  sky130_fd_sc_hd__nand4_1 U64833 ( .A(n44843), .B(n44842), .C(n44841), .D(
+        n44840), .Y(n44876) );
+  sky130_fd_sc_hd__a22oi_1 U64834 ( .A1(n82284), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[9]), 
+        .B1(n82327), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[9]), 
+        .Y(n44857) );
+  sky130_fd_sc_hd__a22oi_1 U64835 ( .A1(n82278), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[9]), 
+        .B1(n82303), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[9]), 
+        .Y(n44856) );
+  sky130_fd_sc_hd__a22oi_1 U64836 ( .A1(n82315), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[9]), 
+        .B1(n82321), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[9]), 
+        .Y(n44847) );
+  sky130_fd_sc_hd__a22oi_1 U64837 ( .A1(n82333), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[9]), 
+        .B1(n38401), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[9]), 
+        .Y(n44846) );
+  sky130_fd_sc_hd__a22oi_1 U64838 ( .A1(n82350), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[9]), 
+        .B1(n50524), .B2(n44844), .Y(n44845) );
+  sky130_fd_sc_hd__nand3_1 U64839 ( .A(n44847), .B(n44846), .C(n44845), .Y(
+        n44854) );
+  sky130_fd_sc_hd__a22oi_1 U64840 ( .A1(n38397), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[9]), 
+        .B1(n82290), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[9]), 
+        .Y(n44852) );
+  sky130_fd_sc_hd__a22oi_1 U64841 ( .A1(n82309), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[9]), 
+        .B1(n38396), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[9]), 
+        .Y(n44851) );
+  sky130_fd_sc_hd__a2bb2oi_1 U64842 ( .B1(n82361), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[9]), 
+        .A1_N(n44848), .A2_N(n52795), .Y(n44850) );
+  sky130_fd_sc_hd__a22oi_1 U64843 ( .A1(n82296), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[9]), 
+        .B1(n82339), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[9]), 
+        .Y(n44849) );
+  sky130_fd_sc_hd__nand4_1 U64844 ( .A(n44852), .B(n44851), .C(n44850), .D(
+        n44849), .Y(n44853) );
+  sky130_fd_sc_hd__a211oi_1 U64845 ( .A1(n57586), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[9]), 
+        .B1(n44854), .C1(n44853), .Y(n44855) );
+  sky130_fd_sc_hd__a31oi_1 U64846 ( .A1(n44857), .A2(n44856), .A3(n44855), 
+        .B1(n43381), .Y(n44858) );
+  sky130_fd_sc_hd__a21oi_1 U64847 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[9]), .A2(n57558), .B1(n44858), .Y(n44864) );
+  sky130_fd_sc_hd__nand3_1 U64848 ( .A(n44860), .B(n44859), .C(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner__GEN_3659_0_), .Y(
+        n57574) );
+  sky130_fd_sc_hd__a22o_1 U64849 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[40]), 
+        .A2(n57564), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[8]), .B2(
+        n57560), .X(n44861) );
+  sky130_fd_sc_hd__a21oi_1 U64850 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[8]), 
+        .A2(n57561), .B1(n44861), .Y(n44863) );
+  sky130_fd_sc_hd__nand2_1 U64851 ( .A(n57570), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[1]), .Y(n44862) );
+  sky130_fd_sc_hd__nand4_1 U64852 ( .A(n44864), .B(n57574), .C(n44863), .D(
+        n44862), .Y(n44875) );
+  sky130_fd_sc_hd__nand2_1 U64853 ( .A(n46713), .B(n36975), .Y(n51494) );
+  sky130_fd_sc_hd__nand2_1 U64854 ( .A(n41606), .B(n57071), .Y(n51493) );
+  sky130_fd_sc_hd__o22ai_1 U64855 ( .A1(n48242), .A2(n51494), .B1(n50401), 
+        .B2(n51493), .Y(n44865) );
+  sky130_fd_sc_hd__nor2_1 U64856 ( .A(n50243), .B(n44865), .Y(n47157) );
+  sky130_fd_sc_hd__nor2_1 U64857 ( .A(n56556), .B(n46486), .Y(n45440) );
+  sky130_fd_sc_hd__o22ai_1 U64858 ( .A1(n46067), .A2(n57319), .B1(n45440), 
+        .B2(n57177), .Y(n44871) );
+  sky130_fd_sc_hd__nor2_1 U64859 ( .A(n52144), .B(n46347), .Y(n48278) );
+  sky130_fd_sc_hd__nor2_1 U64860 ( .A(n57136), .B(n57312), .Y(n56547) );
+  sky130_fd_sc_hd__nor4_1 U64862 ( .A(n48278), .B(n47148), .C(n56547), .D(
+        n44866), .Y(n44869) );
+  sky130_fd_sc_hd__o22ai_1 U64863 ( .A1(n45855), .A2(n51482), .B1(n57169), 
+        .B2(n57433), .Y(n44867) );
+  sky130_fd_sc_hd__a31oi_1 U64864 ( .A1(n41625), .A2(n52072), .A3(n52744), 
+        .B1(n44867), .Y(n44868) );
+  sky130_fd_sc_hd__o21ai_0 U64865 ( .A1(n57496), .A2(n44869), .B1(n44868), .Y(
+        n44870) );
+  sky130_fd_sc_hd__nor4_1 U64866 ( .A(n47098), .B(n47157), .C(n44871), .D(
+        n44870), .Y(n44873) );
+  sky130_fd_sc_hd__nor2_1 U64867 ( .A(n57325), .B(n57347), .Y(n48477) );
+  sky130_fd_sc_hd__a22oi_1 U64868 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[40]), 
+        .A2(n57563), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[8]), .B2(
+        n57559), .Y(n44872) );
+  sky130_fd_sc_hd__o21ai_1 U64869 ( .A1(n44873), .A2(n56606), .B1(n44872), .Y(
+        n44874) );
+  sky130_fd_sc_hd__nor4_1 U64870 ( .A(n44877), .B(n44876), .C(n44875), .D(
+        n44874), .Y(n44884) );
+  sky130_fd_sc_hd__nor2_1 U64871 ( .A(n57192), .B(n56444), .Y(n52118) );
+  sky130_fd_sc_hd__nor2_1 U64872 ( .A(n52199), .B(n36838), .Y(n52149) );
+  sky130_fd_sc_hd__a21oi_1 U64873 ( .A1(n47068), .A2(n50314), .B1(n52149), .Y(
+        n44878) );
+  sky130_fd_sc_hd__o21ai_0 U64874 ( .A1(n51010), .A2(n48257), .B1(n44878), .Y(
+        n56573) );
+  sky130_fd_sc_hd__o21ai_0 U64875 ( .A1(n52118), .A2(n56573), .B1(n52744), .Y(
+        n44879) );
+  sky130_fd_sc_hd__nand2_1 U64877 ( .A(n57488), .B(n52744), .Y(n45446) );
+  sky130_fd_sc_hd__nor2_1 U64878 ( .A(n57496), .B(n46328), .Y(n46054) );
+  sky130_fd_sc_hd__o21ai_1 U64879 ( .A1(n57435), .A2(n46054), .B1(n48249), .Y(
+        n44881) );
+  sky130_fd_sc_hd__nand2_1 U64880 ( .A(n48247), .B(n50907), .Y(n52185) );
+  sky130_fd_sc_hd__nand2_1 U64881 ( .A(n41779), .B(n41772), .Y(n48164) );
+  sky130_fd_sc_hd__nand2_1 U64882 ( .A(n52185), .B(n48164), .Y(n56589) );
+  sky130_fd_sc_hd__nand2_1 U64883 ( .A(n57549), .B(n56589), .Y(n57502) );
+  sky130_fd_sc_hd__nand4_1 U64884 ( .A(n45446), .B(n44881), .C(n44880), .D(
+        n57502), .Y(n44882) );
+  sky130_fd_sc_hd__o211ai_1 U64886 ( .A1(n48233), .A2(n57365), .B1(n44884), 
+        .C1(n44883), .Y(n84493) );
+  sky130_fd_sc_hd__mux2_2 U64887 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[41]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[9]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .X(n84560) );
+  sky130_fd_sc_hd__o22ai_1 U64888 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_fmt_endian), .A2(n80548), .B1(n48170), .B2(n80566), .Y(n85076) );
+  sky130_fd_sc_hd__nor2b_1 U64889 ( .B_N(n85076), .A(n48171), .Y(n85343) );
+  sky130_fd_sc_hd__nand2_1 U64890 ( .A(n57367), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_9[0]), .Y(
+        n44887) );
+  sky130_fd_sc_hd__nand2_1 U64891 ( .A(n57600), .B(n84560), .Y(n44886) );
+  sky130_fd_sc_hd__nor2_1 U64892 ( .A(n83298), .B(n56658), .Y(n57369) );
+  sky130_fd_sc_hd__a22oi_1 U64893 ( .A1(n85343), .A2(n57369), .B1(n57368), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_1[1]), .Y(n44885) );
+  sky130_fd_sc_hd__nand3_1 U64894 ( .A(n44887), .B(n44886), .C(n44885), .Y(
+        n84537) );
+  sky130_fd_sc_hd__a22oi_1 U64895 ( .A1(n50762), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[177]), .B1(n50779), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[337]), .Y(n44891) );
+  sky130_fd_sc_hd__a22oi_1 U64896 ( .A1(n50772), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[401]), .B1(n50778), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[81]), .Y(n44890) );
+  sky130_fd_sc_hd__a22oi_1 U64897 ( .A1(n50775), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[49]), .B1(n50774), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[145]), .Y(n44889) );
+  sky130_fd_sc_hd__a22oi_1 U64898 ( .A1(n50765), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[17]), .B1(n83204), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[273]), .Y(n44888) );
+  sky130_fd_sc_hd__nand4_1 U64899 ( .A(n44891), .B(n44890), .C(n44889), .D(
+        n44888), .Y(n44898) );
+  sky130_fd_sc_hd__a22oi_1 U64900 ( .A1(n50773), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[241]), .B1(n50767), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[305]), .Y(n44895) );
+  sky130_fd_sc_hd__a22oi_1 U64901 ( .A1(n50776), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[465]), .B1(n50761), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[209]), .Y(n44894) );
+  sky130_fd_sc_hd__a22oi_1 U64902 ( .A1(n50764), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[433]), .B1(n50763), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[497]), .Y(n44893) );
+  sky130_fd_sc_hd__a22oi_1 U64903 ( .A1(n50777), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[113]), .B1(n50766), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[369]), .Y(n44892) );
+  sky130_fd_sc_hd__nand4_1 U64904 ( .A(n44895), .B(n44894), .C(n44893), .D(
+        n44892), .Y(n44897) );
+  sky130_fd_sc_hd__a22o_1 U64905 ( .A1(n38371), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[17]), .B1(n37066), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[49]), .X(n44896) );
+  sky130_fd_sc_hd__a221oi_1 U64906 ( .A1(n44898), .A2(n37033), .B1(n44897), 
+        .B2(n55987), .C1(n44896), .Y(n44932) );
+  sky130_fd_sc_hd__a22oi_1 U64907 ( .A1(n50703), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[241]), .B1(n50686), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[305]), .Y(n44902) );
+  sky130_fd_sc_hd__a22oi_1 U64908 ( .A1(n50699), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[497]), .B1(n50685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[273]), .Y(n44901) );
+  sky130_fd_sc_hd__a22oi_1 U64909 ( .A1(n50688), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[209]), .B1(n50689), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[401]), .Y(n44900) );
+  sky130_fd_sc_hd__a22oi_1 U64910 ( .A1(n50704), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[465]), .B1(n50697), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[433]), .Y(n44899) );
+  sky130_fd_sc_hd__nand4_1 U64911 ( .A(n44902), .B(n44901), .C(n44900), .D(
+        n44899), .Y(n44908) );
+  sky130_fd_sc_hd__a22oi_1 U64912 ( .A1(n50700), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[369]), .B1(n50701), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[177]), .Y(n44906) );
+  sky130_fd_sc_hd__a22oi_1 U64913 ( .A1(n50702), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[49]), .B1(n50690), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[145]), .Y(n44905) );
+  sky130_fd_sc_hd__a22oi_1 U64914 ( .A1(n50687), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[337]), .B1(n50691), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[81]), .Y(n44904) );
+  sky130_fd_sc_hd__a22oi_1 U64915 ( .A1(n50692), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[17]), .B1(n50698), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[113]), .Y(n44903) );
+  sky130_fd_sc_hd__nand4_1 U64916 ( .A(n44906), .B(n44905), .C(n44904), .D(
+        n44903), .Y(n44907) );
+  sky130_fd_sc_hd__o21ai_1 U64917 ( .A1(n44908), .A2(n44907), .B1(n54782), .Y(
+        n44931) );
+  sky130_fd_sc_hd__a22oi_1 U64918 ( .A1(n50711), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[209]), .B1(n50726), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[81]), .Y(n44912) );
+  sky130_fd_sc_hd__a22oi_1 U64919 ( .A1(n50729), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[497]), .B1(n50725), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[49]), .Y(n44911) );
+  sky130_fd_sc_hd__a22oi_1 U64920 ( .A1(n50615), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[113]), .B1(n50715), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[433]), .Y(n44910) );
+  sky130_fd_sc_hd__a22oi_1 U64921 ( .A1(n50716), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[17]), .B1(n83122), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[273]), .Y(n44909) );
+  sky130_fd_sc_hd__nand4_1 U64922 ( .A(n44912), .B(n44911), .C(n44910), .D(
+        n44909), .Y(n44918) );
+  sky130_fd_sc_hd__a22oi_1 U64923 ( .A1(n50714), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[305]), .B1(n50712), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[177]), .Y(n44916) );
+  sky130_fd_sc_hd__a22oi_1 U64924 ( .A1(n50565), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[369]), .B1(n50713), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[337]), .Y(n44915) );
+  sky130_fd_sc_hd__a22oi_1 U64925 ( .A1(n50728), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[401]), .B1(n50724), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[465]), .Y(n44914) );
+  sky130_fd_sc_hd__a22oi_1 U64926 ( .A1(n50723), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[145]), .B1(n48671), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[241]), .Y(n44913) );
+  sky130_fd_sc_hd__nand4_1 U64927 ( .A(n44916), .B(n44915), .C(n44914), .D(
+        n44913), .Y(n44917) );
+  sky130_fd_sc_hd__o21ai_1 U64928 ( .A1(n44918), .A2(n44917), .B1(n54781), .Y(
+        n44930) );
+  sky130_fd_sc_hd__a22oi_1 U64929 ( .A1(n50752), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[401]), .B1(n50753), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[177]), .Y(n44922) );
+  sky130_fd_sc_hd__a22oi_1 U64930 ( .A1(n50739), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[433]), .B1(n50737), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[113]), .Y(n44921) );
+  sky130_fd_sc_hd__a22oi_1 U64931 ( .A1(n50754), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[369]), .B1(n83080), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[17]), .Y(n44920) );
+  sky130_fd_sc_hd__a22oi_1 U64932 ( .A1(n50742), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[273]), .B1(n50749), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[497]), .Y(n44919) );
+  sky130_fd_sc_hd__nand4_1 U64933 ( .A(n44922), .B(n44921), .C(n44920), .D(
+        n44919), .Y(n44928) );
+  sky130_fd_sc_hd__a22oi_1 U64934 ( .A1(n50751), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[81]), .B1(n50740), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[209]), .Y(n44926) );
+  sky130_fd_sc_hd__a22oi_1 U64935 ( .A1(n50736), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[337]), .B1(n50750), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[465]), .Y(n44925) );
+  sky130_fd_sc_hd__a22oi_1 U64936 ( .A1(n50738), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[305]), .B1(n50747), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[145]), .Y(n44924) );
+  sky130_fd_sc_hd__a22oi_1 U64937 ( .A1(n50741), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[241]), .B1(n50748), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[49]), .Y(n44923) );
+  sky130_fd_sc_hd__nand4_1 U64938 ( .A(n44926), .B(n44925), .C(n44924), .D(
+        n44923), .Y(n44927) );
+  sky130_fd_sc_hd__o21ai_1 U64939 ( .A1(n44928), .A2(n44927), .B1(n55985), .Y(
+        n44929) );
+  sky130_fd_sc_hd__nand4_1 U64940 ( .A(n44932), .B(n44931), .C(n44930), .D(
+        n44929), .Y(n85189) );
+  sky130_fd_sc_hd__a22oi_1 U64941 ( .A1(n50775), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[41]), .B1(n50774), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[137]), .Y(n44936) );
+  sky130_fd_sc_hd__a22oi_1 U64942 ( .A1(n50779), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[329]), .B1(n50761), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[201]), .Y(n44935) );
+  sky130_fd_sc_hd__a22oi_1 U64943 ( .A1(n50766), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[361]), .B1(n50765), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[9]), .Y(n44934) );
+  sky130_fd_sc_hd__a22oi_1 U64944 ( .A1(n50778), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[73]), .B1(n83204), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[265]), .Y(n44933) );
+  sky130_fd_sc_hd__nand4_1 U64945 ( .A(n44936), .B(n44935), .C(n44934), .D(
+        n44933), .Y(n44943) );
+  sky130_fd_sc_hd__a22oi_1 U64946 ( .A1(n50777), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[105]), .B1(n50762), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[169]), .Y(n44940) );
+  sky130_fd_sc_hd__a22oi_1 U64947 ( .A1(n50772), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[393]), .B1(n50767), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[297]), .Y(n44939) );
+  sky130_fd_sc_hd__a22oi_1 U64948 ( .A1(n50764), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[425]), .B1(n50763), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[489]), .Y(n44938) );
+  sky130_fd_sc_hd__a22oi_1 U64949 ( .A1(n50773), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[233]), .B1(n50776), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[457]), .Y(n44937) );
+  sky130_fd_sc_hd__nand4_1 U64950 ( .A(n44940), .B(n44939), .C(n44938), .D(
+        n44937), .Y(n44942) );
+  sky130_fd_sc_hd__a22o_1 U64951 ( .A1(n38371), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[9]), .B1(n37066), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[41]), .X(n44941) );
+  sky130_fd_sc_hd__a221oi_1 U64952 ( .A1(n44943), .A2(n55987), .B1(n44942), 
+        .B2(n37033), .C1(n44941), .Y(n44977) );
+  sky130_fd_sc_hd__a22oi_1 U64953 ( .A1(n50699), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[489]), .B1(n50697), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[425]), .Y(n44947) );
+  sky130_fd_sc_hd__a22oi_1 U64954 ( .A1(n50691), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[73]), .B1(n50685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[265]), .Y(n44946) );
+  sky130_fd_sc_hd__a22oi_1 U64955 ( .A1(n50688), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[201]), .B1(n50702), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[41]), .Y(n44945) );
+  sky130_fd_sc_hd__a22oi_1 U64956 ( .A1(n50698), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[105]), .B1(n50689), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[393]), .Y(n44944) );
+  sky130_fd_sc_hd__nand4_1 U64957 ( .A(n44947), .B(n44946), .C(n44945), .D(
+        n44944), .Y(n44953) );
+  sky130_fd_sc_hd__a22oi_1 U64958 ( .A1(n50704), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[457]), .B1(n50692), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[9]), .Y(n44951) );
+  sky130_fd_sc_hd__a22oi_1 U64959 ( .A1(n50690), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[137]), .B1(n50686), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[297]), .Y(n44950) );
+  sky130_fd_sc_hd__a22oi_1 U64960 ( .A1(n50703), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[233]), .B1(n50701), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[169]), .Y(n44949) );
+  sky130_fd_sc_hd__a22oi_1 U64961 ( .A1(n50700), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[361]), .B1(n50687), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[329]), .Y(n44948) );
+  sky130_fd_sc_hd__nand4_1 U64962 ( .A(n44951), .B(n44950), .C(n44949), .D(
+        n44948), .Y(n44952) );
+  sky130_fd_sc_hd__o21ai_1 U64963 ( .A1(n44953), .A2(n44952), .B1(n54782), .Y(
+        n44976) );
+  sky130_fd_sc_hd__a22oi_1 U64964 ( .A1(n50729), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[489]), .B1(n50713), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[329]), .Y(n44957) );
+  sky130_fd_sc_hd__a22oi_1 U64965 ( .A1(n50714), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[297]), .B1(n50717), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[361]), .Y(n44956) );
+  sky130_fd_sc_hd__a22oi_1 U64966 ( .A1(n50712), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[169]), .B1(n50724), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[457]), .Y(n44955) );
+  sky130_fd_sc_hd__a22oi_1 U64967 ( .A1(n50716), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[9]), .B1(n83122), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[265]), .Y(n44954) );
+  sky130_fd_sc_hd__nand4_1 U64968 ( .A(n44957), .B(n44956), .C(n44955), .D(
+        n44954), .Y(n44963) );
+  sky130_fd_sc_hd__a22oi_1 U64969 ( .A1(n50711), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[201]), .B1(n50615), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[105]), .Y(n44961) );
+  sky130_fd_sc_hd__a22oi_1 U64970 ( .A1(n50723), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[137]), .B1(n48671), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[233]), .Y(n44960) );
+  sky130_fd_sc_hd__a22oi_1 U64971 ( .A1(n50728), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[393]), .B1(n50725), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[41]), .Y(n44959) );
+  sky130_fd_sc_hd__a22oi_1 U64972 ( .A1(n50715), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[425]), .B1(n50726), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[73]), .Y(n44958) );
+  sky130_fd_sc_hd__nand4_1 U64973 ( .A(n44961), .B(n44960), .C(n44959), .D(
+        n44958), .Y(n44962) );
+  sky130_fd_sc_hd__o21ai_1 U64974 ( .A1(n44963), .A2(n44962), .B1(n54781), .Y(
+        n44975) );
+  sky130_fd_sc_hd__a22oi_1 U64975 ( .A1(n50747), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[137]), .B1(n50753), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[169]), .Y(n44967) );
+  sky130_fd_sc_hd__a22oi_1 U64976 ( .A1(n50748), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[41]), .B1(n50749), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[489]), .Y(n44966) );
+  sky130_fd_sc_hd__a22oi_1 U64977 ( .A1(n50741), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[233]), .B1(n50751), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[73]), .Y(n44965) );
+  sky130_fd_sc_hd__a22oi_1 U64978 ( .A1(n50742), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[265]), .B1(n83080), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[9]), .Y(n44964) );
+  sky130_fd_sc_hd__nand4_1 U64979 ( .A(n44967), .B(n44966), .C(n44965), .D(
+        n44964), .Y(n44973) );
+  sky130_fd_sc_hd__a22oi_1 U64980 ( .A1(n50739), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[425]), .B1(n50736), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[329]), .Y(n44971) );
+  sky130_fd_sc_hd__a22oi_1 U64981 ( .A1(n50754), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[361]), .B1(n50740), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[201]), .Y(n44970) );
+  sky130_fd_sc_hd__a22oi_1 U64982 ( .A1(n50738), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[297]), .B1(n50750), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[457]), .Y(n44969) );
+  sky130_fd_sc_hd__a22oi_1 U64983 ( .A1(n50737), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[105]), .B1(n50752), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[393]), .Y(n44968) );
+  sky130_fd_sc_hd__nand4_1 U64984 ( .A(n44971), .B(n44970), .C(n44969), .D(
+        n44968), .Y(n44972) );
+  sky130_fd_sc_hd__o21ai_1 U64985 ( .A1(n44973), .A2(n44972), .B1(n55985), .Y(
+        n44974) );
+  sky130_fd_sc_hd__nand4_1 U64986 ( .A(n44977), .B(n44976), .C(n44975), .D(
+        n44974), .Y(n84306) );
+  sky130_fd_sc_hd__a22oi_1 U64987 ( .A1(n50767), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[313]), .B1(n50776), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[473]), .Y(n44981) );
+  sky130_fd_sc_hd__a22oi_1 U64988 ( .A1(n50775), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[57]), .B1(n50763), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[505]), .Y(n44980) );
+  sky130_fd_sc_hd__a22oi_1 U64989 ( .A1(n50764), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[441]), .B1(n50772), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[409]), .Y(n44979) );
+  sky130_fd_sc_hd__a22oi_1 U64990 ( .A1(n50765), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[25]), .B1(n83204), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[281]), .Y(n44978) );
+  sky130_fd_sc_hd__nand4_1 U64991 ( .A(n44981), .B(n44980), .C(n44979), .D(
+        n44978), .Y(n44988) );
+  sky130_fd_sc_hd__a22oi_1 U64992 ( .A1(n50762), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[185]), .B1(n50773), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[249]), .Y(n44985) );
+  sky130_fd_sc_hd__a22oi_1 U64993 ( .A1(n50777), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[121]), .B1(n50779), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[345]), .Y(n44984) );
+  sky130_fd_sc_hd__a22oi_1 U64994 ( .A1(n50778), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[89]), .B1(n50761), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[217]), .Y(n44983) );
+  sky130_fd_sc_hd__a22oi_1 U64995 ( .A1(n50766), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[377]), .B1(n50774), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[153]), .Y(n44982) );
+  sky130_fd_sc_hd__nand4_1 U64996 ( .A(n44985), .B(n44984), .C(n44983), .D(
+        n44982), .Y(n44987) );
+  sky130_fd_sc_hd__a22o_1 U64997 ( .A1(n38371), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[25]), .B1(n37066), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[57]), .X(n44986) );
+  sky130_fd_sc_hd__a221oi_1 U64998 ( .A1(n44988), .A2(n55987), .B1(n44987), 
+        .B2(n55987), .C1(n44986), .Y(n45022) );
+  sky130_fd_sc_hd__a22oi_1 U64999 ( .A1(n50690), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[153]), .B1(n50701), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[185]), .Y(n44992) );
+  sky130_fd_sc_hd__a22oi_1 U65000 ( .A1(n50702), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[57]), .B1(n50685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[281]), .Y(n44991) );
+  sky130_fd_sc_hd__a22oi_1 U65001 ( .A1(n50703), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[249]), .B1(n50699), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[505]), .Y(n44990) );
+  sky130_fd_sc_hd__a22oi_1 U65002 ( .A1(n50704), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[473]), .B1(n50687), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[345]), .Y(n44989) );
+  sky130_fd_sc_hd__nand4_1 U65003 ( .A(n44992), .B(n44991), .C(n44990), .D(
+        n44989), .Y(n44998) );
+  sky130_fd_sc_hd__a22oi_1 U65004 ( .A1(n50689), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[409]), .B1(n50691), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[89]), .Y(n44996) );
+  sky130_fd_sc_hd__a22oi_1 U65005 ( .A1(n50688), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[217]), .B1(n50686), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[313]), .Y(n44995) );
+  sky130_fd_sc_hd__a22oi_1 U65006 ( .A1(n50700), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[377]), .B1(n50697), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[441]), .Y(n44994) );
+  sky130_fd_sc_hd__a22oi_1 U65007 ( .A1(n50692), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[25]), .B1(n50698), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[121]), .Y(n44993) );
+  sky130_fd_sc_hd__nand4_1 U65008 ( .A(n44996), .B(n44995), .C(n44994), .D(
+        n44993), .Y(n44997) );
+  sky130_fd_sc_hd__o21ai_1 U65009 ( .A1(n44998), .A2(n44997), .B1(n54782), .Y(
+        n45021) );
+  sky130_fd_sc_hd__a22oi_1 U65010 ( .A1(n50712), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[185]), .B1(n50715), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[441]), .Y(n45002) );
+  sky130_fd_sc_hd__a22oi_1 U65011 ( .A1(n50714), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[313]), .B1(n48671), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[249]), .Y(n45001) );
+  sky130_fd_sc_hd__a22oi_1 U65012 ( .A1(n50717), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[377]), .B1(n83122), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[281]), .Y(n45000) );
+  sky130_fd_sc_hd__a22oi_1 U65013 ( .A1(n50711), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[217]), .B1(n50716), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[25]), .Y(n44999) );
+  sky130_fd_sc_hd__nand4_1 U65014 ( .A(n45002), .B(n45001), .C(n45000), .D(
+        n44999), .Y(n45008) );
+  sky130_fd_sc_hd__a22oi_1 U65015 ( .A1(n50723), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[153]), .B1(n50724), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[473]), .Y(n45006) );
+  sky130_fd_sc_hd__a22oi_1 U65016 ( .A1(n50722), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[121]), .B1(n50728), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[409]), .Y(n45005) );
+  sky130_fd_sc_hd__a22oi_1 U65017 ( .A1(n50729), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[505]), .B1(n50726), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[89]), .Y(n45004) );
+  sky130_fd_sc_hd__a22oi_1 U65018 ( .A1(n50713), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[345]), .B1(n50725), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[57]), .Y(n45003) );
+  sky130_fd_sc_hd__nand4_1 U65019 ( .A(n45006), .B(n45005), .C(n45004), .D(
+        n45003), .Y(n45007) );
+  sky130_fd_sc_hd__o21ai_1 U65020 ( .A1(n45008), .A2(n45007), .B1(n54781), .Y(
+        n45020) );
+  sky130_fd_sc_hd__a22oi_1 U65021 ( .A1(n50747), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[153]), .B1(n50749), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[505]), .Y(n45012) );
+  sky130_fd_sc_hd__a22oi_1 U65022 ( .A1(n50750), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[473]), .B1(n50740), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[217]), .Y(n45011) );
+  sky130_fd_sc_hd__a22oi_1 U65023 ( .A1(n50748), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[57]), .B1(n50751), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[89]), .Y(n45010) );
+  sky130_fd_sc_hd__a22oi_1 U65024 ( .A1(n50742), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[281]), .B1(n83080), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[25]), .Y(n45009) );
+  sky130_fd_sc_hd__nand4_1 U65025 ( .A(n45012), .B(n45011), .C(n45010), .D(
+        n45009), .Y(n45018) );
+  sky130_fd_sc_hd__a22oi_1 U65026 ( .A1(n50741), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[249]), .B1(n50737), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[121]), .Y(n45016) );
+  sky130_fd_sc_hd__a22oi_1 U65027 ( .A1(n50738), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[313]), .B1(n50753), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[185]), .Y(n45015) );
+  sky130_fd_sc_hd__a22oi_1 U65028 ( .A1(n50754), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[377]), .B1(n50736), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[345]), .Y(n45014) );
+  sky130_fd_sc_hd__a22oi_1 U65029 ( .A1(n50739), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[441]), .B1(n50752), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[409]), .Y(n45013) );
+  sky130_fd_sc_hd__nand4_1 U65030 ( .A(n45016), .B(n45015), .C(n45014), .D(
+        n45013), .Y(n45017) );
+  sky130_fd_sc_hd__nand4_1 U65032 ( .A(n45022), .B(n45021), .C(n45020), .D(
+        n45019), .Y(n85259) );
+  sky130_fd_sc_hd__a222oi_1 U65033 ( .A1(n85189), .A2(n50684), .B1(n84306), 
+        .B2(n50683), .C1(n85259), .C2(n50682), .Y(n45071) );
+  sky130_fd_sc_hd__a222oi_1 U65034 ( .A1(n50684), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[17]), .B1(n50683), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[9]), .C1(n50682), .C2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[25]), .Y(n45070) );
+  sky130_fd_sc_hd__a22oi_1 U65035 ( .A1(n50700), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[353]), .B1(n50688), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[193]), .Y(n45026) );
+  sky130_fd_sc_hd__a22oi_1 U65036 ( .A1(n50690), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[129]), .B1(n50697), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[417]), .Y(n45025) );
+  sky130_fd_sc_hd__a22oi_1 U65037 ( .A1(n50698), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[97]), .B1(n50686), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[289]), .Y(n45024) );
+  sky130_fd_sc_hd__a22oi_1 U65038 ( .A1(n50692), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[1]), .B1(n50701), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[161]), .Y(n45023) );
+  sky130_fd_sc_hd__nand4_1 U65039 ( .A(n45026), .B(n45025), .C(n45024), .D(
+        n45023), .Y(n45032) );
+  sky130_fd_sc_hd__a22oi_1 U65040 ( .A1(n50703), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[225]), .B1(n50687), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[321]), .Y(n45030) );
+  sky130_fd_sc_hd__a22oi_1 U65041 ( .A1(n50699), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[481]), .B1(n50685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[257]), .Y(n45029) );
+  sky130_fd_sc_hd__a22oi_1 U65042 ( .A1(n50704), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[449]), .B1(n50702), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[33]), .Y(n45028) );
+  sky130_fd_sc_hd__a22oi_1 U65043 ( .A1(n50689), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[385]), .B1(n50691), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[65]), .Y(n45027) );
+  sky130_fd_sc_hd__nand4_1 U65044 ( .A(n45030), .B(n45029), .C(n45028), .D(
+        n45027), .Y(n45031) );
+  sky130_fd_sc_hd__o21a_1 U65045 ( .A1(n45032), .A2(n45031), .B1(n54782), .X(
+        n45068) );
+  sky130_fd_sc_hd__a22oi_1 U65046 ( .A1(n38371), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[1]), .B1(n37066), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[33]), .Y(n45066) );
+  sky130_fd_sc_hd__a22oi_1 U65047 ( .A1(n50713), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[321]), .B1(n50724), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[449]), .Y(n45036) );
+  sky130_fd_sc_hd__a22oi_1 U65048 ( .A1(n50714), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[289]), .B1(n50717), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[353]), .Y(n45035) );
+  sky130_fd_sc_hd__a22oi_1 U65049 ( .A1(n50723), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[129]), .B1(n48671), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[225]), .Y(n45034) );
+  sky130_fd_sc_hd__a22oi_1 U65050 ( .A1(n50716), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[1]), .B1(n83122), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[257]), .Y(n45033) );
+  sky130_fd_sc_hd__nand4_1 U65051 ( .A(n45036), .B(n45035), .C(n45034), .D(
+        n45033), .Y(n45042) );
+  sky130_fd_sc_hd__a22oi_1 U65052 ( .A1(n50712), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[161]), .B1(n50728), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[385]), .Y(n45040) );
+  sky130_fd_sc_hd__a22oi_1 U65053 ( .A1(n50729), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[481]), .B1(n50615), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[97]), .Y(n45039) );
+  sky130_fd_sc_hd__a22oi_1 U65054 ( .A1(n50715), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[417]), .B1(n50725), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[33]), .Y(n45038) );
+  sky130_fd_sc_hd__a22oi_1 U65055 ( .A1(n50711), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[193]), .B1(n50726), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[65]), .Y(n45037) );
+  sky130_fd_sc_hd__nand4_1 U65056 ( .A(n45040), .B(n45039), .C(n45038), .D(
+        n45037), .Y(n45041) );
+  sky130_fd_sc_hd__o21ai_1 U65057 ( .A1(n45042), .A2(n45041), .B1(n54781), .Y(
+        n45065) );
+  sky130_fd_sc_hd__a22oi_1 U65058 ( .A1(n50754), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[353]), .B1(n50748), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[33]), .Y(n45046) );
+  sky130_fd_sc_hd__a22oi_1 U65059 ( .A1(n50737), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[97]), .B1(n50751), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[65]), .Y(n45045) );
+  sky130_fd_sc_hd__a22oi_1 U65060 ( .A1(n50738), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[289]), .B1(n83080), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[1]), .Y(n45044) );
+  sky130_fd_sc_hd__a22oi_1 U65061 ( .A1(n50747), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[129]), .B1(n50742), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[257]), .Y(n45043) );
+  sky130_fd_sc_hd__nand4_1 U65062 ( .A(n45046), .B(n45045), .C(n45044), .D(
+        n45043), .Y(n45052) );
+  sky130_fd_sc_hd__a22oi_1 U65063 ( .A1(n50736), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[321]), .B1(n50753), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[161]), .Y(n45050) );
+  sky130_fd_sc_hd__a22oi_1 U65064 ( .A1(n50739), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[417]), .B1(n50750), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[449]), .Y(n45049) );
+  sky130_fd_sc_hd__a22oi_1 U65065 ( .A1(n50740), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[193]), .B1(n50749), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[481]), .Y(n45048) );
+  sky130_fd_sc_hd__a22oi_1 U65066 ( .A1(n50741), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[225]), .B1(n50752), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[385]), .Y(n45047) );
+  sky130_fd_sc_hd__nand4_1 U65067 ( .A(n45050), .B(n45049), .C(n45048), .D(
+        n45047), .Y(n45051) );
+  sky130_fd_sc_hd__o21ai_1 U65068 ( .A1(n45052), .A2(n45051), .B1(n54746), .Y(
+        n45064) );
+  sky130_fd_sc_hd__a22oi_1 U65069 ( .A1(n50764), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[417]), .B1(n50772), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[385]), .Y(n45056) );
+  sky130_fd_sc_hd__a22oi_1 U65070 ( .A1(n50777), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[97]), .B1(n50766), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[353]), .Y(n45055) );
+  sky130_fd_sc_hd__a22oi_1 U65071 ( .A1(n50775), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[33]), .B1(n50763), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[481]), .Y(n45054) );
+  sky130_fd_sc_hd__a22oi_1 U65072 ( .A1(n50765), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[1]), .B1(n83204), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[257]), .Y(n45053) );
+  sky130_fd_sc_hd__nand4_1 U65073 ( .A(n45056), .B(n45055), .C(n45054), .D(
+        n45053), .Y(n45062) );
+  sky130_fd_sc_hd__a22oi_1 U65074 ( .A1(n50773), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[225]), .B1(n50774), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[129]), .Y(n45060) );
+  sky130_fd_sc_hd__a22oi_1 U65075 ( .A1(n50762), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[161]), .B1(n50776), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[449]), .Y(n45059) );
+  sky130_fd_sc_hd__a22oi_1 U65076 ( .A1(n50767), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[289]), .B1(n50761), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[193]), .Y(n45058) );
+  sky130_fd_sc_hd__a22oi_1 U65077 ( .A1(n50779), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[321]), .B1(n50778), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[65]), .Y(n45057) );
+  sky130_fd_sc_hd__nand4_1 U65078 ( .A(n45060), .B(n45059), .C(n45058), .D(
+        n45057), .Y(n45061) );
+  sky130_fd_sc_hd__nand4_1 U65080 ( .A(n45066), .B(n45065), .C(n45064), .D(
+        n45063), .Y(n45067) );
+  sky130_fd_sc_hd__o21ai_1 U65081 ( .A1(n45068), .A2(n45067), .B1(n50790), .Y(
+        n45069) );
+  sky130_fd_sc_hd__o221ai_1 U65082 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(n45071), .B1(n55990), .B2(n45070), .C1(n45069), .Y(n84399) );
+  sky130_fd_sc_hd__mux2_2 U65083 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[38]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[6]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .X(n84299) );
+  sky130_fd_sc_hd__nand2_1 U65084 ( .A(n57367), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_6[0]), .Y(
+        n45075) );
+  sky130_fd_sc_hd__nand2_1 U65085 ( .A(n57600), .B(n84299), .Y(n45074) );
+  sky130_fd_sc_hd__nor2_1 U65086 ( .A(n45072), .B(n56658), .Y(n57602) );
+  sky130_fd_sc_hd__nor2_1 U65087 ( .A(n83299), .B(n56658), .Y(n57601) );
+  sky130_fd_sc_hd__a22oi_1 U65088 ( .A1(n57602), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_0[6]), .B1(n57601), .B2(n85328), .Y(n45073) );
+  sky130_fd_sc_hd__nand3_1 U65089 ( .A(n45075), .B(n45074), .C(n45073), .Y(
+        n84534) );
+  sky130_fd_sc_hd__a22oi_1 U65090 ( .A1(n50766), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[374]), .B1(n50761), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[214]), .Y(n45079) );
+  sky130_fd_sc_hd__a22oi_1 U65091 ( .A1(n50775), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[54]), .B1(n50772), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[406]), .Y(n45078) );
+  sky130_fd_sc_hd__a22oi_1 U65092 ( .A1(n50778), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[86]), .B1(n50776), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[470]), .Y(n45077) );
+  sky130_fd_sc_hd__a22oi_1 U65093 ( .A1(n50765), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[22]), .B1(n83204), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[278]), .Y(n45076) );
+  sky130_fd_sc_hd__nand4_1 U65094 ( .A(n45079), .B(n45078), .C(n45077), .D(
+        n45076), .Y(n45086) );
+  sky130_fd_sc_hd__a22oi_1 U65095 ( .A1(n50762), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[182]), .B1(n50773), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[246]), .Y(n45083) );
+  sky130_fd_sc_hd__a22oi_1 U65096 ( .A1(n50764), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[438]), .B1(n50777), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[118]), .Y(n45082) );
+  sky130_fd_sc_hd__a22oi_1 U65097 ( .A1(n50779), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[342]), .B1(n50763), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[502]), .Y(n45081) );
+  sky130_fd_sc_hd__a22oi_1 U65098 ( .A1(n50767), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[310]), .B1(n50774), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[150]), .Y(n45080) );
+  sky130_fd_sc_hd__nand4_1 U65099 ( .A(n45083), .B(n45082), .C(n45081), .D(
+        n45080), .Y(n45085) );
+  sky130_fd_sc_hd__a22o_1 U65100 ( .A1(n38371), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[22]), .B1(n37066), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[54]), .X(n45084) );
+  sky130_fd_sc_hd__a221oi_1 U65101 ( .A1(n45086), .A2(n55987), .B1(n45085), 
+        .B2(n37033), .C1(n45084), .Y(n45120) );
+  sky130_fd_sc_hd__a22oi_1 U65102 ( .A1(n50690), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[150]), .B1(n50687), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[342]), .Y(n45090) );
+  sky130_fd_sc_hd__a22oi_1 U65103 ( .A1(n50700), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[374]), .B1(n50698), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[118]), .Y(n45089) );
+  sky130_fd_sc_hd__a22oi_1 U65104 ( .A1(n50702), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[54]), .B1(n50701), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[182]), .Y(n45088) );
+  sky130_fd_sc_hd__a22oi_1 U65105 ( .A1(n50689), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[406]), .B1(n50691), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[86]), .Y(n45087) );
+  sky130_fd_sc_hd__nand4_1 U65106 ( .A(n45090), .B(n45089), .C(n45088), .D(
+        n45087), .Y(n45096) );
+  sky130_fd_sc_hd__a22oi_1 U65107 ( .A1(n50692), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[22]), .B1(n50685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[278]), .Y(n45094) );
+  sky130_fd_sc_hd__a22oi_1 U65108 ( .A1(n50703), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[246]), .B1(n50697), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[438]), .Y(n45093) );
+  sky130_fd_sc_hd__a22oi_1 U65109 ( .A1(n50704), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[470]), .B1(n50686), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[310]), .Y(n45092) );
+  sky130_fd_sc_hd__a22oi_1 U65110 ( .A1(n50688), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[214]), .B1(n50699), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[502]), .Y(n45091) );
+  sky130_fd_sc_hd__nand4_1 U65111 ( .A(n45094), .B(n45093), .C(n45092), .D(
+        n45091), .Y(n45095) );
+  sky130_fd_sc_hd__o21ai_1 U65112 ( .A1(n45096), .A2(n45095), .B1(n54782), .Y(
+        n45119) );
+  sky130_fd_sc_hd__a22oi_1 U65113 ( .A1(n50726), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[86]), .B1(n50724), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[470]), .Y(n45100) );
+  sky130_fd_sc_hd__a22oi_1 U65114 ( .A1(n50712), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[182]), .B1(n50725), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[54]), .Y(n45099) );
+  sky130_fd_sc_hd__a22oi_1 U65115 ( .A1(n50728), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[406]), .B1(n83122), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[278]), .Y(n45098) );
+  sky130_fd_sc_hd__a22oi_1 U65116 ( .A1(n50715), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[438]), .B1(n50716), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[22]), .Y(n45097) );
+  sky130_fd_sc_hd__nand4_1 U65117 ( .A(n45100), .B(n45099), .C(n45098), .D(
+        n45097), .Y(n45106) );
+  sky130_fd_sc_hd__a22oi_1 U65118 ( .A1(n50723), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[150]), .B1(n50717), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[374]), .Y(n45104) );
+  sky130_fd_sc_hd__a22oi_1 U65119 ( .A1(n50714), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[310]), .B1(n50713), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[342]), .Y(n45103) );
+  sky130_fd_sc_hd__a22oi_1 U65120 ( .A1(n50711), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[214]), .B1(n50615), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[118]), .Y(n45102) );
+  sky130_fd_sc_hd__a22oi_1 U65121 ( .A1(n50661), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[502]), .B1(n48671), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[246]), .Y(n45101) );
+  sky130_fd_sc_hd__nand4_1 U65122 ( .A(n45104), .B(n45103), .C(n45102), .D(
+        n45101), .Y(n45105) );
+  sky130_fd_sc_hd__o21ai_1 U65123 ( .A1(n45106), .A2(n45105), .B1(n54781), .Y(
+        n45118) );
+  sky130_fd_sc_hd__a22oi_1 U65124 ( .A1(n50738), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[310]), .B1(n50747), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[150]), .Y(n45110) );
+  sky130_fd_sc_hd__a22oi_1 U65125 ( .A1(n50739), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[438]), .B1(n50736), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[342]), .Y(n45109) );
+  sky130_fd_sc_hd__a22oi_1 U65126 ( .A1(n50754), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[374]), .B1(n50748), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[54]), .Y(n45108) );
+  sky130_fd_sc_hd__a22oi_1 U65127 ( .A1(n50742), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[278]), .B1(n83080), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[22]), .Y(n45107) );
+  sky130_fd_sc_hd__nand4_1 U65128 ( .A(n45110), .B(n45109), .C(n45108), .D(
+        n45107), .Y(n45116) );
+  sky130_fd_sc_hd__a22oi_1 U65129 ( .A1(n50737), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[118]), .B1(n50751), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[86]), .Y(n45114) );
+  sky130_fd_sc_hd__a22oi_1 U65130 ( .A1(n50741), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[246]), .B1(n50752), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[406]), .Y(n45113) );
+  sky130_fd_sc_hd__a22oi_1 U65131 ( .A1(n50749), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[502]), .B1(n50753), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[182]), .Y(n45112) );
+  sky130_fd_sc_hd__a22oi_1 U65132 ( .A1(n50750), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[470]), .B1(n50740), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[214]), .Y(n45111) );
+  sky130_fd_sc_hd__nand4_1 U65133 ( .A(n45114), .B(n45113), .C(n45112), .D(
+        n45111), .Y(n45115) );
+  sky130_fd_sc_hd__nand4_1 U65135 ( .A(n45120), .B(n45119), .C(n45118), .D(
+        n45117), .Y(n85204) );
+  sky130_fd_sc_hd__a22oi_1 U65136 ( .A1(n50773), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[238]), .B1(n50778), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[78]), .Y(n45124) );
+  sky130_fd_sc_hd__a22oi_1 U65137 ( .A1(n50764), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[430]), .B1(n50777), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[110]), .Y(n45123) );
+  sky130_fd_sc_hd__a22oi_1 U65138 ( .A1(n50779), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[334]), .B1(n50766), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[366]), .Y(n45122) );
+  sky130_fd_sc_hd__a22oi_1 U65139 ( .A1(n50765), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[14]), .B1(n83204), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[270]), .Y(n45121) );
+  sky130_fd_sc_hd__nand4_1 U65140 ( .A(n45124), .B(n45123), .C(n45122), .D(
+        n45121), .Y(n45131) );
+  sky130_fd_sc_hd__a22oi_1 U65141 ( .A1(n50767), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[302]), .B1(n50761), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[206]), .Y(n45128) );
+  sky130_fd_sc_hd__a22oi_1 U65142 ( .A1(n50762), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[174]), .B1(n50776), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[462]), .Y(n45127) );
+  sky130_fd_sc_hd__a22oi_1 U65143 ( .A1(n50763), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[494]), .B1(n50774), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[142]), .Y(n45126) );
+  sky130_fd_sc_hd__a22oi_1 U65144 ( .A1(n50775), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[46]), .B1(n50772), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[398]), .Y(n45125) );
+  sky130_fd_sc_hd__nand4_1 U65145 ( .A(n45128), .B(n45127), .C(n45126), .D(
+        n45125), .Y(n45130) );
+  sky130_fd_sc_hd__a22o_1 U65146 ( .A1(n38371), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[14]), .B1(n37066), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[46]), .X(n45129) );
+  sky130_fd_sc_hd__a221oi_1 U65147 ( .A1(n45131), .A2(n37033), .B1(n45130), 
+        .B2(n55987), .C1(n45129), .Y(n45165) );
+  sky130_fd_sc_hd__a22oi_1 U65148 ( .A1(n50702), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[46]), .B1(n50698), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[110]), .Y(n45135) );
+  sky130_fd_sc_hd__a22oi_1 U65149 ( .A1(n50704), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[462]), .B1(n50700), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[366]), .Y(n45134) );
+  sky130_fd_sc_hd__a22oi_1 U65150 ( .A1(n50688), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[206]), .B1(n50685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[270]), .Y(n45133) );
+  sky130_fd_sc_hd__a22oi_1 U65151 ( .A1(n50703), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[238]), .B1(n50687), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[334]), .Y(n45132) );
+  sky130_fd_sc_hd__nand4_1 U65152 ( .A(n45135), .B(n45134), .C(n45133), .D(
+        n45132), .Y(n45141) );
+  sky130_fd_sc_hd__a22oi_1 U65153 ( .A1(n50689), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[398]), .B1(n50701), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[174]), .Y(n45139) );
+  sky130_fd_sc_hd__a22oi_1 U65154 ( .A1(n50686), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[302]), .B1(n50697), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[430]), .Y(n45138) );
+  sky130_fd_sc_hd__a22oi_1 U65155 ( .A1(n50692), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[14]), .B1(n50690), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[142]), .Y(n45137) );
+  sky130_fd_sc_hd__a22oi_1 U65156 ( .A1(n50699), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[494]), .B1(n50691), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[78]), .Y(n45136) );
+  sky130_fd_sc_hd__nand4_1 U65157 ( .A(n45139), .B(n45138), .C(n45137), .D(
+        n45136), .Y(n45140) );
+  sky130_fd_sc_hd__o21ai_1 U65158 ( .A1(n45141), .A2(n45140), .B1(n54782), .Y(
+        n45164) );
+  sky130_fd_sc_hd__a22oi_1 U65159 ( .A1(n50661), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[494]), .B1(n50725), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[46]), .Y(n45145) );
+  sky130_fd_sc_hd__a22oi_1 U65160 ( .A1(n50712), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[174]), .B1(n50711), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[206]), .Y(n45144) );
+  sky130_fd_sc_hd__a22oi_1 U65161 ( .A1(n50713), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[334]), .B1(n50728), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[398]), .Y(n45143) );
+  sky130_fd_sc_hd__a22oi_1 U65162 ( .A1(n50716), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[14]), .B1(n83122), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[270]), .Y(n45142) );
+  sky130_fd_sc_hd__nand4_1 U65163 ( .A(n45145), .B(n45144), .C(n45143), .D(
+        n45142), .Y(n45151) );
+  sky130_fd_sc_hd__a22oi_1 U65164 ( .A1(n50714), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[302]), .B1(n50726), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[78]), .Y(n45149) );
+  sky130_fd_sc_hd__a22oi_1 U65165 ( .A1(n50565), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[366]), .B1(n50715), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[430]), .Y(n45148) );
+  sky130_fd_sc_hd__a22oi_1 U65166 ( .A1(n50722), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[110]), .B1(n50724), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[462]), .Y(n45147) );
+  sky130_fd_sc_hd__a22oi_1 U65167 ( .A1(n50723), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[142]), .B1(n50727), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[238]), .Y(n45146) );
+  sky130_fd_sc_hd__nand4_1 U65168 ( .A(n45149), .B(n45148), .C(n45147), .D(
+        n45146), .Y(n45150) );
+  sky130_fd_sc_hd__o21ai_1 U65169 ( .A1(n45151), .A2(n45150), .B1(n54781), .Y(
+        n45163) );
+  sky130_fd_sc_hd__a22oi_1 U65170 ( .A1(n50748), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[46]), .B1(n50747), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[142]), .Y(n45155) );
+  sky130_fd_sc_hd__a22oi_1 U65171 ( .A1(n50754), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[366]), .B1(n50736), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[334]), .Y(n45154) );
+  sky130_fd_sc_hd__a22oi_1 U65172 ( .A1(n50751), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[78]), .B1(n50753), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[174]), .Y(n45153) );
+  sky130_fd_sc_hd__a22oi_1 U65173 ( .A1(n50742), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[270]), .B1(n83080), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[14]), .Y(n45152) );
+  sky130_fd_sc_hd__nand4_1 U65174 ( .A(n45155), .B(n45154), .C(n45153), .D(
+        n45152), .Y(n45161) );
+  sky130_fd_sc_hd__a22oi_1 U65175 ( .A1(n50741), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[238]), .B1(n50740), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[206]), .Y(n45159) );
+  sky130_fd_sc_hd__a22oi_1 U65176 ( .A1(n50737), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[110]), .B1(n50752), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[398]), .Y(n45158) );
+  sky130_fd_sc_hd__a22oi_1 U65177 ( .A1(n50738), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[302]), .B1(n50750), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[462]), .Y(n45157) );
+  sky130_fd_sc_hd__a22oi_1 U65178 ( .A1(n50739), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[430]), .B1(n50749), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[494]), .Y(n45156) );
+  sky130_fd_sc_hd__nand4_1 U65179 ( .A(n45159), .B(n45158), .C(n45157), .D(
+        n45156), .Y(n45160) );
+  sky130_fd_sc_hd__o21ai_1 U65180 ( .A1(n45161), .A2(n45160), .B1(n54746), .Y(
+        n45162) );
+  sky130_fd_sc_hd__nand4_1 U65181 ( .A(n45165), .B(n45164), .C(n45163), .D(
+        n45162), .Y(n85330) );
+  sky130_fd_sc_hd__a22oi_1 U65182 ( .A1(n50779), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[350]), .B1(n50775), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[62]), .Y(n45169) );
+  sky130_fd_sc_hd__a22oi_1 U65183 ( .A1(n50772), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[414]), .B1(n50766), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[382]), .Y(n45168) );
+  sky130_fd_sc_hd__a22oi_1 U65184 ( .A1(n50767), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[318]), .B1(n83204), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[286]), .Y(n45167) );
+  sky130_fd_sc_hd__a22oi_1 U65185 ( .A1(n50763), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[510]), .B1(n50765), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[30]), .Y(n45166) );
+  sky130_fd_sc_hd__nand4_1 U65186 ( .A(n45169), .B(n45168), .C(n45167), .D(
+        n45166), .Y(n45176) );
+  sky130_fd_sc_hd__a22oi_1 U65187 ( .A1(n50774), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[158]), .B1(n50778), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[94]), .Y(n45173) );
+  sky130_fd_sc_hd__a22oi_1 U65188 ( .A1(n50762), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[190]), .B1(n50761), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[222]), .Y(n45172) );
+  sky130_fd_sc_hd__a22oi_1 U65189 ( .A1(n50777), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[126]), .B1(n50776), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[478]), .Y(n45171) );
+  sky130_fd_sc_hd__a22oi_1 U65190 ( .A1(n50764), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[446]), .B1(n50773), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[254]), .Y(n45170) );
+  sky130_fd_sc_hd__nand4_1 U65191 ( .A(n45173), .B(n45172), .C(n45171), .D(
+        n45170), .Y(n45175) );
+  sky130_fd_sc_hd__a22o_1 U65192 ( .A1(n38371), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[30]), .B1(n37066), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[62]), .X(n45174) );
+  sky130_fd_sc_hd__a221oi_1 U65193 ( .A1(n45176), .A2(n37033), .B1(n45175), 
+        .B2(n37033), .C1(n45174), .Y(n45210) );
+  sky130_fd_sc_hd__a22oi_1 U65194 ( .A1(n50686), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[318]), .B1(n50691), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[94]), .Y(n45180) );
+  sky130_fd_sc_hd__a22oi_1 U65195 ( .A1(n50704), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[478]), .B1(n50688), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[222]), .Y(n45179) );
+  sky130_fd_sc_hd__a22oi_1 U65196 ( .A1(n50698), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[126]), .B1(n50690), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[158]), .Y(n45178) );
+  sky130_fd_sc_hd__a22oi_1 U65197 ( .A1(n50701), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[190]), .B1(n50697), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[446]), .Y(n45177) );
+  sky130_fd_sc_hd__nand4_1 U65198 ( .A(n45180), .B(n45179), .C(n45178), .D(
+        n45177), .Y(n45186) );
+  sky130_fd_sc_hd__a22oi_1 U65199 ( .A1(n50703), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[254]), .B1(n50689), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[414]), .Y(n45184) );
+  sky130_fd_sc_hd__a22oi_1 U65200 ( .A1(n50692), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[30]), .B1(n50700), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[382]), .Y(n45183) );
+  sky130_fd_sc_hd__a22oi_1 U65201 ( .A1(n50699), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[510]), .B1(n50687), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[350]), .Y(n45182) );
+  sky130_fd_sc_hd__a22oi_1 U65202 ( .A1(n50702), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[62]), .B1(n50685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[286]), .Y(n45181) );
+  sky130_fd_sc_hd__nand4_1 U65203 ( .A(n45184), .B(n45183), .C(n45182), .D(
+        n45181), .Y(n45185) );
+  sky130_fd_sc_hd__o21ai_1 U65204 ( .A1(n45186), .A2(n45185), .B1(n54782), .Y(
+        n45209) );
+  sky130_fd_sc_hd__a22oi_1 U65205 ( .A1(n50713), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[350]), .B1(n50728), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[414]), .Y(n45190) );
+  sky130_fd_sc_hd__a22oi_1 U65206 ( .A1(n50723), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[158]), .B1(n50726), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[94]), .Y(n45189) );
+  sky130_fd_sc_hd__a22oi_1 U65207 ( .A1(n50725), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[62]), .B1(n50716), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[30]), .Y(n45188) );
+  sky130_fd_sc_hd__a22oi_1 U65208 ( .A1(n50712), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[190]), .B1(n83122), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[286]), .Y(n45187) );
+  sky130_fd_sc_hd__nand4_1 U65209 ( .A(n45190), .B(n45189), .C(n45188), .D(
+        n45187), .Y(n45196) );
+  sky130_fd_sc_hd__a22oi_1 U65210 ( .A1(n50722), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[126]), .B1(n50724), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[478]), .Y(n45194) );
+  sky130_fd_sc_hd__a22oi_1 U65211 ( .A1(n50714), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[318]), .B1(n50715), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[446]), .Y(n45193) );
+  sky130_fd_sc_hd__a22oi_1 U65212 ( .A1(n50661), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[510]), .B1(n50717), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[382]), .Y(n45192) );
+  sky130_fd_sc_hd__a22oi_1 U65213 ( .A1(n50711), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[222]), .B1(n48671), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[254]), .Y(n45191) );
+  sky130_fd_sc_hd__nand4_1 U65214 ( .A(n45194), .B(n45193), .C(n45192), .D(
+        n45191), .Y(n45195) );
+  sky130_fd_sc_hd__o21ai_1 U65215 ( .A1(n45196), .A2(n45195), .B1(n54781), .Y(
+        n45208) );
+  sky130_fd_sc_hd__a22oi_1 U65216 ( .A1(n50739), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[446]), .B1(n50752), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[414]), .Y(n45200) );
+  sky130_fd_sc_hd__a22oi_1 U65217 ( .A1(n50748), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[62]), .B1(n50753), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[190]), .Y(n45199) );
+  sky130_fd_sc_hd__a22oi_1 U65218 ( .A1(n50737), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[126]), .B1(n50747), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[158]), .Y(n45198) );
+  sky130_fd_sc_hd__a22oi_1 U65219 ( .A1(n50742), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[286]), .B1(n83080), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[30]), .Y(n45197) );
+  sky130_fd_sc_hd__nand4_1 U65220 ( .A(n45200), .B(n45199), .C(n45198), .D(
+        n45197), .Y(n45206) );
+  sky130_fd_sc_hd__a22oi_1 U65221 ( .A1(n50736), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[350]), .B1(n50740), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[222]), .Y(n45204) );
+  sky130_fd_sc_hd__a22oi_1 U65222 ( .A1(n50741), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[254]), .B1(n50754), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[382]), .Y(n45203) );
+  sky130_fd_sc_hd__a22oi_1 U65223 ( .A1(n50750), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[478]), .B1(n50751), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[94]), .Y(n45202) );
+  sky130_fd_sc_hd__a22oi_1 U65224 ( .A1(n50738), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[318]), .B1(n50749), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[510]), .Y(n45201) );
+  sky130_fd_sc_hd__nand4_1 U65225 ( .A(n45204), .B(n45203), .C(n45202), .D(
+        n45201), .Y(n45205) );
+  sky130_fd_sc_hd__nand4_1 U65227 ( .A(n45210), .B(n45209), .C(n45208), .D(
+        n45207), .Y(n85301) );
+  sky130_fd_sc_hd__a222oi_1 U65228 ( .A1(n85204), .A2(n50684), .B1(n85330), 
+        .B2(n50683), .C1(n85301), .C2(n50682), .Y(n45259) );
+  sky130_fd_sc_hd__a222oi_1 U65229 ( .A1(n50684), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[22]), .B1(n50683), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[14]), .C1(n50682), .C2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[30]), .Y(n45258) );
+  sky130_fd_sc_hd__a22oi_1 U65230 ( .A1(n50704), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[454]), .B1(n50703), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[230]), .Y(n45214) );
+  sky130_fd_sc_hd__a22oi_1 U65231 ( .A1(n50688), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[198]), .B1(n50699), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[486]), .Y(n45213) );
+  sky130_fd_sc_hd__a22oi_1 U65232 ( .A1(n50700), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[358]), .B1(n50690), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[134]), .Y(n45212) );
+  sky130_fd_sc_hd__a22oi_1 U65233 ( .A1(n50692), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[6]), .B1(n50685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[262]), .Y(n45211) );
+  sky130_fd_sc_hd__nand4_1 U65234 ( .A(n45214), .B(n45213), .C(n45212), .D(
+        n45211), .Y(n45220) );
+  sky130_fd_sc_hd__a22oi_1 U65235 ( .A1(n50687), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[326]), .B1(n50701), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[166]), .Y(n45218) );
+  sky130_fd_sc_hd__a22oi_1 U65236 ( .A1(n50691), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[70]), .B1(n50697), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[422]), .Y(n45217) );
+  sky130_fd_sc_hd__a22oi_1 U65237 ( .A1(n50689), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[390]), .B1(n50686), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[294]), .Y(n45216) );
+  sky130_fd_sc_hd__a22oi_1 U65238 ( .A1(n50702), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[38]), .B1(n50698), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[102]), .Y(n45215) );
+  sky130_fd_sc_hd__nand4_1 U65239 ( .A(n45218), .B(n45217), .C(n45216), .D(
+        n45215), .Y(n45219) );
+  sky130_fd_sc_hd__o21a_1 U65240 ( .A1(n45220), .A2(n45219), .B1(n54782), .X(
+        n45256) );
+  sky130_fd_sc_hd__a22oi_1 U65241 ( .A1(n38371), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[6]), .B1(n37066), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[38]), .Y(n45254) );
+  sky130_fd_sc_hd__a22oi_1 U65242 ( .A1(n50565), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[358]), .B1(n50726), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[70]), .Y(n45224) );
+  sky130_fd_sc_hd__a22oi_1 U65243 ( .A1(n50714), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[294]), .B1(n50722), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[102]), .Y(n45223) );
+  sky130_fd_sc_hd__a22oi_1 U65244 ( .A1(n50728), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[390]), .B1(n83122), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[262]), .Y(n45222) );
+  sky130_fd_sc_hd__a22oi_1 U65245 ( .A1(n50729), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[486]), .B1(n50716), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[6]), .Y(n45221) );
+  sky130_fd_sc_hd__nand4_1 U65246 ( .A(n45224), .B(n45223), .C(n45222), .D(
+        n45221), .Y(n45230) );
+  sky130_fd_sc_hd__a22oi_1 U65247 ( .A1(n50711), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[198]), .B1(n50724), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[454]), .Y(n45228) );
+  sky130_fd_sc_hd__a22oi_1 U65248 ( .A1(n50715), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[422]), .B1(n50727), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[230]), .Y(n45227) );
+  sky130_fd_sc_hd__a22oi_1 U65249 ( .A1(n50723), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[134]), .B1(n50712), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[166]), .Y(n45226) );
+  sky130_fd_sc_hd__a22oi_1 U65250 ( .A1(n50713), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[326]), .B1(n50725), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[38]), .Y(n45225) );
+  sky130_fd_sc_hd__nand4_1 U65251 ( .A(n45228), .B(n45227), .C(n45226), .D(
+        n45225), .Y(n45229) );
+  sky130_fd_sc_hd__o21ai_1 U65252 ( .A1(n45230), .A2(n45229), .B1(n54781), .Y(
+        n45253) );
+  sky130_fd_sc_hd__a22oi_1 U65253 ( .A1(n50741), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[230]), .B1(n50748), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[38]), .Y(n45234) );
+  sky130_fd_sc_hd__a22oi_1 U65254 ( .A1(n50740), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[198]), .B1(n50753), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[166]), .Y(n45233) );
+  sky130_fd_sc_hd__a22oi_1 U65255 ( .A1(n50752), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[390]), .B1(n50736), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[326]), .Y(n45232) );
+  sky130_fd_sc_hd__a22oi_1 U65256 ( .A1(n50742), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[262]), .B1(n83080), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[6]), .Y(n45231) );
+  sky130_fd_sc_hd__nand4_1 U65257 ( .A(n45234), .B(n45233), .C(n45232), .D(
+        n45231), .Y(n45240) );
+  sky130_fd_sc_hd__a22oi_1 U65258 ( .A1(n50737), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[102]), .B1(n50751), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[70]), .Y(n45238) );
+  sky130_fd_sc_hd__a22oi_1 U65259 ( .A1(n50738), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[294]), .B1(n50747), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[134]), .Y(n45237) );
+  sky130_fd_sc_hd__a22oi_1 U65260 ( .A1(n50750), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[454]), .B1(n50749), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[486]), .Y(n45236) );
+  sky130_fd_sc_hd__a22oi_1 U65261 ( .A1(n50739), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[422]), .B1(n50754), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[358]), .Y(n45235) );
+  sky130_fd_sc_hd__nand4_1 U65262 ( .A(n45238), .B(n45237), .C(n45236), .D(
+        n45235), .Y(n45239) );
+  sky130_fd_sc_hd__o21ai_1 U65263 ( .A1(n45240), .A2(n45239), .B1(n54746), .Y(
+        n45252) );
+  sky130_fd_sc_hd__a22oi_1 U65264 ( .A1(n50762), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[166]), .B1(n50773), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[230]), .Y(n45244) );
+  sky130_fd_sc_hd__a22oi_1 U65265 ( .A1(n50777), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[102]), .B1(n50763), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[486]), .Y(n45243) );
+  sky130_fd_sc_hd__a22oi_1 U65266 ( .A1(n50779), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[326]), .B1(n50766), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[358]), .Y(n45242) );
+  sky130_fd_sc_hd__a22oi_1 U65267 ( .A1(n50765), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[6]), .B1(n83204), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[262]), .Y(n45241) );
+  sky130_fd_sc_hd__nand4_1 U65268 ( .A(n45244), .B(n45243), .C(n45242), .D(
+        n45241), .Y(n45250) );
+  sky130_fd_sc_hd__a22oi_1 U65269 ( .A1(n50772), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[390]), .B1(n50774), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[134]), .Y(n45248) );
+  sky130_fd_sc_hd__a22oi_1 U65270 ( .A1(n50767), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[294]), .B1(n50776), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[454]), .Y(n45247) );
+  sky130_fd_sc_hd__a22oi_1 U65271 ( .A1(n50778), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[70]), .B1(n50761), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[198]), .Y(n45246) );
+  sky130_fd_sc_hd__a22oi_1 U65272 ( .A1(n50764), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[422]), .B1(n50775), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[38]), .Y(n45245) );
+  sky130_fd_sc_hd__nand4_1 U65273 ( .A(n45248), .B(n45247), .C(n45246), .D(
+        n45245), .Y(n45249) );
+  sky130_fd_sc_hd__o21ai_1 U65274 ( .A1(n45250), .A2(n45249), .B1(n37033), .Y(
+        n45251) );
+  sky130_fd_sc_hd__nand4_1 U65275 ( .A(n45254), .B(n45253), .C(n45252), .D(
+        n45251), .Y(n45255) );
+  sky130_fd_sc_hd__o221ai_1 U65277 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(n45259), .B1(n55990), .B2(n45258), .C1(n45257), .Y(n84404) );
+  sky130_fd_sc_hd__mux2_2 U65278 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[49]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[17]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .X(n85341) );
+  sky130_fd_sc_hd__nand2_1 U65279 ( .A(n57367), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_17[0]), .Y(
+        n45262) );
+  sky130_fd_sc_hd__nand2_1 U65280 ( .A(n57600), .B(n85341), .Y(n45261) );
+  sky130_fd_sc_hd__o221ai_1 U65281 ( .A1(n83297), .A2(n85343), .B1(n51918), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_2[1]), .C1(n57100), .Y(n45260) );
+  sky130_fd_sc_hd__nand3_1 U65282 ( .A(n45262), .B(n45261), .C(n45260), .Y(
+        n84541) );
+  sky130_fd_sc_hd__nor2_1 U65283 ( .A(n75959), .B(n56044), .Y(n84383) );
+  sky130_fd_sc_hd__nand2_1 U65284 ( .A(n54312), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[49]), .Y(n45264) );
+  sky130_fd_sc_hd__nand2_1 U65285 ( .A(n54307), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[17]), .Y(n45263) );
+  sky130_fd_sc_hd__nand2_1 U65286 ( .A(n45264), .B(n45263), .Y(n84755) );
+  sky130_fd_sc_hd__nor2_1 U65287 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_17[0]), .B(
+        n54216), .Y(n45265) );
+  sky130_fd_sc_hd__nand2_1 U65289 ( .A(n54218), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_17[1]), .Y(
+        n45266) );
+  sky130_fd_sc_hd__o21ai_1 U65291 ( .A1(n45267), .A2(n36790), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_17[0]), .Y(
+        n45277) );
+  sky130_fd_sc_hd__nand2_1 U65292 ( .A(n45270), .B(n45269), .Y(n45274) );
+  sky130_fd_sc_hd__a21oi_1 U65293 ( .A1(n51026), .A2(n45272), .B1(n45271), .Y(
+        n50808) );
+  sky130_fd_sc_hd__xnor2_1 U65295 ( .A(n45274), .B(n45273), .Y(n45275) );
+  sky130_fd_sc_hd__a22oi_1 U65296 ( .A1(n54228), .A2(n45275), .B1(n52494), 
+        .B2(n84755), .Y(n45276) );
+  sky130_fd_sc_hd__nand3_1 U65297 ( .A(n45278), .B(n45277), .C(n45276), .Y(
+        n84359) );
+  sky130_fd_sc_hd__nand2_1 U65298 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[49]), .Y(n45280) );
+  sky130_fd_sc_hd__nand2_1 U65299 ( .A(n54996), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[17]), .Y(n45279) );
+  sky130_fd_sc_hd__nand2_1 U65300 ( .A(n45280), .B(n45279), .Y(n85870) );
+  sky130_fd_sc_hd__nand2_1 U65301 ( .A(n45281), .B(n70399), .Y(n45283) );
+  sky130_fd_sc_hd__nor2_1 U65302 ( .A(n68642), .B(n55614), .Y(n45282) );
+  sky130_fd_sc_hd__o2bb2ai_1 U65303 ( .B1(n71711), .B2(n45284), .A1_N(n65637), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[21]), .Y(n45295) );
+  sky130_fd_sc_hd__a22oi_1 U65304 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_tw), .A2(n45285), .B1(n64977), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[21]), .Y(n45287) );
+  sky130_fd_sc_hd__a22oi_1 U65305 ( .A1(n60103), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[21]), .B1(n60104), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[21]), .Y(n45286) );
+  sky130_fd_sc_hd__o211ai_1 U65306 ( .A1(n71467), .A2(n60043), .B1(n45287), 
+        .C1(n45286), .Y(n45294) );
+  sky130_fd_sc_hd__o22ai_1 U65307 ( .A1(n74901), .A2(n45288), .B1(n71924), 
+        .B2(n45943), .Y(n45293) );
+  sky130_fd_sc_hd__a22oi_1 U65308 ( .A1(n45965), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg[21]), .B1(n64980), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[21]), .Y(n45291) );
+  sky130_fd_sc_hd__a22oi_1 U65309 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[21]), .A2(n60096), .B1(n64975), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[21]), .Y(n45290) );
+  sky130_fd_sc_hd__a22oi_1 U65310 ( .A1(n57613), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[21]), .B1(n57618), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[21]), .Y(n45289) );
+  sky130_fd_sc_hd__nand3_1 U65311 ( .A(n45291), .B(n45290), .C(n45289), .Y(
+        n45292) );
+  sky130_fd_sc_hd__nor4_1 U65312 ( .A(n45295), .B(n45294), .C(n45293), .D(
+        n45292), .Y(n45309) );
+  sky130_fd_sc_hd__nand2_1 U65313 ( .A(n60019), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[21]), .Y(n45299) );
+  sky130_fd_sc_hd__nand2_1 U65314 ( .A(n57622), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[21]), .Y(n45298) );
+  sky130_fd_sc_hd__nand2_1 U65315 ( .A(n45955), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[53]), .Y(n45297) );
+  sky130_fd_sc_hd__nand2_1 U65316 ( .A(n45352), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[21]), .Y(n45296) );
+  sky130_fd_sc_hd__nand4_1 U65317 ( .A(n45299), .B(n45298), .C(n45297), .D(
+        n45296), .Y(n45306) );
+  sky130_fd_sc_hd__nand2_1 U65318 ( .A(n57634), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[21]), .Y(n45304) );
+  sky130_fd_sc_hd__o2bb2ai_1 U65319 ( .B1(n55796), .B2(n60101), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[21]), .A2_N(n57630), .Y(n45300) );
+  sky130_fd_sc_hd__a21oi_1 U65320 ( .A1(n65602), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[21]), .B1(n45300), .Y(n45303) );
+  sky130_fd_sc_hd__nand2_1 U65321 ( .A(n45362), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[21]), .Y(n45302) );
+  sky130_fd_sc_hd__nand2_1 U65322 ( .A(n60025), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[21]), .Y(n45301) );
+  sky130_fd_sc_hd__nand4_1 U65323 ( .A(n45304), .B(n45303), .C(n45302), .D(
+        n45301), .Y(n45305) );
+  sky130_fd_sc_hd__nor2_1 U65324 ( .A(n45306), .B(n45305), .Y(n45308) );
+  sky130_fd_sc_hd__nand2_1 U65325 ( .A(n57619), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[53]), .Y(n45307) );
+  sky130_fd_sc_hd__and3_1 U65326 ( .A(n45309), .B(n45308), .C(n45307), .X(
+        n55618) );
+  sky130_fd_sc_hd__a21oi_1 U65327 ( .A1(n57663), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[21]), .B1(n57661), .Y(n45313) );
+  sky130_fd_sc_hd__nand2_1 U65328 ( .A(n65309), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[21]), .Y(n45311) );
+  sky130_fd_sc_hd__nand2_1 U65329 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[53]), .B(n57658), .Y(n45310) );
+  sky130_fd_sc_hd__nand2_1 U65330 ( .A(n45311), .B(n45310), .Y(n65653) );
+  sky130_fd_sc_hd__a22oi_1 U65331 ( .A1(n57662), .A2(n65653), .B1(n45976), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[21]), .Y(n45312) );
+  sky130_fd_sc_hd__o211ai_1 U65332 ( .A1(n55618), .A2(n45979), .B1(n45313), 
+        .C1(n45312), .Y(n85551) );
+  sky130_fd_sc_hd__nand2_1 U65333 ( .A(n45347), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[13]), .Y(n45340) );
+  sky130_fd_sc_hd__nand2_1 U65334 ( .A(n65309), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[13]), .Y(n45314) );
+  sky130_fd_sc_hd__o21ai_1 U65335 ( .A1(n65309), .A2(n45315), .B1(n45314), .Y(
+        n66185) );
+  sky130_fd_sc_hd__nand2_1 U65336 ( .A(n57619), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[45]), .Y(n45325) );
+  sky130_fd_sc_hd__o22ai_1 U65337 ( .A1(n74269), .A2(n45945), .B1(n69106), 
+        .B2(n45367), .Y(n45317) );
+  sky130_fd_sc_hd__o2bb2ai_1 U65338 ( .B1(n71478), .B2(n60043), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[13]), .A2_N(n57622), .Y(n45316) );
+  sky130_fd_sc_hd__nor2_1 U65339 ( .A(n45317), .B(n45316), .Y(n45324) );
+  sky130_fd_sc_hd__nand2_1 U65340 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[13]), .B(n57630), .Y(n45319) );
+  sky130_fd_sc_hd__nand2_1 U65341 ( .A(n57625), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[13]), .Y(n45318) );
+  sky130_fd_sc_hd__o211ai_1 U65342 ( .A1(n74435), .A2(n60030), .B1(n45319), 
+        .C1(n45318), .Y(n45321) );
+  sky130_fd_sc_hd__o22ai_1 U65343 ( .A1(n69114), .A2(n57620), .B1(n74202), 
+        .B2(n60034), .Y(n45320) );
+  sky130_fd_sc_hd__nor2_1 U65344 ( .A(n45321), .B(n45320), .Y(n45323) );
+  sky130_fd_sc_hd__nand2_1 U65345 ( .A(n60553), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_fs[0]), .Y(n45322) );
+  sky130_fd_sc_hd__nand4_1 U65346 ( .A(n45325), .B(n45324), .C(n45323), .D(
+        n45322), .Y(n45336) );
+  sky130_fd_sc_hd__a22oi_1 U65347 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[13]), .A2(n60093), .B1(n60104), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[13]), .Y(n45329) );
+  sky130_fd_sc_hd__a22oi_1 U65348 ( .A1(n64977), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[13]), .B1(n64975), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[13]), .Y(n45328) );
+  sky130_fd_sc_hd__a22oi_1 U65349 ( .A1(n60103), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[13]), .B1(n76373), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__causeIsDebugBreak_T_3[1]), .Y(n45327) );
+  sky130_fd_sc_hd__a22oi_1 U65350 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[13]), .A2(n60096), .B1(n64980), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[13]), .Y(n45326) );
+  sky130_fd_sc_hd__nand4_1 U65351 ( .A(n45329), .B(n45328), .C(n45327), .D(
+        n45326), .Y(n45335) );
+  sky130_fd_sc_hd__a22oi_1 U65352 ( .A1(n57618), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[13]), .B1(n65637), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[13]), .Y(n45333) );
+  sky130_fd_sc_hd__a22oi_1 U65353 ( .A1(n57613), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[13]), .B1(n45965), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg_13), .Y(n45332) );
+  sky130_fd_sc_hd__a22oi_1 U65354 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[13]), .A2(n60100), .B1(n60025), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[13]), .Y(n45331) );
+  sky130_fd_sc_hd__a22oi_1 U65355 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[13]), .A2(n60091), .B1(n65602), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[13]), .Y(n45330) );
+  sky130_fd_sc_hd__nand4_1 U65356 ( .A(n45333), .B(n45332), .C(n45331), .D(
+        n45330), .Y(n45334) );
+  sky130_fd_sc_hd__nor3_1 U65357 ( .A(n45336), .B(n45335), .C(n45334), .Y(
+        n56062) );
+  sky130_fd_sc_hd__o22ai_1 U65358 ( .A1(n56062), .A2(n45979), .B1(n56063), 
+        .B2(n45337), .Y(n45338) );
+  sky130_fd_sc_hd__a211oi_1 U65359 ( .A1(n57662), .A2(n66185), .B1(n57649), 
+        .C1(n45338), .Y(n45339) );
+  sky130_fd_sc_hd__o211ai_1 U65360 ( .A1(n45341), .A2(n76156), .B1(n45340), 
+        .C1(n45339), .Y(n85601) );
+  sky130_fd_sc_hd__nand2_1 U65361 ( .A(n60815), .B(n60814), .Y(n60811) );
+  sky130_fd_sc_hd__nand2_1 U65362 ( .A(n60796), .B(n60795), .Y(n60810) );
+  sky130_fd_sc_hd__nor2_1 U65363 ( .A(n60811), .B(n60810), .Y(n60783) );
+  sky130_fd_sc_hd__nand2_1 U65364 ( .A(n72752), .B(n64064), .Y(n60823) );
+  sky130_fd_sc_hd__nor2_1 U65365 ( .A(n73286), .B(n60823), .Y(n60782) );
+  sky130_fd_sc_hd__nand2_1 U65366 ( .A(n60783), .B(n60782), .Y(n60843) );
+  sky130_fd_sc_hd__nand2_1 U65367 ( .A(n60817), .B(n60816), .Y(n60809) );
+  sky130_fd_sc_hd__nand2_1 U65368 ( .A(n60794), .B(n60793), .Y(n60808) );
+  sky130_fd_sc_hd__nand2_1 U65369 ( .A(n45343), .B(n45342), .Y(n60781) );
+  sky130_fd_sc_hd__nor2_1 U65370 ( .A(n73274), .B(n60781), .Y(n60840) );
+  sky130_fd_sc_hd__nand2_1 U65371 ( .A(n60848), .B(n60840), .Y(n84320) );
+  sky130_fd_sc_hd__nand2_1 U65372 ( .A(n40808), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[0]), .Y(n45344) );
+  sky130_fd_sc_hd__o21ai_1 U65373 ( .A1(n70804), .A2(n40808), .B1(n45344), .Y(
+        n84295) );
+  sky130_fd_sc_hd__nand2_1 U65374 ( .A(n36798), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[0]), .Y(n45345) );
+  sky130_fd_sc_hd__a22oi_1 U65376 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[14]), .A2(n45347), .B1(n45346), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[30]), .Y(n45381) );
+  sky130_fd_sc_hd__nand2_1 U65377 ( .A(n65309), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[14]), .Y(n45348) );
+  sky130_fd_sc_hd__a21oi_1 U65379 ( .A1(n57662), .A2(n66310), .B1(n57649), .Y(
+        n45380) );
+  sky130_fd_sc_hd__a22oi_1 U65380 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[14]), .A2(n60091), .B1(n60103), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[14]), .Y(n45351) );
+  sky130_fd_sc_hd__a22oi_1 U65381 ( .A1(n64977), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[14]), .B1(n64975), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[14]), .Y(n45350) );
+  sky130_fd_sc_hd__a22oi_1 U65382 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[14]), .A2(n60093), .B1(n57618), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[14]), .Y(n45349) );
+  sky130_fd_sc_hd__and3_1 U65383 ( .A(n45351), .B(n45350), .C(n45349), .X(
+        n45378) );
+  sky130_fd_sc_hd__nand2_1 U65384 ( .A(n45352), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[14]), .Y(n45366) );
+  sky130_fd_sc_hd__nand2_1 U65385 ( .A(n57625), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[14]), .Y(n45357) );
+  sky130_fd_sc_hd__a22oi_1 U65386 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[14]), .A2(n45353), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_fs[1]), .B2(n60553), .Y(n45356) );
+  sky130_fd_sc_hd__nand2_1 U65387 ( .A(n57630), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[14]), .Y(n45355) );
+  sky130_fd_sc_hd__nand2_1 U65388 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[14]), .B(n64980), .Y(n45354) );
+  sky130_fd_sc_hd__nand4_1 U65389 ( .A(n45357), .B(n45356), .C(n45355), .D(
+        n45354), .Y(n45361) );
+  sky130_fd_sc_hd__o22ai_1 U65390 ( .A1(n45359), .A2(n60094), .B1(n70502), 
+        .B2(n45358), .Y(n45360) );
+  sky130_fd_sc_hd__nor2_1 U65391 ( .A(n45361), .B(n45360), .Y(n45365) );
+  sky130_fd_sc_hd__nand2_1 U65392 ( .A(n45362), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[14]), .Y(n45364) );
+  sky130_fd_sc_hd__nand2_1 U65393 ( .A(n45955), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[46]), .Y(n45363) );
+  sky130_fd_sc_hd__nand4_1 U65394 ( .A(n45366), .B(n45365), .C(n45364), .D(
+        n45363), .Y(n45369) );
+  sky130_fd_sc_hd__o2bb2ai_1 U65395 ( .B1(n70368), .B2(n45367), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[14]), .A2_N(n60096), .Y(n45368) );
+  sky130_fd_sc_hd__nor2_1 U65396 ( .A(n45369), .B(n45368), .Y(n45377) );
+  sky130_fd_sc_hd__a22o_1 U65397 ( .A1(n65637), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[14]), .B1(n60025), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[14]), .X(n45370) );
+  sky130_fd_sc_hd__a21oi_1 U65398 ( .A1(n60104), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[14]), .B1(n45370), .Y(n45374) );
+  sky130_fd_sc_hd__nand2_1 U65399 ( .A(n57622), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[14]), .Y(n45373) );
+  sky130_fd_sc_hd__nand2_1 U65400 ( .A(n60019), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[14]), .Y(n45372) );
+  sky130_fd_sc_hd__nand2_1 U65401 ( .A(n60100), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[14]), .Y(n45371) );
+  sky130_fd_sc_hd__nand4_1 U65402 ( .A(n45374), .B(n45373), .C(n45372), .D(
+        n45371), .Y(n45375) );
+  sky130_fd_sc_hd__a21oi_1 U65403 ( .A1(n57619), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[46]), .B1(n45375), .Y(n45376) );
+  sky130_fd_sc_hd__nand3_1 U65404 ( .A(n45378), .B(n45377), .C(n45376), .Y(
+        n56058) );
+  sky130_fd_sc_hd__a22oi_1 U65405 ( .A1(n57665), .A2(n56058), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[14]), .B2(n57663), .Y(n45379) );
+  sky130_fd_sc_hd__nand3_1 U65406 ( .A(n45381), .B(n45380), .C(n45379), .Y(
+        n85557) );
+  sky130_fd_sc_hd__nor2_1 U65407 ( .A(n75915), .B(n56044), .Y(n84380) );
+  sky130_fd_sc_hd__nand2_1 U65408 ( .A(n54312), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[46]), .Y(n45383) );
+  sky130_fd_sc_hd__nand2_1 U65409 ( .A(n54307), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[14]), .Y(n45382) );
+  sky130_fd_sc_hd__nand2_1 U65410 ( .A(n45383), .B(n45382), .Y(n84758) );
+  sky130_fd_sc_hd__nor2_1 U65411 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_14[0]), .B(
+        n54216), .Y(n45384) );
+  sky130_fd_sc_hd__o21ai_1 U65412 ( .A1(n45384), .A2(n36791), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_14[1]), .Y(
+        n45394) );
+  sky130_fd_sc_hd__nand2_1 U65413 ( .A(n54218), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_14[1]), .Y(
+        n45385) );
+  sky130_fd_sc_hd__o21ai_1 U65414 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_14[1]), .A2(
+        n54220), .B1(n45385), .Y(n45386) );
+  sky130_fd_sc_hd__nand2_1 U65416 ( .A(n45388), .B(n45387), .Y(n45389) );
+  sky130_fd_sc_hd__xor2_1 U65417 ( .A(n45390), .B(n45389), .X(n45391) );
+  sky130_fd_sc_hd__a22oi_1 U65418 ( .A1(n54228), .A2(n45391), .B1(n52494), 
+        .B2(n84758), .Y(n45392) );
+  sky130_fd_sc_hd__nand3_1 U65419 ( .A(n45394), .B(n45393), .C(n45392), .Y(
+        n84356) );
+  sky130_fd_sc_hd__nand2_1 U65420 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[46]), .Y(n45396) );
+  sky130_fd_sc_hd__nand2_1 U65421 ( .A(n54996), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[14]), .Y(n45395) );
+  sky130_fd_sc_hd__nand2_1 U65422 ( .A(n45396), .B(n45395), .Y(n85584) );
+  sky130_fd_sc_hd__nor3_1 U65423 ( .A(n50162), .B(n56431), .C(n56457), .Y(
+        n52736) );
+  sky130_fd_sc_hd__a21oi_1 U65424 ( .A1(n52403), .A2(n50980), .B1(n52736), .Y(
+        n45399) );
+  sky130_fd_sc_hd__nor3_1 U65425 ( .A(n57013), .B(n52194), .C(n56458), .Y(
+        n56433) );
+  sky130_fd_sc_hd__o22ai_1 U65426 ( .A1(n57526), .A2(n56498), .B1(n57177), 
+        .B2(n48297), .Y(n45397) );
+  sky130_fd_sc_hd__nor4_1 U65427 ( .A(n56433), .B(n45423), .C(n52071), .D(
+        n45397), .Y(n45398) );
+  sky130_fd_sc_hd__a31oi_1 U65428 ( .A1(n45399), .A2(n45398), .A3(n56548), 
+        .B1(n51561), .Y(n45402) );
+  sky130_fd_sc_hd__nand2_1 U65429 ( .A(n41625), .B(n56999), .Y(n48060) );
+  sky130_fd_sc_hd__nand2_1 U65430 ( .A(n57139), .B(n47186), .Y(n57002) );
+  sky130_fd_sc_hd__o22ai_1 U65431 ( .A1(n36797), .A2(n48060), .B1(n57192), 
+        .B2(n57002), .Y(n45401) );
+  sky130_fd_sc_hd__nor2_1 U65432 ( .A(n51483), .B(n57123), .Y(n51819) );
+  sky130_fd_sc_hd__nand2_1 U65433 ( .A(n50160), .B(n51819), .Y(n50386) );
+  sky130_fd_sc_hd__o22ai_1 U65434 ( .A1(n50180), .A2(n57455), .B1(n52701), 
+        .B2(n50386), .Y(n45400) );
+  sky130_fd_sc_hd__nor3_1 U65435 ( .A(n45402), .B(n45401), .C(n45400), .Y(
+        n45471) );
+  sky130_fd_sc_hd__nand4_1 U65437 ( .A(n46328), .B(n57521), .C(n48454), .D(
+        n45403), .Y(n45407) );
+  sky130_fd_sc_hd__o21ai_1 U65438 ( .A1(n51507), .A2(n57177), .B1(n57510), .Y(
+        n45404) );
+  sky130_fd_sc_hd__nor4_1 U65439 ( .A(n46786), .B(n50934), .C(n56545), .D(
+        n45404), .Y(n46015) );
+  sky130_fd_sc_hd__a22oi_1 U65441 ( .A1(n56999), .A2(n45407), .B1(n45406), 
+        .B2(n45405), .Y(n45470) );
+  sky130_fd_sc_hd__nor2_1 U65442 ( .A(n45642), .B(n48318), .Y(n50266) );
+  sky130_fd_sc_hd__nor2_1 U65443 ( .A(n45657), .B(n57188), .Y(n52398) );
+  sky130_fd_sc_hd__a211oi_1 U65444 ( .A1(n41772), .A2(n50318), .B1(n50266), 
+        .C1(n52398), .Y(n51875) );
+  sky130_fd_sc_hd__nand3_1 U65445 ( .A(n45408), .B(n86738), .C(n50980), .Y(
+        n52414) );
+  sky130_fd_sc_hd__a21oi_1 U65447 ( .A1(n50397), .A2(n57021), .B1(n46495), .Y(
+        n45409) );
+  sky130_fd_sc_hd__nand2_1 U65448 ( .A(n57509), .B(n50918), .Y(n48116) );
+  sky130_fd_sc_hd__nand4b_1 U65449 ( .A_N(n52071), .B(n51875), .C(n45409), .D(
+        n48116), .Y(n45430) );
+  sky130_fd_sc_hd__nor4_1 U65450 ( .A(n45898), .B(n52746), .C(n45410), .D(
+        n56447), .Y(n45415) );
+  sky130_fd_sc_hd__nor2_1 U65451 ( .A(n57540), .B(n46772), .Y(n52148) );
+  sky130_fd_sc_hd__nand2_1 U65452 ( .A(n57408), .B(n50397), .Y(n57204) );
+  sky130_fd_sc_hd__o21ai_0 U65453 ( .A1(n56471), .A2(n47162), .B1(n57204), .Y(
+        n50201) );
+  sky130_fd_sc_hd__nor2_1 U65454 ( .A(n52148), .B(n50201), .Y(n52742) );
+  sky130_fd_sc_hd__nor2_1 U65455 ( .A(n52412), .B(n46315), .Y(n50932) );
+  sky130_fd_sc_hd__nor2_1 U65456 ( .A(n48297), .B(n50973), .Y(n50991) );
+  sky130_fd_sc_hd__nand2_1 U65457 ( .A(n57139), .B(n50462), .Y(n45411) );
+  sky130_fd_sc_hd__o22ai_1 U65458 ( .A1(n57188), .A2(n57443), .B1(n50196), 
+        .B2(n45411), .Y(n45413) );
+  sky130_fd_sc_hd__o21ai_0 U65459 ( .A1(n56569), .A2(n56993), .B1(n52705), .Y(
+        n45412) );
+  sky130_fd_sc_hd__nor3_1 U65460 ( .A(n50991), .B(n45413), .C(n45412), .Y(
+        n45414) );
+  sky130_fd_sc_hd__a31oi_1 U65461 ( .A1(n45415), .A2(n52742), .A3(n45414), 
+        .B1(n57158), .Y(n45429) );
+  sky130_fd_sc_hd__nor2_1 U65462 ( .A(n57134), .B(n52402), .Y(n45422) );
+  sky130_fd_sc_hd__nor2_1 U65463 ( .A(n47162), .B(n48057), .Y(n45421) );
+  sky130_fd_sc_hd__nor2_1 U65464 ( .A(n56495), .B(n51010), .Y(n45420) );
+  sky130_fd_sc_hd__nor2b_1 U65465 ( .B_N(n51835), .A(n48242), .Y(n45418) );
+  sky130_fd_sc_hd__nand2_1 U65466 ( .A(n41779), .B(n46014), .Y(n57145) );
+  sky130_fd_sc_hd__a21oi_1 U65467 ( .A1(n57544), .A2(n52165), .B1(n51507), .Y(
+        n47177) );
+  sky130_fd_sc_hd__a22o_1 U65468 ( .A1(n57193), .A2(n57144), .B1(n52743), .B2(
+        n52181), .X(n45416) );
+  sky130_fd_sc_hd__nor4_1 U65469 ( .A(n51517), .B(n47177), .C(n51830), .D(
+        n45416), .Y(n45417) );
+  sky130_fd_sc_hd__nor3_1 U65470 ( .A(n41624), .B(n36975), .C(n50238), .Y(
+        n52716) );
+  sky130_fd_sc_hd__nand2_1 U65471 ( .A(n50438), .B(n52716), .Y(n51825) );
+  sky130_fd_sc_hd__o211ai_1 U65472 ( .A1(n45418), .A2(n48297), .B1(n45417), 
+        .C1(n51825), .Y(n45419) );
+  sky130_fd_sc_hd__nor4_1 U65473 ( .A(n45422), .B(n45421), .C(n45420), .D(
+        n45419), .Y(n45427) );
+  sky130_fd_sc_hd__nor2_1 U65474 ( .A(n57040), .B(n51531), .Y(n45425) );
+  sky130_fd_sc_hd__nand3_1 U65475 ( .A(n50162), .B(n51836), .C(n56981), .Y(
+        n52080) );
+  sky130_fd_sc_hd__o22ai_1 U65476 ( .A1(n50917), .A2(n48297), .B1(n51822), 
+        .B2(n50973), .Y(n50179) );
+  sky130_fd_sc_hd__nor2_1 U65477 ( .A(n45423), .B(n50179), .Y(n48084) );
+  sky130_fd_sc_hd__nand3_1 U65478 ( .A(n48084), .B(n46784), .C(n46328), .Y(
+        n45424) );
+  sky130_fd_sc_hd__nor4_1 U65479 ( .A(n45425), .B(n57161), .C(n51830), .D(
+        n45424), .Y(n45426) );
+  sky130_fd_sc_hd__o22ai_1 U65480 ( .A1(n45427), .A2(n57427), .B1(n45426), 
+        .B2(n57061), .Y(n45428) );
+  sky130_fd_sc_hd__a211oi_1 U65481 ( .A1(n57514), .A2(n45430), .B1(n45429), 
+        .C1(n45428), .Y(n45469) );
+  sky130_fd_sc_hd__nand2_1 U65482 ( .A(n52710), .B(n50239), .Y(n57228) );
+  sky130_fd_sc_hd__nand2_1 U65483 ( .A(n41626), .B(n36975), .Y(n46321) );
+  sky130_fd_sc_hd__a21oi_1 U65485 ( .A1(n45727), .A2(n57228), .B1(n45644), .Y(
+        n45439) );
+  sky130_fd_sc_hd__nand2_1 U65486 ( .A(n45431), .B(n45645), .Y(n52125) );
+  sky130_fd_sc_hd__nand2_1 U65487 ( .A(n48247), .B(n57139), .Y(n52074) );
+  sky130_fd_sc_hd__nand2_1 U65488 ( .A(n57339), .B(n52181), .Y(n45432) );
+  sky130_fd_sc_hd__nand4_1 U65489 ( .A(n52125), .B(n45433), .C(n52074), .D(
+        n45432), .Y(n45434) );
+  sky130_fd_sc_hd__nor4_1 U65490 ( .A(n45436), .B(n45435), .C(n46035), .D(
+        n45434), .Y(n45438) );
+  sky130_fd_sc_hd__nand2_1 U65491 ( .A(n51495), .B(n50186), .Y(n45437) );
+  sky130_fd_sc_hd__a31oi_1 U65492 ( .A1(n45439), .A2(n45438), .A3(n45437), 
+        .B1(n57409), .Y(n45467) );
+  sky130_fd_sc_hd__a2bb2oi_1 U65493 ( .B1(n52179), .B2(n52413), .A1_N(n45440), 
+        .A2_N(n52120), .Y(n45448) );
+  sky130_fd_sc_hd__nor2b_1 U65494 ( .B_N(n45442), .A(n45441), .Y(n47147) );
+  sky130_fd_sc_hd__nand2_1 U65496 ( .A(n41780), .B(n57139), .Y(n48163) );
+  sky130_fd_sc_hd__nand2_1 U65497 ( .A(n48247), .B(n50466), .Y(n57128) );
+  sky130_fd_sc_hd__a31oi_1 U65498 ( .A1(n57536), .A2(n48163), .A3(n57128), 
+        .B1(n52744), .Y(n45444) );
+  sky130_fd_sc_hd__nor4_1 U65499 ( .A(n50338), .B(n47147), .C(n45445), .D(
+        n45444), .Y(n45447) );
+  sky130_fd_sc_hd__a31oi_1 U65500 ( .A1(n45448), .A2(n45447), .A3(n45446), 
+        .B1(n57155), .Y(n45466) );
+  sky130_fd_sc_hd__o21ai_1 U65502 ( .A1(n52179), .A2(n46816), .B1(n46794), .Y(
+        n50171) );
+  sky130_fd_sc_hd__nand2_1 U65503 ( .A(n57193), .B(n56494), .Y(n52430) );
+  sky130_fd_sc_hd__or4b_2 U65504 ( .A(n50171), .B(n51511), .C(n45449), .D_N(
+        n52430), .X(n45450) );
+  sky130_fd_sc_hd__a21oi_1 U65505 ( .A1(n50980), .A2(n52201), .B1(n45450), .Y(
+        n45451) );
+  sky130_fd_sc_hd__nor2_1 U65506 ( .A(n50441), .B(n50261), .Y(n50182) );
+  sky130_fd_sc_hd__nand3_1 U65507 ( .A(n57336), .B(n50182), .C(n47186), .Y(
+        n46808) );
+  sky130_fd_sc_hd__a21oi_1 U65509 ( .A1(n50314), .A2(n57528), .B1(n48095), .Y(
+        n57417) );
+  sky130_fd_sc_hd__nand2_1 U65510 ( .A(n57208), .B(n52699), .Y(n50484) );
+  sky130_fd_sc_hd__nor2_1 U65511 ( .A(n57412), .B(n50484), .Y(n46049) );
+  sky130_fd_sc_hd__nor2_1 U65512 ( .A(n52215), .B(n57456), .Y(n45453) );
+  sky130_fd_sc_hd__a22o_1 U65513 ( .A1(n50378), .A2(n50474), .B1(n52169), .B2(
+        n50186), .X(n45452) );
+  sky130_fd_sc_hd__nor4_1 U65514 ( .A(n57417), .B(n46049), .C(n45453), .D(
+        n45452), .Y(n45464) );
+  sky130_fd_sc_hd__nor2_1 U65515 ( .A(n50926), .B(n57134), .Y(n57302) );
+  sky130_fd_sc_hd__nor2_1 U65516 ( .A(n57302), .B(n45454), .Y(n50998) );
+  sky130_fd_sc_hd__a21oi_1 U65518 ( .A1(n50998), .A2(n52751), .B1(n52424), .Y(
+        n51854) );
+  sky130_fd_sc_hd__o22ai_1 U65519 ( .A1(n56431), .A2(n46321), .B1(n57071), 
+        .B2(n52164), .Y(n45455) );
+  sky130_fd_sc_hd__a21oi_1 U65520 ( .A1(n45456), .A2(n56440), .B1(n45455), .Y(
+        n45717) );
+  sky130_fd_sc_hd__a21oi_1 U65521 ( .A1(n48279), .A2(n56581), .B1(n45457), .Y(
+        n45460) );
+  sky130_fd_sc_hd__nor2_1 U65522 ( .A(n57011), .B(n57334), .Y(n45458) );
+  sky130_fd_sc_hd__nor2_1 U65523 ( .A(n57040), .B(n52126), .Y(n51542) );
+  sky130_fd_sc_hd__nor3_1 U65524 ( .A(n57152), .B(n45458), .C(n51542), .Y(
+        n45459) );
+  sky130_fd_sc_hd__a31oi_1 U65525 ( .A1(n45717), .A2(n45460), .A3(n45459), 
+        .B1(n56451), .Y(n45462) );
+  sky130_fd_sc_hd__nor2_1 U65526 ( .A(n37029), .B(n50233), .Y(n47163) );
+  sky130_fd_sc_hd__nand3_1 U65527 ( .A(n57184), .B(n41625), .C(n52744), .Y(
+        n57003) );
+  sky130_fd_sc_hd__o22ai_1 U65528 ( .A1(n47163), .A2(n57003), .B1(n52199), 
+        .B2(n57449), .Y(n45461) );
+  sky130_fd_sc_hd__nor4_1 U65529 ( .A(n45641), .B(n51854), .C(n45462), .D(
+        n45461), .Y(n45463) );
+  sky130_fd_sc_hd__o21ai_0 U65530 ( .A1(n45464), .A2(n57429), .B1(n45463), .Y(
+        n45465) );
+  sky130_fd_sc_hd__nor4_1 U65531 ( .A(n45467), .B(n45466), .C(n51852), .D(
+        n45465), .Y(n45468) );
+  sky130_fd_sc_hd__nand4_1 U65532 ( .A(n45471), .B(n45470), .C(n45469), .D(
+        n45468), .Y(n45506) );
+  sky130_fd_sc_hd__a22oi_1 U65533 ( .A1(n57587), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__0[4]), .B1(n37065), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[36]), .Y(n45504) );
+  sky130_fd_sc_hd__a22oi_1 U65534 ( .A1(n56525), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__4[4]), .B1(n41794), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[4]), .Y(n45503) );
+  sky130_fd_sc_hd__nand2_1 U65535 ( .A(n47231), .B(n50528), .Y(n52793) );
+  sky130_fd_sc_hd__nor2_1 U65536 ( .A(n45472), .B(n52793), .Y(n57280) );
+  sky130_fd_sc_hd__nand3_1 U65537 ( .A(n45691), .B(n45473), .C(n52788), .Y(
+        n47235) );
+  sky130_fd_sc_hd__nor2_1 U65538 ( .A(n47236), .B(n47235), .Y(n45474) );
+  sky130_fd_sc_hd__nor2_1 U65539 ( .A(n57280), .B(n45474), .Y(n46083) );
+  sky130_fd_sc_hd__nor2_1 U65540 ( .A(n45476), .B(n45475), .Y(n50212) );
+  sky130_fd_sc_hd__a21oi_1 U65541 ( .A1(n38396), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[4]), 
+        .B1(n50212), .Y(n45479) );
+  sky130_fd_sc_hd__a22oi_1 U65542 ( .A1(n38397), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[4]), 
+        .B1(n82327), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[4]), 
+        .Y(n45478) );
+  sky130_fd_sc_hd__a22oi_1 U65543 ( .A1(n82290), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[4]), 
+        .B1(n38401), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[4]), 
+        .Y(n45477) );
+  sky130_fd_sc_hd__nand4_1 U65544 ( .A(n46083), .B(n45479), .C(n45478), .D(
+        n45477), .Y(n45490) );
+  sky130_fd_sc_hd__nand4_1 U65545 ( .A(n77487), .B(n48497), .C(n45480), .D(
+        n52788), .Y(n47230) );
+  sky130_fd_sc_hd__a2bb2oi_1 U65546 ( .B1(n82315), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[4]), 
+        .A1_N(n52068), .A2_N(n47230), .Y(n45484) );
+  sky130_fd_sc_hd__a22oi_1 U65547 ( .A1(n82284), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[4]), 
+        .B1(n82303), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[4]), 
+        .Y(n45483) );
+  sky130_fd_sc_hd__a22oi_1 U65548 ( .A1(n82339), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[4]), 
+        .B1(n82333), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[4]), 
+        .Y(n45482) );
+  sky130_fd_sc_hd__a22oi_1 U65549 ( .A1(n82296), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[4]), 
+        .B1(n82309), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[4]), 
+        .Y(n45481) );
+  sky130_fd_sc_hd__nand4_1 U65550 ( .A(n45484), .B(n45483), .C(n45482), .D(
+        n45481), .Y(n45489) );
+  sky130_fd_sc_hd__a22oi_1 U65551 ( .A1(n82350), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[4]), 
+        .B1(n82361), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[4]), 
+        .Y(n45487) );
+  sky130_fd_sc_hd__a22oi_1 U65552 ( .A1(n82278), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[4]), 
+        .B1(n82321), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[4]), 
+        .Y(n45486) );
+  sky130_fd_sc_hd__nand2_1 U65553 ( .A(n57586), .B(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[4]), 
+        .Y(n45485) );
+  sky130_fd_sc_hd__nand3_1 U65554 ( .A(n45487), .B(n45486), .C(n45485), .Y(
+        n45488) );
+  sky130_fd_sc_hd__or4_1 U65555 ( .A(n50523), .B(n45490), .C(n45489), .D(
+        n45488), .X(n45491) );
+  sky130_fd_sc_hd__a222oi_1 U65556 ( .A1(n45491), .A2(n56967), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[36]), .B2(n57571), .C1(n57570), .C2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[4]), .Y(n45502) );
+  sky130_fd_sc_hd__a22oi_1 U65557 ( .A1(n52770), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[35]), 
+        .B1(n52769), .B2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[3]), .Y(n45495) );
+  sky130_fd_sc_hd__a22oi_1 U65558 ( .A1(n52772), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[3]), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[3]), .B2(
+        n52771), .Y(n45494) );
+  sky130_fd_sc_hd__nand2_1 U65559 ( .A(n52775), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[35]), .Y(
+        n45493) );
+  sky130_fd_sc_hd__nand2_1 U65560 ( .A(n52774), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[7]), 
+        .Y(n45492) );
+  sky130_fd_sc_hd__nand4_1 U65561 ( .A(n45495), .B(n45494), .C(n45493), .D(
+        n45492), .Y(n45500) );
+  sky130_fd_sc_hd__and4_1 U65562 ( .A(n45496), .B(n61460), .C(n45700), .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[8]), .X(
+        n45556) );
+  sky130_fd_sc_hd__nand2_1 U65563 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[0]), .B(
+        n45556), .Y(n45497) );
+  sky130_fd_sc_hd__nand2_1 U65564 ( .A(n66945), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_610[4]), .Y(
+        n45499) );
+  sky130_fd_sc_hd__nand2_1 U65565 ( .A(n66956), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1327[4]), .Y(
+        n45498) );
+  sky130_fd_sc_hd__nand2_1 U65566 ( .A(n45499), .B(n45498), .Y(n68017) );
+  sky130_fd_sc_hd__nand4_1 U65568 ( .A(n45504), .B(n45503), .C(n45502), .D(
+        n45501), .Y(n45505) );
+  sky130_fd_sc_hd__a21oi_1 U65569 ( .A1(n57096), .A2(n45506), .B1(n45505), .Y(
+        n45509) );
+  sky130_fd_sc_hd__a22oi_1 U65570 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[4]), .A2(n57588), .B1(
+        n57558), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[4]), .Y(n45508) );
+  sky130_fd_sc_hd__nand2_1 U65571 ( .A(n38443), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[4]), .Y(n45507) );
+  sky130_fd_sc_hd__nand3_1 U65572 ( .A(n45509), .B(n45508), .C(n45507), .Y(
+        n84488) );
+  sky130_fd_sc_hd__nand2_1 U65573 ( .A(n54312), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[36]), .Y(n45511) );
+  sky130_fd_sc_hd__nand2_1 U65574 ( .A(n54307), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[4]), .Y(n45510) );
+  sky130_fd_sc_hd__nand2_1 U65575 ( .A(n45511), .B(n45510), .Y(n84765) );
+  sky130_fd_sc_hd__nand2_1 U65576 ( .A(n54218), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_4[0]), .Y(
+        n45512) );
+  sky130_fd_sc_hd__o21ai_1 U65578 ( .A1(n45513), .A2(n36791), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_4[1]), .Y(
+        n45521) );
+  sky130_fd_sc_hd__nor2_1 U65579 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_4[1]), .B(
+        n54220), .Y(n45514) );
+  sky130_fd_sc_hd__o21ai_1 U65580 ( .A1(n45514), .A2(n36790), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_4[0]), .Y(
+        n45520) );
+  sky130_fd_sc_hd__nand2_1 U65581 ( .A(n52840), .B(n52838), .Y(n45517) );
+  sky130_fd_sc_hd__xnor2_1 U65582 ( .A(n45517), .B(n52841), .Y(n45518) );
+  sky130_fd_sc_hd__a22oi_1 U65583 ( .A1(n54228), .A2(n45518), .B1(n52494), 
+        .B2(n84765), .Y(n45519) );
+  sky130_fd_sc_hd__nand3_1 U65584 ( .A(n45521), .B(n45520), .C(n45519), .Y(
+        n84347) );
+  sky130_fd_sc_hd__nand2_1 U65585 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[36]), .Y(n45523) );
+  sky130_fd_sc_hd__nand2_1 U65586 ( .A(n54996), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[4]), .Y(n45522) );
+  sky130_fd_sc_hd__nand2_1 U65587 ( .A(n45523), .B(n45522), .Y(n85579) );
+  sky130_fd_sc_hd__a22oi_1 U65588 ( .A1(n57571), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[33]), .B1(n37065), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[33]), .Y(n45739) );
+  sky130_fd_sc_hd__nand3_1 U65589 ( .A(n45525), .B(n45557), .C(n61460), .Y(
+        n45566) );
+  sky130_fd_sc_hd__nor2_1 U65590 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[0]), .B(
+        n45566), .Y(n45702) );
+  sky130_fd_sc_hd__nor2_1 U65591 ( .A(n62964), .B(n67676), .Y(n45608) );
+  sky130_fd_sc_hd__nor2_1 U65592 ( .A(n45529), .B(n45582), .Y(n45610) );
+  sky130_fd_sc_hd__nand2_1 U65593 ( .A(n45702), .B(n45610), .Y(n46428) );
+  sky130_fd_sc_hd__nand2_1 U65594 ( .A(n62964), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[2]), .Y(
+        n45585) );
+  sky130_fd_sc_hd__nand2b_1 U65595 ( .A_N(n45529), .B(n45619), .Y(n45526) );
+  sky130_fd_sc_hd__nor2_1 U65596 ( .A(n45566), .B(n45526), .Y(n45527) );
+  sky130_fd_sc_hd__nand2_1 U65597 ( .A(n45527), .B(n67678), .Y(n46386) );
+  sky130_fd_sc_hd__o22ai_1 U65598 ( .A1(n37773), .A2(n46428), .B1(n46386), 
+        .B2(n45524), .Y(n45545) );
+  sky130_fd_sc_hd__nand3_1 U65599 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[5]), .B(
+        n45525), .C(n45557), .Y(n45548) );
+  sky130_fd_sc_hd__nor2_1 U65600 ( .A(n45548), .B(n45526), .Y(n45538) );
+  sky130_fd_sc_hd__nand2_1 U65601 ( .A(n45538), .B(n67678), .Y(n46370) );
+  sky130_fd_sc_hd__nand2_1 U65602 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[0]), .B(
+        n45527), .Y(n46369) );
+  sky130_fd_sc_hd__o22ai_1 U65603 ( .A1(n37622), .A2(n46370), .B1(n46369), 
+        .B2(n45528), .Y(n45544) );
+  sky130_fd_sc_hd__nor2_1 U65604 ( .A(n67678), .B(n45566), .Y(n45699) );
+  sky130_fd_sc_hd__nor2_1 U65605 ( .A(n62964), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[2]), .Y(
+        n45609) );
+  sky130_fd_sc_hd__nor2_1 U65606 ( .A(n45529), .B(n45583), .Y(n45701) );
+  sky130_fd_sc_hd__nand2_1 U65607 ( .A(n45699), .B(n45701), .Y(n46420) );
+  sky130_fd_sc_hd__clkinv_1 U65608 ( .A(n46420), .Y(n45531) );
+  sky130_fd_sc_hd__nor2_1 U65609 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[0]), .B(
+        n45548), .Y(n45611) );
+  sky130_fd_sc_hd__nand2_1 U65610 ( .A(n45611), .B(n45701), .Y(n46421) );
+  sky130_fd_sc_hd__clkinv_1 U65611 ( .A(n46421), .Y(n45530) );
+  sky130_fd_sc_hd__a22oi_1 U65612 ( .A1(n45531), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_2[1]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_33[1]), 
+        .B2(n45530), .Y(n45542) );
+  sky130_fd_sc_hd__nand2_1 U65613 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[3]), .B(
+        n67678), .Y(n45607) );
+  sky130_fd_sc_hd__nor2_1 U65614 ( .A(n45607), .B(n45532), .Y(n46375) );
+  sky130_fd_sc_hd__nand2b_1 U65615 ( .A_N(n45566), .B(n61456), .Y(n67750) );
+  sky130_fd_sc_hd__nor2_1 U65616 ( .A(n68029), .B(n67750), .Y(n62958) );
+  sky130_fd_sc_hd__nand2_1 U65617 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[0]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[3]), .Y(
+        n45534) );
+  sky130_fd_sc_hd__nor2_1 U65618 ( .A(n45534), .B(n45583), .Y(n67709) );
+  sky130_fd_sc_hd__nor2_1 U65619 ( .A(n45585), .B(n45534), .Y(n67719) );
+  sky130_fd_sc_hd__nand2_1 U65620 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[0]), .B(
+        n45533), .Y(n67739) );
+  sky130_fd_sc_hd__a222oi_1 U65621 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_10[1]), 
+        .A2(n67709), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_12[1]), 
+        .B2(n67719), .C1(n46376), .C2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_8[1]), 
+        .Y(n45536) );
+  sky130_fd_sc_hd__nand2_1 U65622 ( .A(n45535), .B(n45608), .Y(n67808) );
+  sky130_fd_sc_hd__nor2_1 U65623 ( .A(n67808), .B(n67750), .Y(n46450) );
+  sky130_fd_sc_hd__o22ai_1 U65624 ( .A1(n45536), .A2(n67750), .B1(n58106), 
+        .B2(n67733), .Y(n45537) );
+  sky130_fd_sc_hd__a21oi_1 U65625 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_7[1]), 
+        .A2(n62958), .B1(n45537), .Y(n45541) );
+  sky130_fd_sc_hd__nand2_1 U65626 ( .A(n45699), .B(n45610), .Y(n45761) );
+  sky130_fd_sc_hd__clkinv_1 U65627 ( .A(n45761), .Y(n46403) );
+  sky130_fd_sc_hd__nor2_1 U65628 ( .A(n67678), .B(n45548), .Y(n45558) );
+  sky130_fd_sc_hd__nand2_1 U65629 ( .A(n45558), .B(n45701), .Y(n46427) );
+  sky130_fd_sc_hd__nand2_1 U65630 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[0]), .B(
+        n45538), .Y(n45809) );
+  sky130_fd_sc_hd__clkinv_1 U65631 ( .A(n45809), .Y(n46385) );
+  sky130_fd_sc_hd__nand2_1 U65632 ( .A(n46385), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_36[1]), 
+        .Y(n45539) );
+  sky130_fd_sc_hd__nand4_1 U65633 ( .A(n45542), .B(n45541), .C(n45540), .D(
+        n45539), .Y(n45543) );
+  sky130_fd_sc_hd__nor3_1 U65634 ( .A(n45545), .B(n45544), .C(n45543), .Y(
+        n45633) );
+  sky130_fd_sc_hd__nand2_1 U65635 ( .A(n45558), .B(n45610), .Y(n46429) );
+  sky130_fd_sc_hd__nand3_1 U65636 ( .A(n45700), .B(n45546), .C(n67678), .Y(
+        n46457) );
+  sky130_fd_sc_hd__nand3_1 U65637 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[0]), .B(
+        n45700), .C(n45546), .Y(n46424) );
+  sky130_fd_sc_hd__o22ai_1 U65638 ( .A1(n57782), .A2(n46457), .B1(n46424), 
+        .B2(n45547), .Y(n45554) );
+  sky130_fd_sc_hd__nor2_1 U65639 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[4]), .B(
+        n45548), .Y(n62942) );
+  sky130_fd_sc_hd__nor2_1 U65640 ( .A(n67739), .B(n67801), .Y(n46453) );
+  sky130_fd_sc_hd__nand2b_1 U65641 ( .A_N(n45548), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[4]), .Y(
+        n68039) );
+  sky130_fd_sc_hd__nor2_1 U65642 ( .A(n68029), .B(n68039), .Y(n46454) );
+  sky130_fd_sc_hd__a22oi_1 U65643 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_42[1]), 
+        .A2(n67709), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_46[1]), 
+        .B2(n46372), .Y(n45550) );
+  sky130_fd_sc_hd__nand2_1 U65644 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_44[1]), 
+        .B(n67719), .Y(n45549) );
+  sky130_fd_sc_hd__a21oi_1 U65645 ( .A1(n45550), .A2(n45549), .B1(n67801), .Y(
+        n45551) );
+  sky130_fd_sc_hd__a21oi_1 U65646 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_55[1]), 
+        .A2(n46454), .B1(n45551), .Y(n45552) );
+  sky130_fd_sc_hd__a211oi_1 U65648 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_38[1]), 
+        .A2(n45555), .B1(n45554), .C1(n45553), .Y(n45632) );
+  sky130_fd_sc_hd__a22oi_1 U65649 ( .A1(n66956), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1327[1]), .B1(
+        n66945), .B2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_610[1]), .Y(
+        n62038) );
+  sky130_fd_sc_hd__nand2_1 U65650 ( .A(n45556), .B(n67678), .Y(n45602) );
+  sky130_fd_sc_hd__nor2_1 U65651 ( .A(n45557), .B(n45602), .Y(n61467) );
+  sky130_fd_sc_hd__nand2_1 U65652 ( .A(n52775), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[32]), .Y(
+        n45563) );
+  sky130_fd_sc_hd__nor2_1 U65653 ( .A(n61456), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[3]), .Y(
+        n45592) );
+  sky130_fd_sc_hd__nand2_1 U65654 ( .A(n45608), .B(n45620), .Y(n46410) );
+  sky130_fd_sc_hd__clkinv_1 U65655 ( .A(n46410), .Y(n45559) );
+  sky130_fd_sc_hd__nand2_1 U65656 ( .A(n45609), .B(n45620), .Y(n46400) );
+  sky130_fd_sc_hd__a2bb2oi_1 U65657 ( .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_54[1]), 
+        .B2(n45559), .A1_N(n46400), .A2_N(n57838), .Y(n45562) );
+  sky130_fd_sc_hd__nand2_1 U65658 ( .A(n52772), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[0]), .Y(
+        n45561) );
+  sky130_fd_sc_hd__nand2_1 U65659 ( .A(n52771), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[0]), .Y(
+        n45560) );
+  sky130_fd_sc_hd__nand4_1 U65660 ( .A(n45563), .B(n45562), .C(n45561), .D(
+        n45560), .Y(n45564) );
+  sky130_fd_sc_hd__a21oi_1 U65661 ( .A1(n61467), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_prepend_38[1]), 
+        .B1(n45564), .Y(n45630) );
+  sky130_fd_sc_hd__nand2_1 U65662 ( .A(n62942), .B(n45581), .Y(n45565) );
+  sky130_fd_sc_hd__nor2_1 U65663 ( .A(n45585), .B(n45565), .Y(n67891) );
+  sky130_fd_sc_hd__nor2_1 U65664 ( .A(n45582), .B(n45565), .Y(n67806) );
+  sky130_fd_sc_hd__a22oi_1 U65665 ( .A1(n67891), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_43[1]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_45[1]), 
+        .B2(n67806), .Y(n45580) );
+  sky130_fd_sc_hd__nand2_1 U65666 ( .A(n45592), .B(n45699), .Y(n45576) );
+  sky130_fd_sc_hd__nor2_1 U65667 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[2]), .B(
+        n45576), .Y(n45603) );
+  sky130_fd_sc_hd__nand2_1 U65668 ( .A(n45603), .B(n62964), .Y(n45784) );
+  sky130_fd_sc_hd__clkinv_1 U65669 ( .A(n45784), .Y(n46391) );
+  sky130_fd_sc_hd__nand2_1 U65670 ( .A(n46391), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_16[1]), 
+        .Y(n45579) );
+  sky130_fd_sc_hd__nor2_1 U65671 ( .A(n45583), .B(n45565), .Y(n67803) );
+  sky130_fd_sc_hd__nor2_1 U65672 ( .A(n61456), .B(n45566), .Y(n62965) );
+  sky130_fd_sc_hd__nor2_1 U65673 ( .A(n67739), .B(n67680), .Y(n67697) );
+  sky130_fd_sc_hd__nand2_1 U65674 ( .A(n67697), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_24[1]), 
+        .Y(n45574) );
+  sky130_fd_sc_hd__nor2_1 U65675 ( .A(n67808), .B(n67680), .Y(n67717) );
+  sky130_fd_sc_hd__nand2_1 U65676 ( .A(n67717), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_30[1]), 
+        .Y(n45573) );
+  sky130_fd_sc_hd__nand2_1 U65677 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_26[1]), 
+        .B(n67709), .Y(n45569) );
+  sky130_fd_sc_hd__nand2_1 U65678 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_28[1]), 
+        .B(n67719), .Y(n45568) );
+  sky130_fd_sc_hd__nand2_1 U65679 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_23[1]), 
+        .B(n46375), .Y(n45567) );
+  sky130_fd_sc_hd__nand3_1 U65680 ( .A(n45569), .B(n45568), .C(n45567), .Y(
+        n45570) );
+  sky130_fd_sc_hd__nand2_1 U65681 ( .A(n62965), .B(n45570), .Y(n45572) );
+  sky130_fd_sc_hd__nand3_1 U65682 ( .A(n62942), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_39[1]), 
+        .C(n46375), .Y(n45571) );
+  sky130_fd_sc_hd__nand4_1 U65683 ( .A(n45574), .B(n45573), .C(n45572), .D(
+        n45571), .Y(n45575) );
+  sky130_fd_sc_hd__a21oi_1 U65684 ( .A1(n67803), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_41[1]), 
+        .B1(n45575), .Y(n45578) );
+  sky130_fd_sc_hd__nor2_1 U65685 ( .A(n67676), .B(n45576), .Y(n45604) );
+  sky130_fd_sc_hd__nand2_1 U65686 ( .A(n46392), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_20[1]), 
+        .Y(n45577) );
+  sky130_fd_sc_hd__nand4_1 U65687 ( .A(n45580), .B(n45579), .C(n45578), .D(
+        n45577), .Y(n45591) );
+  sky130_fd_sc_hd__nand2_1 U65688 ( .A(n62965), .B(n45581), .Y(n45584) );
+  sky130_fd_sc_hd__nor2_1 U65689 ( .A(n45582), .B(n45584), .Y(n62962) );
+  sky130_fd_sc_hd__nor2_1 U65690 ( .A(n45583), .B(n45584), .Y(n67710) );
+  sky130_fd_sc_hd__a22oi_1 U65691 ( .A1(n62962), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_29[1]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_25[1]), 
+        .B2(n67710), .Y(n45587) );
+  sky130_fd_sc_hd__nand2_1 U65692 ( .A(n45592), .B(n45611), .Y(n45593) );
+  sky130_fd_sc_hd__nor2_1 U65693 ( .A(n45585), .B(n45593), .Y(n46411) );
+  sky130_fd_sc_hd__nor2_1 U65694 ( .A(n45585), .B(n45584), .Y(n67721) );
+  sky130_fd_sc_hd__a22oi_1 U65695 ( .A1(n46411), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_51[1]), 
+        .B1(n67721), .B2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_27[1]), 
+        .Y(n45586) );
+  sky130_fd_sc_hd__o211ai_1 U65696 ( .A1(n45589), .A2(n45588), .B1(n45587), 
+        .C1(n45586), .Y(n45590) );
+  sky130_fd_sc_hd__nor2_1 U65697 ( .A(n45591), .B(n45590), .Y(n45601) );
+  sky130_fd_sc_hd__nand2_1 U65698 ( .A(n45606), .B(n45609), .Y(n46441) );
+  sky130_fd_sc_hd__nand2_1 U65699 ( .A(n45606), .B(n45619), .Y(n46440) );
+  sky130_fd_sc_hd__o22ai_1 U65700 ( .A1(n57963), .A2(n46441), .B1(n46440), 
+        .B2(n57936), .Y(n45595) );
+  sky130_fd_sc_hd__nand2_1 U65701 ( .A(n45606), .B(n45608), .Y(n46438) );
+  sky130_fd_sc_hd__clkinv_1 U65702 ( .A(n45593), .Y(n45596) );
+  sky130_fd_sc_hd__nand2_1 U65703 ( .A(n45609), .B(n45596), .Y(n46443) );
+  sky130_fd_sc_hd__o22ai_1 U65704 ( .A1(n57940), .A2(n46438), .B1(n46443), 
+        .B2(n38706), .Y(n45594) );
+  sky130_fd_sc_hd__nor2_1 U65705 ( .A(n45595), .B(n45594), .Y(n45600) );
+  sky130_fd_sc_hd__nand2_1 U65706 ( .A(n45608), .B(n45596), .Y(n46444) );
+  sky130_fd_sc_hd__nand2_1 U65707 ( .A(n45621), .B(n45596), .Y(n46437) );
+  sky130_fd_sc_hd__o22ai_1 U65708 ( .A1(n45597), .A2(n46444), .B1(n46437), 
+        .B2(n57840), .Y(n45598) );
+  sky130_fd_sc_hd__a21oi_1 U65709 ( .A1(n52769), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[0]), .B1(n45598), .Y(n45599) );
+  sky130_fd_sc_hd__nand3_1 U65710 ( .A(n45601), .B(n45600), .C(n45599), .Y(
+        n45628) );
+  sky130_fd_sc_hd__nor2_1 U65711 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[6]), .B(
+        n45602), .Y(n61468) );
+  sky130_fd_sc_hd__nand2_1 U65712 ( .A(n61468), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_prepend_39[1]), 
+        .Y(n45626) );
+  sky130_fd_sc_hd__nand2_1 U65713 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[1]), .B(
+        n45603), .Y(n46461) );
+  sky130_fd_sc_hd__nand2_1 U65714 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[1]), .B(
+        n45604), .Y(n46449) );
+  sky130_fd_sc_hd__o22ai_1 U65715 ( .A1(n38841), .A2(n46461), .B1(n46449), 
+        .B2(n57939), .Y(n45605) );
+  sky130_fd_sc_hd__a21oi_1 U65716 ( .A1(n52774), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[4]), .B1(n45605), .Y(n45625) );
+  sky130_fd_sc_hd__nand2_1 U65717 ( .A(n45621), .B(n45606), .Y(n45777) );
+  sky130_fd_sc_hd__nor2_1 U65718 ( .A(n45607), .B(n67750), .Y(n45613) );
+  sky130_fd_sc_hd__nand2_1 U65719 ( .A(n45613), .B(n45608), .Y(n67734) );
+  sky130_fd_sc_hd__nand2_1 U65720 ( .A(n46405), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_13[1]), 
+        .Y(n45617) );
+  sky130_fd_sc_hd__nand2_1 U65721 ( .A(n45613), .B(n45609), .Y(n67738) );
+  sky130_fd_sc_hd__nand2_1 U65722 ( .A(n46404), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_9[1]), 
+        .Y(n45616) );
+  sky130_fd_sc_hd__nand2_1 U65723 ( .A(n45611), .B(n45610), .Y(n46426) );
+  sky130_fd_sc_hd__clkinv_1 U65724 ( .A(n46426), .Y(n45612) );
+  sky130_fd_sc_hd__nand2_1 U65725 ( .A(n45612), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_37[1]), 
+        .Y(n45615) );
+  sky130_fd_sc_hd__nand2_1 U65726 ( .A(n45613), .B(n45619), .Y(n67729) );
+  sky130_fd_sc_hd__nand2_1 U65727 ( .A(n46402), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_11[1]), 
+        .Y(n45614) );
+  sky130_fd_sc_hd__nand4_1 U65728 ( .A(n45617), .B(n45616), .C(n45615), .D(
+        n45614), .Y(n45618) );
+  sky130_fd_sc_hd__a21oi_1 U65729 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_15[1]), 
+        .A2(n46462), .B1(n45618), .Y(n45624) );
+  sky130_fd_sc_hd__nand2_1 U65730 ( .A(n45619), .B(n45620), .Y(n46408) );
+  sky130_fd_sc_hd__clkinv_1 U65731 ( .A(n46408), .Y(n45622) );
+  sky130_fd_sc_hd__nand2_1 U65732 ( .A(n45621), .B(n45620), .Y(n46399) );
+  sky130_fd_sc_hd__a2bb2oi_1 U65733 ( .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_52[1]), 
+        .B2(n45622), .A1_N(n46399), .A2_N(n57839), .Y(n45623) );
+  sky130_fd_sc_hd__nand4_1 U65734 ( .A(n45626), .B(n45625), .C(n45624), .D(
+        n45623), .Y(n45627) );
+  sky130_fd_sc_hd__nor2_1 U65735 ( .A(n45628), .B(n45627), .Y(n45629) );
+  sky130_fd_sc_hd__and3_1 U65736 ( .A(n62038), .B(n45630), .C(n45629), .X(
+        n45631) );
+  sky130_fd_sc_hd__a31oi_1 U65737 ( .A1(n45633), .A2(n45632), .A3(n45631), 
+        .B1(n46470), .Y(n45634) );
+  sky130_fd_sc_hd__a21oi_1 U65738 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__4[1]), .A2(n56525), .B1(
+        n45634), .Y(n45738) );
+  sky130_fd_sc_hd__nor2_1 U65739 ( .A(n50402), .B(n47191), .Y(n45635) );
+  sky130_fd_sc_hd__o2bb2ai_1 U65740 ( .B1(n45635), .B2(n50997), .A1_N(n57184), 
+        .A2_N(n57173), .Y(n45640) );
+  sky130_fd_sc_hd__o22ai_1 U65741 ( .A1(n57164), .A2(n52230), .B1(n45636), 
+        .B2(n57020), .Y(n45639) );
+  sky130_fd_sc_hd__a21oi_1 U65742 ( .A1(n50397), .A2(n51510), .B1(n57206), .Y(
+        n52423) );
+  sky130_fd_sc_hd__nor2_1 U65743 ( .A(n50934), .B(n50266), .Y(n57315) );
+  sky130_fd_sc_hd__nand2_1 U65744 ( .A(n46713), .B(n51506), .Y(n48067) );
+  sky130_fd_sc_hd__o21ai_0 U65745 ( .A1(n36838), .A2(n57544), .B1(n48117), .Y(
+        n51492) );
+  sky130_fd_sc_hd__a21oi_1 U65746 ( .A1(n56588), .A2(n48067), .B1(n51492), .Y(
+        n45637) );
+  sky130_fd_sc_hd__a31oi_1 U65747 ( .A1(n52423), .A2(n57315), .A3(n45637), 
+        .B1(n57313), .Y(n45638) );
+  sky130_fd_sc_hd__nor4_1 U65748 ( .A(n45641), .B(n45640), .C(n45639), .D(
+        n45638), .Y(n45678) );
+  sky130_fd_sc_hd__o22ai_1 U65749 ( .A1(n36975), .A2(n45642), .B1(n50262), 
+        .B2(n50195), .Y(n45643) );
+  sky130_fd_sc_hd__nor3_1 U65750 ( .A(n50496), .B(n57413), .C(n37012), .Y(
+        n52375) );
+  sky130_fd_sc_hd__a21oi_1 U65751 ( .A1(n50263), .A2(n45643), .B1(n52375), .Y(
+        n45646) );
+  sky130_fd_sc_hd__nand2_1 U65752 ( .A(n57549), .B(n45644), .Y(n50193) );
+  sky130_fd_sc_hd__nand2_1 U65753 ( .A(n46492), .B(n57493), .Y(n57524) );
+  sky130_fd_sc_hd__nor2_1 U65754 ( .A(n57525), .B(n57524), .Y(n47150) );
+  sky130_fd_sc_hd__nand2_1 U65755 ( .A(n50984), .B(n50187), .Y(n48078) );
+  sky130_fd_sc_hd__o21ai_0 U65756 ( .A1(n57485), .A2(n57529), .B1(n48078), .Y(
+        n47213) );
+  sky130_fd_sc_hd__nor4_1 U65757 ( .A(n47150), .B(n57117), .C(n47213), .D(
+        n45647), .Y(n51541) );
+  sky130_fd_sc_hd__a21oi_1 U65758 ( .A1(n57269), .A2(n51541), .B1(n52747), .Y(
+        n45676) );
+  sky130_fd_sc_hd__o22ai_1 U65759 ( .A1(n50467), .A2(n57188), .B1(n57021), 
+        .B2(n56495), .Y(n45648) );
+  sky130_fd_sc_hd__a21oi_1 U65760 ( .A1(n57139), .A2(n57320), .B1(n45648), .Y(
+        n45652) );
+  sky130_fd_sc_hd__nor3_1 U65761 ( .A(n50926), .B(n48062), .C(n47162), .Y(
+        n47077) );
+  sky130_fd_sc_hd__o21ai_0 U65762 ( .A1(n36838), .A2(n50328), .B1(n46493), .Y(
+        n52146) );
+  sky130_fd_sc_hd__a21oi_1 U65763 ( .A1(n50980), .A2(n47069), .B1(n52146), .Y(
+        n45649) );
+  sky130_fd_sc_hd__nand2_1 U65764 ( .A(n52269), .B(n56494), .Y(n52130) );
+  sky130_fd_sc_hd__nand4_1 U65765 ( .A(n45649), .B(n52408), .C(n46716), .D(
+        n52130), .Y(n45650) );
+  sky130_fd_sc_hd__a21oi_1 U65766 ( .A1(n41772), .A2(n50394), .B1(n45650), .Y(
+        n45651) );
+  sky130_fd_sc_hd__nand2_1 U65767 ( .A(n41625), .B(n57001), .Y(n48270) );
+  sky130_fd_sc_hd__a31oi_1 U65768 ( .A1(n45652), .A2(n45651), .A3(n48270), 
+        .B1(n57153), .Y(n45675) );
+  sky130_fd_sc_hd__a21oi_1 U65769 ( .A1(n48269), .A2(n52165), .B1(n51507), .Y(
+        n57067) );
+  sky130_fd_sc_hd__nor2_1 U65770 ( .A(n37012), .B(n52121), .Y(n47178) );
+  sky130_fd_sc_hd__o21ai_0 U65771 ( .A1(n46321), .A2(n56431), .B1(n52381), .Y(
+        n51485) );
+  sky130_fd_sc_hd__nor4_1 U65772 ( .A(n45654), .B(n57067), .C(n51485), .D(
+        n45653), .Y(n45656) );
+  sky130_fd_sc_hd__nand2_1 U65773 ( .A(n41779), .B(n45655), .Y(n52156) );
+  sky130_fd_sc_hd__a21oi_1 U65774 ( .A1(n45656), .A2(n52156), .B1(n57427), .Y(
+        n45674) );
+  sky130_fd_sc_hd__nor2_1 U65775 ( .A(n56587), .B(n57055), .Y(n45664) );
+  sky130_fd_sc_hd__o22ai_1 U65776 ( .A1(n45664), .A2(n52466), .B1(n57295), 
+        .B2(n56569), .Y(n45663) );
+  sky130_fd_sc_hd__o22ai_1 U65777 ( .A1(n52725), .A2(n57169), .B1(n57317), 
+        .B2(n57316), .Y(n45662) );
+  sky130_fd_sc_hd__nand2_1 U65778 ( .A(n48099), .B(n51834), .Y(n57477) );
+  sky130_fd_sc_hd__o22ai_1 U65779 ( .A1(n45657), .A2(n57480), .B1(n56456), 
+        .B2(n48123), .Y(n45658) );
+  sky130_fd_sc_hd__a21oi_1 U65780 ( .A1(n46006), .A2(n57138), .B1(n45658), .Y(
+        n45660) );
+  sky130_fd_sc_hd__nand2_1 U65781 ( .A(n50263), .B(n45659), .Y(n48512) );
+  sky130_fd_sc_hd__o211ai_1 U65782 ( .A1(n57477), .A2(n52744), .B1(n45660), 
+        .C1(n48512), .Y(n45661) );
+  sky130_fd_sc_hd__nor4_1 U65783 ( .A(n57324), .B(n45663), .C(n45662), .D(
+        n45661), .Y(n45672) );
+  sky130_fd_sc_hd__o22ai_1 U65784 ( .A1(n45664), .A2(n57178), .B1(n50260), 
+        .B2(n57010), .Y(n45670) );
+  sky130_fd_sc_hd__o22ai_1 U65785 ( .A1(n57453), .A2(n57070), .B1(n45665), 
+        .B2(n51561), .Y(n45669) );
+  sky130_fd_sc_hd__nor2_1 U65786 ( .A(n36975), .B(n57004), .Y(n50985) );
+  sky130_fd_sc_hd__nand2_1 U65787 ( .A(n56999), .B(n50985), .Y(n51500) );
+  sky130_fd_sc_hd__o22ai_1 U65788 ( .A1(n50472), .A2(n57015), .B1(n51500), 
+        .B2(n45666), .Y(n45668) );
+  sky130_fd_sc_hd__nor2_1 U65789 ( .A(n57158), .B(n52164), .Y(n48250) );
+  sky130_fd_sc_hd__o22ai_1 U65790 ( .A1(n57192), .A2(n48252), .B1(n57177), 
+        .B2(n57180), .Y(n45667) );
+  sky130_fd_sc_hd__nor4_1 U65791 ( .A(n45670), .B(n45669), .C(n45668), .D(
+        n45667), .Y(n45671) );
+  sky130_fd_sc_hd__o21ai_0 U65792 ( .A1(n45672), .A2(n57325), .B1(n45671), .Y(
+        n45673) );
+  sky130_fd_sc_hd__nor4_1 U65793 ( .A(n45676), .B(n45675), .C(n45674), .D(
+        n45673), .Y(n45677) );
+  sky130_fd_sc_hd__a21oi_1 U65794 ( .A1(n45678), .A2(n45677), .B1(n57517), .Y(
+        n45713) );
+  sky130_fd_sc_hd__a22oi_1 U65795 ( .A1(n52812), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[1]), 
+        .B1(n52813), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[1]), 
+        .Y(n45682) );
+  sky130_fd_sc_hd__a22oi_1 U65796 ( .A1(n56952), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[1]), 
+        .B1(n52810), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[1]), 
+        .Y(n45681) );
+  sky130_fd_sc_hd__a22oi_1 U65797 ( .A1(n52809), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[1]), 
+        .B1(n52811), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[1]), 
+        .Y(n45680) );
+  sky130_fd_sc_hd__a22oi_1 U65798 ( .A1(n52808), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[1]), 
+        .B1(n52814), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[1]), 
+        .Y(n45679) );
+  sky130_fd_sc_hd__nand4_1 U65799 ( .A(n45682), .B(n45681), .C(n45680), .D(
+        n45679), .Y(n45683) );
+  sky130_fd_sc_hd__nand3_1 U65800 ( .A(n52812), .B(n77488), .C(n77487), .Y(
+        n47229) );
+  sky130_fd_sc_hd__nand2_1 U65801 ( .A(n52063), .B(n47230), .Y(n45841) );
+  sky130_fd_sc_hd__a21oi_1 U65802 ( .A1(n52806), .A2(n45683), .B1(n45841), .Y(
+        n45698) );
+  sky130_fd_sc_hd__a22oi_1 U65803 ( .A1(n52811), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[1]), 
+        .B1(n52813), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[1]), 
+        .Y(n45687) );
+  sky130_fd_sc_hd__a22oi_1 U65804 ( .A1(n52812), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[1]), 
+        .B1(n52810), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[1]), 
+        .Y(n45686) );
+  sky130_fd_sc_hd__a22oi_1 U65805 ( .A1(n52814), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[1]), 
+        .B1(n56952), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[1]), 
+        .Y(n45685) );
+  sky130_fd_sc_hd__a22oi_1 U65806 ( .A1(n52809), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[1]), 
+        .B1(n52808), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[1]), 
+        .Y(n45684) );
+  sky130_fd_sc_hd__nand4_1 U65807 ( .A(n45687), .B(n45686), .C(n45685), .D(
+        n45684), .Y(n45688) );
+  sky130_fd_sc_hd__a22oi_1 U65808 ( .A1(n52807), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[1]), 
+        .B1(n52821), .B2(n45688), .Y(n45697) );
+  sky130_fd_sc_hd__a21oi_1 U65809 ( .A1(n45690), .A2(n52827), .B1(n45689), .Y(
+        n45696) );
+  sky130_fd_sc_hd__a31oi_1 U65810 ( .A1(n45691), .A2(n48497), .A3(n52788), 
+        .B1(n50528), .Y(n45693) );
+  sky130_fd_sc_hd__o22ai_1 U65811 ( .A1(n45694), .A2(n45693), .B1(n47235), 
+        .B2(n45692), .Y(n45695) );
+  sky130_fd_sc_hd__or3_1 U65812 ( .A(n43381), .B(n45696), .C(n45695), .X(
+        n45843) );
+  sky130_fd_sc_hd__a21oi_1 U65813 ( .A1(n45698), .A2(n45697), .B1(n45843), .Y(
+        n45712) );
+  sky130_fd_sc_hd__nand2_1 U65814 ( .A(n45700), .B(n45699), .Y(n46382) );
+  sky130_fd_sc_hd__nand2_1 U65815 ( .A(n45702), .B(n45701), .Y(n46423) );
+  sky130_fd_sc_hd__o22ai_1 U65816 ( .A1(n58199), .A2(n46382), .B1(n46423), 
+        .B2(n45703), .Y(n45704) );
+  sky130_fd_sc_hd__a22o_1 U65817 ( .A1(n52780), .A2(n45704), .B1(n38443), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[1]), .X(n45711) );
+  sky130_fd_sc_hd__nand2_1 U65818 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[1]), .B(n57558), .Y(n45707) );
+  sky130_fd_sc_hd__nand2_1 U65819 ( .A(n57570), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[1]), .Y(n45706) );
+  sky130_fd_sc_hd__nand2_1 U65820 ( .A(n57588), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[1]), .Y(n45705) );
+  sky130_fd_sc_hd__nand4_1 U65821 ( .A(n57574), .B(n45707), .C(n45706), .D(
+        n45705), .Y(n45709) );
+  sky130_fd_sc_hd__a22oi_1 U65822 ( .A1(n57587), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__0[1]), .B1(n41794), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[1]), .Y(n45708) );
+  sky130_fd_sc_hd__nand2b_1 U65823 ( .A_N(n45709), .B(n45708), .Y(n45710) );
+  sky130_fd_sc_hd__nor4_1 U65824 ( .A(n45713), .B(n45712), .C(n45711), .D(
+        n45710), .Y(n45737) );
+  sky130_fd_sc_hd__nand2_1 U65825 ( .A(n52690), .B(n50185), .Y(n52477) );
+  sky130_fd_sc_hd__nor2_1 U65826 ( .A(n52477), .B(n46805), .Y(n57245) );
+  sky130_fd_sc_hd__nor2_1 U65827 ( .A(n57496), .B(n46784), .Y(n57221) );
+  sky130_fd_sc_hd__a211oi_1 U65828 ( .A1(n45716), .A2(n45715), .B1(n57221), 
+        .C1(n45714), .Y(n45722) );
+  sky130_fd_sc_hd__a22oi_1 U65829 ( .A1(n57193), .A2(n48234), .B1(n56588), 
+        .B2(n52714), .Y(n57064) );
+  sky130_fd_sc_hd__nand2_1 U65830 ( .A(n57064), .B(n57063), .Y(n45718) );
+  sky130_fd_sc_hd__nand2_1 U65831 ( .A(n52269), .B(n41772), .Y(n46780) );
+  sky130_fd_sc_hd__nand3_1 U65832 ( .A(n57525), .B(n52183), .C(n56581), .Y(
+        n50381) );
+  sky130_fd_sc_hd__nand4_1 U65833 ( .A(n45717), .B(n46780), .C(n50381), .D(
+        n46025), .Y(n48101) );
+  sky130_fd_sc_hd__o22ai_1 U65834 ( .A1(n57549), .A2(n45718), .B1(n38426), 
+        .B2(n48101), .Y(n45721) );
+  sky130_fd_sc_hd__o22ai_1 U65835 ( .A1(n50259), .A2(n52227), .B1(n50261), 
+        .B2(n52263), .Y(n45719) );
+  sky130_fd_sc_hd__o31ai_1 U65836 ( .A1(n45720), .A2(n50327), .A3(n45719), 
+        .B1(n50986), .Y(n48085) );
+  sky130_fd_sc_hd__a31oi_1 U65837 ( .A1(n45722), .A2(n45721), .A3(n48085), 
+        .B1(n57222), .Y(n45735) );
+  sky130_fd_sc_hd__nand2_1 U65838 ( .A(n57407), .B(n52401), .Y(n46817) );
+  sky130_fd_sc_hd__o22ai_1 U65839 ( .A1(n57297), .A2(n46817), .B1(n48114), 
+        .B2(n56444), .Y(n45723) );
+  sky130_fd_sc_hd__nor4_1 U65840 ( .A(n52149), .B(n45724), .C(n56414), .D(
+        n45723), .Y(n45733) );
+  sky130_fd_sc_hd__nor2_1 U65841 ( .A(n57071), .B(n48318), .Y(n57491) );
+  sky130_fd_sc_hd__nand2_1 U65842 ( .A(n52744), .B(n45725), .Y(n45915) );
+  sky130_fd_sc_hd__nor4_1 U65843 ( .A(n45999), .B(n45726), .C(n57491), .D(
+        n45915), .Y(n45732) );
+  sky130_fd_sc_hd__nand2_1 U65844 ( .A(n45727), .B(n57302), .Y(n52097) );
+  sky130_fd_sc_hd__nor2_1 U65845 ( .A(n46492), .B(n48327), .Y(n47219) );
+  sky130_fd_sc_hd__nor2_1 U65846 ( .A(n36838), .B(n51840), .Y(n46305) );
+  sky130_fd_sc_hd__nor3_1 U65847 ( .A(n52109), .B(n46305), .C(n52744), .Y(
+        n45917) );
+  sky130_fd_sc_hd__nor2_1 U65848 ( .A(n52229), .B(n57140), .Y(n46000) );
+  sky130_fd_sc_hd__nor3_1 U65849 ( .A(n56415), .B(n45728), .C(n46000), .Y(
+        n47151) );
+  sky130_fd_sc_hd__nand4_1 U65850 ( .A(n45917), .B(n47151), .C(n45729), .D(
+        n52185), .Y(n45730) );
+  sky130_fd_sc_hd__o31ai_1 U65851 ( .A1(n56429), .A2(n47219), .A3(n45730), 
+        .B1(n57361), .Y(n45731) );
+  sky130_fd_sc_hd__a31oi_1 U65852 ( .A1(n45733), .A2(n45732), .A3(n46818), 
+        .B1(n45731), .Y(n45734) );
+  sky130_fd_sc_hd__a211oi_1 U65853 ( .A1(n57245), .A2(n57525), .B1(n45735), 
+        .C1(n45734), .Y(n45736) );
+  sky130_fd_sc_hd__nand4_1 U65854 ( .A(n45739), .B(n45738), .C(n45737), .D(
+        n45736), .Y(n84485) );
+  sky130_fd_sc_hd__mux2_2 U65855 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[33]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[1]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .X(n85342) );
+  sky130_fd_sc_hd__nand2_1 U65856 ( .A(n54312), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[33]), .Y(n45741) );
+  sky130_fd_sc_hd__nand2_1 U65857 ( .A(n54307), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[1]), .Y(n45740) );
+  sky130_fd_sc_hd__nand2_1 U65858 ( .A(n45741), .B(n45740), .Y(n84768) );
+  sky130_fd_sc_hd__nand2_1 U65859 ( .A(n54218), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_1[0]), .Y(
+        n45742) );
+  sky130_fd_sc_hd__o21ai_1 U65860 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_1[0]), .A2(
+        n54216), .B1(n45742), .Y(n45743) );
+  sky130_fd_sc_hd__o21ai_1 U65861 ( .A1(n45743), .A2(n36791), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_1[1]), .Y(
+        n45752) );
+  sky130_fd_sc_hd__nor2_1 U65862 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_1[1]), .B(
+        n54220), .Y(n45744) );
+  sky130_fd_sc_hd__o21ai_1 U65863 ( .A1(n45744), .A2(n36790), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_1[0]), .Y(
+        n45751) );
+  sky130_fd_sc_hd__nand2_1 U65864 ( .A(n45747), .B(n45746), .Y(n45748) );
+  sky130_fd_sc_hd__xor2_1 U65865 ( .A(n45748), .B(n45933), .X(n45749) );
+  sky130_fd_sc_hd__a22oi_1 U65866 ( .A1(n54228), .A2(n45749), .B1(n52494), 
+        .B2(n84768), .Y(n45750) );
+  sky130_fd_sc_hd__nand3_1 U65867 ( .A(n45752), .B(n45751), .C(n45750), .Y(
+        n84345) );
+  sky130_fd_sc_hd__nand2_1 U65868 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[33]), .Y(n45754) );
+  sky130_fd_sc_hd__nand2_1 U65869 ( .A(n54996), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[1]), .Y(n45753) );
+  sky130_fd_sc_hd__nand2_1 U65870 ( .A(n45754), .B(n45753), .Y(n85583) );
+  sky130_fd_sc_hd__a22oi_1 U65871 ( .A1(n41794), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[0]), .B1(n37065), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[32]), .Y(n45758) );
+  sky130_fd_sc_hd__o22ai_1 U65872 ( .A1(n58242), .A2(n46382), .B1(n46423), 
+        .B2(n58182), .Y(n45755) );
+  sky130_fd_sc_hd__a22oi_1 U65873 ( .A1(n52780), .A2(n45755), .B1(n57587), 
+        .B2(MarmotCaravelChip_dut_sys_clint_oldBytes__0[0]), .Y(n45757) );
+  sky130_fd_sc_hd__a22oi_1 U65874 ( .A1(n57571), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[32]), .B1(n38443), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[0]), .Y(n45756) );
+  sky130_fd_sc_hd__nand3_1 U65875 ( .A(n45758), .B(n45757), .C(n45756), .Y(
+        n45848) );
+  sky130_fd_sc_hd__a22oi_1 U65876 ( .A1(n57588), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[0]), .B1(n56525), .B2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__4[0]), .Y(n45831) );
+  sky130_fd_sc_hd__o22ai_1 U65877 ( .A1(n45759), .A2(n46426), .B1(n46428), 
+        .B2(n37775), .Y(n45767) );
+  sky130_fd_sc_hd__o22ai_1 U65878 ( .A1(n45762), .A2(n45761), .B1(n45760), 
+        .B2(n67734), .Y(n45766) );
+  sky130_fd_sc_hd__o22ai_1 U65879 ( .A1(n45763), .A2(n67738), .B1(n37855), 
+        .B2(n67729), .Y(n45765) );
+  sky130_fd_sc_hd__a22o_1 U65880 ( .A1(n62962), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_29[0]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_25[0]), 
+        .B2(n67710), .X(n45764) );
+  sky130_fd_sc_hd__nor4_1 U65881 ( .A(n45767), .B(n45766), .C(n45765), .D(
+        n45764), .Y(n45782) );
+  sky130_fd_sc_hd__o22ai_1 U65882 ( .A1(n57785), .A2(n46421), .B1(n46420), 
+        .B2(n58181), .Y(n45776) );
+  sky130_fd_sc_hd__o22ai_1 U65883 ( .A1(n57786), .A2(n46427), .B1(n46429), 
+        .B2(n45768), .Y(n45775) );
+  sky130_fd_sc_hd__a22oi_1 U65884 ( .A1(n67717), .A2(n58013), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_24[0]), 
+        .B2(n67697), .Y(n45769) );
+  sky130_fd_sc_hd__o21ai_0 U65885 ( .A1(n46457), .A2(n45770), .B1(n45769), .Y(
+        n45774) );
+  sky130_fd_sc_hd__a22oi_1 U65886 ( .A1(n46454), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_55[0]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_40[0]), 
+        .B2(n46453), .Y(n45771) );
+  sky130_fd_sc_hd__o21ai_1 U65887 ( .A1(n46424), .A2(n45772), .B1(n45771), .Y(
+        n45773) );
+  sky130_fd_sc_hd__nor4_1 U65888 ( .A(n45776), .B(n45775), .C(n45774), .D(
+        n45773), .Y(n45781) );
+  sky130_fd_sc_hd__o22ai_1 U65889 ( .A1(n45778), .A2(n46461), .B1(n45777), 
+        .B2(n57968), .Y(n45779) );
+  sky130_fd_sc_hd__a21oi_1 U65890 ( .A1(n52774), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[3]), .B1(n45779), .Y(n45780) );
+  sky130_fd_sc_hd__nand3_1 U65891 ( .A(n45782), .B(n45781), .C(n45780), .Y(
+        n45817) );
+  sky130_fd_sc_hd__o22ai_1 U65892 ( .A1(n57967), .A2(n45784), .B1(n46440), 
+        .B2(n45783), .Y(n45793) );
+  sky130_fd_sc_hd__o22ai_1 U65893 ( .A1(n45786), .A2(n46441), .B1(n46438), 
+        .B2(n45785), .Y(n45792) );
+  sky130_fd_sc_hd__o22ai_1 U65894 ( .A1(n45788), .A2(n46443), .B1(n46437), 
+        .B2(n45787), .Y(n45791) );
+  sky130_fd_sc_hd__o22ai_1 U65895 ( .A1(n57859), .A2(n46444), .B1(n46449), 
+        .B2(n45789), .Y(n45790) );
+  sky130_fd_sc_hd__nor4_1 U65896 ( .A(n45793), .B(n45792), .C(n45791), .D(
+        n45790), .Y(n45815) );
+  sky130_fd_sc_hd__a22oi_1 U65897 ( .A1(n67803), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_41[0]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_43[0]), 
+        .B2(n67891), .Y(n45795) );
+  sky130_fd_sc_hd__a22oi_1 U65898 ( .A1(n46411), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_51[0]), 
+        .B1(n67721), .B2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_27[0]), 
+        .Y(n45794) );
+  sky130_fd_sc_hd__nand2_1 U65899 ( .A(n45795), .B(n45794), .Y(n45796) );
+  sky130_fd_sc_hd__a21oi_1 U65900 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[31]), 
+        .A2(n52770), .B1(n45796), .Y(n45814) );
+  sky130_fd_sc_hd__nor2_1 U65901 ( .A(n45797), .B(n46386), .Y(n45800) );
+  sky130_fd_sc_hd__o22ai_1 U65902 ( .A1(n37620), .A2(n46370), .B1(n46369), 
+        .B2(n45798), .Y(n45799) );
+  sky130_fd_sc_hd__a211oi_1 U65903 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_45[0]), 
+        .A2(n67806), .B1(n45800), .C1(n45799), .Y(n45813) );
+  sky130_fd_sc_hd__a22oi_1 U65904 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_44[0]), 
+        .A2(n67719), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_39[0]), 
+        .B2(n46375), .Y(n45802) );
+  sky130_fd_sc_hd__a22oi_1 U65905 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_42[0]), 
+        .A2(n67709), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_46[0]), 
+        .B2(n46372), .Y(n45801) );
+  sky130_fd_sc_hd__nand2_1 U65906 ( .A(n45802), .B(n45801), .Y(n45806) );
+  sky130_fd_sc_hd__a222oi_1 U65907 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_23[0]), 
+        .A2(n46375), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_28[0]), 
+        .B2(n67719), .C1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_26[0]), 
+        .C2(n67709), .Y(n45804) );
+  sky130_fd_sc_hd__a222oi_1 U65908 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_10[0]), 
+        .A2(n67709), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_12[0]), 
+        .B2(n67719), .C1(n46376), .C2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_8[0]), 
+        .Y(n45803) );
+  sky130_fd_sc_hd__o22ai_1 U65909 ( .A1(n67680), .A2(n45804), .B1(n67750), 
+        .B2(n45803), .Y(n45805) );
+  sky130_fd_sc_hd__a21oi_1 U65910 ( .A1(n62942), .A2(n45806), .B1(n45805), .Y(
+        n45808) );
+  sky130_fd_sc_hd__a22oi_1 U65911 ( .A1(n46450), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_14[0]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_7[0]), 
+        .B2(n62958), .Y(n45807) );
+  sky130_fd_sc_hd__o211ai_1 U65912 ( .A1(n45810), .A2(n45809), .B1(n45808), 
+        .C1(n45807), .Y(n45811) );
+  sky130_fd_sc_hd__a21oi_1 U65913 ( .A1(n46392), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_20[0]), 
+        .B1(n45811), .Y(n45812) );
+  sky130_fd_sc_hd__nand4_1 U65914 ( .A(n45815), .B(n45814), .C(n45813), .D(
+        n45812), .Y(n45816) );
+  sky130_fd_sc_hd__a211oi_1 U65915 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_prepend_39[0]), 
+        .A2(n61468), .B1(n45817), .C1(n45816), .Y(n45824) );
+  sky130_fd_sc_hd__a22oi_1 U65916 ( .A1(n66956), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1327[0]), .B1(
+        n66945), .B2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_610[0]), .Y(
+        n62057) );
+  sky130_fd_sc_hd__o22ai_1 U65917 ( .A1(n45819), .A2(n46408), .B1(n46399), 
+        .B2(n45818), .Y(n45821) );
+  sky130_fd_sc_hd__o22ai_1 U65918 ( .A1(n57860), .A2(n46410), .B1(n46400), 
+        .B2(n38211), .Y(n45820) );
+  sky130_fd_sc_hd__a211o_1 U65919 ( .A1(n52775), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[31]), 
+        .B1(n45821), .C1(n45820), .X(n45822) );
+  sky130_fd_sc_hd__a21oi_1 U65920 ( .A1(n61467), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_prepend_38[0]), 
+        .B1(n45822), .Y(n45823) );
+  sky130_fd_sc_hd__a31oi_1 U65921 ( .A1(n45824), .A2(n62057), .A3(n45823), 
+        .B1(n46470), .Y(n45825) );
+  sky130_fd_sc_hd__a21oi_1 U65922 ( .A1(n57570), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[0]), .B1(n45825), .Y(
+        n45830) );
+  sky130_fd_sc_hd__nor4b_1 U65923 ( .D_N(
+        MarmotCaravelChip_dut_sys_clint_auto_int_out_0), .A(n45827), .B(n77646), .C(n45826), .Y(n45828) );
+  sky130_fd_sc_hd__a22oi_1 U65924 ( .A1(n77644), .A2(n45828), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[0]), .B2(n57558), .Y(n45829) );
+  sky130_fd_sc_hd__a22oi_1 U65925 ( .A1(n56952), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[0]), 
+        .B1(n52810), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[0]), 
+        .Y(n45835) );
+  sky130_fd_sc_hd__a22oi_1 U65926 ( .A1(n52808), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[0]), 
+        .B1(n52814), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[0]), 
+        .Y(n45834) );
+  sky130_fd_sc_hd__a22oi_1 U65927 ( .A1(n52809), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[0]), 
+        .B1(n52813), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[0]), 
+        .Y(n45833) );
+  sky130_fd_sc_hd__a22oi_1 U65928 ( .A1(n52812), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[0]), 
+        .B1(n52811), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[0]), 
+        .Y(n45832) );
+  sky130_fd_sc_hd__nand4_1 U65929 ( .A(n45835), .B(n45834), .C(n45833), .D(
+        n45832), .Y(n45836) );
+  sky130_fd_sc_hd__a22oi_1 U65930 ( .A1(n52807), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[0]), 
+        .B1(n52821), .B2(n45836), .Y(n45845) );
+  sky130_fd_sc_hd__a22oi_1 U65931 ( .A1(n52809), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[0]), 
+        .B1(n52814), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[0]), 
+        .Y(n45840) );
+  sky130_fd_sc_hd__a22oi_1 U65932 ( .A1(n56952), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[0]), 
+        .B1(n52810), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[0]), 
+        .Y(n45839) );
+  sky130_fd_sc_hd__a22oi_1 U65933 ( .A1(n52812), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[0]), 
+        .B1(n52811), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[0]), 
+        .Y(n45838) );
+  sky130_fd_sc_hd__a22oi_1 U65934 ( .A1(n52808), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[0]), 
+        .B1(n52813), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[0]), 
+        .Y(n45837) );
+  sky130_fd_sc_hd__nand4_1 U65935 ( .A(n45840), .B(n45839), .C(n45838), .D(
+        n45837), .Y(n45842) );
+  sky130_fd_sc_hd__a21oi_1 U65936 ( .A1(n52806), .A2(n45842), .B1(n45841), .Y(
+        n45844) );
+  sky130_fd_sc_hd__a21oi_1 U65937 ( .A1(n45845), .A2(n45844), .B1(n45843), .Y(
+        n45846) );
+  sky130_fd_sc_hd__or3_1 U65938 ( .A(n45848), .B(n45847), .C(n45846), .X(
+        n45853) );
+  sky130_fd_sc_hd__o22ai_1 U65939 ( .A1(n57011), .A2(n52270), .B1(n57483), 
+        .B2(n57433), .Y(n45849) );
+  sky130_fd_sc_hd__a31oi_1 U65940 ( .A1(n57508), .A2(n52744), .A3(n47079), 
+        .B1(n45849), .Y(n52739) );
+  sky130_fd_sc_hd__nand2_1 U65941 ( .A(n52412), .B(n52710), .Y(n45867) );
+  sky130_fd_sc_hd__a21oi_1 U65942 ( .A1(n47198), .A2(n48311), .B1(n52744), .Y(
+        n45850) );
+  sky130_fd_sc_hd__a21oi_1 U65943 ( .A1(n47084), .A2(n45867), .B1(n45850), .Y(
+        n45851) );
+  sky130_fd_sc_hd__a21oi_1 U65944 ( .A1(n52739), .A2(n45851), .B1(n56606), .Y(
+        n45852) );
+  sky130_fd_sc_hd__nor2_1 U65945 ( .A(n45853), .B(n45852), .Y(n45924) );
+  sky130_fd_sc_hd__o22ai_1 U65946 ( .A1(n56422), .A2(n57020), .B1(n51863), 
+        .B2(n57453), .Y(n45865) );
+  sky130_fd_sc_hd__o22ai_1 U65947 ( .A1(n50378), .A2(n57437), .B1(n48252), 
+        .B2(n45854), .Y(n45864) );
+  sky130_fd_sc_hd__nor2_1 U65948 ( .A(n57529), .B(n57181), .Y(n48306) );
+  sky130_fd_sc_hd__o22ai_1 U65949 ( .A1(n57481), .A2(n57480), .B1(n45855), 
+        .B2(n51506), .Y(n45858) );
+  sky130_fd_sc_hd__o21ai_1 U65951 ( .A1(n56568), .A2(n52728), .B1(n45856), .Y(
+        n45857) );
+  sky130_fd_sc_hd__nor3_1 U65952 ( .A(n48306), .B(n45858), .C(n45857), .Y(
+        n45862) );
+  sky130_fd_sc_hd__nor2_1 U65953 ( .A(n56993), .B(n52182), .Y(n45860) );
+  sky130_fd_sc_hd__nor2_1 U65954 ( .A(n48290), .B(n57063), .Y(n48272) );
+  sky130_fd_sc_hd__nor4_1 U65956 ( .A(n48278), .B(n45860), .C(n48272), .D(
+        n46778), .Y(n45861) );
+  sky130_fd_sc_hd__o22ai_1 U65957 ( .A1(n45862), .A2(n52747), .B1(n45861), 
+        .B2(n57061), .Y(n45863) );
+  sky130_fd_sc_hd__nor4_1 U65958 ( .A(n45866), .B(n45865), .C(n45864), .D(
+        n45863), .Y(n45922) );
+  sky130_fd_sc_hd__nor2_1 U65959 ( .A(n50450), .B(n50180), .Y(n50241) );
+  sky130_fd_sc_hd__a21oi_1 U65960 ( .A1(n52741), .A2(n41779), .B1(n50241), .Y(
+        n50982) );
+  sky130_fd_sc_hd__o211ai_1 U65962 ( .A1(n50448), .A2(n52217), .B1(n50982), 
+        .C1(n45868), .Y(n45869) );
+  sky130_fd_sc_hd__a21oi_1 U65963 ( .A1(n56543), .A2(n50393), .B1(n45869), .Y(
+        n45871) );
+  sky130_fd_sc_hd__nand2_1 U65964 ( .A(n50479), .B(n57485), .Y(n47190) );
+  sky130_fd_sc_hd__nand2_1 U65965 ( .A(n46334), .B(n52151), .Y(n45870) );
+  sky130_fd_sc_hd__a31oi_1 U65966 ( .A1(n45871), .A2(n47190), .A3(n45870), 
+        .B1(n57429), .Y(n45908) );
+  sky130_fd_sc_hd__nand2_1 U65967 ( .A(n50424), .B(n57192), .Y(n50503) );
+  sky130_fd_sc_hd__nor3_1 U65968 ( .A(n52747), .B(n57021), .C(n50503), .Y(
+        n51523) );
+  sky130_fd_sc_hd__a31oi_1 U65969 ( .A1(n47076), .A2(n41772), .A3(n50422), 
+        .B1(n51523), .Y(n45889) );
+  sky130_fd_sc_hd__o22ai_1 U65970 ( .A1(n46781), .A2(n56991), .B1(n52692), 
+        .B2(n52229), .Y(n45872) );
+  sky130_fd_sc_hd__a21oi_1 U65971 ( .A1(n56481), .A2(n57485), .B1(n45872), .Y(
+        n45888) );
+  sky130_fd_sc_hd__o22ai_1 U65972 ( .A1(n57016), .A2(n52426), .B1(n57004), 
+        .B2(n57457), .Y(n45873) );
+  sky130_fd_sc_hd__a21oi_1 U65973 ( .A1(n56999), .A2(n45874), .B1(n45873), .Y(
+        n45887) );
+  sky130_fd_sc_hd__nand2_1 U65974 ( .A(n50919), .B(n50918), .Y(n45875) );
+  sky130_fd_sc_hd__a31oi_1 U65975 ( .A1(n57420), .A2(n45875), .A3(n52085), 
+        .B1(n57427), .Y(n45884) );
+  sky130_fd_sc_hd__o22ai_1 U65976 ( .A1(n48162), .A2(n57455), .B1(n57297), 
+        .B2(n52230), .Y(n45883) );
+  sky130_fd_sc_hd__nand3_1 U65977 ( .A(n57123), .B(n56989), .C(n50931), .Y(
+        n45881) );
+  sky130_fd_sc_hd__nand3_1 U65978 ( .A(n37029), .B(n50990), .C(n48234), .Y(
+        n46308) );
+  sky130_fd_sc_hd__nand2_1 U65979 ( .A(n52167), .B(n50392), .Y(n57444) );
+  sky130_fd_sc_hd__nand2_1 U65980 ( .A(n52699), .B(n48064), .Y(n50410) );
+  sky130_fd_sc_hd__o22ai_1 U65981 ( .A1(n57408), .A2(n57444), .B1(n41780), 
+        .B2(n50410), .Y(n45877) );
+  sky130_fd_sc_hd__nor2_1 U65982 ( .A(n56981), .B(n46048), .Y(n50363) );
+  sky130_fd_sc_hd__o22ai_1 U65983 ( .A1(n50363), .A2(n57448), .B1(n57192), 
+        .B2(n57003), .Y(n45876) );
+  sky130_fd_sc_hd__nor4b_1 U65984 ( .D_N(n46308), .A(n45878), .B(n45877), .C(
+        n45876), .Y(n45880) );
+  sky130_fd_sc_hd__nand3_1 U65985 ( .A(n48329), .B(n51552), .C(n48162), .Y(
+        n45879) );
+  sky130_fd_sc_hd__o211ai_1 U65986 ( .A1(n52072), .A2(n45881), .B1(n45880), 
+        .C1(n45879), .Y(n45882) );
+  sky130_fd_sc_hd__nor4_1 U65987 ( .A(n45885), .B(n45884), .C(n45883), .D(
+        n45882), .Y(n45886) );
+  sky130_fd_sc_hd__nand4_1 U65988 ( .A(n45889), .B(n45888), .C(n45887), .D(
+        n45886), .Y(n45907) );
+  sky130_fd_sc_hd__nor2_1 U65989 ( .A(n52699), .B(n57135), .Y(n56462) );
+  sky130_fd_sc_hd__nor2_1 U65990 ( .A(n52144), .B(n57334), .Y(n51502) );
+  sky130_fd_sc_hd__nand2_1 U65991 ( .A(n56542), .B(n57123), .Y(n50232) );
+  sky130_fd_sc_hd__o22ai_1 U65992 ( .A1(n56540), .A2(n56431), .B1(n50232), 
+        .B2(n45890), .Y(n45891) );
+  sky130_fd_sc_hd__nor4b_1 U65993 ( .D_N(n57522), .A(n56462), .B(n51502), .C(
+        n45891), .Y(n45895) );
+  sky130_fd_sc_hd__o21ai_1 U65994 ( .A1(n57422), .A2(n50252), .B1(n51531), .Y(
+        n45892) );
+  sky130_fd_sc_hd__nor3_1 U65995 ( .A(n57151), .B(n45893), .C(n45892), .Y(
+        n45894) );
+  sky130_fd_sc_hd__o22ai_1 U65996 ( .A1(n45895), .A2(n57158), .B1(n45894), 
+        .B2(n51561), .Y(n45906) );
+  sky130_fd_sc_hd__nand2_1 U65997 ( .A(n50935), .B(n48130), .Y(n46046) );
+  sky130_fd_sc_hd__o211ai_1 U65998 ( .A1(n50342), .A2(n52691), .B1(n45897), 
+        .C1(n45896), .Y(n57516) );
+  sky130_fd_sc_hd__nand2_1 U65999 ( .A(n57036), .B(n57510), .Y(n51490) );
+  sky130_fd_sc_hd__nor4_1 U66000 ( .A(n45898), .B(n46046), .C(n57516), .D(
+        n51490), .Y(n45904) );
+  sky130_fd_sc_hd__nand3_1 U66001 ( .A(n50381), .B(n45899), .C(n57541), .Y(
+        n48320) );
+  sky130_fd_sc_hd__o21ai_1 U66002 ( .A1(n57188), .A2(n57485), .B1(n46780), .Y(
+        n45902) );
+  sky130_fd_sc_hd__nor4_1 U66004 ( .A(n57162), .B(n48320), .C(n45902), .D(
+        n45901), .Y(n45903) );
+  sky130_fd_sc_hd__o22ai_1 U66005 ( .A1(n45904), .A2(n57313), .B1(n45903), 
+        .B2(n56451), .Y(n45905) );
+  sky130_fd_sc_hd__nor4_1 U66006 ( .A(n45908), .B(n45907), .C(n45906), .D(
+        n45905), .Y(n45921) );
+  sky130_fd_sc_hd__a21oi_1 U66007 ( .A1(n48303), .A2(n52194), .B1(n48304), .Y(
+        n45910) );
+  sky130_fd_sc_hd__nand2_1 U66008 ( .A(n48290), .B(n52269), .Y(n45909) );
+  sky130_fd_sc_hd__a21oi_1 U66009 ( .A1(n45910), .A2(n45909), .B1(n56431), .Y(
+        n50164) );
+  sky130_fd_sc_hd__nand2_1 U66010 ( .A(n36774), .B(n56566), .Y(n56452) );
+  sky130_fd_sc_hd__nor2_1 U66011 ( .A(n45911), .B(n46783), .Y(n48132) );
+  sky130_fd_sc_hd__nand2_1 U66012 ( .A(n48132), .B(n52080), .Y(n52190) );
+  sky130_fd_sc_hd__a21oi_1 U66013 ( .A1(n57143), .A2(n57163), .B1(n46017), .Y(
+        n45913) );
+  sky130_fd_sc_hd__nor2_1 U66014 ( .A(n52199), .B(n56570), .Y(n56427) );
+  sky130_fd_sc_hd__a21oi_1 U66015 ( .A1(n52183), .A2(n50174), .B1(n56427), .Y(
+        n45912) );
+  sky130_fd_sc_hd__nand2_1 U66016 ( .A(n57473), .B(n50439), .Y(n48286) );
+  sky130_fd_sc_hd__nand4_1 U66017 ( .A(n45913), .B(n45912), .C(n46817), .D(
+        n48286), .Y(n45914) );
+  sky130_fd_sc_hd__nor4_1 U66018 ( .A(n50164), .B(n45915), .C(n52190), .D(
+        n45914), .Y(n45916) );
+  sky130_fd_sc_hd__nand2_1 U66019 ( .A(n57549), .B(n56429), .Y(n52757) );
+  sky130_fd_sc_hd__o211ai_1 U66020 ( .A1(n45917), .A2(n45916), .B1(n57121), 
+        .C1(n52757), .Y(n45918) );
+  sky130_fd_sc_hd__a31oi_1 U66022 ( .A1(n45922), .A2(n45921), .A3(n45920), 
+        .B1(n57517), .Y(n45923) );
+  sky130_fd_sc_hd__nor2b_1 U66023 ( .B_N(n45924), .A(n45923), .Y(n45925) );
+  sky130_fd_sc_hd__mux2_2 U66024 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[32]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[0]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .X(n85323) );
+  sky130_fd_sc_hd__nand2_1 U66025 ( .A(n54312), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[32]), .Y(n45927) );
+  sky130_fd_sc_hd__nand2_1 U66026 ( .A(n54307), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[0]), .Y(n45926) );
+  sky130_fd_sc_hd__nand2_1 U66027 ( .A(n45927), .B(n45926), .Y(n84740) );
+  sky130_fd_sc_hd__nand2_1 U66028 ( .A(n54218), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_0[0]), .Y(
+        n45928) );
+  sky130_fd_sc_hd__o21ai_1 U66030 ( .A1(n45929), .A2(n36791), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_0[1]), .Y(
+        n45938) );
+  sky130_fd_sc_hd__nor2_1 U66031 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_0[1]), .B(
+        n54220), .Y(n45930) );
+  sky130_fd_sc_hd__a22oi_1 U66033 ( .A1(n54228), .A2(n45935), .B1(n52494), 
+        .B2(n84740), .Y(n45936) );
+  sky130_fd_sc_hd__nand3_1 U66034 ( .A(n45938), .B(n45937), .C(n45936), .Y(
+        n84373) );
+  sky130_fd_sc_hd__nand2_1 U66035 ( .A(n45939), .B(n70399), .Y(n45942) );
+  sky130_fd_sc_hd__nor2_1 U66036 ( .A(n45941), .B(n55614), .Y(n45940) );
+  sky130_fd_sc_hd__a21o_1 U66037 ( .A1(n45942), .A2(n45941), .B1(n45940), .X(
+        n72160) );
+  sky130_fd_sc_hd__nand2_1 U66038 ( .A(n85823), .B(n72568), .Y(n82476) );
+  sky130_fd_sc_hd__a22oi_1 U66039 ( .A1(n64977), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[20]), .B1(n60103), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[20]), .Y(n45964) );
+  sky130_fd_sc_hd__a22oi_1 U66040 ( .A1(n65637), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[20]), .B1(n64210), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_isa[20]), .Y(n45963) );
+  sky130_fd_sc_hd__nand2_1 U66041 ( .A(n64975), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[20]), .Y(n45954) );
+  sky130_fd_sc_hd__nand2_1 U66042 ( .A(n57630), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[20]), .Y(n45949) );
+  sky130_fd_sc_hd__a22oi_1 U66043 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_a[1]), .A2(n64084), .B1(n57613), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[20]), .Y(n45948) );
+  sky130_fd_sc_hd__nand2_1 U66044 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[20]), .B(n64980), .Y(n45947) );
+  sky130_fd_sc_hd__o22a_1 U66045 ( .A1(n74315), .A2(n45945), .B1(n45944), .B2(
+        n45943), .X(n45946) );
+  sky130_fd_sc_hd__nand4_1 U66046 ( .A(n45949), .B(n45948), .C(n45947), .D(
+        n45946), .Y(n45950) );
+  sky130_fd_sc_hd__a21oi_1 U66047 ( .A1(n60025), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[20]), .B1(n45950), .Y(n45953) );
+  sky130_fd_sc_hd__nand2_1 U66048 ( .A(n57625), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[20]), .Y(n45951) );
+  sky130_fd_sc_hd__nand4_1 U66049 ( .A(n45954), .B(n45953), .C(n45952), .D(
+        n45951), .Y(n45960) );
+  sky130_fd_sc_hd__o22ai_1 U66050 ( .A1(n74477), .A2(n60030), .B1(n71023), 
+        .B2(n60034), .Y(n45959) );
+  sky130_fd_sc_hd__nand2_1 U66051 ( .A(n57634), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[20]), .Y(n45957) );
+  sky130_fd_sc_hd__a22oi_1 U66052 ( .A1(n45955), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[52]), .B1(n57622), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[20]), .Y(n45956) );
+  sky130_fd_sc_hd__o211ai_1 U66053 ( .A1(n60043), .A2(n74878), .B1(n45957), 
+        .C1(n45956), .Y(n45958) );
+  sky130_fd_sc_hd__nor3_1 U66054 ( .A(n45960), .B(n45959), .C(n45958), .Y(
+        n45962) );
+  sky130_fd_sc_hd__nand2_1 U66055 ( .A(n57619), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[52]), .Y(n45961) );
+  sky130_fd_sc_hd__nand4_1 U66056 ( .A(n45964), .B(n45963), .C(n45962), .D(
+        n45961), .Y(n45971) );
+  sky130_fd_sc_hd__a22oi_1 U66057 ( .A1(n57618), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[20]), .B1(n65602), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[20]), .Y(n45969) );
+  sky130_fd_sc_hd__a22oi_1 U66058 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_cfg_a[1]), .A2(n64223), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[20]), .B2(n60096), .Y(n45968) );
+  sky130_fd_sc_hd__a22oi_1 U66059 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[20]), .A2(n60100), .B1(n45965), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_medeleg[20]), .Y(n45967) );
+  sky130_fd_sc_hd__a22oi_1 U66060 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[20]), .A2(n60093), .B1(n60104), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[20]), .Y(n45966) );
+  sky130_fd_sc_hd__nand4_1 U66061 ( .A(n45969), .B(n45968), .C(n45967), .D(
+        n45966), .Y(n45970) );
+  sky130_fd_sc_hd__nor2_1 U66062 ( .A(n45971), .B(n45970), .Y(n45980) );
+  sky130_fd_sc_hd__nor2_1 U66063 ( .A(n57607), .B(n45980), .Y(n45973) );
+  sky130_fd_sc_hd__mux2_2 U66064 ( .A0(n55614), .A1(n45973), .S(n45972), .X(
+        n85749) );
+  sky130_fd_sc_hd__a21oi_1 U66065 ( .A1(n57663), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[20]), .B1(n57661), .Y(n45978) );
+  sky130_fd_sc_hd__nand2_1 U66066 ( .A(n65309), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[20]), .Y(n45975) );
+  sky130_fd_sc_hd__nand2_1 U66067 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[52]), .B(n57658), .Y(n45974) );
+  sky130_fd_sc_hd__nand2_1 U66068 ( .A(n45975), .B(n45974), .Y(n65652) );
+  sky130_fd_sc_hd__a22oi_1 U66069 ( .A1(n57662), .A2(n65652), .B1(n45976), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[20]), .Y(n45977) );
+  sky130_fd_sc_hd__o211ai_1 U66070 ( .A1(n45980), .A2(n45979), .B1(n45978), 
+        .C1(n45977), .Y(n85600) );
+  sky130_fd_sc_hd__nor2_1 U66071 ( .A(n78424), .B(n56044), .Y(n84386) );
+  sky130_fd_sc_hd__nand2_1 U66072 ( .A(n54312), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[52]), .Y(n45982) );
+  sky130_fd_sc_hd__nand2_1 U66073 ( .A(n54795), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[20]), .Y(n45981) );
+  sky130_fd_sc_hd__nand2_1 U66074 ( .A(n45982), .B(n45981), .Y(n84752) );
+  sky130_fd_sc_hd__nor2_1 U66075 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_20[0]), .B(
+        n54216), .Y(n45983) );
+  sky130_fd_sc_hd__o21ai_1 U66076 ( .A1(n45983), .A2(n36791), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_20[1]), .Y(
+        n45995) );
+  sky130_fd_sc_hd__nand2_1 U66077 ( .A(n54218), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_20[1]), .Y(
+        n45984) );
+  sky130_fd_sc_hd__o21ai_1 U66078 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_20[1]), .A2(
+        n54220), .B1(n45984), .Y(n45985) );
+  sky130_fd_sc_hd__o21ai_1 U66079 ( .A1(n45985), .A2(n36790), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_20[0]), .Y(
+        n45994) );
+  sky130_fd_sc_hd__nand2_1 U66080 ( .A(n51930), .B(n51928), .Y(n45991) );
+  sky130_fd_sc_hd__xnor2_1 U66082 ( .A(n45991), .B(n51931), .Y(n45992) );
+  sky130_fd_sc_hd__a22oi_1 U66083 ( .A1(n54228), .A2(n45992), .B1(n52494), 
+        .B2(n84752), .Y(n45993) );
+  sky130_fd_sc_hd__nand3_1 U66084 ( .A(n45995), .B(n45994), .C(n45993), .Y(
+        n84362) );
+  sky130_fd_sc_hd__nand2b_1 U66085 ( .A_N(n45997), .B(n45996), .Y(n57268) );
+  sky130_fd_sc_hd__nand2_1 U66086 ( .A(n57491), .B(n52744), .Y(n45998) );
+  sky130_fd_sc_hd__a31oi_1 U66087 ( .A1(n57268), .A2(n48512), .A3(n45998), 
+        .B1(n52747), .Y(n46066) );
+  sky130_fd_sc_hd__nor2_1 U66088 ( .A(n57040), .B(n56995), .Y(n48108) );
+  sky130_fd_sc_hd__nor4b_1 U66089 ( .D_N(n57536), .A(n56567), .B(n46000), .C(
+        n48108), .Y(n46003) );
+  sky130_fd_sc_hd__a21oi_1 U66090 ( .A1(n52121), .A2(n48318), .B1(n46713), .Y(
+        n46001) );
+  sky130_fd_sc_hd__a21oi_1 U66091 ( .A1(n48242), .A2(n51495), .B1(n46001), .Y(
+        n46002) );
+  sky130_fd_sc_hd__a21oi_1 U66092 ( .A1(n46003), .A2(n46002), .B1(n57061), .Y(
+        n46065) );
+  sky130_fd_sc_hd__o21a_1 U66093 ( .A1(n57544), .A2(n57457), .B1(n46308), .X(
+        n46011) );
+  sky130_fd_sc_hd__nand2_1 U66094 ( .A(n50990), .B(n56462), .Y(n51006) );
+  sky130_fd_sc_hd__nor3_1 U66095 ( .A(n57158), .B(n51822), .C(n57489), .Y(
+        n47083) );
+  sky130_fd_sc_hd__o22ai_1 U66096 ( .A1(n50239), .A2(n48251), .B1(n50328), 
+        .B2(n57180), .Y(n46005) );
+  sky130_fd_sc_hd__nand2_1 U66097 ( .A(n56989), .B(n46320), .Y(n52703) );
+  sky130_fd_sc_hd__nand2_1 U66098 ( .A(n50462), .B(n57422), .Y(n50460) );
+  sky130_fd_sc_hd__o22ai_1 U66099 ( .A1(n51835), .A2(n52703), .B1(n48252), 
+        .B2(n50460), .Y(n46004) );
+  sky130_fd_sc_hd__nor4b_1 U66100 ( .D_N(n51006), .A(n47083), .B(n46005), .C(
+        n46004), .Y(n46010) );
+  sky130_fd_sc_hd__nand2_1 U66101 ( .A(n51481), .B(n46006), .Y(n46488) );
+  sky130_fd_sc_hd__o21ai_1 U66102 ( .A1(n57262), .A2(n51010), .B1(n46488), .Y(
+        n46007) );
+  sky130_fd_sc_hd__nand2_1 U66103 ( .A(n46007), .B(n52198), .Y(n46009) );
+  sky130_fd_sc_hd__o31ai_1 U66104 ( .A1(n51528), .A2(n51546), .A3(n52123), 
+        .B1(n56999), .Y(n46008) );
+  sky130_fd_sc_hd__nand4_1 U66105 ( .A(n46011), .B(n46010), .C(n46009), .D(
+        n46008), .Y(n46064) );
+  sky130_fd_sc_hd__nor2_1 U66106 ( .A(n57316), .B(n57490), .Y(n57548) );
+  sky130_fd_sc_hd__nor2_1 U66107 ( .A(n47146), .B(n57548), .Y(n52419) );
+  sky130_fd_sc_hd__a21oi_1 U66108 ( .A1(n52419), .A2(n56599), .B1(n57542), .Y(
+        n46021) );
+  sky130_fd_sc_hd__nand2_1 U66109 ( .A(n52129), .B(n52201), .Y(n51878) );
+  sky130_fd_sc_hd__o211ai_1 U66110 ( .A1(n56498), .A2(n52144), .B1(n56441), 
+        .C1(n51878), .Y(n46012) );
+  sky130_fd_sc_hd__nor4_1 U66111 ( .A(n50930), .B(n57152), .C(n57487), .D(
+        n46012), .Y(n46013) );
+  sky130_fd_sc_hd__a31oi_1 U66112 ( .A1(n57513), .A2(n46013), .A3(n52130), 
+        .B1(n56583), .Y(n46020) );
+  sky130_fd_sc_hd__a21oi_1 U66113 ( .A1(n46720), .A2(n48234), .B1(n56415), .Y(
+        n56595) );
+  sky130_fd_sc_hd__o22ai_1 U66114 ( .A1(n56595), .A2(n56593), .B1(n56574), 
+        .B2(n48111), .Y(n50946) );
+  sky130_fd_sc_hd__nand2_1 U66115 ( .A(n48133), .B(n57163), .Y(n56598) );
+  sky130_fd_sc_hd__nand2_1 U66116 ( .A(n52219), .B(n46014), .Y(n56584) );
+  sky130_fd_sc_hd__nand3_1 U66117 ( .A(n46819), .B(n46015), .C(n56584), .Y(
+        n46016) );
+  sky130_fd_sc_hd__nor4_1 U66120 ( .A(n46021), .B(n46020), .C(n50946), .D(
+        n46019), .Y(n46062) );
+  sky130_fd_sc_hd__nor2_1 U66121 ( .A(n51861), .B(n52164), .Y(n46733) );
+  sky130_fd_sc_hd__a211oi_1 U66123 ( .A1(n46806), .A2(n46720), .B1(n46733), 
+        .C1(n46023), .Y(n46026) );
+  sky130_fd_sc_hd__nand2_1 U66124 ( .A(n37029), .B(n52129), .Y(n46024) );
+  sky130_fd_sc_hd__a31oi_1 U66125 ( .A1(n46026), .A2(n46025), .A3(n46024), 
+        .B1(n57427), .Y(n46060) );
+  sky130_fd_sc_hd__o22ai_1 U66126 ( .A1(n57011), .A2(n57334), .B1(n51822), 
+        .B2(n56471), .Y(n46032) );
+  sky130_fd_sc_hd__o21ai_0 U66127 ( .A1(n51839), .A2(n37012), .B1(n46027), .Y(
+        n46031) );
+  sky130_fd_sc_hd__nor2b_1 U66128 ( .B_N(n47089), .A(n57152), .Y(n56512) );
+  sky130_fd_sc_hd__a22oi_1 U66129 ( .A1(n50422), .A2(n52129), .B1(n48279), 
+        .B2(n57482), .Y(n46028) );
+  sky130_fd_sc_hd__nand4_1 U66130 ( .A(n56512), .B(n46029), .C(n46028), .D(
+        n46794), .Y(n46030) );
+  sky130_fd_sc_hd__nor4_1 U66131 ( .A(n48314), .B(n46032), .C(n46031), .D(
+        n46030), .Y(n46037) );
+  sky130_fd_sc_hd__nor2_1 U66132 ( .A(n56431), .B(n50247), .Y(n48262) );
+  sky130_fd_sc_hd__a31oi_1 U66133 ( .A1(n56993), .A2(n56444), .A3(n51822), 
+        .B1(n57177), .Y(n46034) );
+  sky130_fd_sc_hd__a22o_1 U66134 ( .A1(n50187), .A2(n50931), .B1(n52377), .B2(
+        n48234), .X(n46033) );
+  sky130_fd_sc_hd__nor4_1 U66135 ( .A(n46035), .B(n48262), .C(n46034), .D(
+        n46033), .Y(n46036) );
+  sky130_fd_sc_hd__o22ai_1 U66136 ( .A1(n46037), .A2(n56451), .B1(n46036), 
+        .B2(n57409), .Y(n46059) );
+  sky130_fd_sc_hd__nand2_1 U66137 ( .A(n57088), .B(n52378), .Y(n57159) );
+  sky130_fd_sc_hd__nor2_1 U66138 ( .A(n50357), .B(n57445), .Y(n50391) );
+  sky130_fd_sc_hd__o22ai_1 U66139 ( .A1(n50422), .A2(n57444), .B1(n52199), 
+        .B2(n56405), .Y(n46040) );
+  sky130_fd_sc_hd__o22ai_1 U66140 ( .A1(n57453), .A2(n47078), .B1(n56569), 
+        .B2(n57448), .Y(n46039) );
+  sky130_fd_sc_hd__nand2_1 U66141 ( .A(n56481), .B(n50462), .Y(n57464) );
+  sky130_fd_sc_hd__o21ai_0 U66142 ( .A1(n51840), .A2(n57451), .B1(n57464), .Y(
+        n46038) );
+  sky130_fd_sc_hd__nor4_1 U66143 ( .A(n50391), .B(n46040), .C(n46039), .D(
+        n46038), .Y(n46041) );
+  sky130_fd_sc_hd__o21ai_0 U66144 ( .A1(n52478), .A2(n57159), .B1(n46041), .Y(
+        n46058) );
+  sky130_fd_sc_hd__nor2_1 U66145 ( .A(n50262), .B(n52710), .Y(n56432) );
+  sky130_fd_sc_hd__a22o_1 U66146 ( .A1(n50918), .A2(n46490), .B1(n51483), .B2(
+        n56432), .X(n46044) );
+  sky130_fd_sc_hd__nand4b_1 U66147 ( .A_N(n52399), .B(n52414), .C(n56452), .D(
+        n46042), .Y(n46043) );
+  sky130_fd_sc_hd__nor4_1 U66148 ( .A(n46046), .B(n46045), .C(n46044), .D(
+        n46043), .Y(n46056) );
+  sky130_fd_sc_hd__o22ai_1 U66149 ( .A1(n46047), .A2(n46734), .B1(n52165), 
+        .B2(n57176), .Y(n46053) );
+  sky130_fd_sc_hd__a21oi_1 U66151 ( .A1(n57472), .A2(n50474), .B1(n46049), .Y(
+        n46050) );
+  sky130_fd_sc_hd__o22ai_1 U66152 ( .A1(n46050), .A2(n50981), .B1(n56499), 
+        .B2(n51864), .Y(n46051) );
+  sky130_fd_sc_hd__nor4_1 U66153 ( .A(n46054), .B(n46053), .C(n46052), .D(
+        n46051), .Y(n46055) );
+  sky130_fd_sc_hd__o22ai_1 U66154 ( .A1(n46056), .A2(n57313), .B1(n46055), 
+        .B2(n57438), .Y(n46057) );
+  sky130_fd_sc_hd__nor4_1 U66155 ( .A(n46060), .B(n46059), .C(n46058), .D(
+        n46057), .Y(n46061) );
+  sky130_fd_sc_hd__nor4_1 U66157 ( .A(n46066), .B(n46065), .C(n46064), .D(
+        n46063), .Y(n46098) );
+  sky130_fd_sc_hd__nor2_1 U66158 ( .A(n46789), .B(n57347), .Y(n57404) );
+  sky130_fd_sc_hd__nor2_1 U66159 ( .A(n46067), .B(n52404), .Y(n57405) );
+  sky130_fd_sc_hd__a22oi_1 U66160 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[20]), .A2(n41794), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[20]), .B2(n57558), .Y(n46073) );
+  sky130_fd_sc_hd__a22oi_1 U66161 ( .A1(n56525), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__6[4]), .B1(n57587), .B2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__2[4]), .Y(n46068) );
+  sky130_fd_sc_hd__a21oi_1 U66163 ( .A1(n38443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[20]), .B1(n46069), .Y(n46072) );
+  sky130_fd_sc_hd__nand2_1 U66164 ( .A(n57571), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[52]), .Y(n46071) );
+  sky130_fd_sc_hd__nand2_1 U66165 ( .A(n37065), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[52]), .Y(n46070) );
+  sky130_fd_sc_hd__nand4_1 U66166 ( .A(n46073), .B(n46072), .C(n46071), .D(
+        n46070), .Y(n46096) );
+  sky130_fd_sc_hd__nand2_1 U66167 ( .A(n57570), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[4]), .Y(n46077) );
+  sky130_fd_sc_hd__a22oi_1 U66168 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[19]), 
+        .A2(n57560), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[51]), 
+        .B2(n57563), .Y(n46076) );
+  sky130_fd_sc_hd__a22oi_1 U66169 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[51]), 
+        .A2(n57562), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[51]), 
+        .B2(n57564), .Y(n46075) );
+  sky130_fd_sc_hd__nand2_1 U66170 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[19]), .B(
+        n57559), .Y(n46074) );
+  sky130_fd_sc_hd__nand4_1 U66171 ( .A(n46077), .B(n46076), .C(n46075), .D(
+        n46074), .Y(n46094) );
+  sky130_fd_sc_hd__nor2_1 U66172 ( .A(n47231), .B(n47230), .Y(n46078) );
+  sky130_fd_sc_hd__a22oi_1 U66173 ( .A1(n46079), .A2(n46078), .B1(n82290), 
+        .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[20]), 
+        .Y(n46092) );
+  sky130_fd_sc_hd__a22oi_1 U66174 ( .A1(n82296), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[20]), 
+        .B1(n82327), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[20]), 
+        .Y(n46091) );
+  sky130_fd_sc_hd__a21oi_1 U66175 ( .A1(n82333), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[20]), 
+        .B1(n52797), .Y(n46082) );
+  sky130_fd_sc_hd__a22oi_1 U66176 ( .A1(n38397), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[20]), 
+        .B1(n82284), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[20]), 
+        .Y(n46081) );
+  sky130_fd_sc_hd__a22oi_1 U66177 ( .A1(n82278), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[20]), 
+        .B1(n38401), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[20]), 
+        .Y(n46080) );
+  sky130_fd_sc_hd__nand4_1 U66178 ( .A(n46083), .B(n46082), .C(n46081), .D(
+        n46080), .Y(n46089) );
+  sky130_fd_sc_hd__a22oi_1 U66179 ( .A1(n82339), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[20]), 
+        .B1(n82350), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[20]), 
+        .Y(n46087) );
+  sky130_fd_sc_hd__a22oi_1 U66180 ( .A1(n38396), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[20]), 
+        .B1(n82361), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[20]), 
+        .Y(n46086) );
+  sky130_fd_sc_hd__a22oi_1 U66181 ( .A1(n82315), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[20]), 
+        .B1(n82321), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[20]), 
+        .Y(n46085) );
+  sky130_fd_sc_hd__a22oi_1 U66182 ( .A1(n82309), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[20]), 
+        .B1(n82303), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[20]), 
+        .Y(n46084) );
+  sky130_fd_sc_hd__nand4_1 U66183 ( .A(n46087), .B(n46086), .C(n46085), .D(
+        n46084), .Y(n46088) );
+  sky130_fd_sc_hd__a211oi_1 U66184 ( .A1(n57586), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[20]), 
+        .B1(n46089), .C1(n46088), .Y(n46090) );
+  sky130_fd_sc_hd__a31oi_1 U66185 ( .A1(n46092), .A2(n46091), .A3(n46090), 
+        .B1(n43381), .Y(n46093) );
+  sky130_fd_sc_hd__a211o_1 U66186 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[4]), .A2(n57588), .B1(
+        n46094), .C1(n46093), .X(n46095) );
+  sky130_fd_sc_hd__a211oi_1 U66187 ( .A1(n57404), .A2(n57405), .B1(n46096), 
+        .C1(n46095), .Y(n46097) );
+  sky130_fd_sc_hd__mux2_2 U66189 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[52]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[20]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .X(n84563) );
+  sky130_fd_sc_hd__nand2_1 U66190 ( .A(n57367), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_20[0]), .Y(
+        n46101) );
+  sky130_fd_sc_hd__nand2_1 U66191 ( .A(n57600), .B(n84563), .Y(n46100) );
+  sky130_fd_sc_hd__o221ai_1 U66192 ( .A1(n83297), .A2(n85275), .B1(n51918), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_2[4]), .C1(n57100), .Y(n46099) );
+  sky130_fd_sc_hd__nand3_1 U66193 ( .A(n46101), .B(n46100), .C(n46099), .Y(
+        n84544) );
+  sky130_fd_sc_hd__a22oi_1 U66194 ( .A1(n50777), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[116]), .B1(n50767), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[308]), .Y(n46105) );
+  sky130_fd_sc_hd__a22oi_1 U66195 ( .A1(n50764), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[436]), .B1(n50776), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[468]), .Y(n46104) );
+  sky130_fd_sc_hd__a22oi_1 U66196 ( .A1(n50766), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[372]), .B1(n50765), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[20]), .Y(n46103) );
+  sky130_fd_sc_hd__a22oi_1 U66197 ( .A1(n50778), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[84]), .B1(n83204), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[276]), .Y(n46102) );
+  sky130_fd_sc_hd__nand4_1 U66198 ( .A(n46105), .B(n46104), .C(n46103), .D(
+        n46102), .Y(n46112) );
+  sky130_fd_sc_hd__a22oi_1 U66199 ( .A1(n50773), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[244]), .B1(n50763), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[500]), .Y(n46109) );
+  sky130_fd_sc_hd__a22oi_1 U66200 ( .A1(n50779), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[340]), .B1(n50772), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[404]), .Y(n46108) );
+  sky130_fd_sc_hd__a22oi_1 U66201 ( .A1(n50775), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[52]), .B1(n50774), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[148]), .Y(n46107) );
+  sky130_fd_sc_hd__a22oi_1 U66202 ( .A1(n50762), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[180]), .B1(n50761), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[212]), .Y(n46106) );
+  sky130_fd_sc_hd__nand4_1 U66203 ( .A(n46109), .B(n46108), .C(n46107), .D(
+        n46106), .Y(n46111) );
+  sky130_fd_sc_hd__a22o_1 U66204 ( .A1(n38371), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[20]), .B1(n37066), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[52]), .X(n46110) );
+  sky130_fd_sc_hd__a221oi_1 U66205 ( .A1(n46112), .A2(n37033), .B1(n46111), 
+        .B2(n37033), .C1(n46110), .Y(n46146) );
+  sky130_fd_sc_hd__a22oi_1 U66206 ( .A1(n50704), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[468]), .B1(n50702), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[52]), .Y(n46116) );
+  sky130_fd_sc_hd__a22oi_1 U66207 ( .A1(n50703), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[244]), .B1(n50699), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[500]), .Y(n46115) );
+  sky130_fd_sc_hd__a22oi_1 U66208 ( .A1(n50698), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[116]), .B1(n50690), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[148]), .Y(n46114) );
+  sky130_fd_sc_hd__a22oi_1 U66209 ( .A1(n50689), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[404]), .B1(n50697), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[436]), .Y(n46113) );
+  sky130_fd_sc_hd__nand4_1 U66210 ( .A(n46116), .B(n46115), .C(n46114), .D(
+        n46113), .Y(n46122) );
+  sky130_fd_sc_hd__a22oi_1 U66211 ( .A1(n50686), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[308]), .B1(n50691), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[84]), .Y(n46120) );
+  sky130_fd_sc_hd__a22oi_1 U66212 ( .A1(n50692), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[20]), .B1(n50700), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[372]), .Y(n46119) );
+  sky130_fd_sc_hd__a22oi_1 U66213 ( .A1(n50688), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[212]), .B1(n50687), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[340]), .Y(n46118) );
+  sky130_fd_sc_hd__a22oi_1 U66214 ( .A1(n50701), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[180]), .B1(n50685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[276]), .Y(n46117) );
+  sky130_fd_sc_hd__nand4_1 U66215 ( .A(n46120), .B(n46119), .C(n46118), .D(
+        n46117), .Y(n46121) );
+  sky130_fd_sc_hd__o21ai_1 U66216 ( .A1(n46122), .A2(n46121), .B1(n54782), .Y(
+        n46145) );
+  sky130_fd_sc_hd__a22oi_1 U66217 ( .A1(n50713), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[340]), .B1(n50615), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[116]), .Y(n46126) );
+  sky130_fd_sc_hd__a22oi_1 U66218 ( .A1(n50723), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[148]), .B1(n48671), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[244]), .Y(n46125) );
+  sky130_fd_sc_hd__a22oi_1 U66219 ( .A1(n50714), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[308]), .B1(n50726), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[84]), .Y(n46124) );
+  sky130_fd_sc_hd__a22oi_1 U66220 ( .A1(n50716), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[20]), .B1(n83122), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[276]), .Y(n46123) );
+  sky130_fd_sc_hd__nand4_1 U66221 ( .A(n46126), .B(n46125), .C(n46124), .D(
+        n46123), .Y(n46132) );
+  sky130_fd_sc_hd__a22oi_1 U66222 ( .A1(n50711), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[212]), .B1(n50728), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[404]), .Y(n46130) );
+  sky130_fd_sc_hd__a22oi_1 U66223 ( .A1(n50729), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[500]), .B1(n50715), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[436]), .Y(n46129) );
+  sky130_fd_sc_hd__a22oi_1 U66224 ( .A1(n50565), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[372]), .B1(n50725), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[52]), .Y(n46128) );
+  sky130_fd_sc_hd__a22oi_1 U66225 ( .A1(n50712), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[180]), .B1(n50724), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[468]), .Y(n46127) );
+  sky130_fd_sc_hd__nand4_1 U66226 ( .A(n46130), .B(n46129), .C(n46128), .D(
+        n46127), .Y(n46131) );
+  sky130_fd_sc_hd__a22oi_1 U66228 ( .A1(n50754), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[372]), .B1(n50738), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[308]), .Y(n46136) );
+  sky130_fd_sc_hd__a22oi_1 U66229 ( .A1(n50748), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[52]), .B1(n50749), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[500]), .Y(n46135) );
+  sky130_fd_sc_hd__a22oi_1 U66230 ( .A1(n50747), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[148]), .B1(n83080), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[20]), .Y(n46134) );
+  sky130_fd_sc_hd__a22oi_1 U66231 ( .A1(n50737), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[116]), .B1(n50742), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[276]), .Y(n46133) );
+  sky130_fd_sc_hd__nand4_1 U66232 ( .A(n46136), .B(n46135), .C(n46134), .D(
+        n46133), .Y(n46142) );
+  sky130_fd_sc_hd__a22oi_1 U66233 ( .A1(n50736), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[340]), .B1(n50751), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[84]), .Y(n46140) );
+  sky130_fd_sc_hd__a22oi_1 U66234 ( .A1(n50741), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[244]), .B1(n50753), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[180]), .Y(n46139) );
+  sky130_fd_sc_hd__a22oi_1 U66235 ( .A1(n50739), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[436]), .B1(n50750), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[468]), .Y(n46138) );
+  sky130_fd_sc_hd__a22oi_1 U66236 ( .A1(n50752), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[404]), .B1(n50740), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[212]), .Y(n46137) );
+  sky130_fd_sc_hd__nand4_1 U66237 ( .A(n46140), .B(n46139), .C(n46138), .D(
+        n46137), .Y(n46141) );
+  sky130_fd_sc_hd__o21ai_1 U66238 ( .A1(n46142), .A2(n46141), .B1(n54746), .Y(
+        n46143) );
+  sky130_fd_sc_hd__nand4_1 U66239 ( .A(n46146), .B(n46145), .C(n46144), .D(
+        n46143), .Y(n84307) );
+  sky130_fd_sc_hd__a22oi_1 U66240 ( .A1(n50762), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[172]), .B1(n50778), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[76]), .Y(n46150) );
+  sky130_fd_sc_hd__a22oi_1 U66241 ( .A1(n50774), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[140]), .B1(n50761), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[204]), .Y(n46149) );
+  sky130_fd_sc_hd__a22oi_1 U66242 ( .A1(n50777), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[108]), .B1(n50776), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[460]), .Y(n46148) );
+  sky130_fd_sc_hd__a22oi_1 U66243 ( .A1(n50765), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[12]), .B1(n83204), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[268]), .Y(n46147) );
+  sky130_fd_sc_hd__nand4_1 U66244 ( .A(n46150), .B(n46149), .C(n46148), .D(
+        n46147), .Y(n46157) );
+  sky130_fd_sc_hd__a22oi_1 U66245 ( .A1(n50779), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[332]), .B1(n50775), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[44]), .Y(n46154) );
+  sky130_fd_sc_hd__a22oi_1 U66246 ( .A1(n50773), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[236]), .B1(n50767), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[300]), .Y(n46153) );
+  sky130_fd_sc_hd__a22oi_1 U66247 ( .A1(n50764), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[428]), .B1(n50772), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[396]), .Y(n46152) );
+  sky130_fd_sc_hd__a22oi_1 U66248 ( .A1(n50763), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[492]), .B1(n50766), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[364]), .Y(n46151) );
+  sky130_fd_sc_hd__nand4_1 U66249 ( .A(n46154), .B(n46153), .C(n46152), .D(
+        n46151), .Y(n46156) );
+  sky130_fd_sc_hd__a22o_1 U66250 ( .A1(n38371), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[12]), .B1(n37066), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[44]), .X(n46155) );
+  sky130_fd_sc_hd__a221oi_1 U66251 ( .A1(n46157), .A2(n55987), .B1(n46156), 
+        .B2(n37033), .C1(n46155), .Y(n46191) );
+  sky130_fd_sc_hd__a22oi_1 U66252 ( .A1(n50687), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[332]), .B1(n50685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[268]), .Y(n46161) );
+  sky130_fd_sc_hd__a22oi_1 U66253 ( .A1(n50700), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[364]), .B1(n50703), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[236]), .Y(n46160) );
+  sky130_fd_sc_hd__a22oi_1 U66254 ( .A1(n50692), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[12]), .B1(n50698), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[108]), .Y(n46159) );
+  sky130_fd_sc_hd__a22oi_1 U66255 ( .A1(n50704), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[460]), .B1(n50686), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[300]), .Y(n46158) );
+  sky130_fd_sc_hd__nand4_1 U66256 ( .A(n46161), .B(n46160), .C(n46159), .D(
+        n46158), .Y(n46167) );
+  sky130_fd_sc_hd__a22oi_1 U66257 ( .A1(n50690), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[140]), .B1(n50697), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[428]), .Y(n46165) );
+  sky130_fd_sc_hd__a22oi_1 U66258 ( .A1(n50688), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[204]), .B1(n50689), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[396]), .Y(n46164) );
+  sky130_fd_sc_hd__a22oi_1 U66259 ( .A1(n50702), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[44]), .B1(n50701), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[172]), .Y(n46163) );
+  sky130_fd_sc_hd__a22oi_1 U66260 ( .A1(n50699), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[492]), .B1(n50691), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[76]), .Y(n46162) );
+  sky130_fd_sc_hd__nand4_1 U66261 ( .A(n46165), .B(n46164), .C(n46163), .D(
+        n46162), .Y(n46166) );
+  sky130_fd_sc_hd__o21ai_1 U66262 ( .A1(n46167), .A2(n46166), .B1(n54782), .Y(
+        n46190) );
+  sky130_fd_sc_hd__a22oi_1 U66263 ( .A1(n50565), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[364]), .B1(n50728), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[396]), .Y(n46171) );
+  sky130_fd_sc_hd__a22oi_1 U66264 ( .A1(n50714), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[300]), .B1(n50726), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[76]), .Y(n46170) );
+  sky130_fd_sc_hd__a22oi_1 U66265 ( .A1(n50723), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[140]), .B1(n83122), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[268]), .Y(n46169) );
+  sky130_fd_sc_hd__a22oi_1 U66266 ( .A1(n50725), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[44]), .B1(n50716), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[12]), .Y(n46168) );
+  sky130_fd_sc_hd__nand4_1 U66267 ( .A(n46171), .B(n46170), .C(n46169), .D(
+        n46168), .Y(n46177) );
+  sky130_fd_sc_hd__a22oi_1 U66268 ( .A1(n50722), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[108]), .B1(n50724), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[460]), .Y(n46175) );
+  sky130_fd_sc_hd__a22oi_1 U66269 ( .A1(n50661), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[492]), .B1(n50711), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[204]), .Y(n46174) );
+  sky130_fd_sc_hd__a22oi_1 U66270 ( .A1(n50712), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[172]), .B1(n50713), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[332]), .Y(n46173) );
+  sky130_fd_sc_hd__a22oi_1 U66271 ( .A1(n50715), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[428]), .B1(n48671), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[236]), .Y(n46172) );
+  sky130_fd_sc_hd__nand4_1 U66272 ( .A(n46175), .B(n46174), .C(n46173), .D(
+        n46172), .Y(n46176) );
+  sky130_fd_sc_hd__a22oi_1 U66274 ( .A1(n50736), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[332]), .B1(n50740), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[204]), .Y(n46181) );
+  sky130_fd_sc_hd__a22oi_1 U66275 ( .A1(n50739), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[428]), .B1(n50748), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[44]), .Y(n46180) );
+  sky130_fd_sc_hd__a22oi_1 U66276 ( .A1(n50742), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[268]), .B1(n50749), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[492]), .Y(n46179) );
+  sky130_fd_sc_hd__a22oi_1 U66277 ( .A1(n50752), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[396]), .B1(n83080), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[12]), .Y(n46178) );
+  sky130_fd_sc_hd__nand4_1 U66278 ( .A(n46181), .B(n46180), .C(n46179), .D(
+        n46178), .Y(n46187) );
+  sky130_fd_sc_hd__a22oi_1 U66279 ( .A1(n50751), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[76]), .B1(n50753), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[172]), .Y(n46185) );
+  sky130_fd_sc_hd__a22oi_1 U66280 ( .A1(n50754), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[364]), .B1(n50747), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[140]), .Y(n46184) );
+  sky130_fd_sc_hd__a22oi_1 U66281 ( .A1(n50741), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[236]), .B1(n50737), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[108]), .Y(n46183) );
+  sky130_fd_sc_hd__a22oi_1 U66282 ( .A1(n50738), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[300]), .B1(n50750), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[460]), .Y(n46182) );
+  sky130_fd_sc_hd__nand4_1 U66283 ( .A(n46185), .B(n46184), .C(n46183), .D(
+        n46182), .Y(n46186) );
+  sky130_fd_sc_hd__nand4_1 U66285 ( .A(n46191), .B(n46190), .C(n46189), .D(
+        n46188), .Y(n85214) );
+  sky130_fd_sc_hd__a22oi_1 U66286 ( .A1(n50762), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[188]), .B1(n50761), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[220]), .Y(n46195) );
+  sky130_fd_sc_hd__a22oi_1 U66287 ( .A1(n50767), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[316]), .B1(n50766), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[380]), .Y(n46194) );
+  sky130_fd_sc_hd__a22oi_1 U66288 ( .A1(n50764), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[444]), .B1(n50774), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[156]), .Y(n46193) );
+  sky130_fd_sc_hd__a22oi_1 U66289 ( .A1(n50765), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[28]), .B1(n83204), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[284]), .Y(n46192) );
+  sky130_fd_sc_hd__nand4_1 U66290 ( .A(n46195), .B(n46194), .C(n46193), .D(
+        n46192), .Y(n46202) );
+  sky130_fd_sc_hd__a22oi_1 U66291 ( .A1(n50779), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[348]), .B1(n50778), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[92]), .Y(n46199) );
+  sky130_fd_sc_hd__a22oi_1 U66292 ( .A1(n50775), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[60]), .B1(n50763), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[508]), .Y(n46198) );
+  sky130_fd_sc_hd__a22oi_1 U66293 ( .A1(n50773), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[252]), .B1(n50776), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[476]), .Y(n46197) );
+  sky130_fd_sc_hd__a22oi_1 U66294 ( .A1(n50777), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[124]), .B1(n50772), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[412]), .Y(n46196) );
+  sky130_fd_sc_hd__nand4_1 U66295 ( .A(n46199), .B(n46198), .C(n46197), .D(
+        n46196), .Y(n46201) );
+  sky130_fd_sc_hd__a22o_1 U66296 ( .A1(n38371), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[28]), .B1(n37066), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[60]), .X(n46200) );
+  sky130_fd_sc_hd__a221oi_1 U66297 ( .A1(n46202), .A2(n55987), .B1(n46201), 
+        .B2(n55987), .C1(n46200), .Y(n46236) );
+  sky130_fd_sc_hd__a22oi_1 U66298 ( .A1(n50701), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[188]), .B1(n50691), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[92]), .Y(n46206) );
+  sky130_fd_sc_hd__a22oi_1 U66299 ( .A1(n50703), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[252]), .B1(n50697), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[444]), .Y(n46205) );
+  sky130_fd_sc_hd__a22oi_1 U66300 ( .A1(n50690), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[156]), .B1(n50699), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[508]), .Y(n46204) );
+  sky130_fd_sc_hd__a22oi_1 U66301 ( .A1(n50689), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[412]), .B1(n50687), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[348]), .Y(n46203) );
+  sky130_fd_sc_hd__nand4_1 U66302 ( .A(n46206), .B(n46205), .C(n46204), .D(
+        n46203), .Y(n46212) );
+  sky130_fd_sc_hd__a22oi_1 U66303 ( .A1(n50692), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[28]), .B1(n50685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[284]), .Y(n46210) );
+  sky130_fd_sc_hd__a22oi_1 U66304 ( .A1(n50688), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[220]), .B1(n50686), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[316]), .Y(n46209) );
+  sky130_fd_sc_hd__a22oi_1 U66305 ( .A1(n50700), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[380]), .B1(n50698), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[124]), .Y(n46208) );
+  sky130_fd_sc_hd__a22oi_1 U66306 ( .A1(n50704), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[476]), .B1(n50702), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[60]), .Y(n46207) );
+  sky130_fd_sc_hd__nand4_1 U66307 ( .A(n46210), .B(n46209), .C(n46208), .D(
+        n46207), .Y(n46211) );
+  sky130_fd_sc_hd__a22oi_1 U66309 ( .A1(n50713), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[348]), .B1(n50728), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[412]), .Y(n46216) );
+  sky130_fd_sc_hd__a22oi_1 U66310 ( .A1(n50714), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[316]), .B1(n50725), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[60]), .Y(n46215) );
+  sky130_fd_sc_hd__a22oi_1 U66311 ( .A1(n50661), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[508]), .B1(n83122), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[284]), .Y(n46214) );
+  sky130_fd_sc_hd__a22oi_1 U66312 ( .A1(n50722), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[124]), .B1(n50716), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[28]), .Y(n46213) );
+  sky130_fd_sc_hd__nand4_1 U66313 ( .A(n46216), .B(n46215), .C(n46214), .D(
+        n46213), .Y(n46222) );
+  sky130_fd_sc_hd__a22oi_1 U66314 ( .A1(n50723), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[156]), .B1(n50724), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[476]), .Y(n46220) );
+  sky130_fd_sc_hd__a22oi_1 U66315 ( .A1(n50727), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[252]), .B1(n50726), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[92]), .Y(n46219) );
+  sky130_fd_sc_hd__a22oi_1 U66316 ( .A1(n50565), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[380]), .B1(n50715), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[444]), .Y(n46218) );
+  sky130_fd_sc_hd__a22oi_1 U66317 ( .A1(n50712), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[188]), .B1(n50711), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[220]), .Y(n46217) );
+  sky130_fd_sc_hd__nand4_1 U66318 ( .A(n46220), .B(n46219), .C(n46218), .D(
+        n46217), .Y(n46221) );
+  sky130_fd_sc_hd__o21ai_1 U66319 ( .A1(n46222), .A2(n46221), .B1(n54781), .Y(
+        n46234) );
+  sky130_fd_sc_hd__a22oi_1 U66320 ( .A1(n50752), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[412]), .B1(n50736), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[348]), .Y(n46226) );
+  sky130_fd_sc_hd__a22oi_1 U66321 ( .A1(n50739), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[444]), .B1(n50748), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[60]), .Y(n46225) );
+  sky130_fd_sc_hd__a22oi_1 U66322 ( .A1(n50750), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[476]), .B1(n50740), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[220]), .Y(n46224) );
+  sky130_fd_sc_hd__a22oi_1 U66323 ( .A1(n50742), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[284]), .B1(n83080), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[28]), .Y(n46223) );
+  sky130_fd_sc_hd__nand4_1 U66324 ( .A(n46226), .B(n46225), .C(n46224), .D(
+        n46223), .Y(n46232) );
+  sky130_fd_sc_hd__a22oi_1 U66325 ( .A1(n50741), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[252]), .B1(n50753), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[188]), .Y(n46230) );
+  sky130_fd_sc_hd__a22oi_1 U66326 ( .A1(n50737), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[124]), .B1(n50738), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[316]), .Y(n46229) );
+  sky130_fd_sc_hd__a22oi_1 U66327 ( .A1(n50747), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[156]), .B1(n50749), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[508]), .Y(n46228) );
+  sky130_fd_sc_hd__a22oi_1 U66328 ( .A1(n50754), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[380]), .B1(n50751), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[92]), .Y(n46227) );
+  sky130_fd_sc_hd__nand4_1 U66329 ( .A(n46230), .B(n46229), .C(n46228), .D(
+        n46227), .Y(n46231) );
+  sky130_fd_sc_hd__o21ai_1 U66330 ( .A1(n46232), .A2(n46231), .B1(n54746), .Y(
+        n46233) );
+  sky130_fd_sc_hd__nand4_1 U66331 ( .A(n46236), .B(n46235), .C(n46234), .D(
+        n46233), .Y(n85206) );
+  sky130_fd_sc_hd__a222oi_1 U66332 ( .A1(n84307), .A2(n50684), .B1(n85214), 
+        .B2(n50683), .C1(n85206), .C2(n50682), .Y(n46285) );
+  sky130_fd_sc_hd__a222oi_1 U66333 ( .A1(n50684), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[20]), .B1(n50683), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[12]), .C1(n50682), .C2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[28]), .Y(n46284) );
+  sky130_fd_sc_hd__a22oi_1 U66334 ( .A1(n50689), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[388]), .B1(n50685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[260]), .Y(n46240) );
+  sky130_fd_sc_hd__a22oi_1 U66335 ( .A1(n50692), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[4]), .B1(n50698), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[100]), .Y(n46239) );
+  sky130_fd_sc_hd__a22oi_1 U66336 ( .A1(n50703), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[228]), .B1(n50702), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[36]), .Y(n46238) );
+  sky130_fd_sc_hd__a22oi_1 U66337 ( .A1(n50688), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[196]), .B1(n50686), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[292]), .Y(n46237) );
+  sky130_fd_sc_hd__nand4_1 U66338 ( .A(n46240), .B(n46239), .C(n46238), .D(
+        n46237), .Y(n46246) );
+  sky130_fd_sc_hd__a22oi_1 U66339 ( .A1(n50691), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[68]), .B1(n50697), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[420]), .Y(n46244) );
+  sky130_fd_sc_hd__a22oi_1 U66340 ( .A1(n50700), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[356]), .B1(n50699), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[484]), .Y(n46243) );
+  sky130_fd_sc_hd__a22oi_1 U66341 ( .A1(n50690), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[132]), .B1(n50687), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[324]), .Y(n46242) );
+  sky130_fd_sc_hd__a22oi_1 U66342 ( .A1(n50704), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[452]), .B1(n50701), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[164]), .Y(n46241) );
+  sky130_fd_sc_hd__nand4_1 U66343 ( .A(n46244), .B(n46243), .C(n46242), .D(
+        n46241), .Y(n46245) );
+  sky130_fd_sc_hd__o21a_1 U66344 ( .A1(n46246), .A2(n46245), .B1(n54782), .X(
+        n46282) );
+  sky130_fd_sc_hd__a22oi_1 U66345 ( .A1(n38371), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[4]), .B1(n37066), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[36]), .Y(n46280) );
+  sky130_fd_sc_hd__a22oi_1 U66346 ( .A1(n50712), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[164]), .B1(n50711), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[196]), .Y(n46250) );
+  sky130_fd_sc_hd__a22oi_1 U66347 ( .A1(n50714), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[292]), .B1(n48671), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[228]), .Y(n46249) );
+  sky130_fd_sc_hd__a22oi_1 U66348 ( .A1(n50728), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[388]), .B1(n50716), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[4]), .Y(n46248) );
+  sky130_fd_sc_hd__a22oi_1 U66349 ( .A1(n50661), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[484]), .B1(n83122), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[260]), .Y(n46247) );
+  sky130_fd_sc_hd__nand4_1 U66350 ( .A(n46250), .B(n46249), .C(n46248), .D(
+        n46247), .Y(n46256) );
+  sky130_fd_sc_hd__a22oi_1 U66351 ( .A1(n50713), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[324]), .B1(n50726), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[68]), .Y(n46254) );
+  sky130_fd_sc_hd__a22oi_1 U66352 ( .A1(n50722), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[100]), .B1(n50715), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[420]), .Y(n46253) );
+  sky130_fd_sc_hd__a22oi_1 U66353 ( .A1(n50565), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[356]), .B1(n50725), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[36]), .Y(n46252) );
+  sky130_fd_sc_hd__a22oi_1 U66354 ( .A1(n50723), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[132]), .B1(n50724), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[452]), .Y(n46251) );
+  sky130_fd_sc_hd__nand4_1 U66355 ( .A(n46254), .B(n46253), .C(n46252), .D(
+        n46251), .Y(n46255) );
+  sky130_fd_sc_hd__o21ai_1 U66356 ( .A1(n46256), .A2(n46255), .B1(n54781), .Y(
+        n46279) );
+  sky130_fd_sc_hd__a22oi_1 U66357 ( .A1(n50740), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[196]), .B1(n50749), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[484]), .Y(n46260) );
+  sky130_fd_sc_hd__a22oi_1 U66358 ( .A1(n50754), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[356]), .B1(n50748), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[36]), .Y(n46259) );
+  sky130_fd_sc_hd__a22oi_1 U66359 ( .A1(n50739), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[420]), .B1(n83080), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[4]), .Y(n46258) );
+  sky130_fd_sc_hd__a22oi_1 U66360 ( .A1(n50747), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[132]), .B1(n50742), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[260]), .Y(n46257) );
+  sky130_fd_sc_hd__nand4_1 U66361 ( .A(n46260), .B(n46259), .C(n46258), .D(
+        n46257), .Y(n46266) );
+  sky130_fd_sc_hd__a22oi_1 U66362 ( .A1(n50750), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[452]), .B1(n50753), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[164]), .Y(n46264) );
+  sky130_fd_sc_hd__a22oi_1 U66363 ( .A1(n50741), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[228]), .B1(n50738), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[292]), .Y(n46263) );
+  sky130_fd_sc_hd__a22oi_1 U66364 ( .A1(n50736), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[324]), .B1(n50751), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[68]), .Y(n46262) );
+  sky130_fd_sc_hd__a22oi_1 U66365 ( .A1(n50737), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[100]), .B1(n50752), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[388]), .Y(n46261) );
+  sky130_fd_sc_hd__nand4_1 U66366 ( .A(n46264), .B(n46263), .C(n46262), .D(
+        n46261), .Y(n46265) );
+  sky130_fd_sc_hd__o21ai_1 U66367 ( .A1(n46266), .A2(n46265), .B1(n54746), .Y(
+        n46278) );
+  sky130_fd_sc_hd__a22oi_1 U66368 ( .A1(n50775), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[36]), .B1(n50767), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[292]), .Y(n46270) );
+  sky130_fd_sc_hd__a22oi_1 U66369 ( .A1(n50762), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[164]), .B1(n50778), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[68]), .Y(n46269) );
+  sky130_fd_sc_hd__a22oi_1 U66370 ( .A1(n50772), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[388]), .B1(n50765), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[4]), .Y(n46268) );
+  sky130_fd_sc_hd__a22oi_1 U66371 ( .A1(n50779), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[324]), .B1(n83204), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[260]), .Y(n46267) );
+  sky130_fd_sc_hd__nand4_1 U66372 ( .A(n46270), .B(n46269), .C(n46268), .D(
+        n46267), .Y(n46276) );
+  sky130_fd_sc_hd__a22oi_1 U66373 ( .A1(n50774), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[132]), .B1(n50761), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[196]), .Y(n46274) );
+  sky130_fd_sc_hd__a22oi_1 U66374 ( .A1(n50763), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[484]), .B1(n50776), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[452]), .Y(n46273) );
+  sky130_fd_sc_hd__a22oi_1 U66375 ( .A1(n50777), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[100]), .B1(n50773), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[228]), .Y(n46272) );
+  sky130_fd_sc_hd__a22oi_1 U66376 ( .A1(n50764), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[420]), .B1(n50766), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[356]), .Y(n46271) );
+  sky130_fd_sc_hd__nand4_1 U66377 ( .A(n46274), .B(n46273), .C(n46272), .D(
+        n46271), .Y(n46275) );
+  sky130_fd_sc_hd__nand4_1 U66379 ( .A(n46280), .B(n46279), .C(n46278), .D(
+        n46277), .Y(n46281) );
+  sky130_fd_sc_hd__o221ai_1 U66381 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(n46285), .B1(n55990), .B2(n46284), .C1(n46283), .Y(n84402) );
+  sky130_fd_sc_hd__mux2_2 U66382 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[51]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[19]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .X(n85317) );
+  sky130_fd_sc_hd__nand2_1 U66383 ( .A(n57367), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_19[0]), .Y(
+        n46288) );
+  sky130_fd_sc_hd__nand2_1 U66384 ( .A(n57600), .B(n85317), .Y(n46287) );
+  sky130_fd_sc_hd__o221ai_1 U66385 ( .A1(n83297), .A2(n85320), .B1(n51918), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_2[3]), .C1(n57100), .Y(n46286) );
+  sky130_fd_sc_hd__nand3_1 U66386 ( .A(n46288), .B(n46287), .C(n46286), .Y(
+        n84543) );
+  sky130_fd_sc_hd__nor2_1 U66387 ( .A(n78421), .B(n56044), .Y(n84385) );
+  sky130_fd_sc_hd__nand2_1 U66388 ( .A(n54312), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[51]), .Y(n46290) );
+  sky130_fd_sc_hd__nand2_1 U66389 ( .A(n54307), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[19]), .Y(n46289) );
+  sky130_fd_sc_hd__nand2_1 U66390 ( .A(n46290), .B(n46289), .Y(n84753) );
+  sky130_fd_sc_hd__nor2_1 U66391 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_19[0]), .B(
+        n54216), .Y(n46291) );
+  sky130_fd_sc_hd__o21ai_1 U66392 ( .A1(n46291), .A2(n36791), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_19[1]), .Y(
+        n46302) );
+  sky130_fd_sc_hd__nand2_1 U66393 ( .A(n54218), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_19[1]), .Y(
+        n46292) );
+  sky130_fd_sc_hd__nand2_1 U66396 ( .A(n46296), .B(n46295), .Y(n46298) );
+  sky130_fd_sc_hd__o21ai_1 U66397 ( .A1(n47037), .A2(n47041), .B1(n47038), .Y(
+        n46297) );
+  sky130_fd_sc_hd__xnor2_1 U66398 ( .A(n46298), .B(n46297), .Y(n46299) );
+  sky130_fd_sc_hd__a22oi_1 U66399 ( .A1(n54228), .A2(n46299), .B1(n52494), 
+        .B2(n84753), .Y(n46300) );
+  sky130_fd_sc_hd__nand3_1 U66400 ( .A(n46302), .B(n46301), .C(n46300), .Y(
+        n84361) );
+  sky130_fd_sc_hd__nand2_1 U66401 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[51]), .Y(n46304) );
+  sky130_fd_sc_hd__nand2_1 U66402 ( .A(n54996), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[19]), .Y(n46303) );
+  sky130_fd_sc_hd__nand2_1 U66403 ( .A(n46304), .B(n46303), .Y(n85872) );
+  sky130_fd_sc_hd__a22oi_1 U66404 ( .A1(n56525), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__4[2]), .B1(n57587), .B2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__0[2]), .Y(n46510) );
+  sky130_fd_sc_hd__a22oi_1 U66405 ( .A1(n57571), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[34]), .B1(n38443), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[2]), .Y(n46509) );
+  sky130_fd_sc_hd__a21oi_1 U66406 ( .A1(n56953), .A2(n52812), .B1(n57280), .Y(
+        n50955) );
+  sky130_fd_sc_hd__nand2_1 U66407 ( .A(n50955), .B(n51463), .Y(n56951) );
+  sky130_fd_sc_hd__nand3_1 U66408 ( .A(n52794), .B(n52793), .C(n52827), .Y(
+        n47237) );
+  sky130_fd_sc_hd__nor3_1 U66409 ( .A(n51903), .B(n56951), .C(n47237), .Y(
+        n47061) );
+  sky130_fd_sc_hd__nor2_1 U66410 ( .A(n47229), .B(n52822), .Y(n46346) );
+  sky130_fd_sc_hd__nor2_1 U66411 ( .A(n57158), .B(n51822), .Y(n46307) );
+  sky130_fd_sc_hd__a22oi_1 U66412 ( .A1(n50187), .A2(n46307), .B1(n46306), 
+        .B2(n46305), .Y(n46344) );
+  sky130_fd_sc_hd__nor2_1 U66413 ( .A(n57160), .B(n57159), .Y(n46319) );
+  sky130_fd_sc_hd__nand2_1 U66414 ( .A(n50919), .B(n48268), .Y(n51004) );
+  sky130_fd_sc_hd__a21oi_1 U66415 ( .A1(n51004), .A2(n46308), .B1(n57123), .Y(
+        n46318) );
+  sky130_fd_sc_hd__a21oi_1 U66416 ( .A1(n56543), .A2(n47168), .B1(n52384), .Y(
+        n46314) );
+  sky130_fd_sc_hd__o22ai_1 U66417 ( .A1(n52231), .A2(n52216), .B1(n52215), 
+        .B2(n57452), .Y(n46309) );
+  sky130_fd_sc_hd__nor3b_1 U66418 ( .C_N(n46310), .A(n57124), .B(n46309), .Y(
+        n46313) );
+  sky130_fd_sc_hd__o21ai_1 U66419 ( .A1(n50449), .A2(n52214), .B1(n57320), .Y(
+        n46312) );
+  sky130_fd_sc_hd__a31oi_1 U66420 ( .A1(n46314), .A2(n46313), .A3(n46312), 
+        .B1(n46311), .Y(n46317) );
+  sky130_fd_sc_hd__nand2_1 U66421 ( .A(n48077), .B(n48250), .Y(n57043) );
+  sky130_fd_sc_hd__o22ai_1 U66422 ( .A1(n46315), .A2(n57043), .B1(n57178), 
+        .B2(n57216), .Y(n46316) );
+  sky130_fd_sc_hd__nor4_1 U66423 ( .A(n46319), .B(n46318), .C(n46317), .D(
+        n46316), .Y(n46343) );
+  sky130_fd_sc_hd__nor3_1 U66424 ( .A(n57496), .B(n52710), .C(n52262), .Y(
+        n48478) );
+  sky130_fd_sc_hd__a21oi_1 U66425 ( .A1(n46320), .A2(n57193), .B1(n48478), .Y(
+        n46326) );
+  sky130_fd_sc_hd__nor2_1 U66426 ( .A(n52744), .B(n56458), .Y(n50348) );
+  sky130_fd_sc_hd__nor2_1 U66427 ( .A(n52258), .B(n52263), .Y(n47212) );
+  sky130_fd_sc_hd__nor2_1 U66428 ( .A(n52710), .B(n57319), .Y(n57078) );
+  sky130_fd_sc_hd__o21ai_1 U66429 ( .A1(n46322), .A2(n46321), .B1(n46481), .Y(
+        n57229) );
+  sky130_fd_sc_hd__o22ai_1 U66430 ( .A1(n50344), .A2(n46323), .B1(n57071), 
+        .B2(n57484), .Y(n46324) );
+  sky130_fd_sc_hd__nor3_1 U66431 ( .A(n47212), .B(n57229), .C(n46324), .Y(
+        n52380) );
+  sky130_fd_sc_hd__a31oi_1 U66432 ( .A1(n57549), .A2(n52137), .A3(n57040), 
+        .B1(n47077), .Y(n46325) );
+  sky130_fd_sc_hd__a31oi_1 U66433 ( .A1(n46326), .A2(n52380), .A3(n46325), 
+        .B1(n52747), .Y(n46341) );
+  sky130_fd_sc_hd__o21ai_0 U66434 ( .A1(n52710), .A2(n57529), .B1(n57128), .Y(
+        n52415) );
+  sky130_fd_sc_hd__nor4_1 U66435 ( .A(n57117), .B(n46327), .C(n52756), .D(
+        n52415), .Y(n46332) );
+  sky130_fd_sc_hd__a31oi_1 U66436 ( .A1(n57435), .A2(n50908), .A3(n51484), 
+        .B1(n47149), .Y(n47072) );
+  sky130_fd_sc_hd__nor2_1 U66437 ( .A(n57334), .B(n57001), .Y(n52238) );
+  sky130_fd_sc_hd__a31oi_1 U66438 ( .A1(n48131), .A2(n46328), .A3(n48106), 
+        .B1(n57549), .Y(n46330) );
+  sky130_fd_sc_hd__nor2_1 U66439 ( .A(n46330), .B(n46329), .Y(n46331) );
+  sky130_fd_sc_hd__a31oi_1 U66440 ( .A1(n46332), .A2(n47072), .A3(n46331), 
+        .B1(n57155), .Y(n46340) );
+  sky130_fd_sc_hd__nor2_1 U66441 ( .A(n57297), .B(n57509), .Y(n56592) );
+  sky130_fd_sc_hd__a21oi_1 U66442 ( .A1(n46334), .A2(n56592), .B1(n46333), .Y(
+        n46338) );
+  sky130_fd_sc_hd__nand2_1 U66443 ( .A(n52741), .B(n52699), .Y(n50486) );
+  sky130_fd_sc_hd__o22ai_1 U66444 ( .A1(n52122), .A2(n52221), .B1(n57412), 
+        .B2(n50486), .Y(n46336) );
+  sky130_fd_sc_hd__nand2_1 U66445 ( .A(n52412), .B(n50423), .Y(n57052) );
+  sky130_fd_sc_hd__nand2_1 U66446 ( .A(n50449), .B(n51551), .Y(n47091) );
+  sky130_fd_sc_hd__a211oi_1 U66448 ( .A1(n57208), .A2(n56428), .B1(n46336), 
+        .C1(n46335), .Y(n46337) );
+  sky130_fd_sc_hd__nand2_1 U66449 ( .A(n52725), .B(n52167), .Y(n50343) );
+  sky130_fd_sc_hd__a31oi_1 U66450 ( .A1(n46338), .A2(n46337), .A3(n50343), 
+        .B1(n57429), .Y(n46339) );
+  sky130_fd_sc_hd__nor3_1 U66451 ( .A(n46341), .B(n46340), .C(n46339), .Y(
+        n46342) );
+  sky130_fd_sc_hd__a31oi_1 U66452 ( .A1(n46344), .A2(n46343), .A3(n46342), 
+        .B1(n57517), .Y(n46345) );
+  sky130_fd_sc_hd__nor3_1 U66453 ( .A(n47061), .B(n46346), .C(n46345), .Y(
+        n46508) );
+  sky130_fd_sc_hd__nor2_1 U66454 ( .A(n46347), .B(n57422), .Y(n46788) );
+  sky130_fd_sc_hd__o21ai_0 U66455 ( .A1(n56408), .A2(n57140), .B1(n46826), .Y(
+        n46349) );
+  sky130_fd_sc_hd__o22ai_1 U66456 ( .A1(n52107), .A2(n57188), .B1(n56993), 
+        .B2(n57165), .Y(n46348) );
+  sky130_fd_sc_hd__nor4_1 U66457 ( .A(n51528), .B(n46350), .C(n46349), .D(
+        n46348), .Y(n46356) );
+  sky130_fd_sc_hd__nor2_1 U66458 ( .A(n51501), .B(n57300), .Y(n56480) );
+  sky130_fd_sc_hd__nor4_1 U66461 ( .A(n52383), .B(n56480), .C(n46354), .D(
+        n46353), .Y(n46355) );
+  sky130_fd_sc_hd__a21oi_1 U66462 ( .A1(n46356), .A2(n46355), .B1(n56509), .Y(
+        n46506) );
+  sky130_fd_sc_hd__a22oi_1 U66463 ( .A1(n52812), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[2]), 
+        .B1(n52813), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[2]), 
+        .Y(n46360) );
+  sky130_fd_sc_hd__a22oi_1 U66464 ( .A1(n52809), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[2]), 
+        .B1(n52811), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[2]), 
+        .Y(n46359) );
+  sky130_fd_sc_hd__a22oi_1 U66465 ( .A1(n52814), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[2]), 
+        .B1(n52810), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[2]), 
+        .Y(n46358) );
+  sky130_fd_sc_hd__a22oi_1 U66466 ( .A1(n52808), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[2]), 
+        .B1(n56952), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[2]), 
+        .Y(n46357) );
+  sky130_fd_sc_hd__nand4_1 U66467 ( .A(n46360), .B(n46359), .C(n46358), .D(
+        n46357), .Y(n46366) );
+  sky130_fd_sc_hd__a22oi_1 U66468 ( .A1(n52808), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[2]), 
+        .B1(n52813), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[2]), 
+        .Y(n46364) );
+  sky130_fd_sc_hd__a22oi_1 U66469 ( .A1(n52809), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[2]), 
+        .B1(n52810), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[2]), 
+        .Y(n46363) );
+  sky130_fd_sc_hd__a22oi_1 U66470 ( .A1(n52811), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[2]), 
+        .B1(n56952), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[2]), 
+        .Y(n46362) );
+  sky130_fd_sc_hd__a22oi_1 U66471 ( .A1(n52812), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[2]), 
+        .B1(n52814), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[2]), 
+        .Y(n46361) );
+  sky130_fd_sc_hd__nand4_1 U66472 ( .A(n46364), .B(n46363), .C(n46362), .D(
+        n46361), .Y(n46365) );
+  sky130_fd_sc_hd__a222oi_1 U66473 ( .A1(n46366), .A2(n52821), .B1(n52807), 
+        .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[2]), 
+        .C1(n46365), .C2(n52806), .Y(n46368) );
+  sky130_fd_sc_hd__nand2_1 U66474 ( .A(n56967), .B(n56953), .Y(n46833) );
+  sky130_fd_sc_hd__o22ai_1 U66475 ( .A1(n46368), .A2(n52822), .B1(n46367), 
+        .B2(n46833), .Y(n46505) );
+  sky130_fd_sc_hd__a22oi_1 U66476 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[34]), .A2(n37065), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[2]), .B2(n57558), .Y(n46477) );
+  sky130_fd_sc_hd__a22oi_1 U66477 ( .A1(n66956), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1327[2]), .B1(
+        n66945), .B2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_610[2]), .Y(
+        n62037) );
+  sky130_fd_sc_hd__o22ai_1 U66478 ( .A1(n46371), .A2(n46370), .B1(n46369), 
+        .B2(n58215), .Y(n46384) );
+  sky130_fd_sc_hd__a22oi_1 U66479 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_44[2]), 
+        .A2(n67719), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_42[2]), 
+        .B2(n67709), .Y(n46374) );
+  sky130_fd_sc_hd__a22oi_1 U66480 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_39[2]), 
+        .A2(n46375), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_46[2]), 
+        .B2(n46372), .Y(n46373) );
+  sky130_fd_sc_hd__a21oi_1 U66481 ( .A1(n46374), .A2(n46373), .B1(n67801), .Y(
+        n46380) );
+  sky130_fd_sc_hd__a222oi_1 U66482 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_26[2]), 
+        .A2(n67709), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_28[2]), 
+        .B2(n67719), .C1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_23[2]), 
+        .C2(n46375), .Y(n46378) );
+  sky130_fd_sc_hd__a222oi_1 U66483 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_10[2]), 
+        .A2(n67709), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_12[2]), 
+        .B2(n67719), .C1(n46376), .C2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_8[2]), 
+        .Y(n46377) );
+  sky130_fd_sc_hd__o22ai_1 U66484 ( .A1(n46378), .A2(n67680), .B1(n46377), 
+        .B2(n67750), .Y(n46379) );
+  sky130_fd_sc_hd__nor2_1 U66485 ( .A(n46380), .B(n46379), .Y(n46381) );
+  sky130_fd_sc_hd__a211oi_1 U66487 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_36[2]), 
+        .A2(n46385), .B1(n46384), .C1(n46383), .Y(n46396) );
+  sky130_fd_sc_hd__a22oi_1 U66488 ( .A1(n67803), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_41[2]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_43[2]), 
+        .B2(n67891), .Y(n46389) );
+  sky130_fd_sc_hd__clkinv_1 U66489 ( .A(n46386), .Y(n46387) );
+  sky130_fd_sc_hd__a22oi_1 U66490 ( .A1(n67806), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_45[2]), 
+        .B1(n46387), .B2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_3[2]), 
+        .Y(n46388) );
+  sky130_fd_sc_hd__nand2_1 U66491 ( .A(n46389), .B(n46388), .Y(n46390) );
+  sky130_fd_sc_hd__a21oi_1 U66492 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[33]), 
+        .A2(n52770), .B1(n46390), .Y(n46395) );
+  sky130_fd_sc_hd__a22oi_1 U66493 ( .A1(n46392), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_20[2]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_16[2]), 
+        .B2(n46391), .Y(n46394) );
+  sky130_fd_sc_hd__nand2_1 U66494 ( .A(n52775), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[33]), .Y(
+        n46393) );
+  sky130_fd_sc_hd__nand4_1 U66495 ( .A(n46396), .B(n46395), .C(n46394), .D(
+        n46393), .Y(n46397) );
+  sky130_fd_sc_hd__a21oi_1 U66496 ( .A1(n61467), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_prepend_38[2]), 
+        .B1(n46397), .Y(n46472) );
+  sky130_fd_sc_hd__o22ai_1 U66497 ( .A1(n46401), .A2(n46400), .B1(n46399), 
+        .B2(n46398), .Y(n46419) );
+  sky130_fd_sc_hd__a22oi_1 U66498 ( .A1(n46403), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_6[2]), 
+        .B1(n46402), .B2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_11[2]), 
+        .Y(n46407) );
+  sky130_fd_sc_hd__a22oi_1 U66499 ( .A1(n46405), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_13[2]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_9[2]), 
+        .B2(n46404), .Y(n46406) );
+  sky130_fd_sc_hd__o211ai_1 U66500 ( .A1(n57869), .A2(n46408), .B1(n46407), 
+        .C1(n46406), .Y(n46418) );
+  sky130_fd_sc_hd__o22ai_1 U66501 ( .A1(n57872), .A2(n46410), .B1(n46409), 
+        .B2(n58180), .Y(n46417) );
+  sky130_fd_sc_hd__a22oi_1 U66502 ( .A1(n62962), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_29[2]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_25[2]), 
+        .B2(n67710), .Y(n46413) );
+  sky130_fd_sc_hd__a22oi_1 U66503 ( .A1(n46411), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_51[2]), 
+        .B1(n67721), .B2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_27[2]), 
+        .Y(n46412) );
+  sky130_fd_sc_hd__o211ai_1 U66504 ( .A1(n46415), .A2(n46414), .B1(n46413), 
+        .C1(n46412), .Y(n46416) );
+  sky130_fd_sc_hd__or4_1 U66505 ( .A(n46419), .B(n46418), .C(n46417), .D(
+        n46416), .X(n46469) );
+  sky130_fd_sc_hd__o22ai_1 U66506 ( .A1(n46422), .A2(n46421), .B1(n46420), 
+        .B2(n58184), .Y(n46434) );
+  sky130_fd_sc_hd__o22ai_1 U66507 ( .A1(n37885), .A2(n46424), .B1(n46423), 
+        .B2(n58185), .Y(n46433) );
+  sky130_fd_sc_hd__o22ai_1 U66508 ( .A1(n37509), .A2(n46427), .B1(n46426), 
+        .B2(n46425), .Y(n46432) );
+  sky130_fd_sc_hd__o22ai_1 U66509 ( .A1(n46430), .A2(n46429), .B1(n46428), 
+        .B2(n58216), .Y(n46431) );
+  sky130_fd_sc_hd__or4_1 U66510 ( .A(n46434), .B(n46433), .C(n46432), .D(
+        n46431), .X(n46435) );
+  sky130_fd_sc_hd__a21oi_1 U66511 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[5]), .A2(n52774), .B1(n46435), .Y(n46467) );
+  sky130_fd_sc_hd__o22ai_1 U66512 ( .A1(n57944), .A2(n46438), .B1(n46437), 
+        .B2(n46436), .Y(n46448) );
+  sky130_fd_sc_hd__nor2_1 U66513 ( .A(n78191), .B(n46439), .Y(n46447) );
+  sky130_fd_sc_hd__o22ai_1 U66514 ( .A1(n57976), .A2(n46441), .B1(n46440), 
+        .B2(n57942), .Y(n46446) );
+  sky130_fd_sc_hd__o22ai_1 U66515 ( .A1(n57871), .A2(n46444), .B1(n46443), 
+        .B2(n46442), .Y(n46445) );
+  sky130_fd_sc_hd__nor4_1 U66516 ( .A(n46448), .B(n46447), .C(n46446), .D(
+        n46445), .Y(n46466) );
+  sky130_fd_sc_hd__clkinv_1 U66517 ( .A(n46449), .Y(n46460) );
+  sky130_fd_sc_hd__a22oi_1 U66518 ( .A1(n46450), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_14[2]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_7[2]), 
+        .B2(n62958), .Y(n46452) );
+  sky130_fd_sc_hd__a22oi_1 U66519 ( .A1(n67717), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_30[2]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_24[2]), 
+        .B2(n67697), .Y(n46451) );
+  sky130_fd_sc_hd__nand2_1 U66520 ( .A(n46452), .B(n46451), .Y(n46459) );
+  sky130_fd_sc_hd__a22oi_1 U66521 ( .A1(n46454), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_55[2]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_40[2]), 
+        .B2(n46453), .Y(n46455) );
+  sky130_fd_sc_hd__o21ai_1 U66522 ( .A1(n46457), .A2(n46456), .B1(n46455), .Y(
+        n46458) );
+  sky130_fd_sc_hd__a211oi_1 U66523 ( .A1(n46460), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_22[2]), 
+        .B1(n46459), .C1(n46458), .Y(n46465) );
+  sky130_fd_sc_hd__clkinv_1 U66524 ( .A(n46461), .Y(n46463) );
+  sky130_fd_sc_hd__a22oi_1 U66525 ( .A1(n46463), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_18[2]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_15[2]), 
+        .B2(n46462), .Y(n46464) );
+  sky130_fd_sc_hd__nand4_1 U66526 ( .A(n46467), .B(n46466), .C(n46465), .D(
+        n46464), .Y(n46468) );
+  sky130_fd_sc_hd__a211oi_1 U66527 ( .A1(n61468), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_prepend_39[2]), 
+        .B1(n46469), .C1(n46468), .Y(n46471) );
+  sky130_fd_sc_hd__a31oi_1 U66528 ( .A1(n62037), .A2(n46472), .A3(n46471), 
+        .B1(n46470), .Y(n46473) );
+  sky130_fd_sc_hd__a21oi_1 U66529 ( .A1(n57570), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[2]), .B1(n46473), .Y(
+        n46476) );
+  sky130_fd_sc_hd__nand2_1 U66530 ( .A(n57588), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[2]), .Y(n46475) );
+  sky130_fd_sc_hd__nand2_1 U66531 ( .A(n41794), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[2]), .Y(n46474) );
+  sky130_fd_sc_hd__nand4_1 U66532 ( .A(n46477), .B(n46476), .C(n46475), .D(
+        n46474), .Y(n46504) );
+  sky130_fd_sc_hd__a21oi_1 U66533 ( .A1(n50239), .A2(n52165), .B1(n57529), .Y(
+        n46485) );
+  sky130_fd_sc_hd__nor2_1 U66534 ( .A(n46478), .B(n52744), .Y(n52255) );
+  sky130_fd_sc_hd__a211oi_1 U66535 ( .A1(n50263), .A2(n46480), .B1(n46479), 
+        .C1(n52255), .Y(n52432) );
+  sky130_fd_sc_hd__o211ai_1 U66536 ( .A1(n57496), .A2(n52430), .B1(n52432), 
+        .C1(n46481), .Y(n57219) );
+  sky130_fd_sc_hd__o22ai_1 U66537 ( .A1(n46483), .A2(n46482), .B1(n57485), 
+        .B2(n57543), .Y(n46484) );
+  sky130_fd_sc_hd__nor3_1 U66538 ( .A(n46485), .B(n57219), .C(n46484), .Y(
+        n46502) );
+  sky130_fd_sc_hd__nor2_1 U66539 ( .A(n46713), .B(n57316), .Y(n52473) );
+  sky130_fd_sc_hd__nand2_1 U66540 ( .A(n36797), .B(n46486), .Y(n47095) );
+  sky130_fd_sc_hd__nand2_1 U66541 ( .A(n56559), .B(n50378), .Y(n56983) );
+  sky130_fd_sc_hd__nand2_1 U66542 ( .A(n46487), .B(n56432), .Y(n56537) );
+  sky130_fd_sc_hd__nand4_1 U66543 ( .A(n47095), .B(n46488), .C(n56983), .D(
+        n56537), .Y(n46499) );
+  sky130_fd_sc_hd__nor2_1 U66544 ( .A(n57544), .B(n50461), .Y(n57355) );
+  sky130_fd_sc_hd__nor2_1 U66545 ( .A(n57355), .B(n57324), .Y(n57167) );
+  sky130_fd_sc_hd__nor2_1 U66546 ( .A(n52744), .B(n50252), .Y(n46489) );
+  sky130_fd_sc_hd__a21oi_1 U66547 ( .A1(n46490), .A2(n50251), .B1(n46489), .Y(
+        n46497) );
+  sky130_fd_sc_hd__nand2_1 U66548 ( .A(n46492), .B(n46491), .Y(n50929) );
+  sky130_fd_sc_hd__o21ai_0 U66549 ( .A1(n57450), .A2(n48257), .B1(n46493), .Y(
+        n46494) );
+  sky130_fd_sc_hd__nand4_1 U66551 ( .A(n57167), .B(n46497), .C(n50929), .D(
+        n46496), .Y(n46498) );
+  sky130_fd_sc_hd__nor4_1 U66552 ( .A(n52473), .B(n46500), .C(n46499), .D(
+        n46498), .Y(n46501) );
+  sky130_fd_sc_hd__o22ai_1 U66553 ( .A1(n46502), .A2(n57222), .B1(n46501), 
+        .B2(n56606), .Y(n46503) );
+  sky130_fd_sc_hd__nor4_1 U66554 ( .A(n46506), .B(n46505), .C(n46504), .D(
+        n46503), .Y(n46507) );
+  sky130_fd_sc_hd__nand4_1 U66555 ( .A(n46510), .B(n46509), .C(n46508), .D(
+        n46507), .Y(n84486) );
+  sky130_fd_sc_hd__mux2_2 U66556 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[34]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[2]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .X(n84555) );
+  sky130_fd_sc_hd__nand2_1 U66557 ( .A(n57367), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_2[0]), .Y(
+        n46513) );
+  sky130_fd_sc_hd__nand2_1 U66558 ( .A(n57600), .B(n84555), .Y(n46512) );
+  sky130_fd_sc_hd__a22oi_1 U66559 ( .A1(n57602), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_0[2]), .B1(n57601), .B2(n85315), .Y(n46511) );
+  sky130_fd_sc_hd__nand3_1 U66560 ( .A(n46513), .B(n46512), .C(n46511), .Y(
+        n84531) );
+  sky130_fd_sc_hd__a22oi_1 U66561 ( .A1(n50766), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[370]), .B1(n50776), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[466]), .Y(n46517) );
+  sky130_fd_sc_hd__a22oi_1 U66562 ( .A1(n50775), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[50]), .B1(n50767), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[306]), .Y(n46516) );
+  sky130_fd_sc_hd__a22oi_1 U66563 ( .A1(n50763), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[498]), .B1(n83204), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[274]), .Y(n46515) );
+  sky130_fd_sc_hd__a22oi_1 U66564 ( .A1(n50764), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[434]), .B1(n50765), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[18]), .Y(n46514) );
+  sky130_fd_sc_hd__nand4_1 U66565 ( .A(n46517), .B(n46516), .C(n46515), .D(
+        n46514), .Y(n46524) );
+  sky130_fd_sc_hd__a22oi_1 U66566 ( .A1(n50773), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[242]), .B1(n50774), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[146]), .Y(n46521) );
+  sky130_fd_sc_hd__a22oi_1 U66567 ( .A1(n50779), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[338]), .B1(n50772), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[402]), .Y(n46520) );
+  sky130_fd_sc_hd__a22oi_1 U66568 ( .A1(n50777), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[114]), .B1(n50761), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[210]), .Y(n46519) );
+  sky130_fd_sc_hd__a22oi_1 U66569 ( .A1(n50762), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[178]), .B1(n50778), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[82]), .Y(n46518) );
+  sky130_fd_sc_hd__nand4_1 U66570 ( .A(n46521), .B(n46520), .C(n46519), .D(
+        n46518), .Y(n46523) );
+  sky130_fd_sc_hd__a22o_1 U66571 ( .A1(n38371), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[18]), .B1(n37066), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[50]), .X(n46522) );
+  sky130_fd_sc_hd__a221oi_1 U66572 ( .A1(n46524), .A2(n55987), .B1(n46523), 
+        .B2(n55987), .C1(n46522), .Y(n46558) );
+  sky130_fd_sc_hd__a22oi_1 U66573 ( .A1(n50699), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[498]), .B1(n50687), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[338]), .Y(n46528) );
+  sky130_fd_sc_hd__a22oi_1 U66574 ( .A1(n50700), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[370]), .B1(n50689), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[402]), .Y(n46527) );
+  sky130_fd_sc_hd__a22oi_1 U66575 ( .A1(n50686), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[306]), .B1(n50697), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[434]), .Y(n46526) );
+  sky130_fd_sc_hd__a22oi_1 U66576 ( .A1(n50698), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[114]), .B1(n50701), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[178]), .Y(n46525) );
+  sky130_fd_sc_hd__nand4_1 U66577 ( .A(n46528), .B(n46527), .C(n46526), .D(
+        n46525), .Y(n46534) );
+  sky130_fd_sc_hd__a22oi_1 U66578 ( .A1(n50690), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[146]), .B1(n50685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[274]), .Y(n46532) );
+  sky130_fd_sc_hd__a22oi_1 U66579 ( .A1(n50704), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[466]), .B1(n50702), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[50]), .Y(n46531) );
+  sky130_fd_sc_hd__a22oi_1 U66580 ( .A1(n50703), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[242]), .B1(n50688), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[210]), .Y(n46530) );
+  sky130_fd_sc_hd__a22oi_1 U66581 ( .A1(n50692), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[18]), .B1(n50691), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[82]), .Y(n46529) );
+  sky130_fd_sc_hd__nand4_1 U66582 ( .A(n46532), .B(n46531), .C(n46530), .D(
+        n46529), .Y(n46533) );
+  sky130_fd_sc_hd__o21ai_1 U66583 ( .A1(n46534), .A2(n46533), .B1(n54782), .Y(
+        n46557) );
+  sky130_fd_sc_hd__a22oi_1 U66584 ( .A1(n50728), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[402]), .B1(n48671), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[242]), .Y(n46538) );
+  sky130_fd_sc_hd__a22oi_1 U66585 ( .A1(n50615), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[114]), .B1(n50715), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[434]), .Y(n46537) );
+  sky130_fd_sc_hd__a22oi_1 U66586 ( .A1(n50711), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[210]), .B1(n50725), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[50]), .Y(n46536) );
+  sky130_fd_sc_hd__a22oi_1 U66587 ( .A1(n50716), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[18]), .B1(n83122), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[274]), .Y(n46535) );
+  sky130_fd_sc_hd__nand4_1 U66588 ( .A(n46538), .B(n46537), .C(n46536), .D(
+        n46535), .Y(n46544) );
+  sky130_fd_sc_hd__a22oi_1 U66589 ( .A1(n50714), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[306]), .B1(n50717), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[370]), .Y(n46542) );
+  sky130_fd_sc_hd__a22oi_1 U66590 ( .A1(n50726), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[82]), .B1(n50724), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[466]), .Y(n46541) );
+  sky130_fd_sc_hd__a22oi_1 U66591 ( .A1(n50723), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[146]), .B1(n50712), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[178]), .Y(n46540) );
+  sky130_fd_sc_hd__a22oi_1 U66592 ( .A1(n50729), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[498]), .B1(n50713), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[338]), .Y(n46539) );
+  sky130_fd_sc_hd__nand4_1 U66593 ( .A(n46542), .B(n46541), .C(n46540), .D(
+        n46539), .Y(n46543) );
+  sky130_fd_sc_hd__o21ai_1 U66594 ( .A1(n46544), .A2(n46543), .B1(n54781), .Y(
+        n46556) );
+  sky130_fd_sc_hd__a22oi_1 U66595 ( .A1(n50738), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[306]), .B1(n50747), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[146]), .Y(n46548) );
+  sky130_fd_sc_hd__a22oi_1 U66596 ( .A1(n50739), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[434]), .B1(n50741), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[242]), .Y(n46547) );
+  sky130_fd_sc_hd__a22oi_1 U66597 ( .A1(n50751), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[82]), .B1(n50753), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[178]), .Y(n46546) );
+  sky130_fd_sc_hd__a22oi_1 U66598 ( .A1(n50742), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[274]), .B1(n83080), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[18]), .Y(n46545) );
+  sky130_fd_sc_hd__nand4_1 U66599 ( .A(n46548), .B(n46547), .C(n46546), .D(
+        n46545), .Y(n46554) );
+  sky130_fd_sc_hd__a22oi_1 U66600 ( .A1(n50750), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[466]), .B1(n50740), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[210]), .Y(n46552) );
+  sky130_fd_sc_hd__a22oi_1 U66601 ( .A1(n50737), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[114]), .B1(n50736), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[338]), .Y(n46551) );
+  sky130_fd_sc_hd__a22oi_1 U66602 ( .A1(n50754), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[370]), .B1(n50749), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[498]), .Y(n46550) );
+  sky130_fd_sc_hd__a22oi_1 U66603 ( .A1(n50748), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[50]), .B1(n50752), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[402]), .Y(n46549) );
+  sky130_fd_sc_hd__nand4_1 U66604 ( .A(n46552), .B(n46551), .C(n46550), .D(
+        n46549), .Y(n46553) );
+  sky130_fd_sc_hd__o21ai_1 U66605 ( .A1(n46554), .A2(n46553), .B1(n54746), .Y(
+        n46555) );
+  sky130_fd_sc_hd__nand4_1 U66606 ( .A(n46558), .B(n46557), .C(n46556), .D(
+        n46555), .Y(n85190) );
+  sky130_fd_sc_hd__a22oi_1 U66607 ( .A1(n50772), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[394]), .B1(n50763), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[490]), .Y(n46562) );
+  sky130_fd_sc_hd__a22oi_1 U66608 ( .A1(n50767), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[298]), .B1(n50766), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[362]), .Y(n46561) );
+  sky130_fd_sc_hd__a22oi_1 U66609 ( .A1(n50777), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[106]), .B1(n50779), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[330]), .Y(n46560) );
+  sky130_fd_sc_hd__a22oi_1 U66610 ( .A1(n50765), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[10]), .B1(n83204), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[266]), .Y(n46559) );
+  sky130_fd_sc_hd__nand4_1 U66611 ( .A(n46562), .B(n46561), .C(n46560), .D(
+        n46559), .Y(n46569) );
+  sky130_fd_sc_hd__a22oi_1 U66612 ( .A1(n50762), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[170]), .B1(n50761), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[202]), .Y(n46566) );
+  sky130_fd_sc_hd__a22oi_1 U66613 ( .A1(n50775), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[42]), .B1(n50773), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[234]), .Y(n46565) );
+  sky130_fd_sc_hd__a22oi_1 U66614 ( .A1(n50764), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[426]), .B1(n50774), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[138]), .Y(n46564) );
+  sky130_fd_sc_hd__a22oi_1 U66615 ( .A1(n50778), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[74]), .B1(n50776), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[458]), .Y(n46563) );
+  sky130_fd_sc_hd__nand4_1 U66616 ( .A(n46566), .B(n46565), .C(n46564), .D(
+        n46563), .Y(n46568) );
+  sky130_fd_sc_hd__a22o_1 U66617 ( .A1(n38371), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[10]), .B1(n37066), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[42]), .X(n46567) );
+  sky130_fd_sc_hd__a221oi_1 U66618 ( .A1(n46569), .A2(n37033), .B1(n46568), 
+        .B2(n55987), .C1(n46567), .Y(n46603) );
+  sky130_fd_sc_hd__a22oi_1 U66619 ( .A1(n50699), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[490]), .B1(n50701), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[170]), .Y(n46573) );
+  sky130_fd_sc_hd__a22oi_1 U66620 ( .A1(n50702), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[42]), .B1(n50698), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[106]), .Y(n46572) );
+  sky130_fd_sc_hd__a22oi_1 U66621 ( .A1(n50700), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[362]), .B1(n50688), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[202]), .Y(n46571) );
+  sky130_fd_sc_hd__a22oi_1 U66622 ( .A1(n50703), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[234]), .B1(n50697), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[426]), .Y(n46570) );
+  sky130_fd_sc_hd__nand4_1 U66623 ( .A(n46573), .B(n46572), .C(n46571), .D(
+        n46570), .Y(n46579) );
+  sky130_fd_sc_hd__a22oi_1 U66624 ( .A1(n50692), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[10]), .B1(n50685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[266]), .Y(n46577) );
+  sky130_fd_sc_hd__a22oi_1 U66625 ( .A1(n50689), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[394]), .B1(n50687), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[330]), .Y(n46576) );
+  sky130_fd_sc_hd__a22oi_1 U66626 ( .A1(n50704), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[458]), .B1(n50690), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[138]), .Y(n46575) );
+  sky130_fd_sc_hd__a22oi_1 U66627 ( .A1(n50686), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[298]), .B1(n50691), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[74]), .Y(n46574) );
+  sky130_fd_sc_hd__nand4_1 U66628 ( .A(n46577), .B(n46576), .C(n46575), .D(
+        n46574), .Y(n46578) );
+  sky130_fd_sc_hd__o21ai_1 U66629 ( .A1(n46579), .A2(n46578), .B1(n54782), .Y(
+        n46602) );
+  sky130_fd_sc_hd__a22oi_1 U66630 ( .A1(n50711), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[202]), .B1(n50727), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[234]), .Y(n46583) );
+  sky130_fd_sc_hd__a22oi_1 U66631 ( .A1(n50722), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[106]), .B1(n50724), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[458]), .Y(n46582) );
+  sky130_fd_sc_hd__a22oi_1 U66632 ( .A1(n50728), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[394]), .B1(n50725), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[42]), .Y(n46581) );
+  sky130_fd_sc_hd__a22oi_1 U66633 ( .A1(n50716), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[10]), .B1(n83122), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[266]), .Y(n46580) );
+  sky130_fd_sc_hd__nand4_1 U66634 ( .A(n46583), .B(n46582), .C(n46581), .D(
+        n46580), .Y(n46589) );
+  sky130_fd_sc_hd__a22oi_1 U66635 ( .A1(n50714), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[298]), .B1(n50726), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[74]), .Y(n46587) );
+  sky130_fd_sc_hd__a22oi_1 U66636 ( .A1(n50712), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[170]), .B1(n50717), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[362]), .Y(n46586) );
+  sky130_fd_sc_hd__a22oi_1 U66637 ( .A1(n50713), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[330]), .B1(n50715), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[426]), .Y(n46585) );
+  sky130_fd_sc_hd__a22oi_1 U66638 ( .A1(n50723), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[138]), .B1(n50729), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[490]), .Y(n46584) );
+  sky130_fd_sc_hd__nand4_1 U66639 ( .A(n46587), .B(n46586), .C(n46585), .D(
+        n46584), .Y(n46588) );
+  sky130_fd_sc_hd__o21ai_1 U66640 ( .A1(n46589), .A2(n46588), .B1(n54781), .Y(
+        n46601) );
+  sky130_fd_sc_hd__a22oi_1 U66641 ( .A1(n50750), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[458]), .B1(n50749), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[490]), .Y(n46593) );
+  sky130_fd_sc_hd__a22oi_1 U66642 ( .A1(n50748), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[42]), .B1(n50740), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[202]), .Y(n46592) );
+  sky130_fd_sc_hd__a22oi_1 U66643 ( .A1(n50752), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[394]), .B1(n50753), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[170]), .Y(n46591) );
+  sky130_fd_sc_hd__a22oi_1 U66644 ( .A1(n50742), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[266]), .B1(n83080), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[10]), .Y(n46590) );
+  sky130_fd_sc_hd__nand4_1 U66645 ( .A(n46593), .B(n46592), .C(n46591), .D(
+        n46590), .Y(n46599) );
+  sky130_fd_sc_hd__a22oi_1 U66646 ( .A1(n50737), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[106]), .B1(n50747), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[138]), .Y(n46597) );
+  sky130_fd_sc_hd__a22oi_1 U66647 ( .A1(n50739), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[426]), .B1(n50751), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[74]), .Y(n46596) );
+  sky130_fd_sc_hd__a22oi_1 U66648 ( .A1(n50741), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[234]), .B1(n50736), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[330]), .Y(n46595) );
+  sky130_fd_sc_hd__a22oi_1 U66649 ( .A1(n50754), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[362]), .B1(n50738), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[298]), .Y(n46594) );
+  sky130_fd_sc_hd__nand4_1 U66650 ( .A(n46597), .B(n46596), .C(n46595), .D(
+        n46594), .Y(n46598) );
+  sky130_fd_sc_hd__nand4_1 U66652 ( .A(n46603), .B(n46602), .C(n46601), .D(
+        n46600), .Y(n85208) );
+  sky130_fd_sc_hd__a22oi_1 U66653 ( .A1(n50775), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[58]), .B1(n50766), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[378]), .Y(n46607) );
+  sky130_fd_sc_hd__a22oi_1 U66654 ( .A1(n50764), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[442]), .B1(n50762), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[186]), .Y(n46606) );
+  sky130_fd_sc_hd__a22oi_1 U66655 ( .A1(n50765), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[26]), .B1(n50761), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[218]), .Y(n46605) );
+  sky130_fd_sc_hd__a22oi_1 U66656 ( .A1(n50776), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[474]), .B1(n83204), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[282]), .Y(n46604) );
+  sky130_fd_sc_hd__nand4_1 U66657 ( .A(n46607), .B(n46606), .C(n46605), .D(
+        n46604), .Y(n46614) );
+  sky130_fd_sc_hd__a22oi_1 U66658 ( .A1(n50779), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[346]), .B1(n50773), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[250]), .Y(n46611) );
+  sky130_fd_sc_hd__a22oi_1 U66659 ( .A1(n50767), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[314]), .B1(n50778), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[90]), .Y(n46610) );
+  sky130_fd_sc_hd__a22oi_1 U66660 ( .A1(n50772), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[410]), .B1(n50774), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[154]), .Y(n46609) );
+  sky130_fd_sc_hd__a22oi_1 U66661 ( .A1(n50777), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[122]), .B1(n50763), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[506]), .Y(n46608) );
+  sky130_fd_sc_hd__nand4_1 U66662 ( .A(n46611), .B(n46610), .C(n46609), .D(
+        n46608), .Y(n46613) );
+  sky130_fd_sc_hd__a22o_1 U66663 ( .A1(n38371), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[26]), .B1(n37066), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[58]), .X(n46612) );
+  sky130_fd_sc_hd__a221oi_1 U66664 ( .A1(n46614), .A2(n37033), .B1(n46613), 
+        .B2(n37033), .C1(n46612), .Y(n46648) );
+  sky130_fd_sc_hd__a22oi_1 U66665 ( .A1(n50702), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[58]), .B1(n50689), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[410]), .Y(n46618) );
+  sky130_fd_sc_hd__a22oi_1 U66666 ( .A1(n50699), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[506]), .B1(n50701), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[186]), .Y(n46617) );
+  sky130_fd_sc_hd__a22oi_1 U66667 ( .A1(n50704), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[474]), .B1(n50691), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[90]), .Y(n46616) );
+  sky130_fd_sc_hd__a22oi_1 U66668 ( .A1(n50688), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[218]), .B1(n50686), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[314]), .Y(n46615) );
+  sky130_fd_sc_hd__nand4_1 U66669 ( .A(n46618), .B(n46617), .C(n46616), .D(
+        n46615), .Y(n46624) );
+  sky130_fd_sc_hd__a22oi_1 U66670 ( .A1(n50700), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[378]), .B1(n50685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[282]), .Y(n46622) );
+  sky130_fd_sc_hd__a22oi_1 U66671 ( .A1(n50698), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[122]), .B1(n50697), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[442]), .Y(n46621) );
+  sky130_fd_sc_hd__a22oi_1 U66672 ( .A1(n50692), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[26]), .B1(n50687), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[346]), .Y(n46620) );
+  sky130_fd_sc_hd__a22oi_1 U66673 ( .A1(n50703), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[250]), .B1(n50690), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[154]), .Y(n46619) );
+  sky130_fd_sc_hd__nand4_1 U66674 ( .A(n46622), .B(n46621), .C(n46620), .D(
+        n46619), .Y(n46623) );
+  sky130_fd_sc_hd__o21ai_1 U66675 ( .A1(n46624), .A2(n46623), .B1(n54782), .Y(
+        n46647) );
+  sky130_fd_sc_hd__a22oi_1 U66676 ( .A1(n50712), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[186]), .B1(n50726), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[90]), .Y(n46628) );
+  sky130_fd_sc_hd__a22oi_1 U66677 ( .A1(n50713), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[346]), .B1(n48671), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[250]), .Y(n46627) );
+  sky130_fd_sc_hd__a22oi_1 U66678 ( .A1(n50715), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[442]), .B1(n83122), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[282]), .Y(n46626) );
+  sky130_fd_sc_hd__a22oi_1 U66679 ( .A1(n50717), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[378]), .B1(n50716), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[26]), .Y(n46625) );
+  sky130_fd_sc_hd__nand4_1 U66680 ( .A(n46628), .B(n46627), .C(n46626), .D(
+        n46625), .Y(n46634) );
+  sky130_fd_sc_hd__a22oi_1 U66681 ( .A1(n50729), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[506]), .B1(n50711), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[218]), .Y(n46632) );
+  sky130_fd_sc_hd__a22oi_1 U66682 ( .A1(n50723), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[154]), .B1(n50728), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[410]), .Y(n46631) );
+  sky130_fd_sc_hd__a22oi_1 U66683 ( .A1(n50722), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[122]), .B1(n50724), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[474]), .Y(n46630) );
+  sky130_fd_sc_hd__a22oi_1 U66684 ( .A1(n50714), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[314]), .B1(n50725), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[58]), .Y(n46629) );
+  sky130_fd_sc_hd__nand4_1 U66685 ( .A(n46632), .B(n46631), .C(n46630), .D(
+        n46629), .Y(n46633) );
+  sky130_fd_sc_hd__o21ai_1 U66686 ( .A1(n46634), .A2(n46633), .B1(n54781), .Y(
+        n46646) );
+  sky130_fd_sc_hd__a22oi_1 U66687 ( .A1(n50741), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[250]), .B1(n50738), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[314]), .Y(n46638) );
+  sky130_fd_sc_hd__a22oi_1 U66688 ( .A1(n50739), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[442]), .B1(n50737), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[122]), .Y(n46637) );
+  sky130_fd_sc_hd__a22oi_1 U66689 ( .A1(n50740), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[218]), .B1(n50753), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[186]), .Y(n46636) );
+  sky130_fd_sc_hd__a22oi_1 U66690 ( .A1(n50742), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[282]), .B1(n83080), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[26]), .Y(n46635) );
+  sky130_fd_sc_hd__nand4_1 U66691 ( .A(n46638), .B(n46637), .C(n46636), .D(
+        n46635), .Y(n46644) );
+  sky130_fd_sc_hd__a22oi_1 U66692 ( .A1(n50747), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[154]), .B1(n50751), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[90]), .Y(n46642) );
+  sky130_fd_sc_hd__a22oi_1 U66693 ( .A1(n50752), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[410]), .B1(n50749), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[506]), .Y(n46641) );
+  sky130_fd_sc_hd__a22oi_1 U66694 ( .A1(n50748), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[58]), .B1(n50750), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[474]), .Y(n46640) );
+  sky130_fd_sc_hd__a22oi_1 U66695 ( .A1(n50754), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[378]), .B1(n50736), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[346]), .Y(n46639) );
+  sky130_fd_sc_hd__nand4_1 U66696 ( .A(n46642), .B(n46641), .C(n46640), .D(
+        n46639), .Y(n46643) );
+  sky130_fd_sc_hd__o21ai_1 U66697 ( .A1(n46644), .A2(n46643), .B1(n54746), .Y(
+        n46645) );
+  sky130_fd_sc_hd__nand4_1 U66698 ( .A(n46648), .B(n46647), .C(n46646), .D(
+        n46645), .Y(n85205) );
+  sky130_fd_sc_hd__a222oi_1 U66699 ( .A1(n85190), .A2(n50684), .B1(n85208), 
+        .B2(n50683), .C1(n85205), .C2(n50682), .Y(n46697) );
+  sky130_fd_sc_hd__a222oi_1 U66700 ( .A1(n50684), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[18]), .B1(n50683), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[10]), .C1(n50682), .C2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[26]), .Y(n46696) );
+  sky130_fd_sc_hd__a22oi_1 U66701 ( .A1(n50698), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[98]), .B1(n50691), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[66]), .Y(n46652) );
+  sky130_fd_sc_hd__a22oi_1 U66702 ( .A1(n50689), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[386]), .B1(n50687), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[322]), .Y(n46651) );
+  sky130_fd_sc_hd__a22oi_1 U66703 ( .A1(n50704), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[450]), .B1(n50703), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[226]), .Y(n46650) );
+  sky130_fd_sc_hd__a22oi_1 U66704 ( .A1(n50702), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[34]), .B1(n50685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[258]), .Y(n46649) );
+  sky130_fd_sc_hd__nand4_1 U66705 ( .A(n46652), .B(n46651), .C(n46650), .D(
+        n46649), .Y(n46658) );
+  sky130_fd_sc_hd__a22oi_1 U66706 ( .A1(n50690), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[130]), .B1(n50697), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[418]), .Y(n46656) );
+  sky130_fd_sc_hd__a22oi_1 U66707 ( .A1(n50700), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[354]), .B1(n50686), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[290]), .Y(n46655) );
+  sky130_fd_sc_hd__a22oi_1 U66708 ( .A1(n50699), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[482]), .B1(n50701), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[162]), .Y(n46654) );
+  sky130_fd_sc_hd__a22oi_1 U66709 ( .A1(n50692), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[2]), .B1(n50688), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[194]), .Y(n46653) );
+  sky130_fd_sc_hd__nand4_1 U66710 ( .A(n46656), .B(n46655), .C(n46654), .D(
+        n46653), .Y(n46657) );
+  sky130_fd_sc_hd__o21a_1 U66711 ( .A1(n46658), .A2(n46657), .B1(n54782), .X(
+        n46694) );
+  sky130_fd_sc_hd__a22oi_1 U66712 ( .A1(n38371), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[2]), .B1(n37066), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[34]), .Y(n46692) );
+  sky130_fd_sc_hd__a22oi_1 U66713 ( .A1(n50717), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[354]), .B1(n50728), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[386]), .Y(n46662) );
+  sky130_fd_sc_hd__a22oi_1 U66714 ( .A1(n50723), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[130]), .B1(n50711), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[194]), .Y(n46661) );
+  sky130_fd_sc_hd__a22oi_1 U66715 ( .A1(n50714), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[290]), .B1(n83122), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[258]), .Y(n46660) );
+  sky130_fd_sc_hd__a22oi_1 U66716 ( .A1(n50725), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[34]), .B1(n50716), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[2]), .Y(n46659) );
+  sky130_fd_sc_hd__nand4_1 U66717 ( .A(n46662), .B(n46661), .C(n46660), .D(
+        n46659), .Y(n46668) );
+  sky130_fd_sc_hd__a22oi_1 U66718 ( .A1(n50713), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[322]), .B1(n50726), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[66]), .Y(n46666) );
+  sky130_fd_sc_hd__a22oi_1 U66719 ( .A1(n50729), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[482]), .B1(n50715), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[418]), .Y(n46665) );
+  sky130_fd_sc_hd__a22oi_1 U66720 ( .A1(n50712), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[162]), .B1(n50724), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[450]), .Y(n46664) );
+  sky130_fd_sc_hd__a22oi_1 U66721 ( .A1(n50722), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[98]), .B1(n48671), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[226]), .Y(n46663) );
+  sky130_fd_sc_hd__nand4_1 U66722 ( .A(n46666), .B(n46665), .C(n46664), .D(
+        n46663), .Y(n46667) );
+  sky130_fd_sc_hd__o21ai_1 U66723 ( .A1(n46668), .A2(n46667), .B1(n54781), .Y(
+        n46691) );
+  sky130_fd_sc_hd__a22oi_1 U66724 ( .A1(n50754), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[354]), .B1(n50752), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[386]), .Y(n46672) );
+  sky130_fd_sc_hd__a22oi_1 U66725 ( .A1(n50738), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[290]), .B1(n50751), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[66]), .Y(n46671) );
+  sky130_fd_sc_hd__a22oi_1 U66726 ( .A1(n50736), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[322]), .B1(n50749), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[482]), .Y(n46670) );
+  sky130_fd_sc_hd__a22oi_1 U66727 ( .A1(n50742), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[258]), .B1(n83080), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[2]), .Y(n46669) );
+  sky130_fd_sc_hd__nand4_1 U66728 ( .A(n46672), .B(n46671), .C(n46670), .D(
+        n46669), .Y(n46678) );
+  sky130_fd_sc_hd__a22oi_1 U66729 ( .A1(n50747), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[130]), .B1(n50740), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[194]), .Y(n46676) );
+  sky130_fd_sc_hd__a22oi_1 U66730 ( .A1(n50739), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[418]), .B1(n50750), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[450]), .Y(n46675) );
+  sky130_fd_sc_hd__a22oi_1 U66731 ( .A1(n50741), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[226]), .B1(n50737), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[98]), .Y(n46674) );
+  sky130_fd_sc_hd__a22oi_1 U66732 ( .A1(n50748), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[34]), .B1(n50753), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[162]), .Y(n46673) );
+  sky130_fd_sc_hd__nand4_1 U66733 ( .A(n46676), .B(n46675), .C(n46674), .D(
+        n46673), .Y(n46677) );
+  sky130_fd_sc_hd__o21ai_1 U66734 ( .A1(n46678), .A2(n46677), .B1(n54746), .Y(
+        n46690) );
+  sky130_fd_sc_hd__a22oi_1 U66735 ( .A1(n50775), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[34]), .B1(n50766), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[354]), .Y(n46682) );
+  sky130_fd_sc_hd__a22oi_1 U66736 ( .A1(n50762), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[162]), .B1(n50774), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[130]), .Y(n46681) );
+  sky130_fd_sc_hd__a22oi_1 U66737 ( .A1(n50764), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[418]), .B1(n50765), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[2]), .Y(n46680) );
+  sky130_fd_sc_hd__a22oi_1 U66738 ( .A1(n50763), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[482]), .B1(n83204), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[258]), .Y(n46679) );
+  sky130_fd_sc_hd__nand4_1 U66739 ( .A(n46682), .B(n46681), .C(n46680), .D(
+        n46679), .Y(n46688) );
+  sky130_fd_sc_hd__a22oi_1 U66740 ( .A1(n50773), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[226]), .B1(n50776), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[450]), .Y(n46686) );
+  sky130_fd_sc_hd__a22oi_1 U66741 ( .A1(n50777), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[98]), .B1(n50772), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[386]), .Y(n46685) );
+  sky130_fd_sc_hd__a22oi_1 U66742 ( .A1(n50767), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[290]), .B1(n50761), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[194]), .Y(n46684) );
+  sky130_fd_sc_hd__a22oi_1 U66743 ( .A1(n50779), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[322]), .B1(n50778), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[66]), .Y(n46683) );
+  sky130_fd_sc_hd__nand4_1 U66744 ( .A(n46686), .B(n46685), .C(n46684), .D(
+        n46683), .Y(n46687) );
+  sky130_fd_sc_hd__o21ai_1 U66745 ( .A1(n46688), .A2(n46687), .B1(n37033), .Y(
+        n46689) );
+  sky130_fd_sc_hd__nand4_1 U66746 ( .A(n46692), .B(n46691), .C(n46690), .D(
+        n46689), .Y(n46693) );
+  sky130_fd_sc_hd__o21ai_1 U66747 ( .A1(n46694), .A2(n46693), .B1(n50790), .Y(
+        n46695) );
+  sky130_fd_sc_hd__o221ai_1 U66748 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(n46697), .B1(n55990), .B2(n46696), .C1(n46695), .Y(n84400) );
+  sky130_fd_sc_hd__o22ai_1 U66749 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_fmt_endian), .A2(n80560), .B1(n48170), .B2(n80554), .Y(n85072) );
+  sky130_fd_sc_hd__nor2b_1 U66750 ( .B_N(n85072), .A(n48171), .Y(n85222) );
+  sky130_fd_sc_hd__mux2_2 U66751 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[37]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[5]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .X(n84305) );
+  sky130_fd_sc_hd__nand2_1 U66752 ( .A(n57367), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_5[0]), .Y(
+        n46700) );
+  sky130_fd_sc_hd__nand2_1 U66753 ( .A(n57600), .B(n84305), .Y(n46699) );
+  sky130_fd_sc_hd__a22oi_1 U66754 ( .A1(n57602), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_0[5]), .B1(n57601), .B2(n85222), .Y(n46698) );
+  sky130_fd_sc_hd__nand3_1 U66755 ( .A(n46700), .B(n46699), .C(n46698), .Y(
+        n84533) );
+  sky130_fd_sc_hd__a21oi_1 U66756 ( .A1(n53929), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[5]), .B1(n69617), .Y(n46702) );
+  sky130_fd_sc_hd__a22oi_1 U66757 ( .A1(n37071), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[5]), .B1(n53944), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[5]), .Y(n46701) );
+  sky130_fd_sc_hd__a2bb2oi_1 U66758 ( .B1(n46702), .B2(n46701), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_bypass_1), .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[5]), .Y(n85613) );
+  sky130_fd_sc_hd__nor2_1 U66759 ( .A(n78451), .B(n56044), .Y(n84393) );
+  sky130_fd_sc_hd__mux2_2 U66760 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[61]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[29]), .S(n54795), .X(n84743) );
+  sky130_fd_sc_hd__nor2_1 U66761 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_29[1]), .B(
+        n54220), .Y(n46703) );
+  sky130_fd_sc_hd__a211oi_1 U66762 ( .A1(n54218), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_29[1]), .B1(
+        n46703), .C1(n48464), .Y(n46712) );
+  sky130_fd_sc_hd__nand2_1 U66763 ( .A(n46705), .B(n46704), .Y(n46707) );
+  sky130_fd_sc_hd__xnor2_1 U66764 ( .A(n46707), .B(n46706), .Y(n46708) );
+  sky130_fd_sc_hd__a22oi_1 U66765 ( .A1(n52494), .A2(n84743), .B1(n46708), 
+        .B2(n54228), .Y(n46711) );
+  sky130_fd_sc_hd__nor2_1 U66766 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_29[0]), .B(
+        n54216), .Y(n46709) );
+  sky130_fd_sc_hd__o211ai_1 U66768 ( .A1(n46844), .A2(n46712), .B1(n46711), 
+        .C1(n46710), .Y(n84370) );
+  sky130_fd_sc_hd__nand2_1 U66769 ( .A(n50978), .B(n45408), .Y(n52733) );
+  sky130_fd_sc_hd__nor2_1 U66770 ( .A(n52199), .B(n52733), .Y(n52470) );
+  sky130_fd_sc_hd__nand2_1 U66771 ( .A(n57549), .B(n56440), .Y(n57301) );
+  sky130_fd_sc_hd__nor2_1 U66772 ( .A(n52710), .B(n50194), .Y(n47216) );
+  sky130_fd_sc_hd__o21bai_1 U66773 ( .A1(n46713), .A2(n57301), .B1_N(n47216), 
+        .Y(n57089) );
+  sky130_fd_sc_hd__nor3_1 U66774 ( .A(n52470), .B(n46714), .C(n57089), .Y(
+        n46732) );
+  sky130_fd_sc_hd__nor3_1 U66775 ( .A(n50496), .B(n50450), .C(n50196), .Y(
+        n47211) );
+  sky130_fd_sc_hd__a31oi_1 U66776 ( .A1(n47162), .A2(n56444), .A3(n57196), 
+        .B1(n56471), .Y(n46719) );
+  sky130_fd_sc_hd__a21oi_1 U66777 ( .A1(n56428), .A2(n50931), .B1(n52202), .Y(
+        n46717) );
+  sky130_fd_sc_hd__nand2_1 U66778 ( .A(n37029), .B(n48234), .Y(n46715) );
+  sky130_fd_sc_hd__nand4b_1 U66779 ( .A_N(n52148), .B(n46717), .C(n46716), .D(
+        n46715), .Y(n46718) );
+  sky130_fd_sc_hd__nor4_1 U66780 ( .A(n52109), .B(n48248), .C(n46719), .D(
+        n46718), .Y(n46722) );
+  sky130_fd_sc_hd__nand2_1 U66781 ( .A(n48234), .B(n46720), .Y(n46721) );
+  sky130_fd_sc_hd__nand2_1 U66782 ( .A(n52181), .B(n52072), .Y(n57523) );
+  sky130_fd_sc_hd__a31oi_1 U66783 ( .A1(n46722), .A2(n46721), .A3(n57523), 
+        .B1(n57549), .Y(n46730) );
+  sky130_fd_sc_hd__nand2_1 U66784 ( .A(n50449), .B(n46723), .Y(n50351) );
+  sky130_fd_sc_hd__a31oi_1 U66785 ( .A1(n46725), .A2(n46724), .A3(n50351), 
+        .B1(n50494), .Y(n46729) );
+  sky130_fd_sc_hd__a21oi_1 U66786 ( .A1(n51525), .A2(n57543), .B1(n50441), .Y(
+        n46726) );
+  sky130_fd_sc_hd__a31oi_1 U66787 ( .A1(n50257), .A2(n57040), .A3(n50917), 
+        .B1(n46726), .Y(n46727) );
+  sky130_fd_sc_hd__o21ai_0 U66788 ( .A1(n57450), .A2(n51864), .B1(n46727), .Y(
+        n46728) );
+  sky130_fd_sc_hd__nor4_1 U66789 ( .A(n47211), .B(n46730), .C(n46729), .D(
+        n46728), .Y(n46731) );
+  sky130_fd_sc_hd__a31oi_1 U66790 ( .A1(n46732), .A2(n46731), .A3(n51524), 
+        .B1(n57267), .Y(n46841) );
+  sky130_fd_sc_hd__a21oi_1 U66791 ( .A1(n56440), .A2(n48269), .B1(n57067), .Y(
+        n48231) );
+  sky130_fd_sc_hd__a31oi_1 U66792 ( .A1(n51495), .A2(n56540), .A3(n46734), 
+        .B1(n46733), .Y(n46736) );
+  sky130_fd_sc_hd__nand2_1 U66793 ( .A(n48234), .B(n57489), .Y(n46735) );
+  sky130_fd_sc_hd__nand4_1 U66794 ( .A(n48231), .B(n46736), .C(n46735), .D(
+        n46775), .Y(n46737) );
+  sky130_fd_sc_hd__a21oi_1 U66795 ( .A1(n51834), .A2(n48067), .B1(n46737), .Y(
+        n46760) );
+  sky130_fd_sc_hd__a22oi_1 U66796 ( .A1(n82290), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[29]), 
+        .B1(n82321), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[29]), 
+        .Y(n46741) );
+  sky130_fd_sc_hd__a22oi_1 U66797 ( .A1(n82315), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[29]), 
+        .B1(n82361), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[29]), 
+        .Y(n46740) );
+  sky130_fd_sc_hd__a22oi_1 U66798 ( .A1(n82278), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[29]), 
+        .B1(n82303), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[29]), 
+        .Y(n46739) );
+  sky130_fd_sc_hd__a22oi_1 U66799 ( .A1(n82296), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[29]), 
+        .B1(n82350), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[29]), 
+        .Y(n46738) );
+  sky130_fd_sc_hd__nand4_1 U66800 ( .A(n46741), .B(n46740), .C(n46739), .D(
+        n46738), .Y(n46747) );
+  sky130_fd_sc_hd__a22oi_1 U66801 ( .A1(n82284), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[29]), 
+        .B1(n38401), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[29]), 
+        .Y(n46745) );
+  sky130_fd_sc_hd__a22oi_1 U66802 ( .A1(n82333), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[29]), 
+        .B1(n82327), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[29]), 
+        .Y(n46744) );
+  sky130_fd_sc_hd__a22oi_1 U66803 ( .A1(n38397), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[29]), 
+        .B1(n82309), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[29]), 
+        .Y(n46743) );
+  sky130_fd_sc_hd__a22oi_1 U66804 ( .A1(n82339), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[29]), 
+        .B1(n38396), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[29]), 
+        .Y(n46742) );
+  sky130_fd_sc_hd__nand4_1 U66805 ( .A(n46745), .B(n46744), .C(n46743), .D(
+        n46742), .Y(n46746) );
+  sky130_fd_sc_hd__a211oi_1 U66806 ( .A1(n57586), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[29]), 
+        .B1(n46747), .C1(n46746), .Y(n46749) );
+  sky130_fd_sc_hd__a22oi_1 U66807 ( .A1(n56525), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__7[5]), .B1(n57587), .B2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__3[5]), .Y(n46748) );
+  sky130_fd_sc_hd__o21ai_0 U66808 ( .A1(n43381), .A2(n46749), .B1(n46748), .Y(
+        n46758) );
+  sky130_fd_sc_hd__nand2_1 U66809 ( .A(n57588), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[5]), .Y(n46756) );
+  sky130_fd_sc_hd__nand2_1 U66810 ( .A(n57570), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[5]), .Y(n46755) );
+  sky130_fd_sc_hd__nand2_1 U66811 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[28]), .B(
+        n57559), .Y(n46751) );
+  sky130_fd_sc_hd__nand2_1 U66812 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[0]), .B(n57561), .Y(n46750) );
+  sky130_fd_sc_hd__nand2_1 U66813 ( .A(n46751), .B(n46750), .Y(n46752) );
+  sky130_fd_sc_hd__a21oi_1 U66814 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[28]), 
+        .A2(n57560), .B1(n46752), .Y(n46754) );
+  sky130_fd_sc_hd__nand2_1 U66815 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[29]), .B(n57558), .Y(n46753) );
+  sky130_fd_sc_hd__nand4_1 U66816 ( .A(n46756), .B(n46755), .C(n46754), .D(
+        n46753), .Y(n46757) );
+  sky130_fd_sc_hd__o21ai_0 U66817 ( .A1(n46760), .A2(n56509), .B1(n46759), .Y(
+        n46840) );
+  sky130_fd_sc_hd__a22oi_1 U66818 ( .A1(n37065), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[61]), .B1(n38443), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[29]), .Y(n46838) );
+  sky130_fd_sc_hd__a22oi_1 U66819 ( .A1(n57571), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[61]), .B1(n41794), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[29]), .Y(n46837) );
+  sky130_fd_sc_hd__o21a_1 U66820 ( .A1(n46761), .A2(n48318), .B1(n51531), .X(
+        n48316) );
+  sky130_fd_sc_hd__o22ai_1 U66821 ( .A1(n57317), .A2(n48297), .B1(n56542), 
+        .B2(n46762), .Y(n46764) );
+  sky130_fd_sc_hd__o22ai_1 U66822 ( .A1(n52100), .A2(n52121), .B1(n57450), 
+        .B2(n56498), .Y(n46763) );
+  sky130_fd_sc_hd__nor4_1 U66823 ( .A(n52137), .B(n52736), .C(n46764), .D(
+        n46763), .Y(n46765) );
+  sky130_fd_sc_hd__a31oi_1 U66824 ( .A1(n48316), .A2(n50253), .A3(n46765), 
+        .B1(n51561), .Y(n46804) );
+  sky130_fd_sc_hd__a21oi_1 U66825 ( .A1(n57163), .A2(n52725), .B1(n47153), .Y(
+        n57512) );
+  sky130_fd_sc_hd__nand2_1 U66826 ( .A(n57512), .B(n48117), .Y(n57309) );
+  sky130_fd_sc_hd__a21oi_1 U66827 ( .A1(n57300), .A2(n36838), .B1(n51505), .Y(
+        n46771) );
+  sky130_fd_sc_hd__o22ai_1 U66828 ( .A1(n52262), .A2(n57165), .B1(n52404), 
+        .B2(n48273), .Y(n46770) );
+  sky130_fd_sc_hd__nor3_1 U66829 ( .A(n57540), .B(n52179), .C(n52164), .Y(
+        n50939) );
+  sky130_fd_sc_hd__a21oi_1 U66830 ( .A1(n50931), .A2(n46797), .B1(n50939), .Y(
+        n46768) );
+  sky130_fd_sc_hd__nand2_1 U66831 ( .A(n57509), .B(n56440), .Y(n57035) );
+  sky130_fd_sc_hd__nand2_1 U66832 ( .A(n52129), .B(n47078), .Y(n46766) );
+  sky130_fd_sc_hd__nand4_1 U66833 ( .A(n46768), .B(n57035), .C(n46767), .D(
+        n46766), .Y(n46769) );
+  sky130_fd_sc_hd__nor4_1 U66834 ( .A(n56555), .B(n46771), .C(n46770), .D(
+        n46769), .Y(n46773) );
+  sky130_fd_sc_hd__a31oi_1 U66835 ( .A1(n48293), .A2(n46773), .A3(n46772), 
+        .B1(n57313), .Y(n46803) );
+  sky130_fd_sc_hd__o22ai_1 U66836 ( .A1(n57415), .A2(n57196), .B1(n56498), 
+        .B2(n50180), .Y(n46777) );
+  sky130_fd_sc_hd__nand3_1 U66837 ( .A(n57064), .B(n46775), .C(n46774), .Y(
+        n46776) );
+  sky130_fd_sc_hd__nor4_1 U66838 ( .A(n52136), .B(n46778), .C(n46777), .D(
+        n46776), .Y(n46791) );
+  sky130_fd_sc_hd__nand2_1 U66839 ( .A(n52229), .B(n52704), .Y(n50242) );
+  sky130_fd_sc_hd__nand3_1 U66840 ( .A(n46780), .B(n48164), .C(n46779), .Y(
+        n48325) );
+  sky130_fd_sc_hd__o22ai_1 U66841 ( .A1(n48077), .A2(n47162), .B1(n46781), 
+        .B2(n48257), .Y(n46782) );
+  sky130_fd_sc_hd__nor4_1 U66842 ( .A(n57162), .B(n46783), .C(n48325), .D(
+        n46782), .Y(n46785) );
+  sky130_fd_sc_hd__nand4b_1 U66843 ( .A_N(n46786), .B(n46785), .C(n46784), .D(
+        n52185), .Y(n46787) );
+  sky130_fd_sc_hd__a211oi_1 U66844 ( .A1(n48234), .A2(n50242), .B1(n46788), 
+        .C1(n46787), .Y(n46790) );
+  sky130_fd_sc_hd__o22ai_1 U66845 ( .A1(n46791), .A2(n57061), .B1(n46790), 
+        .B2(n46789), .Y(n46802) );
+  sky130_fd_sc_hd__nor2_1 U66846 ( .A(n48258), .B(n56458), .Y(n57551) );
+  sky130_fd_sc_hd__nand2_1 U66847 ( .A(n41772), .B(n57526), .Y(n52171) );
+  sky130_fd_sc_hd__nor2_1 U66848 ( .A(n52100), .B(n52171), .Y(n51831) );
+  sky130_fd_sc_hd__o22ai_1 U66849 ( .A1(n52710), .A2(n56444), .B1(n52262), 
+        .B2(n56456), .Y(n46792) );
+  sky130_fd_sc_hd__nor4_1 U66850 ( .A(n56414), .B(n57551), .C(n51831), .D(
+        n46792), .Y(n46795) );
+  sky130_fd_sc_hd__nand2_1 U66851 ( .A(n50980), .B(n50378), .Y(n46793) );
+  sky130_fd_sc_hd__nand3_1 U66852 ( .A(n46795), .B(n46794), .C(n46793), .Y(
+        n46796) );
+  sky130_fd_sc_hd__a21oi_1 U66853 ( .A1(n56588), .A2(n46797), .B1(n46796), .Y(
+        n46800) );
+  sky130_fd_sc_hd__nand2_1 U66854 ( .A(n41779), .B(n50926), .Y(n52476) );
+  sky130_fd_sc_hd__nand2_1 U66855 ( .A(n56981), .B(n50918), .Y(n48312) );
+  sky130_fd_sc_hd__o211ai_1 U66856 ( .A1(n48318), .A2(n52476), .B1(n48312), 
+        .C1(n46798), .Y(n50170) );
+  sky130_fd_sc_hd__o21ai_1 U66857 ( .A1(n46799), .A2(n50170), .B1(n48100), .Y(
+        n57033) );
+  sky130_fd_sc_hd__o21ai_0 U66858 ( .A1(n46800), .A2(n56451), .B1(n57033), .Y(
+        n46801) );
+  sky130_fd_sc_hd__nor4_1 U66859 ( .A(n46804), .B(n46803), .C(n46802), .D(
+        n46801), .Y(n46832) );
+  sky130_fd_sc_hd__nand4_1 U66860 ( .A(n46806), .B(n56999), .C(n57004), .D(
+        n46805), .Y(n46807) );
+  sky130_fd_sc_hd__o21ai_0 U66861 ( .A1(n41626), .A2(n57455), .B1(n46807), .Y(
+        n46810) );
+  sky130_fd_sc_hd__nand4b_1 U66862 ( .A_N(n46810), .B(n46809), .C(n46808), .D(
+        n51500), .Y(n46815) );
+  sky130_fd_sc_hd__a21oi_1 U66863 ( .A1(n52199), .A2(n57482), .B1(n36838), .Y(
+        n52397) );
+  sky130_fd_sc_hd__a21oi_1 U66864 ( .A1(n56588), .A2(n52229), .B1(n52397), .Y(
+        n51838) );
+  sky130_fd_sc_hd__nand2_1 U66865 ( .A(n41772), .B(n37012), .Y(n46812) );
+  sky130_fd_sc_hd__nand2_1 U66866 ( .A(n36797), .B(n51834), .Y(n46811) );
+  sky130_fd_sc_hd__nand3_1 U66867 ( .A(n56498), .B(n46812), .C(n46811), .Y(
+        n48238) );
+  sky130_fd_sc_hd__a21oi_1 U66868 ( .A1(n50396), .A2(n51837), .B1(n48238), .Y(
+        n46813) );
+  sky130_fd_sc_hd__a31oi_1 U66869 ( .A1(n51838), .A2(n46813), .A3(n56430), 
+        .B1(n57153), .Y(n46814) );
+  sky130_fd_sc_hd__nand2_1 U66870 ( .A(n41779), .B(n57163), .Y(n51547) );
+  sky130_fd_sc_hd__nor2_1 U66871 ( .A(n48062), .B(n46816), .Y(n46825) );
+  sky130_fd_sc_hd__nand4_1 U66872 ( .A(n46819), .B(n52086), .C(n46818), .D(
+        n46817), .Y(n50940) );
+  sky130_fd_sc_hd__nand4_1 U66873 ( .A(n38366), .B(n46822), .C(n46821), .D(
+        n38385), .Y(n46823) );
+  sky130_fd_sc_hd__a31oi_1 U66874 ( .A1(n46828), .A2(n46827), .A3(n46826), 
+        .B1(n57186), .Y(n46829) );
+  sky130_fd_sc_hd__nor2b_1 U66875 ( .B_N(n46830), .A(n46829), .Y(n46831) );
+  sky130_fd_sc_hd__nand2_1 U66876 ( .A(n46832), .B(n46831), .Y(n46835) );
+  sky130_fd_sc_hd__nor3_1 U66877 ( .A(n51901), .B(n46834), .C(n46833), .Y(
+        n47246) );
+  sky130_fd_sc_hd__a21oi_1 U66878 ( .A1(n57096), .A2(n46835), .B1(n47246), .Y(
+        n46836) );
+  sky130_fd_sc_hd__o21ai_0 U66879 ( .A1(n48445), .A2(n50523), .B1(n52828), .Y(
+        n48359) );
+  sky130_fd_sc_hd__nand4_1 U66880 ( .A(n46838), .B(n46837), .C(n46836), .D(
+        n48359), .Y(n46839) );
+  sky130_fd_sc_hd__mux2_2 U66881 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[61]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[29]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .X(n84572) );
+  sky130_fd_sc_hd__a21oi_1 U66882 ( .A1(n57600), .A2(n84572), .B1(n46842), .Y(
+        n46843) );
+  sky130_fd_sc_hd__o21ai_1 U66883 ( .A1(n57252), .A2(n46844), .B1(n46843), .Y(
+        n84552) );
+  sky130_fd_sc_hd__a22oi_1 U66884 ( .A1(n50779), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[341]), .B1(n50775), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[53]), .Y(n46848) );
+  sky130_fd_sc_hd__a22oi_1 U66885 ( .A1(n50763), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[501]), .B1(n50766), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[373]), .Y(n46847) );
+  sky130_fd_sc_hd__a22oi_1 U66886 ( .A1(n50764), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[437]), .B1(n50776), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[469]), .Y(n46846) );
+  sky130_fd_sc_hd__a22oi_1 U66887 ( .A1(n50765), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[21]), .B1(n83204), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[277]), .Y(n46845) );
+  sky130_fd_sc_hd__nand4_1 U66888 ( .A(n46848), .B(n46847), .C(n46846), .D(
+        n46845), .Y(n46855) );
+  sky130_fd_sc_hd__a22oi_1 U66889 ( .A1(n50772), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[405]), .B1(n50774), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[149]), .Y(n46852) );
+  sky130_fd_sc_hd__a22oi_1 U66890 ( .A1(n50777), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[117]), .B1(n50778), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[85]), .Y(n46851) );
+  sky130_fd_sc_hd__a22oi_1 U66891 ( .A1(n50762), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[181]), .B1(n50761), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[213]), .Y(n46850) );
+  sky130_fd_sc_hd__a22oi_1 U66892 ( .A1(n50773), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[245]), .B1(n50767), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[309]), .Y(n46849) );
+  sky130_fd_sc_hd__nand4_1 U66893 ( .A(n46852), .B(n46851), .C(n46850), .D(
+        n46849), .Y(n46854) );
+  sky130_fd_sc_hd__a22o_1 U66894 ( .A1(n38371), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[21]), .B1(n37066), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[53]), .X(n46853) );
+  sky130_fd_sc_hd__a221oi_1 U66895 ( .A1(n46855), .A2(n37033), .B1(n46854), 
+        .B2(n37033), .C1(n46853), .Y(n46889) );
+  sky130_fd_sc_hd__a22oi_1 U66896 ( .A1(n50692), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[21]), .B1(n50687), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[341]), .Y(n46859) );
+  sky130_fd_sc_hd__a22oi_1 U66897 ( .A1(n50699), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[501]), .B1(n50697), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[437]), .Y(n46858) );
+  sky130_fd_sc_hd__a22oi_1 U66898 ( .A1(n50700), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[373]), .B1(n50698), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[117]), .Y(n46857) );
+  sky130_fd_sc_hd__a22oi_1 U66899 ( .A1(n50701), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[181]), .B1(n50691), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[85]), .Y(n46856) );
+  sky130_fd_sc_hd__nand4_1 U66900 ( .A(n46859), .B(n46858), .C(n46857), .D(
+        n46856), .Y(n46865) );
+  sky130_fd_sc_hd__a22oi_1 U66901 ( .A1(n50690), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[149]), .B1(n50685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[277]), .Y(n46863) );
+  sky130_fd_sc_hd__a22oi_1 U66902 ( .A1(n50704), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[469]), .B1(n50686), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[309]), .Y(n46862) );
+  sky130_fd_sc_hd__a22oi_1 U66903 ( .A1(n50702), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[53]), .B1(n50689), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[405]), .Y(n46861) );
+  sky130_fd_sc_hd__a22oi_1 U66904 ( .A1(n50703), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[245]), .B1(n50688), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[213]), .Y(n46860) );
+  sky130_fd_sc_hd__nand4_1 U66905 ( .A(n46863), .B(n46862), .C(n46861), .D(
+        n46860), .Y(n46864) );
+  sky130_fd_sc_hd__o21ai_1 U66906 ( .A1(n46865), .A2(n46864), .B1(n54782), .Y(
+        n46888) );
+  sky130_fd_sc_hd__a22oi_1 U66907 ( .A1(n50726), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[85]), .B1(n50724), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[469]), .Y(n46869) );
+  sky130_fd_sc_hd__a22oi_1 U66908 ( .A1(n50723), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[149]), .B1(n50729), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[501]), .Y(n46868) );
+  sky130_fd_sc_hd__a22oi_1 U66909 ( .A1(n50714), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[309]), .B1(n50716), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[21]), .Y(n46867) );
+  sky130_fd_sc_hd__a22oi_1 U66910 ( .A1(n50565), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[373]), .B1(n83122), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[277]), .Y(n46866) );
+  sky130_fd_sc_hd__nand4_1 U66911 ( .A(n46869), .B(n46868), .C(n46867), .D(
+        n46866), .Y(n46875) );
+  sky130_fd_sc_hd__a22oi_1 U66912 ( .A1(n50711), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[213]), .B1(n50713), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[341]), .Y(n46873) );
+  sky130_fd_sc_hd__a22oi_1 U66913 ( .A1(n50722), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[117]), .B1(n50728), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[405]), .Y(n46872) );
+  sky130_fd_sc_hd__a22oi_1 U66914 ( .A1(n50725), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[53]), .B1(n48671), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[245]), .Y(n46871) );
+  sky130_fd_sc_hd__a22oi_1 U66915 ( .A1(n50712), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[181]), .B1(n50715), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[437]), .Y(n46870) );
+  sky130_fd_sc_hd__nand4_1 U66916 ( .A(n46873), .B(n46872), .C(n46871), .D(
+        n46870), .Y(n46874) );
+  sky130_fd_sc_hd__o21ai_1 U66917 ( .A1(n46875), .A2(n46874), .B1(n54781), .Y(
+        n46887) );
+  sky130_fd_sc_hd__a22oi_1 U66918 ( .A1(n50741), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[245]), .B1(n50750), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[469]), .Y(n46879) );
+  sky130_fd_sc_hd__a22oi_1 U66919 ( .A1(n50754), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[373]), .B1(n50747), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[149]), .Y(n46878) );
+  sky130_fd_sc_hd__a22oi_1 U66920 ( .A1(n50736), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[341]), .B1(n50749), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[501]), .Y(n46877) );
+  sky130_fd_sc_hd__a22oi_1 U66921 ( .A1(n50742), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[277]), .B1(n83080), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[21]), .Y(n46876) );
+  sky130_fd_sc_hd__nand4_1 U66922 ( .A(n46879), .B(n46878), .C(n46877), .D(
+        n46876), .Y(n46885) );
+  sky130_fd_sc_hd__a22oi_1 U66923 ( .A1(n50748), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[53]), .B1(n50751), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[85]), .Y(n46883) );
+  sky130_fd_sc_hd__a22oi_1 U66924 ( .A1(n50739), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[437]), .B1(n50740), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[213]), .Y(n46882) );
+  sky130_fd_sc_hd__a22oi_1 U66925 ( .A1(n50737), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[117]), .B1(n50753), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[181]), .Y(n46881) );
+  sky130_fd_sc_hd__a22oi_1 U66926 ( .A1(n50738), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[309]), .B1(n50752), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[405]), .Y(n46880) );
+  sky130_fd_sc_hd__nand4_1 U66927 ( .A(n46883), .B(n46882), .C(n46881), .D(
+        n46880), .Y(n46884) );
+  sky130_fd_sc_hd__o21ai_1 U66928 ( .A1(n46885), .A2(n46884), .B1(n54746), .Y(
+        n46886) );
+  sky130_fd_sc_hd__nand4_1 U66929 ( .A(n46889), .B(n46888), .C(n46887), .D(
+        n46886), .Y(n85193) );
+  sky130_fd_sc_hd__a22oi_1 U66930 ( .A1(n50777), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[109]), .B1(n50778), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[77]), .Y(n46893) );
+  sky130_fd_sc_hd__a22oi_1 U66931 ( .A1(n50779), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[333]), .B1(n50774), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[141]), .Y(n46892) );
+  sky130_fd_sc_hd__a22oi_1 U66932 ( .A1(n50764), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[429]), .B1(n50765), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[13]), .Y(n46891) );
+  sky130_fd_sc_hd__a22oi_1 U66933 ( .A1(n50763), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[493]), .B1(n83204), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[269]), .Y(n46890) );
+  sky130_fd_sc_hd__nand4_1 U66934 ( .A(n46893), .B(n46892), .C(n46891), .D(
+        n46890), .Y(n46900) );
+  sky130_fd_sc_hd__a22oi_1 U66935 ( .A1(n50775), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[45]), .B1(n50761), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[205]), .Y(n46897) );
+  sky130_fd_sc_hd__a22oi_1 U66936 ( .A1(n50772), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[397]), .B1(n50766), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[365]), .Y(n46896) );
+  sky130_fd_sc_hd__a22oi_1 U66937 ( .A1(n50762), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[173]), .B1(n50767), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[301]), .Y(n46895) );
+  sky130_fd_sc_hd__a22oi_1 U66938 ( .A1(n50773), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[237]), .B1(n50776), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[461]), .Y(n46894) );
+  sky130_fd_sc_hd__nand4_1 U66939 ( .A(n46897), .B(n46896), .C(n46895), .D(
+        n46894), .Y(n46899) );
+  sky130_fd_sc_hd__a22o_1 U66940 ( .A1(n38371), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[13]), .B1(n37066), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[45]), .X(n46898) );
+  sky130_fd_sc_hd__a221oi_1 U66941 ( .A1(n46900), .A2(n55987), .B1(n46899), 
+        .B2(n37033), .C1(n46898), .Y(n46934) );
+  sky130_fd_sc_hd__a22oi_1 U66942 ( .A1(n50689), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[397]), .B1(n50687), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[333]), .Y(n46904) );
+  sky130_fd_sc_hd__a22oi_1 U66943 ( .A1(n50686), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[301]), .B1(n50685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[269]), .Y(n46903) );
+  sky130_fd_sc_hd__a22oi_1 U66944 ( .A1(n50690), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[141]), .B1(n50691), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[77]), .Y(n46902) );
+  sky130_fd_sc_hd__a22oi_1 U66945 ( .A1(n50704), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[461]), .B1(n50703), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[237]), .Y(n46901) );
+  sky130_fd_sc_hd__nand4_1 U66946 ( .A(n46904), .B(n46903), .C(n46902), .D(
+        n46901), .Y(n46910) );
+  sky130_fd_sc_hd__a22oi_1 U66947 ( .A1(n50700), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[365]), .B1(n50688), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[205]), .Y(n46908) );
+  sky130_fd_sc_hd__a22oi_1 U66948 ( .A1(n50701), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[173]), .B1(n50697), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[429]), .Y(n46907) );
+  sky130_fd_sc_hd__a22oi_1 U66949 ( .A1(n50692), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[13]), .B1(n50698), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[109]), .Y(n46906) );
+  sky130_fd_sc_hd__a22oi_1 U66950 ( .A1(n50702), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[45]), .B1(n50699), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[493]), .Y(n46905) );
+  sky130_fd_sc_hd__nand4_1 U66951 ( .A(n46908), .B(n46907), .C(n46906), .D(
+        n46905), .Y(n46909) );
+  sky130_fd_sc_hd__o21ai_1 U66952 ( .A1(n46910), .A2(n46909), .B1(n54782), .Y(
+        n46933) );
+  sky130_fd_sc_hd__a22oi_1 U66953 ( .A1(n50725), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[45]), .B1(n50726), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[77]), .Y(n46914) );
+  sky130_fd_sc_hd__a22oi_1 U66954 ( .A1(n50661), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[493]), .B1(n50715), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[429]), .Y(n46913) );
+  sky130_fd_sc_hd__a22oi_1 U66955 ( .A1(n50723), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[141]), .B1(n50615), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[109]), .Y(n46912) );
+  sky130_fd_sc_hd__a22oi_1 U66956 ( .A1(n50716), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[13]), .B1(n83122), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[269]), .Y(n46911) );
+  sky130_fd_sc_hd__nand4_1 U66957 ( .A(n46914), .B(n46913), .C(n46912), .D(
+        n46911), .Y(n46920) );
+  sky130_fd_sc_hd__a22oi_1 U66958 ( .A1(n50714), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[301]), .B1(n50713), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[333]), .Y(n46918) );
+  sky130_fd_sc_hd__a22oi_1 U66959 ( .A1(n50711), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[205]), .B1(n50724), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[461]), .Y(n46917) );
+  sky130_fd_sc_hd__a22oi_1 U66960 ( .A1(n50728), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[397]), .B1(n48671), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[237]), .Y(n46916) );
+  sky130_fd_sc_hd__a22oi_1 U66961 ( .A1(n50712), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[173]), .B1(n50717), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[365]), .Y(n46915) );
+  sky130_fd_sc_hd__nand4_1 U66962 ( .A(n46918), .B(n46917), .C(n46916), .D(
+        n46915), .Y(n46919) );
+  sky130_fd_sc_hd__a22oi_1 U66964 ( .A1(n50752), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[397]), .B1(n50753), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[173]), .Y(n46924) );
+  sky130_fd_sc_hd__a22oi_1 U66965 ( .A1(n50754), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[365]), .B1(n50737), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[109]), .Y(n46923) );
+  sky130_fd_sc_hd__a22oi_1 U66966 ( .A1(n50738), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[301]), .B1(n50742), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[269]), .Y(n46922) );
+  sky130_fd_sc_hd__a22oi_1 U66967 ( .A1(n50748), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[45]), .B1(n83080), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[13]), .Y(n46921) );
+  sky130_fd_sc_hd__nand4_1 U66968 ( .A(n46924), .B(n46923), .C(n46922), .D(
+        n46921), .Y(n46930) );
+  sky130_fd_sc_hd__a22oi_1 U66969 ( .A1(n50736), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[333]), .B1(n50751), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[77]), .Y(n46928) );
+  sky130_fd_sc_hd__a22oi_1 U66970 ( .A1(n50740), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[205]), .B1(n50749), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[493]), .Y(n46927) );
+  sky130_fd_sc_hd__a22oi_1 U66971 ( .A1(n50739), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[429]), .B1(n50750), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[461]), .Y(n46926) );
+  sky130_fd_sc_hd__a22oi_1 U66972 ( .A1(n50741), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[237]), .B1(n50747), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[141]), .Y(n46925) );
+  sky130_fd_sc_hd__nand4_1 U66973 ( .A(n46928), .B(n46927), .C(n46926), .D(
+        n46925), .Y(n46929) );
+  sky130_fd_sc_hd__o21ai_1 U66974 ( .A1(n46930), .A2(n46929), .B1(n54746), .Y(
+        n46931) );
+  sky130_fd_sc_hd__nand4_1 U66975 ( .A(n46934), .B(n46933), .C(n46932), .D(
+        n46931), .Y(n85192) );
+  sky130_fd_sc_hd__a22oi_1 U66976 ( .A1(n50763), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[509]), .B1(n50776), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[477]), .Y(n46938) );
+  sky130_fd_sc_hd__a22oi_1 U66977 ( .A1(n50773), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[253]), .B1(n50766), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[381]), .Y(n46937) );
+  sky130_fd_sc_hd__a22oi_1 U66978 ( .A1(n50775), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[61]), .B1(n50765), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[29]), .Y(n46936) );
+  sky130_fd_sc_hd__a22oi_1 U66979 ( .A1(n50767), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[317]), .B1(n83204), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[285]), .Y(n46935) );
+  sky130_fd_sc_hd__nand4_1 U66980 ( .A(n46938), .B(n46937), .C(n46936), .D(
+        n46935), .Y(n46945) );
+  sky130_fd_sc_hd__a22oi_1 U66981 ( .A1(n50779), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[349]), .B1(n50774), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[157]), .Y(n46942) );
+  sky130_fd_sc_hd__a22oi_1 U66982 ( .A1(n50772), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[413]), .B1(n50761), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[221]), .Y(n46941) );
+  sky130_fd_sc_hd__a22oi_1 U66983 ( .A1(n50762), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[189]), .B1(n50778), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[93]), .Y(n46940) );
+  sky130_fd_sc_hd__a22oi_1 U66984 ( .A1(n50764), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[445]), .B1(n50777), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[125]), .Y(n46939) );
+  sky130_fd_sc_hd__nand4_1 U66985 ( .A(n46942), .B(n46941), .C(n46940), .D(
+        n46939), .Y(n46944) );
+  sky130_fd_sc_hd__a22o_1 U66986 ( .A1(n38371), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[29]), .B1(n37066), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[61]), .X(n46943) );
+  sky130_fd_sc_hd__a221oi_1 U66987 ( .A1(n46945), .A2(n55987), .B1(n46944), 
+        .B2(n55987), .C1(n46943), .Y(n46979) );
+  sky130_fd_sc_hd__a22oi_1 U66988 ( .A1(n50689), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[413]), .B1(n50697), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[445]), .Y(n46949) );
+  sky130_fd_sc_hd__a22oi_1 U66989 ( .A1(n50698), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[125]), .B1(n50699), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[509]), .Y(n46948) );
+  sky130_fd_sc_hd__a22oi_1 U66990 ( .A1(n50704), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[477]), .B1(n50685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[285]), .Y(n46947) );
+  sky130_fd_sc_hd__a22oi_1 U66991 ( .A1(n50692), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[29]), .B1(n50687), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[349]), .Y(n46946) );
+  sky130_fd_sc_hd__nand4_1 U66992 ( .A(n46949), .B(n46948), .C(n46947), .D(
+        n46946), .Y(n46955) );
+  sky130_fd_sc_hd__a22oi_1 U66993 ( .A1(n50703), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[253]), .B1(n50702), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[61]), .Y(n46953) );
+  sky130_fd_sc_hd__a22oi_1 U66994 ( .A1(n50690), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[157]), .B1(n50701), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[189]), .Y(n46952) );
+  sky130_fd_sc_hd__a22oi_1 U66995 ( .A1(n50688), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[221]), .B1(n50686), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[317]), .Y(n46951) );
+  sky130_fd_sc_hd__a22oi_1 U66996 ( .A1(n50700), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[381]), .B1(n50691), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[93]), .Y(n46950) );
+  sky130_fd_sc_hd__nand4_1 U66997 ( .A(n46953), .B(n46952), .C(n46951), .D(
+        n46950), .Y(n46954) );
+  sky130_fd_sc_hd__a22oi_1 U66999 ( .A1(n50711), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[221]), .B1(n50724), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[477]), .Y(n46959) );
+  sky130_fd_sc_hd__a22oi_1 U67000 ( .A1(n50714), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[317]), .B1(n50715), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[445]), .Y(n46958) );
+  sky130_fd_sc_hd__a22oi_1 U67001 ( .A1(n50565), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[381]), .B1(n50713), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[349]), .Y(n46957) );
+  sky130_fd_sc_hd__a22oi_1 U67002 ( .A1(n50716), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[29]), .B1(n83122), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[285]), .Y(n46956) );
+  sky130_fd_sc_hd__nand4_1 U67003 ( .A(n46959), .B(n46958), .C(n46957), .D(
+        n46956), .Y(n46965) );
+  sky130_fd_sc_hd__a22oi_1 U67004 ( .A1(n50725), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[61]), .B1(n50727), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[253]), .Y(n46963) );
+  sky130_fd_sc_hd__a22oi_1 U67005 ( .A1(n50661), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[509]), .B1(n50615), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[125]), .Y(n46962) );
+  sky130_fd_sc_hd__a22oi_1 U67006 ( .A1(n50723), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[157]), .B1(n50728), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[413]), .Y(n46961) );
+  sky130_fd_sc_hd__a22oi_1 U67007 ( .A1(n50712), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[189]), .B1(n50726), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[93]), .Y(n46960) );
+  sky130_fd_sc_hd__nand4_1 U67008 ( .A(n46963), .B(n46962), .C(n46961), .D(
+        n46960), .Y(n46964) );
+  sky130_fd_sc_hd__o21ai_1 U67009 ( .A1(n46965), .A2(n46964), .B1(n54781), .Y(
+        n46977) );
+  sky130_fd_sc_hd__a22oi_1 U67010 ( .A1(n50738), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[317]), .B1(n50750), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[477]), .Y(n46969) );
+  sky130_fd_sc_hd__a22oi_1 U67011 ( .A1(n50748), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[61]), .B1(n50736), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[349]), .Y(n46968) );
+  sky130_fd_sc_hd__a22oi_1 U67012 ( .A1(n50742), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[285]), .B1(n50749), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[509]), .Y(n46967) );
+  sky130_fd_sc_hd__a22oi_1 U67013 ( .A1(n50747), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[157]), .B1(n83080), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[29]), .Y(n46966) );
+  sky130_fd_sc_hd__nand4_1 U67014 ( .A(n46969), .B(n46968), .C(n46967), .D(
+        n46966), .Y(n46975) );
+  sky130_fd_sc_hd__a22oi_1 U67015 ( .A1(n50739), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[445]), .B1(n50754), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[381]), .Y(n46973) );
+  sky130_fd_sc_hd__a22oi_1 U67016 ( .A1(n50737), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[125]), .B1(n50752), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[413]), .Y(n46972) );
+  sky130_fd_sc_hd__a22oi_1 U67017 ( .A1(n50751), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[93]), .B1(n50740), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[221]), .Y(n46971) );
+  sky130_fd_sc_hd__a22oi_1 U67018 ( .A1(n50741), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[253]), .B1(n50753), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[189]), .Y(n46970) );
+  sky130_fd_sc_hd__nand4_1 U67019 ( .A(n46973), .B(n46972), .C(n46971), .D(
+        n46970), .Y(n46974) );
+  sky130_fd_sc_hd__o21ai_1 U67020 ( .A1(n46975), .A2(n46974), .B1(n54746), .Y(
+        n46976) );
+  sky130_fd_sc_hd__nand4_1 U67021 ( .A(n46979), .B(n46978), .C(n46977), .D(
+        n46976), .Y(n84311) );
+  sky130_fd_sc_hd__a222oi_1 U67022 ( .A1(n85193), .A2(n50684), .B1(n85192), 
+        .B2(n50683), .C1(n84311), .C2(n50682), .Y(n47028) );
+  sky130_fd_sc_hd__a222oi_1 U67023 ( .A1(n50684), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[21]), .B1(n50683), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[13]), .C1(n50682), .C2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[29]), .Y(n47027) );
+  sky130_fd_sc_hd__a22oi_1 U67024 ( .A1(n50692), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[5]), .B1(n50687), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[325]), .Y(n46983) );
+  sky130_fd_sc_hd__a22oi_1 U67025 ( .A1(n50686), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[293]), .B1(n50685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[261]), .Y(n46982) );
+  sky130_fd_sc_hd__a22oi_1 U67026 ( .A1(n50688), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[197]), .B1(n50699), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[485]), .Y(n46981) );
+  sky130_fd_sc_hd__a22oi_1 U67027 ( .A1(n50702), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[37]), .B1(n50691), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[69]), .Y(n46980) );
+  sky130_fd_sc_hd__nand4_1 U67028 ( .A(n46983), .B(n46982), .C(n46981), .D(
+        n46980), .Y(n46989) );
+  sky130_fd_sc_hd__a22oi_1 U67029 ( .A1(n50704), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[453]), .B1(n50690), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[133]), .Y(n46987) );
+  sky130_fd_sc_hd__a22oi_1 U67030 ( .A1(n50703), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[229]), .B1(n50701), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[165]), .Y(n46986) );
+  sky130_fd_sc_hd__a22oi_1 U67031 ( .A1(n50689), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[389]), .B1(n50697), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[421]), .Y(n46985) );
+  sky130_fd_sc_hd__a22oi_1 U67032 ( .A1(n50700), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[357]), .B1(n50698), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[101]), .Y(n46984) );
+  sky130_fd_sc_hd__nand4_1 U67033 ( .A(n46987), .B(n46986), .C(n46985), .D(
+        n46984), .Y(n46988) );
+  sky130_fd_sc_hd__o21a_1 U67034 ( .A1(n46989), .A2(n46988), .B1(n54782), .X(
+        n47025) );
+  sky130_fd_sc_hd__a22oi_1 U67035 ( .A1(n38371), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[5]), .B1(n37066), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[37]), .Y(n47023) );
+  sky130_fd_sc_hd__a22oi_1 U67036 ( .A1(n50713), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[325]), .B1(n50615), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[101]), .Y(n46993) );
+  sky130_fd_sc_hd__a22oi_1 U67037 ( .A1(n50715), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[421]), .B1(n50724), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[453]), .Y(n46992) );
+  sky130_fd_sc_hd__a22oi_1 U67038 ( .A1(n50712), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[165]), .B1(n50728), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[389]), .Y(n46991) );
+  sky130_fd_sc_hd__a22oi_1 U67039 ( .A1(n50716), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[5]), .B1(n83122), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[261]), .Y(n46990) );
+  sky130_fd_sc_hd__nand4_1 U67040 ( .A(n46993), .B(n46992), .C(n46991), .D(
+        n46990), .Y(n46999) );
+  sky130_fd_sc_hd__a22oi_1 U67041 ( .A1(n50723), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[133]), .B1(n50661), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[485]), .Y(n46997) );
+  sky130_fd_sc_hd__a22oi_1 U67042 ( .A1(n50714), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[293]), .B1(n50725), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[37]), .Y(n46996) );
+  sky130_fd_sc_hd__a22oi_1 U67043 ( .A1(n50727), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[229]), .B1(n50726), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[69]), .Y(n46995) );
+  sky130_fd_sc_hd__a22oi_1 U67044 ( .A1(n50711), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[197]), .B1(n50717), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[357]), .Y(n46994) );
+  sky130_fd_sc_hd__nand4_1 U67045 ( .A(n46997), .B(n46996), .C(n46995), .D(
+        n46994), .Y(n46998) );
+  sky130_fd_sc_hd__o21ai_1 U67046 ( .A1(n46999), .A2(n46998), .B1(n54781), .Y(
+        n47022) );
+  sky130_fd_sc_hd__a22oi_1 U67047 ( .A1(n50754), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[357]), .B1(n50737), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[101]), .Y(n47003) );
+  sky130_fd_sc_hd__a22oi_1 U67048 ( .A1(n50739), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[421]), .B1(n50751), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[69]), .Y(n47002) );
+  sky130_fd_sc_hd__a22oi_1 U67049 ( .A1(n50752), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[389]), .B1(n50753), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[165]), .Y(n47001) );
+  sky130_fd_sc_hd__a22oi_1 U67050 ( .A1(n50742), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[261]), .B1(n83080), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[5]), .Y(n47000) );
+  sky130_fd_sc_hd__nand4_1 U67051 ( .A(n47003), .B(n47002), .C(n47001), .D(
+        n47000), .Y(n47009) );
+  sky130_fd_sc_hd__a22oi_1 U67052 ( .A1(n50736), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[325]), .B1(n50740), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[197]), .Y(n47007) );
+  sky130_fd_sc_hd__a22oi_1 U67053 ( .A1(n50738), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[293]), .B1(n50747), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[133]), .Y(n47006) );
+  sky130_fd_sc_hd__a22oi_1 U67054 ( .A1(n50750), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[453]), .B1(n50749), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[485]), .Y(n47005) );
+  sky130_fd_sc_hd__a22oi_1 U67055 ( .A1(n50741), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[229]), .B1(n50748), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[37]), .Y(n47004) );
+  sky130_fd_sc_hd__nand4_1 U67056 ( .A(n47007), .B(n47006), .C(n47005), .D(
+        n47004), .Y(n47008) );
+  sky130_fd_sc_hd__o21ai_1 U67057 ( .A1(n47009), .A2(n47008), .B1(n54746), .Y(
+        n47021) );
+  sky130_fd_sc_hd__a22oi_1 U67058 ( .A1(n50773), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[229]), .B1(n50761), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[197]), .Y(n47013) );
+  sky130_fd_sc_hd__a22oi_1 U67059 ( .A1(n50775), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[37]), .B1(n50774), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[133]), .Y(n47012) );
+  sky130_fd_sc_hd__a22oi_1 U67060 ( .A1(n50764), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[421]), .B1(n50765), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[5]), .Y(n47011) );
+  sky130_fd_sc_hd__a22oi_1 U67061 ( .A1(n50776), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[453]), .B1(n83204), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[261]), .Y(n47010) );
+  sky130_fd_sc_hd__nand4_1 U67062 ( .A(n47013), .B(n47012), .C(n47011), .D(
+        n47010), .Y(n47019) );
+  sky130_fd_sc_hd__a22oi_1 U67063 ( .A1(n50762), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[165]), .B1(n50763), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[485]), .Y(n47017) );
+  sky130_fd_sc_hd__a22oi_1 U67064 ( .A1(n50779), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[325]), .B1(n50778), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[69]), .Y(n47016) );
+  sky130_fd_sc_hd__a22oi_1 U67065 ( .A1(n50772), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[389]), .B1(n50767), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[293]), .Y(n47015) );
+  sky130_fd_sc_hd__a22oi_1 U67066 ( .A1(n50777), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[101]), .B1(n50766), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[357]), .Y(n47014) );
+  sky130_fd_sc_hd__nand4_1 U67067 ( .A(n47017), .B(n47016), .C(n47015), .D(
+        n47014), .Y(n47018) );
+  sky130_fd_sc_hd__nand4_1 U67069 ( .A(n47023), .B(n47022), .C(n47021), .D(
+        n47020), .Y(n47024) );
+  sky130_fd_sc_hd__o221ai_1 U67071 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(n47028), .B1(n55990), .B2(n47027), .C1(n47026), .Y(n84403) );
+  sky130_fd_sc_hd__mux2_2 U67072 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[50]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[18]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .X(n85312) );
+  sky130_fd_sc_hd__nand2_1 U67073 ( .A(n57367), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_18[0]), .Y(
+        n47031) );
+  sky130_fd_sc_hd__nand2_1 U67074 ( .A(n57600), .B(n85312), .Y(n47030) );
+  sky130_fd_sc_hd__o221ai_1 U67075 ( .A1(n83297), .A2(n85315), .B1(n51918), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_2[2]), .C1(n57100), .Y(n47029) );
+  sky130_fd_sc_hd__nand3_1 U67076 ( .A(n47031), .B(n47030), .C(n47029), .Y(
+        n84542) );
+  sky130_fd_sc_hd__nor2_1 U67077 ( .A(n78418), .B(n56044), .Y(n84384) );
+  sky130_fd_sc_hd__nand2_1 U67078 ( .A(n54312), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[50]), .Y(n47033) );
+  sky130_fd_sc_hd__nand2_1 U67079 ( .A(n54307), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[18]), .Y(n47032) );
+  sky130_fd_sc_hd__nand2_1 U67080 ( .A(n47033), .B(n47032), .Y(n84754) );
+  sky130_fd_sc_hd__nor2_1 U67081 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_18[0]), .B(
+        n54216), .Y(n47034) );
+  sky130_fd_sc_hd__o21ai_1 U67082 ( .A1(n47034), .A2(n36791), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_18[1]), .Y(
+        n47045) );
+  sky130_fd_sc_hd__nand2_1 U67083 ( .A(n54218), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_18[1]), .Y(
+        n47035) );
+  sky130_fd_sc_hd__o21ai_1 U67084 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_18[1]), .A2(
+        n54220), .B1(n47035), .Y(n47036) );
+  sky130_fd_sc_hd__nand2_1 U67086 ( .A(n47039), .B(n47038), .Y(n47040) );
+  sky130_fd_sc_hd__xor2_1 U67087 ( .A(n47041), .B(n47040), .X(n47042) );
+  sky130_fd_sc_hd__a22oi_1 U67088 ( .A1(n54228), .A2(n47042), .B1(n52494), 
+        .B2(n84754), .Y(n47043) );
+  sky130_fd_sc_hd__nand3_1 U67089 ( .A(n47045), .B(n47044), .C(n47043), .Y(
+        n84360) );
+  sky130_fd_sc_hd__nand2_1 U67090 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[50]), .Y(n47047) );
+  sky130_fd_sc_hd__nand2_1 U67091 ( .A(n54996), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[18]), .Y(n47046) );
+  sky130_fd_sc_hd__nand2_1 U67092 ( .A(n47047), .B(n47046), .Y(n85871) );
+  sky130_fd_sc_hd__a31oi_1 U67093 ( .A1(n47048), .A2(n52414), .A3(n52130), 
+        .B1(n57344), .Y(n47062) );
+  sky130_fd_sc_hd__a22oi_1 U67094 ( .A1(n52809), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[3]), 
+        .B1(n52814), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[3]), 
+        .Y(n47052) );
+  sky130_fd_sc_hd__a22oi_1 U67095 ( .A1(n52812), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[3]), 
+        .B1(n56952), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[3]), 
+        .Y(n47051) );
+  sky130_fd_sc_hd__a22oi_1 U67096 ( .A1(n52808), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[3]), 
+        .B1(n52813), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[3]), 
+        .Y(n47050) );
+  sky130_fd_sc_hd__a22oi_1 U67097 ( .A1(n52811), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[3]), 
+        .B1(n52810), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[3]), 
+        .Y(n47049) );
+  sky130_fd_sc_hd__nand4_1 U67098 ( .A(n47052), .B(n47051), .C(n47050), .D(
+        n47049), .Y(n47058) );
+  sky130_fd_sc_hd__a22oi_1 U67099 ( .A1(n52814), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[3]), 
+        .B1(n56952), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[3]), 
+        .Y(n47056) );
+  sky130_fd_sc_hd__a22oi_1 U67100 ( .A1(n52812), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[3]), 
+        .B1(n52813), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[3]), 
+        .Y(n47055) );
+  sky130_fd_sc_hd__a22oi_1 U67101 ( .A1(n52808), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[3]), 
+        .B1(n52810), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[3]), 
+        .Y(n47054) );
+  sky130_fd_sc_hd__a22oi_1 U67102 ( .A1(n52809), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[3]), 
+        .B1(n52811), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[3]), 
+        .Y(n47053) );
+  sky130_fd_sc_hd__nand4_1 U67103 ( .A(n47056), .B(n47055), .C(n47054), .D(
+        n47053), .Y(n47057) );
+  sky130_fd_sc_hd__a222oi_1 U67104 ( .A1(n47058), .A2(n52821), .B1(n52807), 
+        .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[3]), 
+        .C1(n47057), .C2(n52806), .Y(n47059) );
+  sky130_fd_sc_hd__a21oi_1 U67105 ( .A1(n47059), .A2(n47229), .B1(n52822), .Y(
+        n47060) );
+  sky130_fd_sc_hd__nor3_1 U67106 ( .A(n47062), .B(n47061), .C(n47060), .Y(
+        n47126) );
+  sky130_fd_sc_hd__nor2_1 U67107 ( .A(n57134), .B(n57069), .Y(n47063) );
+  sky130_fd_sc_hd__nor4_1 U67108 ( .A(n57356), .B(n47065), .C(n47064), .D(
+        n47063), .Y(n47073) );
+  sky130_fd_sc_hd__nand2_1 U67109 ( .A(n47067), .B(n47066), .Y(n48135) );
+  sky130_fd_sc_hd__a21oi_1 U67110 ( .A1(n47069), .A2(n57163), .B1(n47068), .Y(
+        n47070) );
+  sky130_fd_sc_hd__a31oi_1 U67111 ( .A1(n47070), .A2(n57352), .A3(n48131), 
+        .B1(n57549), .Y(n57057) );
+  sky130_fd_sc_hd__a21oi_1 U67112 ( .A1(n52744), .A2(n48135), .B1(n57057), .Y(
+        n47071) );
+  sky130_fd_sc_hd__a31oi_1 U67113 ( .A1(n47073), .A2(n47072), .A3(n47071), 
+        .B1(n50282), .Y(n47074) );
+  sky130_fd_sc_hd__a21oi_1 U67114 ( .A1(n57245), .A2(n57120), .B1(n47074), .Y(
+        n47125) );
+  sky130_fd_sc_hd__a21oi_1 U67115 ( .A1(n57017), .A2(n56406), .B1(n50328), .Y(
+        n47075) );
+  sky130_fd_sc_hd__a21oi_1 U67116 ( .A1(n47077), .A2(n47076), .B1(n47075), .Y(
+        n47111) );
+  sky130_fd_sc_hd__o22ai_1 U67117 ( .A1(n57408), .A2(n56472), .B1(n52692), 
+        .B2(n47078), .Y(n47082) );
+  sky130_fd_sc_hd__o22ai_1 U67118 ( .A1(n57490), .A2(n56406), .B1(n57178), 
+        .B2(n51506), .Y(n47081) );
+  sky130_fd_sc_hd__o22ai_1 U67119 ( .A1(n51010), .A2(n57445), .B1(n56996), 
+        .B2(n47079), .Y(n47080) );
+  sky130_fd_sc_hd__nor4_1 U67120 ( .A(n47083), .B(n47082), .C(n47081), .D(
+        n47080), .Y(n47110) );
+  sky130_fd_sc_hd__a21oi_1 U67121 ( .A1(n50422), .A2(n47084), .B1(n48478), .Y(
+        n47085) );
+  sky130_fd_sc_hd__a21oi_1 U67122 ( .A1(n47085), .A2(n57019), .B1(n52747), .Y(
+        n47108) );
+  sky130_fd_sc_hd__o22ai_1 U67123 ( .A1(n56992), .A2(n57015), .B1(n57441), 
+        .B2(n56466), .Y(n47107) );
+  sky130_fd_sc_hd__o22ai_1 U67124 ( .A1(n52465), .A2(n57448), .B1(n57192), 
+        .B2(n57449), .Y(n47106) );
+  sky130_fd_sc_hd__nand2_1 U67125 ( .A(n56494), .B(n50233), .Y(n52211) );
+  sky130_fd_sc_hd__o21ai_0 U67126 ( .A1(n57300), .A2(n57526), .B1(n56495), .Y(
+        n50390) );
+  sky130_fd_sc_hd__nor2_1 U67127 ( .A(n56581), .B(n86738), .Y(n50331) );
+  sky130_fd_sc_hd__o2bb2ai_1 U67128 ( .B1(n56993), .B2(n47086), .A1_N(n50390), 
+        .A2_N(n50331), .Y(n47094) );
+  sky130_fd_sc_hd__nand3_1 U67129 ( .A(n47089), .B(n47088), .C(n47087), .Y(
+        n47093) );
+  sky130_fd_sc_hd__nand2_1 U67130 ( .A(n50998), .B(n52751), .Y(n47090) );
+  sky130_fd_sc_hd__o22ai_1 U67131 ( .A1(n56542), .A2(n47091), .B1(n52691), 
+        .B2(n47090), .Y(n47092) );
+  sky130_fd_sc_hd__nor4_1 U67132 ( .A(n57191), .B(n47094), .C(n47093), .D(
+        n47092), .Y(n47104) );
+  sky130_fd_sc_hd__nor2_1 U67133 ( .A(n57481), .B(n51862), .Y(n47154) );
+  sky130_fd_sc_hd__o22ai_1 U67134 ( .A1(n57549), .A2(n52407), .B1(n57525), 
+        .B2(n47095), .Y(n47097) );
+  sky130_fd_sc_hd__o21ai_1 U67135 ( .A1(n57484), .A2(n52704), .B1(n50929), .Y(
+        n47096) );
+  sky130_fd_sc_hd__nor4_1 U67136 ( .A(n47154), .B(n47098), .C(n47097), .D(
+        n47096), .Y(n47099) );
+  sky130_fd_sc_hd__o21ai_0 U67137 ( .A1(n50954), .A2(n47100), .B1(n47099), .Y(
+        n47101) );
+  sky130_fd_sc_hd__nor4_1 U67138 ( .A(n52470), .B(n47102), .C(n47216), .D(
+        n47101), .Y(n47103) );
+  sky130_fd_sc_hd__o22ai_1 U67139 ( .A1(n47104), .A2(n57427), .B1(n47103), 
+        .B2(n57325), .Y(n47105) );
+  sky130_fd_sc_hd__nor4_1 U67140 ( .A(n47108), .B(n47107), .C(n47106), .D(
+        n47105), .Y(n47109) );
+  sky130_fd_sc_hd__a31oi_1 U67141 ( .A1(n47111), .A2(n47110), .A3(n47109), 
+        .B1(n57517), .Y(n47122) );
+  sky130_fd_sc_hd__a22oi_1 U67142 ( .A1(n57571), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[35]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[3]), .B2(n57558), .Y(n47120) );
+  sky130_fd_sc_hd__a22oi_1 U67143 ( .A1(n57587), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__0[3]), .B1(n41794), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[3]), .Y(n47119) );
+  sky130_fd_sc_hd__a22oi_1 U67144 ( .A1(n56525), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__4[3]), .B1(n37065), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[35]), .Y(n47118) );
+  sky130_fd_sc_hd__a22oi_1 U67145 ( .A1(n66956), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1327[3]), .B1(
+        n66945), .B2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_610[3]), .Y(
+        n68019) );
+  sky130_fd_sc_hd__a22oi_1 U67146 ( .A1(n52770), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[34]), 
+        .B1(n52769), .B2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[2]), .Y(n47115) );
+  sky130_fd_sc_hd__a22o_1 U67147 ( .A1(n52772), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[2]), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[2]), .B2(
+        n52771), .X(n47112) );
+  sky130_fd_sc_hd__a21oi_1 U67148 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[6]), .A2(n52774), .B1(n47112), .Y(n47114) );
+  sky130_fd_sc_hd__nand2_1 U67149 ( .A(n52775), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[34]), .Y(
+        n47113) );
+  sky130_fd_sc_hd__nand4_1 U67150 ( .A(n68019), .B(n47115), .C(n47114), .D(
+        n47113), .Y(n47116) );
+  sky130_fd_sc_hd__a22oi_1 U67151 ( .A1(n52780), .A2(n47116), .B1(n38443), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[3]), .Y(n47117) );
+  sky130_fd_sc_hd__nand4_1 U67152 ( .A(n47120), .B(n47119), .C(n47118), .D(
+        n47117), .Y(n47121) );
+  sky130_fd_sc_hd__a211oi_1 U67153 ( .A1(n57078), .A2(n52690), .B1(n47122), 
+        .C1(n47121), .Y(n47124) );
+  sky130_fd_sc_hd__a22oi_1 U67154 ( .A1(n57570), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[3]), .B1(n57588), .B2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[3]), .Y(n47123) );
+  sky130_fd_sc_hd__nand4_1 U67155 ( .A(n47126), .B(n47125), .C(n47124), .D(
+        n47123), .Y(n84487) );
+  sky130_fd_sc_hd__nand2_1 U67156 ( .A(n41810), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[35]), .Y(n47128) );
+  sky130_fd_sc_hd__nand2_1 U67157 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[3]), .Y(n47127) );
+  sky130_fd_sc_hd__nand2_1 U67158 ( .A(n47128), .B(n47127), .Y(n85321) );
+  sky130_fd_sc_hd__nand2_1 U67159 ( .A(n54312), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[35]), .Y(n47130) );
+  sky130_fd_sc_hd__nand2_1 U67160 ( .A(n54307), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[3]), .Y(n47129) );
+  sky130_fd_sc_hd__nand2_1 U67161 ( .A(n47130), .B(n47129), .Y(n84766) );
+  sky130_fd_sc_hd__nand2_1 U67162 ( .A(n54218), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_3[0]), .Y(
+        n47131) );
+  sky130_fd_sc_hd__o21ai_1 U67164 ( .A1(n47132), .A2(n36791), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_3[1]), .Y(
+        n47143) );
+  sky130_fd_sc_hd__nor2_1 U67165 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_3[1]), .B(
+        n54220), .Y(n47133) );
+  sky130_fd_sc_hd__nand2_1 U67167 ( .A(n47136), .B(n47135), .Y(n47139) );
+  sky130_fd_sc_hd__xnor2_1 U67169 ( .A(n47139), .B(n47138), .Y(n47140) );
+  sky130_fd_sc_hd__a22oi_1 U67170 ( .A1(n54228), .A2(n47140), .B1(n52494), 
+        .B2(n84766), .Y(n47141) );
+  sky130_fd_sc_hd__nand3_1 U67171 ( .A(n47143), .B(n47142), .C(n47141), .Y(
+        n84346) );
+  sky130_fd_sc_hd__nand2_1 U67172 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[35]), .Y(n47145) );
+  sky130_fd_sc_hd__nand2_1 U67173 ( .A(n55037), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[3]), .Y(n47144) );
+  sky130_fd_sc_hd__nand2_1 U67174 ( .A(n47145), .B(n47144), .Y(n85569) );
+  sky130_fd_sc_hd__o21bai_1 U67175 ( .A1(n50238), .A2(n52466), .B1_N(n47146), 
+        .Y(n50925) );
+  sky130_fd_sc_hd__nor4_1 U67176 ( .A(n57356), .B(n50338), .C(n47147), .D(
+        n50925), .Y(n50167) );
+  sky130_fd_sc_hd__nor4_1 U67177 ( .A(n57542), .B(n47150), .C(n47149), .D(
+        n47148), .Y(n47160) );
+  sky130_fd_sc_hd__nor2_1 U67178 ( .A(n47151), .B(n52744), .Y(n57056) );
+  sky130_fd_sc_hd__a211oi_1 U67179 ( .A1(n50986), .A2(n47152), .B1(n57056), 
+        .C1(n50158), .Y(n47159) );
+  sky130_fd_sc_hd__nor2_1 U67180 ( .A(n86738), .B(n52403), .Y(n57018) );
+  sky130_fd_sc_hd__nor2_1 U67181 ( .A(n52404), .B(n50349), .Y(n57357) );
+  sky130_fd_sc_hd__nor2_1 U67182 ( .A(n47153), .B(n57357), .Y(n51877) );
+  sky130_fd_sc_hd__nor2_1 U67183 ( .A(n57496), .B(n51877), .Y(n50269) );
+  sky130_fd_sc_hd__a21oi_1 U67184 ( .A1(n47155), .A2(n52744), .B1(n47154), .Y(
+        n52467) );
+  sky130_fd_sc_hd__o211ai_1 U67185 ( .A1(n57496), .A2(n57035), .B1(n57542), 
+        .C1(n52467), .Y(n47156) );
+  sky130_fd_sc_hd__nor3_1 U67186 ( .A(n47157), .B(n50269), .C(n47156), .Y(
+        n47158) );
+  sky130_fd_sc_hd__a31oi_1 U67187 ( .A1(n50167), .A2(n47160), .A3(n47159), 
+        .B1(n47158), .Y(n47175) );
+  sky130_fd_sc_hd__nand2_1 U67188 ( .A(n52219), .B(n50926), .Y(n47161) );
+  sky130_fd_sc_hd__a21oi_1 U67189 ( .A1(n47162), .A2(n51879), .B1(n47161), .Y(
+        n47167) );
+  sky130_fd_sc_hd__nor2_1 U67190 ( .A(n56570), .B(n50395), .Y(n51560) );
+  sky130_fd_sc_hd__nor2_1 U67191 ( .A(n47164), .B(n52137), .Y(n52734) );
+  sky130_fd_sc_hd__nand2_1 U67192 ( .A(n57163), .B(n48258), .Y(n47165) );
+  sky130_fd_sc_hd__nand4_1 U67193 ( .A(n52734), .B(n48312), .C(n57522), .D(
+        n47165), .Y(n47166) );
+  sky130_fd_sc_hd__nor4_1 U67194 ( .A(n47167), .B(n51560), .C(n56979), .D(
+        n47166), .Y(n47170) );
+  sky130_fd_sc_hd__a21oi_1 U67195 ( .A1(n57508), .A2(n47168), .B1(n57206), .Y(
+        n47169) );
+  sky130_fd_sc_hd__o22ai_1 U67196 ( .A1(n47170), .A2(n56583), .B1(n47169), 
+        .B2(n50942), .Y(n47174) );
+  sky130_fd_sc_hd__nand2_1 U67197 ( .A(n57544), .B(n52165), .Y(n47171) );
+  sky130_fd_sc_hd__a21oi_1 U67198 ( .A1(n56980), .A2(n47171), .B1(n51556), .Y(
+        n47172) );
+  sky130_fd_sc_hd__o22ai_1 U67199 ( .A1(n52252), .A2(n56598), .B1(n57542), 
+        .B2(n47172), .Y(n47173) );
+  sky130_fd_sc_hd__nor3_1 U67200 ( .A(n47175), .B(n47174), .C(n47173), .Y(
+        n47176) );
+  sky130_fd_sc_hd__nor2_1 U67201 ( .A(n56604), .B(n47176), .Y(n47210) );
+  sky130_fd_sc_hd__a31oi_1 U67202 ( .A1(n41772), .A2(n52412), .A3(n57544), 
+        .B1(n52712), .Y(n47184) );
+  sky130_fd_sc_hd__o22ai_1 U67203 ( .A1(n57136), .A2(n57140), .B1(n50378), 
+        .B2(n57300), .Y(n47182) );
+  sky130_fd_sc_hd__nor2_1 U67204 ( .A(n47178), .B(n47177), .Y(n50248) );
+  sky130_fd_sc_hd__nor2_1 U67205 ( .A(n52403), .B(n56495), .Y(n52434) );
+  sky130_fd_sc_hd__a21oi_1 U67206 ( .A1(n50980), .A2(n37012), .B1(n52434), .Y(
+        n47180) );
+  sky130_fd_sc_hd__nand2_1 U67207 ( .A(n52181), .B(n52710), .Y(n50365) );
+  sky130_fd_sc_hd__nand4_1 U67208 ( .A(n50248), .B(n47180), .C(n47179), .D(
+        n50365), .Y(n47181) );
+  sky130_fd_sc_hd__nor4_1 U67209 ( .A(n52145), .B(n48321), .C(n47182), .D(
+        n47181), .Y(n47183) );
+  sky130_fd_sc_hd__a31oi_1 U67210 ( .A1(n47184), .A2(n47183), .A3(n48275), 
+        .B1(n56509), .Y(n47209) );
+  sky130_fd_sc_hd__o22ai_1 U67211 ( .A1(n41626), .A2(n52215), .B1(n48247), 
+        .B2(n52221), .Y(n52707) );
+  sky130_fd_sc_hd__nand2_1 U67212 ( .A(n56408), .B(n47185), .Y(n52425) );
+  sky130_fd_sc_hd__nor2_1 U67213 ( .A(n36838), .B(n52263), .Y(n47187) );
+  sky130_fd_sc_hd__nand4_1 U67215 ( .A(n47189), .B(n52425), .C(n57033), .D(
+        n47188), .Y(n57329) );
+  sky130_fd_sc_hd__o22ai_1 U67216 ( .A1(n57429), .A2(n47190), .B1(n56466), 
+        .B2(n52743), .Y(n47195) );
+  sky130_fd_sc_hd__o22ai_1 U67217 ( .A1(n47191), .A2(n52228), .B1(n57509), 
+        .B2(n56472), .Y(n47192) );
+  sky130_fd_sc_hd__a31oi_1 U67218 ( .A1(n50931), .A2(n56999), .A3(n50467), 
+        .B1(n47192), .Y(n47193) );
+  sky130_fd_sc_hd__o21ai_0 U67219 ( .A1(n51509), .A2(n57010), .B1(n47193), .Y(
+        n47194) );
+  sky130_fd_sc_hd__nor3_1 U67220 ( .A(n57329), .B(n47195), .C(n47194), .Y(
+        n47196) );
+  sky130_fd_sc_hd__a31oi_1 U67221 ( .A1(n47197), .A2(n47196), .A3(n57455), 
+        .B1(n57517), .Y(n47208) );
+  sky130_fd_sc_hd__nand2b_1 U67222 ( .A_N(n52400), .B(n47198), .Y(n52706) );
+  sky130_fd_sc_hd__o21ai_1 U67223 ( .A1(n50422), .A2(n48239), .B1(n47199), .Y(
+        n47201) );
+  sky130_fd_sc_hd__o21ai_1 U67224 ( .A1(n52706), .A2(n47201), .B1(n47200), .Y(
+        n47206) );
+  sky130_fd_sc_hd__a22oi_1 U67225 ( .A1(n41794), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[6]), .B1(n37065), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[38]), .Y(n47205) );
+  sky130_fd_sc_hd__a22o_1 U67226 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[37]), 
+        .A2(n57564), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[5]), .B2(n57561), .X(n47202) );
+  sky130_fd_sc_hd__a21oi_1 U67227 ( .A1(n57558), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[6]), .B1(n47202), .Y(n47204) );
+  sky130_fd_sc_hd__nand2_1 U67228 ( .A(n57571), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[38]), .Y(n47203) );
+  sky130_fd_sc_hd__nand4_1 U67229 ( .A(n47206), .B(n47205), .C(n47204), .D(
+        n47203), .Y(n47207) );
+  sky130_fd_sc_hd__nor4_1 U67230 ( .A(n47210), .B(n47209), .C(n47208), .D(
+        n47207), .Y(n47253) );
+  sky130_fd_sc_hd__nor2_1 U67231 ( .A(n47212), .B(n47211), .Y(n51540) );
+  sky130_fd_sc_hd__nor3_1 U67232 ( .A(n50192), .B(n47214), .C(n47213), .Y(
+        n57086) );
+  sky130_fd_sc_hd__o21ai_1 U67233 ( .A1(n57415), .A2(n57262), .B1(n57086), .Y(
+        n52374) );
+  sky130_fd_sc_hd__nor4_1 U67234 ( .A(n47216), .B(n47215), .C(n52374), .D(
+        n50191), .Y(n47217) );
+  sky130_fd_sc_hd__a31oi_1 U67235 ( .A1(n51540), .A2(n47217), .A3(n57268), 
+        .B1(n57267), .Y(n47218) );
+  sky130_fd_sc_hd__a21oi_1 U67236 ( .A1(n47219), .A2(n57404), .B1(n47218), .Y(
+        n47252) );
+  sky130_fd_sc_hd__a22oi_1 U67237 ( .A1(n52811), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[6]), 
+        .B1(n56952), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[6]), 
+        .Y(n47223) );
+  sky130_fd_sc_hd__a22oi_1 U67238 ( .A1(n52812), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[6]), 
+        .B1(n52813), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[6]), 
+        .Y(n47222) );
+  sky130_fd_sc_hd__a22oi_1 U67239 ( .A1(n52814), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[6]), 
+        .B1(n52810), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[6]), 
+        .Y(n47221) );
+  sky130_fd_sc_hd__a22oi_1 U67240 ( .A1(n52809), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[6]), 
+        .B1(n52808), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[6]), 
+        .Y(n47220) );
+  sky130_fd_sc_hd__nand4_1 U67241 ( .A(n47223), .B(n47222), .C(n47221), .D(
+        n47220), .Y(n47224) );
+  sky130_fd_sc_hd__nand2_1 U67242 ( .A(n52821), .B(n47224), .Y(n47234) );
+  sky130_fd_sc_hd__a22oi_1 U67243 ( .A1(n52812), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[6]), 
+        .B1(n52809), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[6]), 
+        .Y(n47228) );
+  sky130_fd_sc_hd__a22oi_1 U67244 ( .A1(n52808), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[6]), 
+        .B1(n52814), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[6]), 
+        .Y(n47227) );
+  sky130_fd_sc_hd__a22oi_1 U67245 ( .A1(n52813), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[6]), 
+        .B1(n56952), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[6]), 
+        .Y(n47226) );
+  sky130_fd_sc_hd__a22oi_1 U67246 ( .A1(n52811), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[6]), 
+        .B1(n52810), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[6]), 
+        .Y(n47225) );
+  sky130_fd_sc_hd__nand4_1 U67247 ( .A(n47228), .B(n47227), .C(n47226), .D(
+        n47225), .Y(n47232) );
+  sky130_fd_sc_hd__o21ai_1 U67248 ( .A1(n47231), .A2(n47230), .B1(n47229), .Y(
+        n52819) );
+  sky130_fd_sc_hd__a21oi_1 U67249 ( .A1(n52806), .A2(n47232), .B1(n52819), .Y(
+        n47233) );
+  sky130_fd_sc_hd__a21oi_1 U67250 ( .A1(n47234), .A2(n47233), .B1(n52822), .Y(
+        n47245) );
+  sky130_fd_sc_hd__o22ai_1 U67251 ( .A1(n52797), .A2(n47237), .B1(n47236), 
+        .B2(n47235), .Y(n47238) );
+  sky130_fd_sc_hd__o21ai_0 U67252 ( .A1(n50523), .A2(n47238), .B1(n52828), .Y(
+        n47243) );
+  sky130_fd_sc_hd__a22o_1 U67253 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[9]), 
+        .A2(n57562), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[5]), .B2(
+        n57560), .X(n47239) );
+  sky130_fd_sc_hd__a21oi_1 U67254 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[5]), .A2(
+        n57559), .B1(n47239), .Y(n47242) );
+  sky130_fd_sc_hd__a22oi_1 U67255 ( .A1(n57587), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__0[6]), .B1(n38443), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[6]), .Y(n47241) );
+  sky130_fd_sc_hd__nand2_1 U67256 ( .A(n57570), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[6]), .Y(n47240) );
+  sky130_fd_sc_hd__nand4_1 U67257 ( .A(n47243), .B(n47242), .C(n47241), .D(
+        n47240), .Y(n47244) );
+  sky130_fd_sc_hd__nor3_1 U67258 ( .A(n47246), .B(n47245), .C(n47244), .Y(
+        n47251) );
+  sky130_fd_sc_hd__nand3_1 U67259 ( .A(n57586), .B(n56967), .C(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[6]), 
+        .Y(n47248) );
+  sky130_fd_sc_hd__a22oi_1 U67260 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[37]), 
+        .A2(n57563), .B1(MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[6]), 
+        .B2(n57588), .Y(n47247) );
+  sky130_fd_sc_hd__nand2_1 U67261 ( .A(n47248), .B(n47247), .Y(n47249) );
+  sky130_fd_sc_hd__a21oi_1 U67262 ( .A1(n56525), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__4[6]), .B1(n47249), .Y(
+        n47250) );
+  sky130_fd_sc_hd__nand4_1 U67263 ( .A(n47253), .B(n47252), .C(n47251), .D(
+        n47250), .Y(n84490) );
+  sky130_fd_sc_hd__nand2_1 U67264 ( .A(n54312), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[38]), .Y(n47255) );
+  sky130_fd_sc_hd__nand2_1 U67265 ( .A(n54307), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[6]), .Y(n47254) );
+  sky130_fd_sc_hd__nand2_1 U67266 ( .A(n47255), .B(n47254), .Y(n84763) );
+  sky130_fd_sc_hd__nand2_1 U67267 ( .A(n54218), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_6[0]), .Y(
+        n47256) );
+  sky130_fd_sc_hd__o21ai_1 U67269 ( .A1(n47257), .A2(n36791), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_6[1]), .Y(
+        n47266) );
+  sky130_fd_sc_hd__nor2_1 U67270 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_6[1]), .B(
+        n54220), .Y(n47258) );
+  sky130_fd_sc_hd__o21ai_1 U67271 ( .A1(n47258), .A2(n36790), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_6[0]), .Y(
+        n47265) );
+  sky130_fd_sc_hd__a21oi_1 U67272 ( .A1(n52841), .A2(n47260), .B1(n47259), .Y(
+        n54208) );
+  sky130_fd_sc_hd__nand2_1 U67273 ( .A(n47261), .B(n54207), .Y(n47262) );
+  sky130_fd_sc_hd__xor2_1 U67274 ( .A(n54208), .B(n47262), .X(n47263) );
+  sky130_fd_sc_hd__a22oi_1 U67275 ( .A1(n54228), .A2(n47263), .B1(n52494), 
+        .B2(n84763), .Y(n47264) );
+  sky130_fd_sc_hd__nand3_1 U67276 ( .A(n47266), .B(n47265), .C(n47264), .Y(
+        n84349) );
+  sky130_fd_sc_hd__nand2_1 U67277 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[38]), .Y(n47268) );
+  sky130_fd_sc_hd__nand2_1 U67278 ( .A(n54996), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[6]), .Y(n47267) );
+  sky130_fd_sc_hd__nand2_1 U67279 ( .A(n47268), .B(n47267), .Y(n85575) );
+  sky130_fd_sc_hd__mux2_2 U67280 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[38]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[6]), .S(n55502), .X(n85113) );
+  sky130_fd_sc_hd__nor2_1 U67281 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_beatsLeft[3]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_beatsLeft[0]), .Y(
+        n47271) );
+  sky130_fd_sc_hd__nand3_1 U67282 ( .A(n47271), .B(n47270), .C(n47269), .Y(
+        n78317) );
+  sky130_fd_sc_hd__nand2_1 U67283 ( .A(n83808), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_s_0_state[1]), 
+        .Y(n78316) );
+  sky130_fd_sc_hd__nand2_1 U67284 ( .A(n78317), .B(n47272), .Y(n47273) );
+  sky130_fd_sc_hd__nand2_1 U67285 ( .A(n55453), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_opcode_0_), .Y(n47467) );
+  sky130_fd_sc_hd__nand2_1 U67286 ( .A(n47466), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_lut[2]), .Y(
+        n56152) );
+  sky130_fd_sc_hd__nor2_1 U67287 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_6[0]), .B(
+        n56152), .Y(n47465) );
+  sky130_fd_sc_hd__nand3_1 U67288 ( .A(n55453), .B(n47483), .C(n47322), .Y(
+        n47470) );
+  sky130_fd_sc_hd__nor2_1 U67289 ( .A(n47403), .B(n56613), .Y(n47275) );
+  sky130_fd_sc_hd__nand3_1 U67290 ( .A(n47403), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics__GEN_39[0]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_15[0]), .Y(
+        n47297) );
+  sky130_fd_sc_hd__nand3_1 U67291 ( .A(n37363), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_mask_0_), 
+        .C(MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_7[0]), .Y(
+        n47274) );
+  sky130_fd_sc_hd__nand2_1 U67292 ( .A(n47297), .B(n47274), .Y(n47301) );
+  sky130_fd_sc_hd__nor2_1 U67293 ( .A(n47275), .B(n47301), .Y(n47287) );
+  sky130_fd_sc_hd__nand2_1 U67294 ( .A(n56652), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics__GEN_39[2]), .Y(
+        n47276) );
+  sky130_fd_sc_hd__a2bb2oi_1 U67295 ( .B1(n47445), .B2(n47287), .A1_N(n47276), 
+        .A2_N(n47301), .Y(n47456) );
+  sky130_fd_sc_hd__xor2_1 U67296 ( .A(n47322), .B(n47456), .X(n47281) );
+  sky130_fd_sc_hd__nand2_1 U67297 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_7[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_mask_0_), 
+        .Y(n47317) );
+  sky130_fd_sc_hd__nor2_1 U67298 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics__GEN_39[0]), .B(
+        n47317), .Y(n47305) );
+  sky130_fd_sc_hd__a21o_1 U67299 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics__GEN_39[0]), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_15[1]), .B1(
+        n47305), .X(n47379) );
+  sky130_fd_sc_hd__nand2b_1 U67300 ( .A_N(n47305), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics__GEN_39[1]), .Y(
+        n47277) );
+  sky130_fd_sc_hd__nand2_1 U67301 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_23[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics__GEN_39[1]), .Y(
+        n47278) );
+  sky130_fd_sc_hd__nand2_1 U67302 ( .A(n47401), .B(n47278), .Y(n47420) );
+  sky130_fd_sc_hd__nand2_1 U67303 ( .A(n47401), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics__GEN_39[2]), .Y(
+        n47279) );
+  sky130_fd_sc_hd__nand2_1 U67304 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_31[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics__GEN_39[2]), .Y(
+        n47280) );
+  sky130_fd_sc_hd__nand2_1 U67305 ( .A(n47443), .B(n47280), .Y(n47458) );
+  sky130_fd_sc_hd__nand2_1 U67306 ( .A(n47458), .B(n47281), .Y(n47282) );
+  sky130_fd_sc_hd__nand2_1 U67307 ( .A(n47283), .B(n47282), .Y(n47455) );
+  sky130_fd_sc_hd__o21ai_1 U67308 ( .A1(n47445), .A2(n47284), .B1(n47443), .Y(
+        n47453) );
+  sky130_fd_sc_hd__nand2_1 U67309 ( .A(n47285), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics__GEN_39[2]), .Y(
+        n47288) );
+  sky130_fd_sc_hd__nand2_1 U67310 ( .A(n47446), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_30[0]), .Y(
+        n47289) );
+  sky130_fd_sc_hd__xor2_1 U67311 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_param[2]), .B(n47287), .X(n47421) );
+  sky130_fd_sc_hd__o211ai_1 U67312 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_30[0]), .A2(
+        n47449), .B1(n47289), .C1(n47447), .Y(n47454) );
+  sky130_fd_sc_hd__nor2_1 U67313 ( .A(n47453), .B(n47454), .Y(n48389) );
+  sky130_fd_sc_hd__o21ai_1 U67314 ( .A1(n47445), .A2(n47290), .B1(n47443), .Y(
+        n47441) );
+  sky130_fd_sc_hd__nand2_1 U67315 ( .A(n47446), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_28[0]), .Y(
+        n47291) );
+  sky130_fd_sc_hd__o211ai_1 U67316 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_28[0]), .A2(
+        n47449), .B1(n47291), .C1(n47447), .Y(n47442) );
+  sky130_fd_sc_hd__o21ai_1 U67317 ( .A1(n47445), .A2(n47292), .B1(n47443), .Y(
+        n47434) );
+  sky130_fd_sc_hd__nand2_1 U67318 ( .A(n47446), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_26[0]), .Y(
+        n47293) );
+  sky130_fd_sc_hd__o211ai_1 U67319 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_26[0]), .A2(
+        n47449), .B1(n47293), .C1(n47447), .Y(n47435) );
+  sky130_fd_sc_hd__nor2_1 U67320 ( .A(n47434), .B(n47435), .Y(n52897) );
+  sky130_fd_sc_hd__nand2_1 U67322 ( .A(n47446), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_24[0]), .Y(
+        n47295) );
+  sky130_fd_sc_hd__o211ai_1 U67323 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_24[0]), .A2(
+        n47449), .B1(n47295), .C1(n47447), .Y(n47428) );
+  sky130_fd_sc_hd__nor2_1 U67324 ( .A(n47427), .B(n47428), .Y(n56147) );
+  sky130_fd_sc_hd__nor2_1 U67326 ( .A(n47299), .B(n47356), .Y(n47300) );
+  sky130_fd_sc_hd__nand2_1 U67327 ( .A(n47404), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_17[0]), .Y(
+        n47302) );
+  sky130_fd_sc_hd__a22oi_1 U67328 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_param[2]), .A2(n47301), .B1(n47300), .B2(n47403), .Y(n47405) );
+  sky130_fd_sc_hd__o211ai_1 U67329 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_17[0]), .A2(
+        n47407), .B1(n47302), .C1(n47405), .Y(n47388) );
+  sky130_fd_sc_hd__nor2_1 U67330 ( .A(n47387), .B(n47388), .Y(n52555) );
+  sky130_fd_sc_hd__o21ai_1 U67331 ( .A1(n47403), .A2(n47303), .B1(n47401), .Y(
+        n47385) );
+  sky130_fd_sc_hd__nand2_1 U67332 ( .A(n47404), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_16[0]), .Y(
+        n47304) );
+  sky130_fd_sc_hd__o211ai_1 U67333 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_16[0]), .A2(
+        n47407), .B1(n47304), .C1(n47405), .Y(n47386) );
+  sky130_fd_sc_hd__nor2_1 U67334 ( .A(n47385), .B(n47386), .Y(n52560) );
+  sky130_fd_sc_hd__nor2_1 U67335 ( .A(n52555), .B(n52560), .Y(n47390) );
+  sky130_fd_sc_hd__nor2_1 U67337 ( .A(n47322), .B(n37363), .Y(n47353) );
+  sky130_fd_sc_hd__nand2_1 U67338 ( .A(n47353), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_14[0]), .Y(
+        n47309) );
+  sky130_fd_sc_hd__nand2_1 U67339 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_mask_0_), 
+        .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_param[2]), .Y(n47324) );
+  sky130_fd_sc_hd__a21o_1 U67340 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_7[0]), .A2(
+        n47308), .B1(n47307), .X(n47340) );
+  sky130_fd_sc_hd__nand2_1 U67341 ( .A(n47340), .B(n37363), .Y(n47354) );
+  sky130_fd_sc_hd__o211ai_1 U67342 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_14[0]), .A2(
+        n47356), .B1(n47309), .C1(n47354), .Y(n47378) );
+  sky130_fd_sc_hd__nor2_1 U67343 ( .A(n47377), .B(n47378), .Y(n52979) );
+  sky130_fd_sc_hd__nand2_1 U67344 ( .A(n47353), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_15[0]), .Y(
+        n47310) );
+  sky130_fd_sc_hd__o211ai_1 U67345 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_15[0]), .A2(
+        n47356), .B1(n47310), .C1(n47354), .Y(n47380) );
+  sky130_fd_sc_hd__nand2_1 U67346 ( .A(n52046), .B(n38452), .Y(n47384) );
+  sky130_fd_sc_hd__o21ai_1 U67347 ( .A1(n37363), .A2(n47311), .B1(n47351), .Y(
+        n47372) );
+  sky130_fd_sc_hd__nand2_1 U67348 ( .A(n47353), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_12[0]), .Y(
+        n47312) );
+  sky130_fd_sc_hd__o211ai_1 U67349 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_12[0]), .A2(
+        n47356), .B1(n47312), .C1(n47354), .Y(n47373) );
+  sky130_fd_sc_hd__nand2_1 U67351 ( .A(n47353), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_13[0]), .Y(
+        n47314) );
+  sky130_fd_sc_hd__o211ai_1 U67352 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_13[0]), .A2(
+        n47356), .B1(n47314), .C1(n47354), .Y(n47375) );
+  sky130_fd_sc_hd__nand2_1 U67353 ( .A(n51038), .B(n38451), .Y(n52041) );
+  sky130_fd_sc_hd__nor2_1 U67354 ( .A(n47384), .B(n52041), .Y(n50821) );
+  sky130_fd_sc_hd__nand2_1 U67355 ( .A(n47390), .B(n50821), .Y(n47392) );
+  sky130_fd_sc_hd__nand2_1 U67356 ( .A(n52688), .B(n47322), .Y(n47315) );
+  sky130_fd_sc_hd__nor2_1 U67358 ( .A(n47335), .B(n47336), .Y(n52860) );
+  sky130_fd_sc_hd__nand2_1 U67359 ( .A(n56245), .B(n47322), .Y(n47316) );
+  sky130_fd_sc_hd__o21ai_1 U67360 ( .A1(n56245), .A2(n47324), .B1(n47316), .Y(
+        n47334) );
+  sky130_fd_sc_hd__nor2_1 U67361 ( .A(n47333), .B(n47334), .Y(n51187) );
+  sky130_fd_sc_hd__nor2_1 U67362 ( .A(n52860), .B(n51187), .Y(n47480) );
+  sky130_fd_sc_hd__nor2_1 U67363 ( .A(n47339), .B(n47340), .Y(n49130) );
+  sky130_fd_sc_hd__nand2_1 U67364 ( .A(n56949), .B(n47322), .Y(n47318) );
+  sky130_fd_sc_hd__nor2_1 U67366 ( .A(n47337), .B(n47338), .Y(n49135) );
+  sky130_fd_sc_hd__nor2_1 U67367 ( .A(n49130), .B(n49135), .Y(n47342) );
+  sky130_fd_sc_hd__nand2_1 U67368 ( .A(n47480), .B(n47342), .Y(n47344) );
+  sky130_fd_sc_hd__nand2_1 U67369 ( .A(n56241), .B(n47322), .Y(n47319) );
+  sky130_fd_sc_hd__o21ai_1 U67370 ( .A1(n56241), .A2(n47324), .B1(n47319), .Y(
+        n47330) );
+  sky130_fd_sc_hd__nor2_1 U67371 ( .A(n47329), .B(n47330), .Y(n51336) );
+  sky130_fd_sc_hd__nand2_1 U67372 ( .A(n56237), .B(n47322), .Y(n47320) );
+  sky130_fd_sc_hd__nor2_1 U67374 ( .A(n47327), .B(n47328), .Y(n51779) );
+  sky130_fd_sc_hd__nor2_1 U67375 ( .A(n51336), .B(n51779), .Y(n47332) );
+  sky130_fd_sc_hd__nand2_1 U67376 ( .A(n56650), .B(n47322), .Y(n47321) );
+  sky130_fd_sc_hd__o21ai_1 U67377 ( .A1(n56650), .A2(n47324), .B1(n47321), .Y(
+        n49647) );
+  sky130_fd_sc_hd__nand2_1 U67378 ( .A(n49647), .B(n49648), .Y(n50021) );
+  sky130_fd_sc_hd__nand2_1 U67379 ( .A(n56639), .B(n47322), .Y(n47323) );
+  sky130_fd_sc_hd__nor2_1 U67381 ( .A(n47325), .B(n47326), .Y(n50018) );
+  sky130_fd_sc_hd__nand2_1 U67382 ( .A(n47326), .B(n47325), .Y(n50019) );
+  sky130_fd_sc_hd__nand2_1 U67384 ( .A(n47328), .B(n47327), .Y(n51780) );
+  sky130_fd_sc_hd__nand2_1 U67385 ( .A(n47330), .B(n47329), .Y(n51337) );
+  sky130_fd_sc_hd__a21oi_1 U67387 ( .A1(n47332), .A2(n51339), .B1(n47331), .Y(
+        n47478) );
+  sky130_fd_sc_hd__nand2_1 U67388 ( .A(n47334), .B(n47333), .Y(n52856) );
+  sky130_fd_sc_hd__nand2_1 U67389 ( .A(n47336), .B(n47335), .Y(n52861) );
+  sky130_fd_sc_hd__o21ai_1 U67390 ( .A1(n52856), .A2(n52860), .B1(n52861), .Y(
+        n47479) );
+  sky130_fd_sc_hd__nand2_1 U67391 ( .A(n47338), .B(n47337), .Y(n49133) );
+  sky130_fd_sc_hd__nand2_1 U67392 ( .A(n47340), .B(n47339), .Y(n49131) );
+  sky130_fd_sc_hd__o21ai_1 U67393 ( .A1(n49133), .A2(n49130), .B1(n49131), .Y(
+        n47341) );
+  sky130_fd_sc_hd__a21oi_1 U67394 ( .A1(n47479), .A2(n47342), .B1(n47341), .Y(
+        n47343) );
+  sky130_fd_sc_hd__nand2_1 U67397 ( .A(n47353), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_10[0]), .Y(
+        n47346) );
+  sky130_fd_sc_hd__o211ai_1 U67398 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_10[0]), .A2(
+        n47356), .B1(n47346), .C1(n47354), .Y(n47364) );
+  sky130_fd_sc_hd__nand2_1 U67400 ( .A(n47353), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_11[0]), .Y(
+        n47348) );
+  sky130_fd_sc_hd__o211ai_1 U67401 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_11[0]), .A2(
+        n47356), .B1(n47348), .C1(n47354), .Y(n47366) );
+  sky130_fd_sc_hd__nand2_1 U67402 ( .A(n38450), .B(n38449), .Y(n47369) );
+  sky130_fd_sc_hd__nand2_1 U67404 ( .A(n47353), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_8[0]), .Y(
+        n47350) );
+  sky130_fd_sc_hd__o211ai_1 U67405 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_8[0]), .A2(
+        n47356), .B1(n47350), .C1(n47354), .Y(n47358) );
+  sky130_fd_sc_hd__nor2_1 U67406 ( .A(n47357), .B(n47358), .Y(n50900) );
+  sky130_fd_sc_hd__o21ai_1 U67407 ( .A1(n37363), .A2(n47352), .B1(n47351), .Y(
+        n47359) );
+  sky130_fd_sc_hd__nand2_1 U67408 ( .A(n47353), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_9[0]), .Y(
+        n47355) );
+  sky130_fd_sc_hd__o211ai_1 U67409 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_9[0]), .A2(
+        n47356), .B1(n47355), .C1(n47354), .Y(n47360) );
+  sky130_fd_sc_hd__nand2_1 U67410 ( .A(n48193), .B(n38448), .Y(n51599) );
+  sky130_fd_sc_hd__nor2_1 U67411 ( .A(n47369), .B(n51599), .Y(n47371) );
+  sky130_fd_sc_hd__nand2_1 U67412 ( .A(n47358), .B(n47357), .Y(n50899) );
+  sky130_fd_sc_hd__nand2_1 U67413 ( .A(n47360), .B(n47359), .Y(n50898) );
+  sky130_fd_sc_hd__a21oi_1 U67414 ( .A1(n38448), .A2(n47362), .B1(n47361), .Y(
+        n51597) );
+  sky130_fd_sc_hd__nand2_1 U67415 ( .A(n47364), .B(n47363), .Y(n52504) );
+  sky130_fd_sc_hd__nand2_1 U67416 ( .A(n47366), .B(n47365), .Y(n51601) );
+  sky130_fd_sc_hd__a21oi_1 U67417 ( .A1(n38449), .A2(n51600), .B1(n47367), .Y(
+        n47368) );
+  sky130_fd_sc_hd__a21oi_1 U67419 ( .A1(n48192), .A2(n47371), .B1(n47370), .Y(
+        n50305) );
+  sky130_fd_sc_hd__nand2_1 U67420 ( .A(n47373), .B(n47372), .Y(n51037) );
+  sky130_fd_sc_hd__nand2_1 U67421 ( .A(n47375), .B(n47374), .Y(n50307) );
+  sky130_fd_sc_hd__a21oi_1 U67422 ( .A1(n38451), .A2(n50306), .B1(n47376), .Y(
+        n52042) );
+  sky130_fd_sc_hd__nand2_1 U67423 ( .A(n47378), .B(n47377), .Y(n52977) );
+  sky130_fd_sc_hd__nand2_1 U67424 ( .A(n47380), .B(n47379), .Y(n52976) );
+  sky130_fd_sc_hd__a21oi_1 U67425 ( .A1(n38452), .A2(n47382), .B1(n47381), .Y(
+        n47383) );
+  sky130_fd_sc_hd__o21ai_1 U67426 ( .A1(n47384), .A2(n52042), .B1(n47383), .Y(
+        n50820) );
+  sky130_fd_sc_hd__nand2_1 U67427 ( .A(n47386), .B(n47385), .Y(n52558) );
+  sky130_fd_sc_hd__nand2_1 U67428 ( .A(n47388), .B(n47387), .Y(n52556) );
+  sky130_fd_sc_hd__o21ai_1 U67429 ( .A1(n52558), .A2(n52555), .B1(n52556), .Y(
+        n47389) );
+  sky130_fd_sc_hd__a21oi_1 U67430 ( .A1(n47390), .A2(n50820), .B1(n47389), .Y(
+        n47391) );
+  sky130_fd_sc_hd__o21ai_1 U67431 ( .A1(n47392), .A2(n50305), .B1(n47391), .Y(
+        n50065) );
+  sky130_fd_sc_hd__o21ai_1 U67432 ( .A1(n47403), .A2(n47393), .B1(n47401), .Y(
+        n47418) );
+  sky130_fd_sc_hd__nand2_1 U67433 ( .A(n47404), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_22[0]), .Y(
+        n47394) );
+  sky130_fd_sc_hd__o211ai_1 U67434 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_22[0]), .A2(
+        n47407), .B1(n47394), .C1(n47405), .Y(n47419) );
+  sky130_fd_sc_hd__nand2_1 U67435 ( .A(n38453), .B(n50070), .Y(n47424) );
+  sky130_fd_sc_hd__nand2_1 U67437 ( .A(n47404), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_19[0]), .Y(
+        n47396) );
+  sky130_fd_sc_hd__o211ai_1 U67438 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_19[0]), .A2(
+        n47407), .B1(n47396), .C1(n47405), .Y(n47411) );
+  sky130_fd_sc_hd__nor2_1 U67439 ( .A(n47410), .B(n47411), .Y(n53157) );
+  sky130_fd_sc_hd__nand2_1 U67441 ( .A(n47404), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_18[0]), .Y(
+        n47398) );
+  sky130_fd_sc_hd__o211ai_1 U67442 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_18[0]), .A2(
+        n47407), .B1(n47398), .C1(n47405), .Y(n47409) );
+  sky130_fd_sc_hd__nor2_1 U67443 ( .A(n47408), .B(n47409), .Y(n53162) );
+  sky130_fd_sc_hd__nor2_1 U67444 ( .A(n53157), .B(n53162), .Y(n51946) );
+  sky130_fd_sc_hd__o21ai_1 U67445 ( .A1(n47403), .A2(n47399), .B1(n47401), .Y(
+        n47414) );
+  sky130_fd_sc_hd__nand2_1 U67446 ( .A(n47404), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_21[0]), .Y(
+        n47400) );
+  sky130_fd_sc_hd__o211ai_1 U67447 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_21[0]), .A2(
+        n47407), .B1(n47400), .C1(n47405), .Y(n47415) );
+  sky130_fd_sc_hd__nor2_1 U67448 ( .A(n47414), .B(n47415), .Y(n51951) );
+  sky130_fd_sc_hd__nand2_1 U67450 ( .A(n47404), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_20[0]), .Y(
+        n47406) );
+  sky130_fd_sc_hd__o211ai_1 U67451 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_20[0]), .A2(
+        n47407), .B1(n47406), .C1(n47405), .Y(n47413) );
+  sky130_fd_sc_hd__nor2_1 U67452 ( .A(n47412), .B(n47413), .Y(n51949) );
+  sky130_fd_sc_hd__nor2_1 U67453 ( .A(n51951), .B(n51949), .Y(n47417) );
+  sky130_fd_sc_hd__nand2_1 U67454 ( .A(n51946), .B(n47417), .Y(n50067) );
+  sky130_fd_sc_hd__nor2_1 U67455 ( .A(n47424), .B(n50067), .Y(n47426) );
+  sky130_fd_sc_hd__nand2_1 U67456 ( .A(n47409), .B(n47408), .Y(n53160) );
+  sky130_fd_sc_hd__nand2_1 U67457 ( .A(n47411), .B(n47410), .Y(n53158) );
+  sky130_fd_sc_hd__nand2_1 U67458 ( .A(n47413), .B(n47412), .Y(n53092) );
+  sky130_fd_sc_hd__nand2_1 U67459 ( .A(n47415), .B(n47414), .Y(n51952) );
+  sky130_fd_sc_hd__o21ai_1 U67460 ( .A1(n53092), .A2(n51951), .B1(n51952), .Y(
+        n47416) );
+  sky130_fd_sc_hd__nand2_1 U67461 ( .A(n47419), .B(n47418), .Y(n51390) );
+  sky130_fd_sc_hd__nand2_1 U67462 ( .A(n47421), .B(n47420), .Y(n50069) );
+  sky130_fd_sc_hd__a21oi_1 U67463 ( .A1(n50068), .A2(n50070), .B1(n47422), .Y(
+        n47423) );
+  sky130_fd_sc_hd__nand2_1 U67465 ( .A(n47428), .B(n47427), .Y(n56148) );
+  sky130_fd_sc_hd__nand2_1 U67467 ( .A(n47446), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_25[0]), .Y(
+        n47430) );
+  sky130_fd_sc_hd__o211ai_1 U67468 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_25[0]), .A2(
+        n47449), .B1(n47430), .C1(n47447), .Y(n47432) );
+  sky130_fd_sc_hd__nand2_1 U67469 ( .A(n47432), .B(n47431), .Y(n52306) );
+  sky130_fd_sc_hd__nand2_1 U67470 ( .A(n47435), .B(n47434), .Y(n52898) );
+  sky130_fd_sc_hd__o21ai_1 U67471 ( .A1(n47445), .A2(n47436), .B1(n47443), .Y(
+        n47438) );
+  sky130_fd_sc_hd__nand2_1 U67472 ( .A(n47446), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_27[0]), .Y(
+        n47437) );
+  sky130_fd_sc_hd__o211ai_1 U67473 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_27[0]), .A2(
+        n47449), .B1(n47437), .C1(n47447), .Y(n47439) );
+  sky130_fd_sc_hd__nand2_1 U67474 ( .A(n47439), .B(n47438), .Y(n56103) );
+  sky130_fd_sc_hd__nand2_1 U67475 ( .A(n47442), .B(n47441), .Y(n48425) );
+  sky130_fd_sc_hd__nand2_1 U67477 ( .A(n47446), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_29[0]), .Y(
+        n47448) );
+  sky130_fd_sc_hd__o211ai_1 U67478 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_29[0]), .A2(
+        n47449), .B1(n47448), .C1(n47447), .Y(n47451) );
+  sky130_fd_sc_hd__nand2_1 U67479 ( .A(n47451), .B(n47450), .Y(n48049) );
+  sky130_fd_sc_hd__nand2_1 U67480 ( .A(n47454), .B(n47453), .Y(n48390) );
+  sky130_fd_sc_hd__nand2b_1 U67481 ( .A_N(n47458), .B(n47456), .Y(n47459) );
+  sky130_fd_sc_hd__nand2_1 U67482 ( .A(n47458), .B(n47457), .Y(n47460) );
+  sky130_fd_sc_hd__nand3_1 U67483 ( .A(n49213), .B(n47459), .C(n47460), .Y(
+        n47464) );
+  sky130_fd_sc_hd__nor2_1 U67484 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_param[1]), .B(n47460), .Y(n47461) );
+  sky130_fd_sc_hd__a21oi_1 U67485 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_param[1]), .A2(n47462), .B1(n47461), .Y(n47463) );
+  sky130_fd_sc_hd__nor2_1 U67486 ( .A(n47470), .B(n47469), .Y(n48421) );
+  sky130_fd_sc_hd__o21ai_1 U67487 ( .A1(n47465), .A2(n51594), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_6[1]), .Y(
+        n47487) );
+  sky130_fd_sc_hd__nand2_1 U67488 ( .A(n47466), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_lut[1]), .Y(
+        n56144) );
+  sky130_fd_sc_hd__nand2_1 U67489 ( .A(n56146), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_6[1]), .Y(
+        n47468) );
+  sky130_fd_sc_hd__o21ai_1 U67490 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_6[1]), .A2(
+        n56144), .B1(n47468), .Y(n47471) );
+  sky130_fd_sc_hd__o21ai_1 U67491 ( .A1(n47471), .A2(n52974), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_6[0]), .Y(
+        n47486) );
+  sky130_fd_sc_hd__nand2_1 U67492 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode[1]), .Y(n47472) );
+  sky130_fd_sc_hd__nand2_1 U67493 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode[2]), .Y(n47473) );
+  sky130_fd_sc_hd__nand2b_1 U67494 ( .A_N(n55039), .B(n83994), .Y(n60062) );
+  sky130_fd_sc_hd__o22ai_1 U67495 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(n60347), .B1(n55485), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N43), 
+        .Y(n60058) );
+  sky130_fd_sc_hd__nand2b_1 U67496 ( .A_N(n60058), .B(n60057), .Y(n47474) );
+  sky130_fd_sc_hd__o211ai_1 U67497 ( .A1(n83808), .A2(n60062), .B1(n47475), 
+        .C1(n47474), .Y(n55038) );
+  sky130_fd_sc_hd__nand2_1 U67498 ( .A(n59756), .B(n59758), .Y(n47477) );
+  sky130_fd_sc_hd__nand2_1 U67499 ( .A(n78317), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_state_1), .Y(n47476)
+         );
+  sky130_fd_sc_hd__a21oi_1 U67500 ( .A1(n52859), .A2(n47480), .B1(n47479), .Y(
+        n49134) );
+  sky130_fd_sc_hd__nand2_1 U67501 ( .A(n47481), .B(n49133), .Y(n47482) );
+  sky130_fd_sc_hd__xor2_1 U67502 ( .A(n49134), .B(n47482), .X(n47484) );
+  sky130_fd_sc_hd__a22oi_1 U67503 ( .A1(n55472), .A2(n85113), .B1(n47484), 
+        .B2(n56149), .Y(n47485) );
+  sky130_fd_sc_hd__nand3_1 U67504 ( .A(n47487), .B(n47486), .C(n47485), .Y(
+        n84878) );
+  sky130_fd_sc_hd__buf_2 U67506 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .X(n47496) );
+  sky130_fd_sc_hd__mux2i_2 U67507 ( .A0(n47498), .A1(n47497), .S(n47496), .Y(
+        n85038) );
+  sky130_fd_sc_hd__inv_1 U67508 ( .A(n47502), .Y(n55117) );
+  sky130_fd_sc_hd__nand2_1 U67509 ( .A(n55117), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_opcode[1]), .Y(n47500) );
+  sky130_fd_sc_hd__o21ai_2 U67510 ( .A1(n47501), .A2(n55117), .B1(n47500), .Y(
+        n85014) );
+  sky130_fd_sc_hd__nand2_1 U67511 ( .A(n47504), .B(n47677), .Y(n47511) );
+  sky130_fd_sc_hd__nor2_1 U67512 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_gennum[2]), .B(n47511), .Y(n47506) );
+  sky130_fd_sc_hd__nand2_1 U67513 ( .A(n47506), .B(n47505), .Y(n47510) );
+  sky130_fd_sc_hd__inv_2 U67514 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_io_full), .Y(n73771) );
+  sky130_fd_sc_hd__mux2_2 U67515 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_size[2]), .A1(n47614), .S(n73771), .X(n47667) );
+  sky130_fd_sc_hd__nand2_1 U67516 ( .A(n47667), .B(n47655), .Y(n47660) );
+  sky130_fd_sc_hd__nand2_1 U67517 ( .A(n53541), .B(n47507), .Y(n47674) );
+  sky130_fd_sc_hd__xnor2_1 U67518 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_gennum[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_gennum[1]), .Y(n47665) );
+  sky130_fd_sc_hd__nand2_1 U67519 ( .A(n47674), .B(n47665), .Y(n83550) );
+  sky130_fd_sc_hd__mux2i_1 U67520 ( .A0(n47509), .A1(n47508), .S(n55117), .Y(
+        n47525) );
+  sky130_fd_sc_hd__mux2i_1 U67521 ( .A0(n55059), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_size[0]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_io_full), .Y(n47654) );
+  sky130_fd_sc_hd__nand3b_1 U67522 ( .A_N(n47510), .B(n53540), .C(n47654), .Y(
+        n47514) );
+  sky130_fd_sc_hd__nand2_1 U67523 ( .A(n47511), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_gennum[2]), .Y(n47512) );
+  sky130_fd_sc_hd__nand2_1 U67524 ( .A(n47513), .B(n47512), .Y(n47661) );
+  sky130_fd_sc_hd__nand3_1 U67525 ( .A(n47674), .B(n47514), .C(n47661), .Y(
+        n55553) );
+  sky130_fd_sc_hd__inv_2 U67526 ( .A(n47502), .Y(n73814) );
+  sky130_fd_sc_hd__mux2i_1 U67527 ( .A0(n47518), .A1(n47517), .S(n73814), .Y(
+        n84962) );
+  sky130_fd_sc_hd__mux2i_2 U67528 ( .A0(n47522), .A1(n47521), .S(n49226), .Y(
+        n84978) );
+  sky130_fd_sc_hd__mux2_2 U67529 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_size[0]), .A1(n47525), .S(n47699), .X(n55364) );
+  sky130_fd_sc_hd__nand2_1 U67530 ( .A(n47695), .B(n55364), .Y(n47526) );
+  sky130_fd_sc_hd__nand2_1 U67531 ( .A(n47700), .B(n47526), .Y(n47685) );
+  sky130_fd_sc_hd__nor2_1 U67532 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_gennum[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_gennum[1]), .Y(n47529) );
+  sky130_fd_sc_hd__nand2_1 U67533 ( .A(n47529), .B(n47527), .Y(n47533) );
+  sky130_fd_sc_hd__nor2_1 U67534 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_gennum[3]), .B(n47533), .Y(n47709) );
+  sky130_fd_sc_hd__nand2_1 U67535 ( .A(n47528), .B(n47709), .Y(n47531) );
+  sky130_fd_sc_hd__nand2_1 U67536 ( .A(n47535), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_gennum[2]), .Y(n47530) );
+  sky130_fd_sc_hd__nand2_1 U67537 ( .A(n47533), .B(n47530), .Y(n47682) );
+  sky130_fd_sc_hd__nand2_1 U67538 ( .A(n47531), .B(n47682), .Y(n55362) );
+  sky130_fd_sc_hd__nand2_1 U67539 ( .A(n47689), .B(n47709), .Y(n47536) );
+  sky130_fd_sc_hd__nand2_1 U67540 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_gennum[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_gennum[1]), .Y(n47534) );
+  sky130_fd_sc_hd__nand2_1 U67541 ( .A(n47535), .B(n47534), .Y(n47692) );
+  sky130_fd_sc_hd__nand2_1 U67542 ( .A(n47536), .B(n47692), .Y(n55361) );
+  sky130_fd_sc_hd__nand3_1 U67543 ( .A(n55362), .B(n75720), .C(n55361), .Y(
+        n85125) );
+  sky130_fd_sc_hd__or3_1 U67544 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_gennum[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_gennum[1]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_gennum[0]), .X(n47537) );
+  sky130_fd_sc_hd__nor2_1 U67545 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_gennum[3]), .B(n47537), .Y(n47720) );
+  sky130_fd_sc_hd__inv_2 U67546 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_io_full), .Y(n63672) );
+  sky130_fd_sc_hd__mux2_2 U67547 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_size[2]), .A1(n47614), .S(n63672), .X(n47845) );
+  sky130_fd_sc_hd__mux2_2 U67548 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_size[1]), .A1(n85002), .S(n63672), .X(n47716) );
+  sky130_fd_sc_hd__nand2b_1 U67550 ( .A_N(n55282), .B(n47845), .Y(n47538) );
+  sky130_fd_sc_hd__nor2_1 U67551 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_gennum[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_gennum[0]), .Y(n47539) );
+  sky130_fd_sc_hd__xor2_1 U67552 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_gennum[2]), .B(n47539), .X(n47849) );
+  sky130_fd_sc_hd__nand2_1 U67553 ( .A(n47718), .B(n47720), .Y(n47541) );
+  sky130_fd_sc_hd__xor2_1 U67554 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_gennum[1]), .B(n47848), .X(n47842) );
+  sky130_fd_sc_hd__nand2_1 U67555 ( .A(n47541), .B(n47842), .Y(n83562) );
+  sky130_fd_sc_hd__mux2_2 U67556 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_size[2]), .A1(n36777), .S(n66789), .X(n47976) );
+  sky130_fd_sc_hd__nor3_1 U67557 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_gennum[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_gennum[1]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_gennum[0]), .Y(n47547) );
+  sky130_fd_sc_hd__nand2_1 U67558 ( .A(n47546), .B(n47547), .Y(n47545) );
+  sky130_fd_sc_hd__nand2_1 U67559 ( .A(n47726), .B(n47728), .Y(n47543) );
+  sky130_fd_sc_hd__xor2_1 U67560 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_gennum[1]), .B(n47972), .X(n47973) );
+  sky130_fd_sc_hd__nand2_1 U67561 ( .A(n47543), .B(n47973), .Y(n83600) );
+  sky130_fd_sc_hd__mux2i_1 U67562 ( .A0(n55059), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_size[0]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_io_full), .Y(n55233) );
+  sky130_fd_sc_hd__mux2i_1 U67563 ( .A0(n84998), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_size[1]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_io_full), .Y(n47725) );
+  sky130_fd_sc_hd__nand2b_1 U67564 ( .A_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_gennum[1]), .B(n47972), .Y(n47544) );
+  sky130_fd_sc_hd__a21oi_1 U67565 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_gennum[2]), .A2(n47544), .B1(n47547), .Y(n47966) );
+  sky130_fd_sc_hd__or3_1 U67566 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[1]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[0]), .X(n47548) );
+  sky130_fd_sc_hd__nor2_1 U67567 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[3]), .B(n47548), .Y(n47552) );
+  sky130_fd_sc_hd__inv_2 U67568 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_io_full), .Y(n63488) );
+  sky130_fd_sc_hd__mux2_2 U67569 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_size[1]), .A1(n84998), .S(n63488), .X(n53546) );
+  sky130_fd_sc_hd__nand2_1 U67570 ( .A(n47930), .B(n53546), .Y(n47550) );
+  sky130_fd_sc_hd__mux2_2 U67571 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_size[0]), .A1(n55059), .S(n63488), .X(n55306) );
+  sky130_fd_sc_hd__nand2_1 U67572 ( .A(n47930), .B(n55306), .Y(n47549) );
+  sky130_fd_sc_hd__nand2_1 U67573 ( .A(n47550), .B(n47549), .Y(n47933) );
+  sky130_fd_sc_hd__nor2_1 U67574 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[0]), .Y(n47551) );
+  sky130_fd_sc_hd__xor2_1 U67575 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[2]), .B(n47551), .X(n47931) );
+  sky130_fd_sc_hd__o21ai_1 U67576 ( .A1(n47933), .A2(n47734), .B1(n47931), .Y(
+        n83673) );
+  sky130_fd_sc_hd__xor2_1 U67577 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[0]), .X(n47929) );
+  sky130_fd_sc_hd__a21oi_1 U67578 ( .A1(n53548), .A2(n47552), .B1(n47929), .Y(
+        n83661) );
+  sky130_fd_sc_hd__mux2_2 U67579 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_size[1]), .A1(n85002), .S(n68438), .X(n48712) );
+  sky130_fd_sc_hd__or3_1 U67580 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_gennum[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_gennum[1]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_gennum[0]), .X(n47553) );
+  sky130_fd_sc_hd__nor2_1 U67581 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_gennum[3]), .B(n47553), .Y(n47741) );
+  sky130_fd_sc_hd__nand3_1 U67582 ( .A(n48712), .B(n48722), .C(n47741), .Y(
+        n47555) );
+  sky130_fd_sc_hd__nand2_1 U67583 ( .A(n47553), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_gennum[3]), .Y(n47554) );
+  sky130_fd_sc_hd__nand2_1 U67584 ( .A(n47555), .B(n47554), .Y(n83755) );
+  sky130_fd_sc_hd__mux2i_1 U67585 ( .A0(n55059), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_size[0]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_io_full), .Y(n55249) );
+  sky130_fd_sc_hd__inv_2 U67586 ( .A(n48722), .Y(n47739) );
+  sky130_fd_sc_hd__nor2_1 U67587 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_gennum[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_gennum[0]), .Y(n47556) );
+  sky130_fd_sc_hd__xor2_1 U67588 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_gennum[2]), .B(n47556), .X(n48725) );
+  sky130_fd_sc_hd__nand2_1 U67589 ( .A(n47739), .B(n47741), .Y(n47558) );
+  sky130_fd_sc_hd__xor2_1 U67590 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_gennum[1]), .B(n48732), .X(n48720) );
+  sky130_fd_sc_hd__nand2_1 U67591 ( .A(n47558), .B(n48720), .Y(n83745) );
+  sky130_fd_sc_hd__nand3_1 U67592 ( .A(n83760), .B(n83753), .C(n83745), .Y(
+        n85460) );
+  sky130_fd_sc_hd__mux2i_1 U67593 ( .A0(n84998), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_size[1]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_io_full), .Y(n48810) );
+  sky130_fd_sc_hd__mux2i_1 U67594 ( .A0(n55059), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_size[0]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_io_full), .Y(n55258) );
+  sky130_fd_sc_hd__nand2_1 U67595 ( .A(n48810), .B(n55258), .Y(n47559) );
+  sky130_fd_sc_hd__mux2_2 U67596 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_size[2]), .A1(n47614), .S(n73789), .X(n48798) );
+  sky130_fd_sc_hd__nand2_1 U67597 ( .A(n47559), .B(n48798), .Y(n48794) );
+  sky130_fd_sc_hd__or3_1 U67598 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_gennum[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_gennum[1]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_gennum[0]), .X(n47563) );
+  sky130_fd_sc_hd__nor2_1 U67599 ( .A(n47563), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_gennum[3]), .Y(n47745) );
+  sky130_fd_sc_hd__nand2_1 U67600 ( .A(n48794), .B(n47745), .Y(n47561) );
+  sky130_fd_sc_hd__nor2_1 U67601 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_gennum[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_gennum[0]), .Y(n47560) );
+  sky130_fd_sc_hd__xor2_1 U67602 ( .A(n47560), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_gennum[2]), .X(n48795) );
+  sky130_fd_sc_hd__nand2_1 U67603 ( .A(n47561), .B(n48795), .Y(n55255) );
+  sky130_fd_sc_hd__nand3_1 U67604 ( .A(n47562), .B(n48798), .C(n47745), .Y(
+        n47565) );
+  sky130_fd_sc_hd__nand2_1 U67605 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_gennum[3]), .B(n47563), .Y(n47564) );
+  sky130_fd_sc_hd__nand2_1 U67606 ( .A(n47565), .B(n47564), .Y(n48808) );
+  sky130_fd_sc_hd__nand2_1 U67607 ( .A(n48809), .B(n47745), .Y(n47566) );
+  sky130_fd_sc_hd__xnor2_1 U67608 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_gennum[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_gennum[1]), .Y(n48796) );
+  sky130_fd_sc_hd__nand2_1 U67609 ( .A(n47566), .B(n48796), .Y(n76299) );
+  sky130_fd_sc_hd__nand3_1 U67610 ( .A(n55255), .B(n55254), .C(n76299), .Y(
+        n85129) );
+  sky130_fd_sc_hd__inv_2 U67611 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_io_full), .Y(n63512) );
+  sky130_fd_sc_hd__mux2_2 U67612 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_size[2]), .A1(n47614), .S(n63512), .X(n48774) );
+  sky130_fd_sc_hd__mux2_2 U67613 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_size[1]), .A1(n84998), .S(n63512), .X(n47751) );
+  sky130_fd_sc_hd__nand2_1 U67614 ( .A(n48774), .B(n47751), .Y(n48787) );
+  sky130_fd_sc_hd__mux2_2 U67615 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_size[0]), .A1(n55059), .S(n63512), .X(n47753) );
+  sky130_fd_sc_hd__nand2_1 U67616 ( .A(n48774), .B(n47753), .Y(n47567) );
+  sky130_fd_sc_hd__nand2_1 U67617 ( .A(n48787), .B(n47567), .Y(n48768) );
+  sky130_fd_sc_hd__nor2_1 U67619 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_gennum[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_gennum[1]), .Y(n47570) );
+  sky130_fd_sc_hd__nand2_1 U67620 ( .A(n47570), .B(n47568), .Y(n47574) );
+  sky130_fd_sc_hd__nor2_1 U67621 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_gennum[3]), .B(n47574), .Y(n47756) );
+  sky130_fd_sc_hd__nand2_1 U67622 ( .A(n47569), .B(n47756), .Y(n47572) );
+  sky130_fd_sc_hd__nand2_1 U67623 ( .A(n47576), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_gennum[2]), .Y(n47571) );
+  sky130_fd_sc_hd__nand2_1 U67624 ( .A(n47574), .B(n47571), .Y(n48766) );
+  sky130_fd_sc_hd__nand2_1 U67625 ( .A(n47572), .B(n48766), .Y(n55244) );
+  sky130_fd_sc_hd__nand2_1 U67626 ( .A(n47754), .B(n47756), .Y(n47577) );
+  sky130_fd_sc_hd__nand2_1 U67627 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_gennum[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_gennum[1]), .Y(n47575) );
+  sky130_fd_sc_hd__nand2_1 U67628 ( .A(n47576), .B(n47575), .Y(n48771) );
+  sky130_fd_sc_hd__nand2_1 U67629 ( .A(n47577), .B(n48771), .Y(n76323) );
+  sky130_fd_sc_hd__nand3_1 U67630 ( .A(n55244), .B(n55242), .C(n76323), .Y(
+        n85132) );
+  sky130_fd_sc_hd__mux2_2 U67631 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_size[2]), .A1(n47614), .S(n62073), .X(n48741) );
+  sky130_fd_sc_hd__mux2_2 U67632 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_size[1]), .A1(n84998), .S(n62073), .X(n47761) );
+  sky130_fd_sc_hd__nand2_1 U67633 ( .A(n48741), .B(n47761), .Y(n48760) );
+  sky130_fd_sc_hd__mux2_2 U67634 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_size[0]), .A1(n55059), .S(n62073), .X(n47763) );
+  sky130_fd_sc_hd__nand2_1 U67635 ( .A(n48741), .B(n47763), .Y(n47578) );
+  sky130_fd_sc_hd__nand2_1 U67636 ( .A(n48760), .B(n47578), .Y(n48747) );
+  sky130_fd_sc_hd__inv_1 U67637 ( .A(n48747), .Y(n47580) );
+  sky130_fd_sc_hd__nor2_1 U67638 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_gennum[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_gennum[1]), .Y(n47581) );
+  sky130_fd_sc_hd__nand2_1 U67639 ( .A(n47581), .B(n47579), .Y(n47588) );
+  sky130_fd_sc_hd__nor2_1 U67640 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_gennum[3]), .B(n47588), .Y(n47766) );
+  sky130_fd_sc_hd__nand2_1 U67641 ( .A(n47580), .B(n47766), .Y(n47583) );
+  sky130_fd_sc_hd__nand2_1 U67642 ( .A(n47585), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_gennum[2]), .Y(n47582) );
+  sky130_fd_sc_hd__nand2_1 U67643 ( .A(n47588), .B(n47582), .Y(n48744) );
+  sky130_fd_sc_hd__nand2_1 U67644 ( .A(n47583), .B(n48744), .Y(n83767) );
+  sky130_fd_sc_hd__nand2_1 U67645 ( .A(n47764), .B(n47766), .Y(n47586) );
+  sky130_fd_sc_hd__nand2_1 U67646 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_gennum[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_gennum[1]), .Y(n47584) );
+  sky130_fd_sc_hd__nand2_1 U67647 ( .A(n47585), .B(n47584), .Y(n48739) );
+  sky130_fd_sc_hd__nand2_1 U67648 ( .A(n47586), .B(n48739), .Y(n55263) );
+  sky130_fd_sc_hd__nand3_1 U67649 ( .A(n83767), .B(n55263), .C(n55259), .Y(
+        n85131) );
+  sky130_fd_sc_hd__nand2_1 U67650 ( .A(n47589), .B(n47780), .Y(n47593) );
+  sky130_fd_sc_hd__nor2_1 U67651 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_gennum[2]), .B(n47593), .Y(n47591) );
+  sky130_fd_sc_hd__nand2_1 U67652 ( .A(n47591), .B(n47590), .Y(n47592) );
+  sky130_fd_sc_hd__inv_2 U67653 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_io_full), .Y(n60963) );
+  sky130_fd_sc_hd__mux2_2 U67654 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_size[2]), .A1(n47614), .S(n60963), .X(n48859) );
+  sky130_fd_sc_hd__nand2_1 U67655 ( .A(n48859), .B(n47774), .Y(n48877) );
+  sky130_fd_sc_hd__a2bb2oi_1 U67656 ( .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_gennum[3]), .B2(n47595), .A1_N(n47592), .A2_N(n48877), .Y(n55052) );
+  sky130_fd_sc_hd__mux2_2 U67657 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_size[0]), .A1(n55059), .S(n60963), .X(n47776) );
+  sky130_fd_sc_hd__nand2_1 U67658 ( .A(n48860), .B(n47779), .Y(n47596) );
+  sky130_fd_sc_hd__nand2_1 U67659 ( .A(n47593), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_gennum[2]), .Y(n47594) );
+  sky130_fd_sc_hd__nand2_1 U67660 ( .A(n47595), .B(n47594), .Y(n48861) );
+  sky130_fd_sc_hd__nand2_1 U67661 ( .A(n47596), .B(n48861), .Y(n55051) );
+  sky130_fd_sc_hd__nand2_1 U67662 ( .A(n47777), .B(n47779), .Y(n47597) );
+  sky130_fd_sc_hd__xnor2_1 U67663 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_gennum[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_gennum[1]), .Y(n48856) );
+  sky130_fd_sc_hd__nand2_1 U67664 ( .A(n47597), .B(n48856), .Y(n61052) );
+  sky130_fd_sc_hd__nand3_1 U67665 ( .A(n55052), .B(n55051), .C(n61052), .Y(
+        n85126) );
+  sky130_fd_sc_hd__mux2_2 U67666 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_size[2]), .A1(n36778), .S(n73777), .X(n48006) );
+  sky130_fd_sc_hd__inv_2 U67667 ( .A(n48006), .Y(n47789) );
+  sky130_fd_sc_hd__mux2i_1 U67668 ( .A0(n55059), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_size[0]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_io_full), .Y(n55275) );
+  sky130_fd_sc_hd__mux2i_1 U67669 ( .A0(n85002), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_size[1]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_io_full), .Y(n47788) );
+  sky130_fd_sc_hd__nand2b_1 U67670 ( .A_N(n47788), .B(n48006), .Y(n48012) );
+  sky130_fd_sc_hd__o21a_1 U67671 ( .A1(n47789), .A2(n55275), .B1(n48012), .X(
+        n48002) );
+  sky130_fd_sc_hd__nor3_1 U67672 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_gennum[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_gennum[0]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_gennum[2]), .Y(n47601) );
+  sky130_fd_sc_hd__nand2_1 U67673 ( .A(n47600), .B(n47601), .Y(n47599) );
+  sky130_fd_sc_hd__nand2b_1 U67674 ( .A_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_gennum[1]), .B(n48015), .Y(n47598) );
+  sky130_fd_sc_hd__a21oi_1 U67675 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_gennum[2]), .A2(n47598), .B1(n47601), .Y(n47999) );
+  sky130_fd_sc_hd__o22ai_1 U67676 ( .A1(n47601), .A2(n47600), .B1(n47599), 
+        .B2(n48012), .Y(n83730) );
+  sky130_fd_sc_hd__nor2_1 U67677 ( .A(n83725), .B(n83730), .Y(n55530) );
+  sky130_fd_sc_hd__nand2_1 U67678 ( .A(n47789), .B(n47791), .Y(n47602) );
+  sky130_fd_sc_hd__xor2_1 U67679 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_gennum[1]), .B(n48015), .X(n48004) );
+  sky130_fd_sc_hd__nand2_1 U67680 ( .A(n47602), .B(n48004), .Y(n83721) );
+  sky130_fd_sc_hd__nand2_1 U67681 ( .A(n55530), .B(n83721), .Y(n85458) );
+  sky130_fd_sc_hd__or3_1 U67682 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_auto_qspi_0_mem_xing_in_d_bits_source[3]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_auto_qspi_0_mem_xing_in_d_bits_source[5]), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_auto_qspi_0_mem_xing_in_d_bits_source[4]), .X(n85008) );
+  sky130_fd_sc_hd__nor2_1 U67683 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_auto_qspi_0_mem_xing_in_d_bits_source[3]), .B(n83702), .Y(n47603) );
+  sky130_fd_sc_hd__a211o_1 U67684 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_auto_qspi_0_mem_xing_in_d_bits_source[1]), .A2(n83702), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_auto_qspi_0_mem_xing_in_d_bits_source[5]), .C1(n47603), .X(n85007) );
+  sky130_fd_sc_hd__a21oi_1 U67685 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_auto_qspi_0_mem_xing_in_d_bits_source[0]), .A2(n83698), .B1(n47603), .Y(n47604) );
+  sky130_fd_sc_hd__nor3_1 U67687 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_gennum[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_gennum[1]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_gennum[0]), .Y(n47607) );
+  sky130_fd_sc_hd__nand2_1 U67688 ( .A(n47606), .B(n47607), .Y(n47609) );
+  sky130_fd_sc_hd__nor2_1 U67689 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_gennum[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_gennum[0]), .Y(n47608) );
+  sky130_fd_sc_hd__a21oi_1 U67690 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_gennum[0]), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_gennum[1]), .B1(n47608), .Y(n49028) );
+  sky130_fd_sc_hd__a21oi_1 U67691 ( .A1(n47807), .A2(n47808), .B1(n49028), .Y(
+        n83640) );
+  sky130_fd_sc_hd__mux2_2 U67692 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_size[1]), .A1(n84998), .S(n59992), .X(n47806) );
+  sky130_fd_sc_hd__o22ai_1 U67693 ( .A1(n47607), .A2(n47606), .B1(n47609), 
+        .B2(n49021), .Y(n83647) );
+  sky130_fd_sc_hd__nor2_1 U67694 ( .A(n83640), .B(n83647), .Y(n55527) );
+  sky130_fd_sc_hd__mux2i_1 U67695 ( .A0(n55059), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_size[0]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_io_full), .Y(n55273) );
+  sky130_fd_sc_hd__xor2_1 U67696 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_gennum[2]), .B(n47608), .X(n49034) );
+  sky130_fd_sc_hd__nand2_1 U67697 ( .A(n55527), .B(n83645), .Y(n85449) );
+  sky130_fd_sc_hd__or3_1 U67698 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_gennum[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_gennum[1]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_gennum[2]), .X(n47610) );
+  sky130_fd_sc_hd__nor2_1 U67699 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_gennum[3]), .B(n47610), .Y(n47814) );
+  sky130_fd_sc_hd__nand2_1 U67700 ( .A(n47873), .B(n47812), .Y(n47885) );
+  sky130_fd_sc_hd__mux2i_1 U67701 ( .A0(n55059), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_size[0]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_io_full), .Y(n55294) );
+  sky130_fd_sc_hd__nand2b_1 U67702 ( .A_N(n55294), .B(n47873), .Y(n47611) );
+  sky130_fd_sc_hd__nand2_1 U67703 ( .A(n47611), .B(n47885), .Y(n47882) );
+  sky130_fd_sc_hd__nor2_1 U67704 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_gennum[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_gennum[1]), .Y(n47612) );
+  sky130_fd_sc_hd__xor2_1 U67705 ( .A(n47612), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_gennum[2]), .X(n47880) );
+  sky130_fd_sc_hd__xor2_1 U67706 ( .A(n47876), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_gennum[1]), .X(n47870) );
+  sky130_fd_sc_hd__nand3_1 U67708 ( .A(n55293), .B(n83630), .C(n83620), .Y(
+        n85128) );
+  sky130_fd_sc_hd__mux2_2 U67709 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_size[2]), .A1(n36778), .S(n63663), .X(n53553) );
+  sky130_fd_sc_hd__mux2_2 U67710 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_size[0]), .A1(n55059), .S(n63663), .X(n55277) );
+  sky130_fd_sc_hd__mux2_2 U67711 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_size[1]), .A1(n85002), .S(n63663), .X(n53554) );
+  sky130_fd_sc_hd__nor2_1 U67712 ( .A(n55277), .B(n53554), .Y(n47615) );
+  sky130_fd_sc_hd__nor2_1 U67713 ( .A(n47821), .B(n47615), .Y(n47627) );
+  sky130_fd_sc_hd__or3_1 U67714 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_gennum[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_gennum[1]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_gennum[0]), .X(n47618) );
+  sky130_fd_sc_hd__nor2_1 U67715 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_gennum[3]), .B(n47618), .Y(n47617) );
+  sky130_fd_sc_hd__nor2_1 U67716 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_gennum[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_gennum[0]), .Y(n47616) );
+  sky130_fd_sc_hd__xor2_1 U67717 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_gennum[2]), .B(n47616), .X(n47625) );
+  sky130_fd_sc_hd__o21ai_1 U67718 ( .A1(n47627), .A2(n47823), .B1(n47625), .Y(
+        n55281) );
+  sky130_fd_sc_hd__nand3_1 U67719 ( .A(n53554), .B(n53553), .C(n47617), .Y(
+        n47620) );
+  sky130_fd_sc_hd__nand2_1 U67720 ( .A(n47618), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_gennum[3]), .Y(n47619) );
+  sky130_fd_sc_hd__nand2_1 U67721 ( .A(n47620), .B(n47619), .Y(n55280) );
+  sky130_fd_sc_hd__nand2_1 U67722 ( .A(n47821), .B(n47617), .Y(n47621) );
+  sky130_fd_sc_hd__xor2_1 U67723 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_gennum[1]), .B(n47833), .X(n47836) );
+  sky130_fd_sc_hd__nand2_1 U67724 ( .A(n47621), .B(n47836), .Y(n83583) );
+  sky130_fd_sc_hd__nand3_1 U67725 ( .A(n55281), .B(n53555), .C(n83583), .Y(
+        n85130) );
+  sky130_fd_sc_hd__o22ai_1 U67726 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_fmt_endian), .A2(n80870), .B1(n51608), .B2(n80862), .Y(n85079) );
+  sky130_fd_sc_hd__nand2_1 U67727 ( .A(n47627), .B(n47626), .Y(n47629) );
+  sky130_fd_sc_hd__mux2i_1 U67728 ( .A0(n85053), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_address[4]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_io_full), .Y(n47628) );
+  sky130_fd_sc_hd__nand2_1 U67729 ( .A(n47629), .B(n47628), .Y(n49102) );
+  sky130_fd_sc_hd__nand2_1 U67730 ( .A(n53554), .B(n53553), .Y(n47631) );
+  sky130_fd_sc_hd__mux2i_1 U67731 ( .A0(n85049), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_address[5]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_io_full), .Y(n47630) );
+  sky130_fd_sc_hd__o21a_1 U67732 ( .A1(n47631), .A2(n55280), .B1(n47630), .X(
+        n59619) );
+  sky130_fd_sc_hd__nand2b_1 U67733 ( .A_N(n49102), .B(n59619), .Y(n47839) );
+  sky130_fd_sc_hd__nor2_1 U67734 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_address[7]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_address[6]), .Y(n47634) );
+  sky130_fd_sc_hd__nor2_1 U67735 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_address[11]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_address[10]), .Y(n47633) );
+  sky130_fd_sc_hd__nor2_1 U67736 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_address[9]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_address[8]), .Y(n47632) );
+  sky130_fd_sc_hd__and3_1 U67737 ( .A(n47634), .B(n47633), .C(n47632), .X(
+        n47640) );
+  sky130_fd_sc_hd__nor2_1 U67738 ( .A(n85038), .B(n85030), .Y(n47639) );
+  sky130_fd_sc_hd__nor2_1 U67739 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[41]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[39]), .Y(n47636) );
+  sky130_fd_sc_hd__nor2_1 U67740 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[11]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[9]), .Y(n47635) );
+  sky130_fd_sc_hd__mux2_2 U67741 ( .A0(n47636), .A1(n47635), .S(n49226), .X(
+        n47638) );
+  sky130_fd_sc_hd__nor2_1 U67742 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_beatsLeft[3]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_beatsLeft[0]), .Y(
+        n47643) );
+  sky130_fd_sc_hd__nor2_1 U67743 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_io_full), .B(n59326), .Y(n47653) );
+  sky130_fd_sc_hd__nor2_1 U67744 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_address[10]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_address[9]), .Y(n47648) );
+  sky130_fd_sc_hd__nor2_1 U67745 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_address[6]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_address[11]), .Y(n47647) );
+  sky130_fd_sc_hd__nor2_1 U67746 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_address[8]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_address[7]), .Y(n47646) );
+  sky130_fd_sc_hd__nand4b_1 U67747 ( .A_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_address[5]), .B(n47648), .C(n47647), .D(n47646), .Y(n47649) );
+  sky130_fd_sc_hd__mux2i_1 U67748 ( .A0(n48707), .A1(n47649), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_io_full), .Y(n47652) );
+  sky130_fd_sc_hd__nand2_1 U67749 ( .A(n55555), .B(n47650), .Y(n47651) );
+  sky130_fd_sc_hd__nand2_1 U67750 ( .A(n47652), .B(n47651), .Y(n48895) );
+  sky130_fd_sc_hd__nand2_1 U67751 ( .A(n47655), .B(n55557), .Y(n47675) );
+  sky130_fd_sc_hd__nand2_1 U67752 ( .A(n47675), .B(n53541), .Y(n47656) );
+  sky130_fd_sc_hd__nand2_1 U67753 ( .A(n47656), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_gennum[0]), .Y(n47658) );
+  sky130_fd_sc_hd__mux2i_1 U67754 ( .A0(n47690), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_address[2]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_io_full), .Y(n47657) );
+  sky130_fd_sc_hd__nand2_1 U67755 ( .A(n47658), .B(n47657), .Y(n48897) );
+  sky130_fd_sc_hd__nand2_1 U67756 ( .A(n47667), .B(n55557), .Y(n47659) );
+  sky130_fd_sc_hd__nand2_1 U67757 ( .A(n47660), .B(n47659), .Y(n47664) );
+  sky130_fd_sc_hd__mux2_2 U67758 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_address[4]), .A1(n48000), .S(n73771), .X(n47662) );
+  sky130_fd_sc_hd__a21oi_1 U67759 ( .A1(n47664), .A2(n47663), .B1(n47662), .Y(
+        n48898) );
+  sky130_fd_sc_hd__nor2_1 U67760 ( .A(n48897), .B(n48898), .Y(n48896) );
+  sky130_fd_sc_hd__nand2_1 U67761 ( .A(n47667), .B(n47666), .Y(n47669) );
+  sky130_fd_sc_hd__mux2i_1 U67762 ( .A0(n48007), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_address[3]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_io_full), .Y(n47668) );
+  sky130_fd_sc_hd__nand2_1 U67763 ( .A(n47669), .B(n47668), .Y(n49003) );
+  sky130_fd_sc_hd__nor3_1 U67764 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_opcode[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_opcode[0]), .C(n47671), .Y(n47672) );
+  sky130_fd_sc_hd__mux2i_1 U67765 ( .A0(n47827), .A1(n47672), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_io_full), .Y(n76405) );
+  sky130_fd_sc_hd__nand2_1 U67766 ( .A(n47676), .B(n47675), .Y(n47678) );
+  sky130_fd_sc_hd__nand2_1 U67767 ( .A(n47678), .B(n47677), .Y(n55552) );
+  sky130_fd_sc_hd__nand2_1 U67768 ( .A(n61010), .B(n76405), .Y(n55003) );
+  sky130_fd_sc_hd__xnor2_1 U67769 ( .A(n73814), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_N43), 
+        .Y(n59754) );
+  sky130_fd_sc_hd__nand2b_1 U67770 ( .A_N(n37006), .B(n84978), .Y(n47737) );
+  sky130_fd_sc_hd__mux2_2 U67771 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_address[4]), .A1(n48000), .S(n47699), .X(n47683) );
+  sky130_fd_sc_hd__a21oi_1 U67772 ( .A1(n47685), .A2(n47684), .B1(n47683), .Y(
+        n49067) );
+  sky130_fd_sc_hd__mux2i_1 U67773 ( .A0(n47690), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_address[2]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_io_full), .Y(n47691) );
+  sky130_fd_sc_hd__mux2_2 U67774 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_address[3]), .A1(n48007), .S(n47699), .X(n47693) );
+  sky130_fd_sc_hd__a21oi_1 U67775 ( .A1(n47695), .A2(n47694), .B1(n47693), .Y(
+        n48932) );
+  sky130_fd_sc_hd__nor3_1 U67776 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_opcode[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_opcode[0]), .C(n47696), .Y(n47697) );
+  sky130_fd_sc_hd__nor2_1 U67777 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_statusReadReady), .B(n75713), .Y(n47698) );
+  sky130_fd_sc_hd__nor2_1 U67778 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_io_full), .B(n59326), .Y(n47708) );
+  sky130_fd_sc_hd__inv_2 U67779 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_io_full), .Y(n47699) );
+  sky130_fd_sc_hd__nand2_1 U67780 ( .A(n75720), .B(n47701), .Y(n47707) );
+  sky130_fd_sc_hd__nor2_1 U67781 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_address[5]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_address[6]), .Y(n47704) );
+  sky130_fd_sc_hd__nor2_1 U67782 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_address[7]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_address[8]), .Y(n47703) );
+  sky130_fd_sc_hd__nor2_1 U67783 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_address[9]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_address[10]), .Y(n47702) );
+  sky130_fd_sc_hd__nand4b_1 U67784 ( .A_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_address[11]), .B(n47704), .C(n47703), .D(n47702), .Y(n47705) );
+  sky130_fd_sc_hd__nand2_1 U67785 ( .A(n47705), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_io_full), .Y(n47706) );
+  sky130_fd_sc_hd__nand2_1 U67786 ( .A(n47710), .B(n47709), .Y(n47712) );
+  sky130_fd_sc_hd__nand2_1 U67787 ( .A(n47712), .B(n47711), .Y(n55366) );
+  sky130_fd_sc_hd__nand2b_1 U67788 ( .A_N(n85125), .B(n55366), .Y(n61029) );
+  sky130_fd_sc_hd__nand2_1 U67789 ( .A(n61029), .B(n75713), .Y(n55313) );
+  sky130_fd_sc_hd__nor2_1 U67790 ( .A(n47829), .B(n47714), .Y(n61030) );
+  sky130_fd_sc_hd__nand2_1 U67791 ( .A(n61030), .B(n73812), .Y(n47715) );
+  sky130_fd_sc_hd__nand2_1 U67792 ( .A(n47715), .B(n47699), .Y(n60065) );
+  sky130_fd_sc_hd__nand2_1 U67793 ( .A(n47718), .B(n47717), .Y(n83556) );
+  sky130_fd_sc_hd__nand2_1 U67794 ( .A(n47718), .B(n55282), .Y(n47719) );
+  sky130_fd_sc_hd__nand2_1 U67795 ( .A(n83556), .B(n47719), .Y(n47847) );
+  sky130_fd_sc_hd__a21oi_1 U67796 ( .A1(n47847), .A2(n47720), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_gennum[0]), .Y(n83557) );
+  sky130_fd_sc_hd__nor3b_1 U67797 ( .C_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_opcode[2]), .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_opcode[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_opcode[1]), .Y(n47722) );
+  sky130_fd_sc_hd__mux2_2 U67798 ( .A0(n47722), .A1(n47827), .S(n63672), .X(
+        n83555) );
+  sky130_fd_sc_hd__nand2_1 U67799 ( .A(n47723), .B(n60085), .Y(n60082) );
+  sky130_fd_sc_hd__nand3_1 U67800 ( .A(n37088), .B(n84970), .C(n47724), .Y(
+        n73800) );
+  sky130_fd_sc_hd__nand3b_1 U67801 ( .A_N(n84982), .B(n37006), .C(n84978), .Y(
+        n47828) );
+  sky130_fd_sc_hd__nand2_1 U67802 ( .A(n60880), .B(n60892), .Y(n63502) );
+  sky130_fd_sc_hd__nand2_1 U67803 ( .A(n47726), .B(n47725), .Y(n60877) );
+  sky130_fd_sc_hd__nand2_1 U67804 ( .A(n47726), .B(n55233), .Y(n47727) );
+  sky130_fd_sc_hd__nand2_1 U67805 ( .A(n60877), .B(n47727), .Y(n47971) );
+  sky130_fd_sc_hd__a21oi_1 U67806 ( .A1(n47971), .A2(n47728), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_gennum[0]), .Y(n55235) );
+  sky130_fd_sc_hd__nor2_1 U67807 ( .A(n55235), .B(n84986), .Y(n60889) );
+  sky130_fd_sc_hd__nor2_1 U67808 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_opcode[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_opcode[1]), .Y(n47730) );
+  sky130_fd_sc_hd__nand2_1 U67809 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_opcode[2]), .Y(n60891) );
+  sky130_fd_sc_hd__nand2_1 U67810 ( .A(n61167), .B(n66789), .Y(n60890) );
+  sky130_fd_sc_hd__nand2_1 U67811 ( .A(n47819), .B(n60892), .Y(n47732) );
+  sky130_fd_sc_hd__nand2_1 U67812 ( .A(n47732), .B(n66789), .Y(n55229) );
+  sky130_fd_sc_hd__nand2_1 U67813 ( .A(n53546), .B(n55306), .Y(n47733) );
+  sky130_fd_sc_hd__nand2_1 U67814 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_opcode[2]), .Y(n63484) );
+  sky130_fd_sc_hd__nand2_1 U67815 ( .A(n61167), .B(n63488), .Y(n63483) );
+  sky130_fd_sc_hd__o22ai_1 U67816 ( .A1(n63484), .A2(n47735), .B1(n63483), 
+        .B2(n47815), .Y(n83653) );
+  sky130_fd_sc_hd__nand2b_1 U67817 ( .A_N(n47737), .B(n47736), .Y(n73780) );
+  sky130_fd_sc_hd__nand2_1 U67818 ( .A(n47796), .B(n60880), .Y(n60560) );
+  sky130_fd_sc_hd__nand2_1 U67819 ( .A(n60560), .B(n63488), .Y(n55303) );
+  sky130_fd_sc_hd__nand2_1 U67820 ( .A(n73785), .B(n73812), .Y(n60955) );
+  sky130_fd_sc_hd__nand2_1 U67821 ( .A(n60955), .B(n68438), .Y(n56616) );
+  sky130_fd_sc_hd__nand2_1 U67822 ( .A(n47738), .B(n47739), .Y(n83738) );
+  sky130_fd_sc_hd__nand2_1 U67823 ( .A(n47739), .B(n55249), .Y(n47740) );
+  sky130_fd_sc_hd__nand2_1 U67824 ( .A(n83738), .B(n47740), .Y(n48731) );
+  sky130_fd_sc_hd__a21oi_1 U67825 ( .A1(n48731), .A2(n47741), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_gennum[0]), .Y(n83739) );
+  sky130_fd_sc_hd__nor3b_1 U67826 ( .C_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_opcode[2]), .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_opcode[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_opcode[1]), .Y(n47742) );
+  sky130_fd_sc_hd__mux2_2 U67827 ( .A0(n47742), .A1(n47827), .S(n68438), .X(
+        n83737) );
+  sky130_fd_sc_hd__nand2_1 U67828 ( .A(n48809), .B(n48810), .Y(n76292) );
+  sky130_fd_sc_hd__nand2_1 U67829 ( .A(n48809), .B(n55258), .Y(n47744) );
+  sky130_fd_sc_hd__nand2_1 U67830 ( .A(n76292), .B(n47744), .Y(n48791) );
+  sky130_fd_sc_hd__a21oi_1 U67831 ( .A1(n48791), .A2(n47745), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_gennum[0]), .Y(n53551) );
+  sky130_fd_sc_hd__nor3_1 U67832 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_opcode[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_opcode[0]), .C(n47747), .Y(n47748) );
+  sky130_fd_sc_hd__mux2i_1 U67833 ( .A0(n47827), .A1(n47748), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_io_full), .Y(n76307) );
+  sky130_fd_sc_hd__nand2_1 U67834 ( .A(n61169), .B(n76307), .Y(n53512) );
+  sky130_fd_sc_hd__nor2_1 U67835 ( .A(n47750), .B(n47749), .Y(n73790) );
+  sky130_fd_sc_hd__nand2_1 U67836 ( .A(n73790), .B(n73812), .Y(n53514) );
+  sky130_fd_sc_hd__nand2_1 U67837 ( .A(n47754), .B(n47752), .Y(n76315) );
+  sky130_fd_sc_hd__nand2_1 U67838 ( .A(n47754), .B(n55246), .Y(n47755) );
+  sky130_fd_sc_hd__nand2_1 U67839 ( .A(n76315), .B(n47755), .Y(n48764) );
+  sky130_fd_sc_hd__nand2_1 U67840 ( .A(n48764), .B(n47756), .Y(n47757) );
+  sky130_fd_sc_hd__nand2_1 U67841 ( .A(n47757), .B(n48765), .Y(n55243) );
+  sky130_fd_sc_hd__nand2b_1 U67842 ( .A_N(n85132), .B(n55243), .Y(n60008) );
+  sky130_fd_sc_hd__nor3_1 U67843 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_opcode[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_opcode[0]), .C(n47758), .Y(n47759) );
+  sky130_fd_sc_hd__mux2i_2 U67844 ( .A0(n47827), .A1(n47759), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_io_full), .Y(n76316) );
+  sky130_fd_sc_hd__nand2_1 U67845 ( .A(n60008), .B(n76316), .Y(n55238) );
+  sky130_fd_sc_hd__nand2_1 U67846 ( .A(n47819), .B(n47771), .Y(n47760) );
+  sky130_fd_sc_hd__nand2_1 U67847 ( .A(n47760), .B(n63512), .Y(n55236) );
+  sky130_fd_sc_hd__nand2_1 U67848 ( .A(n55238), .B(n55236), .Y(n53518) );
+  sky130_fd_sc_hd__nor2_1 U67849 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[15]), .B(n53518), .Y(n47787) );
+  sky130_fd_sc_hd__inv_1 U67850 ( .A(n85131), .Y(n47768) );
+  sky130_fd_sc_hd__nand2_1 U67851 ( .A(n47764), .B(n47762), .Y(n75731) );
+  sky130_fd_sc_hd__nand2_1 U67852 ( .A(n47764), .B(n55261), .Y(n47765) );
+  sky130_fd_sc_hd__nand2_1 U67853 ( .A(n75731), .B(n47765), .Y(n48737) );
+  sky130_fd_sc_hd__nand2_1 U67854 ( .A(n48737), .B(n47766), .Y(n47767) );
+  sky130_fd_sc_hd__nand2_1 U67855 ( .A(n47767), .B(n48738), .Y(n83763) );
+  sky130_fd_sc_hd__nor3_1 U67856 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_opcode[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_opcode[0]), .C(n47769), .Y(n47770) );
+  sky130_fd_sc_hd__mux2i_1 U67857 ( .A0(n47827), .A1(n47770), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_io_full), .Y(n75733) );
+  sky130_fd_sc_hd__nand2_1 U67858 ( .A(n60880), .B(n47771), .Y(n47772) );
+  sky130_fd_sc_hd__nand2_1 U67859 ( .A(n47772), .B(n62073), .Y(n49217) );
+  sky130_fd_sc_hd__nand2_1 U67860 ( .A(n47777), .B(n47775), .Y(n61054) );
+  sky130_fd_sc_hd__nand2_1 U67861 ( .A(n47777), .B(n55056), .Y(n47778) );
+  sky130_fd_sc_hd__nand2_1 U67862 ( .A(n61054), .B(n47778), .Y(n48852) );
+  sky130_fd_sc_hd__nand2_1 U67863 ( .A(n48852), .B(n47779), .Y(n47781) );
+  sky130_fd_sc_hd__nand2_1 U67864 ( .A(n47781), .B(n47780), .Y(n55053) );
+  sky130_fd_sc_hd__nand2_1 U67865 ( .A(n47782), .B(n55053), .Y(n60962) );
+  sky130_fd_sc_hd__nor3_1 U67866 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_opcode[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_opcode[0]), .C(n47783), .Y(n47784) );
+  sky130_fd_sc_hd__nor2_1 U67867 ( .A(n47829), .B(n61090), .Y(n73796) );
+  sky130_fd_sc_hd__nand2_1 U67868 ( .A(n73796), .B(n73812), .Y(n47785) );
+  sky130_fd_sc_hd__nor2_2 U67869 ( .A(n47787), .B(n48779), .Y(n48840) );
+  sky130_fd_sc_hd__nand2_1 U67870 ( .A(n47789), .B(n47788), .Y(n83715) );
+  sky130_fd_sc_hd__nand2_1 U67871 ( .A(n47789), .B(n55275), .Y(n47790) );
+  sky130_fd_sc_hd__nand2_1 U67872 ( .A(n83715), .B(n47790), .Y(n48014) );
+  sky130_fd_sc_hd__a21oi_1 U67873 ( .A1(n48014), .A2(n47791), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_gennum[0]), .Y(n60909) );
+  sky130_fd_sc_hd__nand2_1 U67874 ( .A(n47793), .B(n47792), .Y(n47795) );
+  sky130_fd_sc_hd__nor3b_1 U67875 ( .C_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_opcode[2]), .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_opcode[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_opcode[1]), .Y(n47794) );
+  sky130_fd_sc_hd__mux2i_1 U67876 ( .A0(n47827), .A1(n47794), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_io_full), .Y(n83717) );
+  sky130_fd_sc_hd__nand2_1 U67877 ( .A(n47795), .B(n83717), .Y(n53489) );
+  sky130_fd_sc_hd__nand2_1 U67878 ( .A(n47819), .B(n47796), .Y(n60907) );
+  sky130_fd_sc_hd__nand2_1 U67879 ( .A(n60907), .B(n73777), .Y(n53491) );
+  sky130_fd_sc_hd__nand3_1 U67880 ( .A(n47798), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_state[2]), .C(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_state[0]), 
+        .Y(n73719) );
+  sky130_fd_sc_hd__nand2_1 U67881 ( .A(n73729), .B(n77823), .Y(n73560) );
+  sky130_fd_sc_hd__nor2_1 U67882 ( .A(n47798), .B(n73560), .Y(n80739) );
+  sky130_fd_sc_hd__nor2_1 U67883 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_state[2]), .B(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_state[1]), 
+        .Y(n73724) );
+  sky130_fd_sc_hd__nand2_1 U67884 ( .A(n73724), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_state[0]), .Y(n61826) );
+  sky130_fd_sc_hd__nand2_1 U67885 ( .A(n80744), .B(n61826), .Y(n47797) );
+  sky130_fd_sc_hd__nor2_1 U67886 ( .A(n47797), .B(n77824), .Y(n80813) );
+  sky130_fd_sc_hd__nand2_1 U67887 ( .A(n73729), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_state[2]), .Y(n50027) );
+  sky130_fd_sc_hd__nor2_1 U67888 ( .A(n47798), .B(n50027), .Y(n48398) );
+  sky130_fd_sc_hd__nand3_1 U67889 ( .A(n47801), .B(n47800), .C(n47799), .Y(
+        n83703) );
+  sky130_fd_sc_hd__nand2_1 U67890 ( .A(n83710), .B(n83708), .Y(n83712) );
+  sky130_fd_sc_hd__inv_2 U67891 ( .A(n83711), .Y(n83705) );
+  sky130_fd_sc_hd__nand2_1 U67892 ( .A(n63346), .B(n63432), .Y(n47802) );
+  sky130_fd_sc_hd__nor2_1 U67893 ( .A(n50029), .B(n83677), .Y(n50082) );
+  sky130_fd_sc_hd__o22ai_1 U67894 ( .A1(n83711), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_dOrig[0]), .B1(n83705), .B2(n85006), .Y(n63343) );
+  sky130_fd_sc_hd__a211oi_1 U67895 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget__enable_T_6_0_), .A2(n63343), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget__enable_T_3_1_), .C1(n47802), .Y(n47804) );
+  sky130_fd_sc_hd__nand2_1 U67896 ( .A(n50029), .B(n63343), .Y(n47803) );
+  sky130_fd_sc_hd__nand2_1 U67897 ( .A(n83678), .B(n47803), .Y(n50084) );
+  sky130_fd_sc_hd__nand2_1 U67898 ( .A(n60250), .B(n48030), .Y(n48020) );
+  sky130_fd_sc_hd__nor2_1 U67899 ( .A(n47806), .B(n49029), .Y(n83634) );
+  sky130_fd_sc_hd__a21oi_1 U67900 ( .A1(n47808), .A2(n49024), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_gennum[0]), .Y(n60778) );
+  sky130_fd_sc_hd__nor2_1 U67901 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_opcode[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_opcode[1]), .Y(n47809) );
+  sky130_fd_sc_hd__nand2_1 U67902 ( .A(n47809), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_opcode[2]), .Y(n47811) );
+  sky130_fd_sc_hd__mux2_2 U67903 ( .A0(n47811), .A1(n47810), .S(n59992), .X(
+        n83635) );
+  sky130_fd_sc_hd__o21ai_1 U67904 ( .A1(n60778), .A2(n85449), .B1(n83635), .Y(
+        n56171) );
+  sky130_fd_sc_hd__nor2_1 U67905 ( .A(n47829), .B(n73780), .Y(n73806) );
+  sky130_fd_sc_hd__nand2_1 U67906 ( .A(n73806), .B(n73812), .Y(n60779) );
+  sky130_fd_sc_hd__nand2_1 U67907 ( .A(n59992), .B(n60779), .Y(n56170) );
+  sky130_fd_sc_hd__nand2_1 U67908 ( .A(n56171), .B(n56170), .Y(n60258) );
+  sky130_fd_sc_hd__nor2_1 U67909 ( .A(n47812), .B(n47873), .Y(n83613) );
+  sky130_fd_sc_hd__nor2_1 U67910 ( .A(n83615), .B(n85128), .Y(n60916) );
+  sky130_fd_sc_hd__nor2_1 U67911 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_opcode[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_opcode[1]), .Y(n47817) );
+  sky130_fd_sc_hd__nand2_1 U67912 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_opcode[2]), .Y(n60918) );
+  sky130_fd_sc_hd__nand2_1 U67913 ( .A(n61167), .B(n60913), .Y(n60917) );
+  sky130_fd_sc_hd__nand2_1 U67914 ( .A(n55290), .B(n47820), .Y(n47949) );
+  sky130_fd_sc_hd__inv_2 U67915 ( .A(n47949), .Y(n60279) );
+  sky130_fd_sc_hd__nand2_1 U67916 ( .A(n53554), .B(n55277), .Y(n47822) );
+  sky130_fd_sc_hd__nand2_1 U67917 ( .A(n47822), .B(n47821), .Y(n47835) );
+  sky130_fd_sc_hd__o21a_1 U67918 ( .A1(n47823), .A2(n47835), .B1(n47833), .X(
+        n53556) );
+  sky130_fd_sc_hd__nand2_1 U67919 ( .A(n47824), .B(n83582), .Y(n60903) );
+  sky130_fd_sc_hd__nor3_1 U67920 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_opcode[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_opcode[0]), .C(n47825), .Y(n47826) );
+  sky130_fd_sc_hd__nand2_1 U67921 ( .A(n60903), .B(n83579), .Y(n53532) );
+  sky130_fd_sc_hd__nor2_1 U67922 ( .A(n47829), .B(n47828), .Y(n73803) );
+  sky130_fd_sc_hd__nand2_1 U67923 ( .A(n73812), .B(n73803), .Y(n60905) );
+  sky130_fd_sc_hd__nand2_1 U67924 ( .A(n60905), .B(n63663), .Y(n53533) );
+  sky130_fd_sc_hd__nand2_1 U67925 ( .A(n53532), .B(n53533), .Y(n47896) );
+  sky130_fd_sc_hd__nand2_1 U67926 ( .A(n53529), .B(n60260), .Y(n73749) );
+  sky130_fd_sc_hd__nand2_1 U67927 ( .A(n47981), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_11), .Y(n47831) );
+  sky130_fd_sc_hd__mux2_2 U67928 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_address[2]), .A1(n85061), .S(n63663), .X(n47834) );
+  sky130_fd_sc_hd__a21oi_1 U67929 ( .A1(n47835), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_gennum[0]), .B1(n47834), .Y(n49015) );
+  sky130_fd_sc_hd__mux2_2 U67930 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_address[3]), .A1(n85057), .S(n63663), .X(n47837) );
+  sky130_fd_sc_hd__a21oi_1 U67931 ( .A1(n53553), .A2(n47838), .B1(n47837), .Y(
+        n49016) );
+  sky130_fd_sc_hd__nand2_1 U67932 ( .A(n49015), .B(n49016), .Y(n61020) );
+  sky130_fd_sc_hd__nand2_1 U67933 ( .A(n49285), .B(n59621), .Y(n49358) );
+  sky130_fd_sc_hd__nor2_4 U67934 ( .A(n47839), .B(n49358), .Y(n56667) );
+  sky130_fd_sc_hd__inv_2 U67935 ( .A(n56667), .Y(n51742) );
+  sky130_fd_sc_hd__mux2_2 U67936 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_address[5]), .A1(n85049), .S(n63672), .X(n47840) );
+  sky130_fd_sc_hd__mux2_2 U67937 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_address[3]), .A1(n85057), .S(n63672), .X(n47843) );
+  sky130_fd_sc_hd__a21oi_1 U67938 ( .A1(n47845), .A2(n47844), .B1(n47843), .Y(
+        n49084) );
+  sky130_fd_sc_hd__nand2_1 U67939 ( .A(n60076), .B(n49463), .Y(n47866) );
+  sky130_fd_sc_hd__mux2i_1 U67940 ( .A0(n85061), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_address[2]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_io_full), .Y(n47846) );
+  sky130_fd_sc_hd__o21a_1 U67941 ( .A1(n47848), .A2(n47847), .B1(n47846), .X(
+        n49099) );
+  sky130_fd_sc_hd__mux2_2 U67942 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_address[4]), .A1(n85053), .S(n63672), .X(n47850) );
+  sky130_fd_sc_hd__a21oi_1 U67943 ( .A1(n47852), .A2(n47851), .B1(n47850), .Y(
+        n60077) );
+  sky130_fd_sc_hd__nand2_1 U67944 ( .A(n49099), .B(n60077), .Y(n47867) );
+  sky130_fd_sc_hd__nand2_1 U67945 ( .A(n47866), .B(n47853), .Y(n47865) );
+  sky130_fd_sc_hd__nor2_1 U67946 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_address[7]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_address[6]), .Y(n47856) );
+  sky130_fd_sc_hd__nor2_1 U67947 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_address[11]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_address[10]), .Y(n47855) );
+  sky130_fd_sc_hd__nor2_1 U67948 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_address[9]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_address[8]), .Y(n47854) );
+  sky130_fd_sc_hd__and3_1 U67949 ( .A(n47856), .B(n47855), .C(n47854), .X(
+        n47857) );
+  sky130_fd_sc_hd__nand2_1 U67950 ( .A(n47859), .B(n47858), .Y(n47860) );
+  sky130_fd_sc_hd__nand2_1 U67951 ( .A(n47861), .B(n47860), .Y(n60080) );
+  sky130_fd_sc_hd__nand2_1 U67952 ( .A(n60080), .B(n37578), .Y(n73748) );
+  sky130_fd_sc_hd__nand2_1 U67953 ( .A(n47981), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_12), .Y(n47862) );
+  sky130_fd_sc_hd__o21a_2 U67954 ( .A1(n47981), .A2(n73748), .B1(n47862), .X(
+        n55564) );
+  sky130_fd_sc_hd__nand2_1 U67955 ( .A(n49099), .B(n49084), .Y(n60075) );
+  sky130_fd_sc_hd__nand2_1 U67956 ( .A(n60075), .B(n49462), .Y(n47864) );
+  sky130_fd_sc_hd__nor2_1 U67957 ( .A(n47867), .B(n47866), .Y(n63674) );
+  sky130_fd_sc_hd__nand2_2 U67958 ( .A(n49458), .B(n63674), .Y(n53026) );
+  sky130_fd_sc_hd__a22oi_1 U67959 ( .A1(n56695), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23[29]), .B1(n56929), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[29]), .Y(n48045) );
+  sky130_fd_sc_hd__nand2_1 U67960 ( .A(n49015), .B(n47868), .Y(n61018) );
+  sky130_fd_sc_hd__and3_1 U67961 ( .A(n47869), .B(n59620), .C(n59619), .X(
+        n63665) );
+  sky130_fd_sc_hd__mux2_2 U67962 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_address[3]), .A1(n85057), .S(n60913), .X(n47871) );
+  sky130_fd_sc_hd__mux2i_1 U67963 ( .A0(n85061), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_address[2]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_io_full), .Y(n47874) );
+  sky130_fd_sc_hd__nand2b_1 U67964 ( .A_N(n37070), .B(n60913), .Y(n47879) );
+  sky130_fd_sc_hd__nand2_1 U67965 ( .A(n47877), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_io_full), .Y(n47878) );
+  sky130_fd_sc_hd__nand2_1 U67966 ( .A(n61077), .B(n47916), .Y(n47917) );
+  sky130_fd_sc_hd__nand2_1 U67967 ( .A(n47882), .B(n47881), .Y(n47884) );
+  sky130_fd_sc_hd__mux2i_1 U67968 ( .A0(n48000), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_address[4]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_io_full), .Y(n47883) );
+  sky130_fd_sc_hd__mux2_2 U67969 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_address[5]), .A1(n85049), .S(n60913), .X(n47886) );
+  sky130_fd_sc_hd__nor2_1 U67971 ( .A(n47911), .B(n47954), .Y(n63686) );
+  sky130_fd_sc_hd__nand2_1 U67972 ( .A(n63685), .B(n63686), .Y(n47906) );
+  sky130_fd_sc_hd__nand2_1 U67973 ( .A(n47913), .B(n47907), .Y(n47919) );
+  sky130_fd_sc_hd__nand2_1 U67974 ( .A(n48034), .B(n47960), .Y(n47998) );
+  sky130_fd_sc_hd__nand2_1 U67975 ( .A(n47947), .B(n47893), .Y(n47898) );
+  sky130_fd_sc_hd__o22ai_2 U67976 ( .A1(n37054), .A2(n47898), .B1(n37107), 
+        .B2(n47897), .Y(n55287) );
+  sky130_fd_sc_hd__nand2_1 U67977 ( .A(n47981), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_9), .Y(n47899)
+         );
+  sky130_fd_sc_hd__nand2_1 U67978 ( .A(n47918), .B(n47900), .Y(n47905) );
+  sky130_fd_sc_hd__nand2b_1 U67979 ( .A_N(n49059), .B(n60913), .Y(n59997) );
+  sky130_fd_sc_hd__nor2_1 U67980 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_address[8]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_address[7]), .Y(n47903) );
+  sky130_fd_sc_hd__nor2_1 U67981 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_address[10]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_address[9]), .Y(n47901) );
+  sky130_fd_sc_hd__nand4_1 U67982 ( .A(n47903), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_io_full), .C(n47902), .D(n47901), .Y(n59996) );
+  sky130_fd_sc_hd__nand2_1 U67983 ( .A(n59997), .B(n59996), .Y(n47904) );
+  sky130_fd_sc_hd__inv_2 U67984 ( .A(n47910), .Y(n47996) );
+  sky130_fd_sc_hd__nor2_1 U67985 ( .A(n47914), .B(n47911), .Y(n47908) );
+  sky130_fd_sc_hd__nand2_1 U67986 ( .A(n47913), .B(n47908), .Y(n56700) );
+  sky130_fd_sc_hd__nand3_1 U67987 ( .A(n56116), .B(n47916), .C(n47909), .Y(
+        n61061) );
+  sky130_fd_sc_hd__and2_4 U67988 ( .A(n47910), .B(n61061), .X(n56122) );
+  sky130_fd_sc_hd__nand2_1 U67989 ( .A(n47911), .B(n47916), .Y(n47955) );
+  sky130_fd_sc_hd__a22oi_1 U67990 ( .A1(n56116), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[29]), 
+        .B1(n61081), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[29]), 
+        .Y(n47925) );
+  sky130_fd_sc_hd__nand2_1 U67991 ( .A(n67392), .B(n47916), .Y(n47995) );
+  sky130_fd_sc_hd__a22oi_1 U67992 ( .A1(n63514), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[29]), .B1(n79921), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[29]), 
+        .Y(n47924) );
+  sky130_fd_sc_hd__nand2_1 U67993 ( .A(n66799), .B(n47916), .Y(n47988) );
+  sky130_fd_sc_hd__a22oi_1 U67994 ( .A1(n63490), .A2(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_29_o_oe), .B1(n61078), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[29]), 
+        .Y(n47923) );
+  sky130_fd_sc_hd__nand2b_1 U67995 ( .A_N(n47920), .B(n67392), .Y(n47921) );
+  sky130_fd_sc_hd__a22oi_1 U67996 ( .A1(n63517), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[29]), .B1(n60000), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[29]), 
+        .Y(n47922) );
+  sky130_fd_sc_hd__nand4_1 U67997 ( .A(n47925), .B(n47924), .C(n47923), .D(
+        n47922), .Y(n47926) );
+  sky130_fd_sc_hd__a22oi_1 U67998 ( .A1(n56205), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[29]), 
+        .B1(n56122), .B2(n47926), .Y(n47927) );
+  sky130_fd_sc_hd__o21ai_0 U67999 ( .A1(n68254), .A2(n56787), .B1(n47927), .Y(
+        n48043) );
+  sky130_fd_sc_hd__mux2_2 U68000 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[3]), .A1(n48007), .S(n63488), .X(n47928) );
+  sky130_fd_sc_hd__a21oi_1 U68001 ( .A1(n47930), .A2(n47929), .B1(n47928), .Y(
+        n48963) );
+  sky130_fd_sc_hd__nand2_1 U68002 ( .A(n47933), .B(n47932), .Y(n47935) );
+  sky130_fd_sc_hd__mux2i_1 U68003 ( .A0(n48000), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[4]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_io_full), .Y(n47934) );
+  sky130_fd_sc_hd__nand2_1 U68004 ( .A(n47935), .B(n47934), .Y(n48964) );
+  sky130_fd_sc_hd__nand2_1 U68005 ( .A(n48963), .B(n48946), .Y(n49254) );
+  sky130_fd_sc_hd__nand2_1 U68006 ( .A(n55305), .B(n47936), .Y(n47938) );
+  sky130_fd_sc_hd__mux2i_1 U68007 ( .A0(n85049), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[5]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_io_full), .Y(n47937) );
+  sky130_fd_sc_hd__nand2b_1 U68008 ( .A_N(n37070), .B(n63488), .Y(n47941) );
+  sky130_fd_sc_hd__nand2_1 U68009 ( .A(n47939), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_io_full), .Y(n47940) );
+  sky130_fd_sc_hd__nand2_1 U68010 ( .A(n47941), .B(n47940), .Y(n48945) );
+  sky130_fd_sc_hd__nand2_1 U68011 ( .A(n49231), .B(n48962), .Y(n49278) );
+  sky130_fd_sc_hd__nor2_1 U68012 ( .A(n49254), .B(n49278), .Y(n49269) );
+  sky130_fd_sc_hd__mux2_2 U68013 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[2]), .A1(n85061), .S(n63488), .X(n47942) );
+  sky130_fd_sc_hd__a21oi_1 U68014 ( .A1(n47943), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[0]), .B1(n47942), .Y(n49266) );
+  sky130_fd_sc_hd__nand2_1 U68015 ( .A(n49269), .B(n55597), .Y(n67265) );
+  sky130_fd_sc_hd__nor2_1 U68016 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[9]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[10]), .Y(n47945) );
+  sky130_fd_sc_hd__nor2_1 U68017 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[7]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[8]), .Y(n47944) );
+  sky130_fd_sc_hd__nand3b_1 U68018 ( .A_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[11]), .B(n47945), .C(n47944), .Y(n47946) );
+  sky130_fd_sc_hd__mux2_2 U68019 ( .A0(n47946), .A1(n49059), .S(n63488), .X(
+        n55592) );
+  sky130_fd_sc_hd__nand2_1 U68020 ( .A(n48016), .B(n37761), .Y(n47951) );
+  sky130_fd_sc_hd__buf_2 U68021 ( .A(n48018), .X(n49048) );
+  sky130_fd_sc_hd__nand2_1 U68022 ( .A(n47950), .B(n37606), .Y(n49052) );
+  sky130_fd_sc_hd__nand2_1 U68023 ( .A(n55299), .B(n60281), .Y(n63489) );
+  sky130_fd_sc_hd__nand2_1 U68024 ( .A(n47981), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_7), .Y(n47952)
+         );
+  sky130_fd_sc_hd__nor2_1 U68026 ( .A(n55592), .B(n55573), .Y(n48910) );
+  sky130_fd_sc_hd__nand2_1 U68027 ( .A(n48964), .B(n48947), .Y(n49250) );
+  sky130_fd_sc_hd__nand2b_1 U68028 ( .A_N(n49278), .B(n49271), .Y(n47953) );
+  sky130_fd_sc_hd__nand2_1 U68029 ( .A(n48910), .B(n47953), .Y(n49668) );
+  sky130_fd_sc_hd__nor2_1 U68030 ( .A(n47957), .B(n47956), .Y(n61065) );
+  sky130_fd_sc_hd__a22o_1 U68031 ( .A1(n56843), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[29]), 
+        .B1(n56919), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[29]), .X(n47959) );
+  sky130_fd_sc_hd__a21oi_1 U68032 ( .A1(n51688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[29]), .B1(n47959), .Y(n47994) );
+  sky130_fd_sc_hd__nand2_1 U68033 ( .A(n67391), .B(n47960), .Y(n61057) );
+  sky130_fd_sc_hd__nor2_1 U68034 ( .A(n61057), .B(n47996), .Y(n56696) );
+  sky130_fd_sc_hd__nand2_1 U68035 ( .A(n55230), .B(n47962), .Y(n47964) );
+  sky130_fd_sc_hd__mux2i_1 U68036 ( .A0(n85049), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_address[5]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_io_full), .Y(n47963) );
+  sky130_fd_sc_hd__nand2_1 U68037 ( .A(n47964), .B(n47963), .Y(n49290) );
+  sky130_fd_sc_hd__nand2_1 U68038 ( .A(n47967), .B(n47966), .Y(n47969) );
+  sky130_fd_sc_hd__mux2i_1 U68039 ( .A0(n85053), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_address[4]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_io_full), .Y(n47968) );
+  sky130_fd_sc_hd__nand2_1 U68040 ( .A(n47969), .B(n47968), .Y(n48970) );
+  sky130_fd_sc_hd__nor2_1 U68041 ( .A(n49290), .B(n48970), .Y(n66791) );
+  sky130_fd_sc_hd__mux2i_1 U68042 ( .A0(n85061), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_address[2]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_io_full), .Y(n47970) );
+  sky130_fd_sc_hd__o21a_1 U68043 ( .A1(n47972), .A2(n47971), .B1(n47970), .X(
+        n48967) );
+  sky130_fd_sc_hd__mux2_2 U68044 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_address[3]), .A1(n85057), .S(n66789), .X(n47974) );
+  sky130_fd_sc_hd__a21oi_1 U68045 ( .A1(n47976), .A2(n47975), .B1(n47974), .Y(
+        n48971) );
+  sky130_fd_sc_hd__nand2_1 U68046 ( .A(n48967), .B(n48968), .Y(n66787) );
+  sky130_fd_sc_hd__nand2_1 U68047 ( .A(n66791), .B(n47977), .Y(n47986) );
+  sky130_fd_sc_hd__nand2_1 U68048 ( .A(n55225), .B(n60268), .Y(n73750) );
+  sky130_fd_sc_hd__nand2_1 U68049 ( .A(n47981), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_10), .Y(n47980) );
+  sky130_fd_sc_hd__o21ai_2 U68050 ( .A1(n47981), .A2(n73750), .B1(n47980), .Y(
+        n55570) );
+  sky130_fd_sc_hd__nor2_1 U68051 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_address[7]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_address[6]), .Y(n47984) );
+  sky130_fd_sc_hd__nor2_1 U68052 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_address[11]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_address[10]), .Y(n47983) );
+  sky130_fd_sc_hd__nor2_1 U68053 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_address[9]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_address[8]), .Y(n47982) );
+  sky130_fd_sc_hd__and3_1 U68054 ( .A(n47984), .B(n47983), .C(n47982), .X(
+        n47985) );
+  sky130_fd_sc_hd__a22oi_1 U68055 ( .A1(n36814), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[29]), 
+        .B1(n36990), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[29]), 
+        .Y(n47993) );
+  sky130_fd_sc_hd__nand2_1 U68056 ( .A(n67391), .B(n67392), .Y(n47987) );
+  sky130_fd_sc_hd__nor2_1 U68057 ( .A(n47987), .B(n47996), .Y(n51689) );
+  sky130_fd_sc_hd__a22oi_1 U68058 ( .A1(n86736), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[29]), 
+        .B1(n56393), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[29]), 
+        .Y(n47992) );
+  sky130_fd_sc_hd__nand2_1 U68059 ( .A(n63686), .B(n47989), .Y(n61059) );
+  sky130_fd_sc_hd__nor2_1 U68060 ( .A(n61059), .B(n47996), .Y(n52605) );
+  sky130_fd_sc_hd__nand2_1 U68061 ( .A(n67391), .B(n66799), .Y(n47990) );
+  sky130_fd_sc_hd__a22oi_1 U68062 ( .A1(n56372), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[29]), 
+        .B1(n48207), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[29]), 
+        .Y(n47991) );
+  sky130_fd_sc_hd__nand4_1 U68063 ( .A(n47994), .B(n47993), .C(n47992), .D(
+        n47991), .Y(n48042) );
+  sky130_fd_sc_hd__nor2_1 U68064 ( .A(n49290), .B(n49282), .Y(n48913) );
+  sky130_fd_sc_hd__nand2_1 U68065 ( .A(n48967), .B(n48971), .Y(n61042) );
+  sky130_fd_sc_hd__nand2_1 U68066 ( .A(n63683), .B(n63686), .Y(n47997) );
+  sky130_fd_sc_hd__nor2_1 U68067 ( .A(n47998), .B(n48035), .Y(n48956) );
+  sky130_fd_sc_hd__mux2i_1 U68068 ( .A0(n48000), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_address[4]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_io_full), .Y(n48001) );
+  sky130_fd_sc_hd__o21a_1 U68069 ( .A1(n48003), .A2(n48002), .B1(n48001), .X(
+        n49442) );
+  sky130_fd_sc_hd__nand2_1 U68070 ( .A(n48006), .B(n48005), .Y(n48009) );
+  sky130_fd_sc_hd__mux2i_1 U68071 ( .A0(n48007), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_address[3]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_io_full), .Y(n48008) );
+  sky130_fd_sc_hd__nand2_1 U68072 ( .A(n48009), .B(n48008), .Y(n49437) );
+  sky130_fd_sc_hd__nand2_1 U68073 ( .A(n49442), .B(n48010), .Y(n49428) );
+  sky130_fd_sc_hd__mux2i_1 U68074 ( .A0(n85049), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_address[5]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_io_full), .Y(n48011) );
+  sky130_fd_sc_hd__o21a_1 U68075 ( .A1(n48012), .A2(n83730), .B1(n48011), .X(
+        n49423) );
+  sky130_fd_sc_hd__mux2_2 U68076 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_address[6]), .A1(n37070), .S(n73777), .X(n48824) );
+  sky130_fd_sc_hd__nand2b_1 U68077 ( .A_N(n49423), .B(n48824), .Y(n49392) );
+  sky130_fd_sc_hd__mux2i_1 U68078 ( .A0(n85061), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_address[2]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_io_full), .Y(n48013) );
+  sky130_fd_sc_hd__o21a_1 U68079 ( .A1(n48015), .A2(n48014), .B1(n48013), .X(
+        n49417) );
+  sky130_fd_sc_hd__nand2b_1 U68080 ( .A_N(n49392), .B(n49438), .Y(n49393) );
+  sky130_fd_sc_hd__nand2_1 U68081 ( .A(n48718), .B(n38374), .Y(n48023) );
+  sky130_fd_sc_hd__nor2_1 U68082 ( .A(n60250), .B(n48032), .Y(n48716) );
+  sky130_fd_sc_hd__nand2_1 U68083 ( .A(n47981), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_5), .Y(n48024)
+         );
+  sky130_fd_sc_hd__nor2_1 U68084 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_address[9]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_address[10]), .Y(n48027) );
+  sky130_fd_sc_hd__nor2_1 U68085 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_address[7]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_address[8]), .Y(n48026) );
+  sky130_fd_sc_hd__nand3b_1 U68086 ( .A_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_address[11]), .B(n48027), .C(n48026), .Y(n48028) );
+  sky130_fd_sc_hd__mux2i_1 U68087 ( .A0(n49059), .A1(n48028), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_io_full), .Y(n53486) );
+  sky130_fd_sc_hd__nand2_1 U68088 ( .A(n85079), .B(n51609), .Y(n50077) );
+  sky130_fd_sc_hd__nand2_1 U68089 ( .A(n47981), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_6), .Y(n48033)
+         );
+  sky130_fd_sc_hd__o21a_2 U68090 ( .A1(n47981), .A2(n63365), .B1(n48033), .X(
+        n56132) );
+  sky130_fd_sc_hd__nor2_1 U68091 ( .A(n50077), .B(n56132), .Y(n52645) );
+  sky130_fd_sc_hd__a21oi_1 U68092 ( .A1(n56749), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[29]), .B1(n52645), .Y(n48037) );
+  sky130_fd_sc_hd__nand2_1 U68093 ( .A(n48034), .B(n67392), .Y(n61063) );
+  sky130_fd_sc_hd__nand2_1 U68094 ( .A(n56842), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[29]), .Y(n48036) );
+  sky130_fd_sc_hd__o211ai_1 U68095 ( .A1(n48038), .A2(n36817), .B1(n48037), 
+        .C1(n48036), .Y(n48039) );
+  sky130_fd_sc_hd__a21oi_1 U68096 ( .A1(n36789), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[29]), 
+        .B1(n48039), .Y(n48040) );
+  sky130_fd_sc_hd__o21ai_0 U68097 ( .A1(n63130), .A2(n56349), .B1(n48040), .Y(
+        n48041) );
+  sky130_fd_sc_hd__nor3_1 U68098 ( .A(n48043), .B(n48042), .C(n48041), .Y(
+        n48044) );
+  sky130_fd_sc_hd__o211ai_1 U68099 ( .A1(n60198), .A2(n51742), .B1(n48045), 
+        .C1(n48044), .Y(n84429) );
+  sky130_fd_sc_hd__mux2_2 U68100 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[61]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[29]), .S(n55502), .X(n85092) );
+  sky130_fd_sc_hd__nand2_1 U68101 ( .A(n56146), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_29[0]), .Y(
+        n48046) );
+  sky130_fd_sc_hd__o21ai_1 U68102 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_29[0]), .A2(
+        n56152), .B1(n48046), .Y(n48047) );
+  sky130_fd_sc_hd__o21ai_1 U68103 ( .A1(n48047), .A2(n48421), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_29[1]), .Y(
+        n48056) );
+  sky130_fd_sc_hd__nor2_1 U68104 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_29[1]), .B(
+        n56144), .Y(n48048) );
+  sky130_fd_sc_hd__nand2_1 U68106 ( .A(n48050), .B(n48049), .Y(n48052) );
+  sky130_fd_sc_hd__xnor2_1 U68107 ( .A(n48052), .B(n48051), .Y(n48053) );
+  sky130_fd_sc_hd__a22oi_1 U68108 ( .A1(n55472), .A2(n85092), .B1(n48053), 
+        .B2(n56149), .Y(n48054) );
+  sky130_fd_sc_hd__nand3_1 U68109 ( .A(n48056), .B(n48055), .C(n48054), .Y(
+        n84899) );
+  sky130_fd_sc_hd__nor2b_1 U68110 ( .B_N(n48057), .A(n48077), .Y(n48058) );
+  sky130_fd_sc_hd__o22ai_1 U68111 ( .A1(n56557), .A2(n57455), .B1(n48058), 
+        .B2(n52703), .Y(n48094) );
+  sky130_fd_sc_hd__nand3_1 U68112 ( .A(n57144), .B(n52699), .C(n57482), .Y(
+        n50364) );
+  sky130_fd_sc_hd__a21oi_1 U68113 ( .A1(n48059), .A2(n50364), .B1(n57153), .Y(
+        n48093) );
+  sky130_fd_sc_hd__nor3_1 U68114 ( .A(n52476), .B(n48318), .C(n57061), .Y(
+        n57009) );
+  sky130_fd_sc_hd__nor2_1 U68115 ( .A(n50476), .B(n52230), .Y(n57442) );
+  sky130_fd_sc_hd__nor2_1 U68116 ( .A(n56456), .B(n56405), .Y(n57440) );
+  sky130_fd_sc_hd__o22ai_1 U68117 ( .A1(n48247), .A2(n57451), .B1(n57193), 
+        .B2(n48060), .Y(n48061) );
+  sky130_fd_sc_hd__nor4_1 U68118 ( .A(n57009), .B(n57442), .C(n57440), .D(
+        n48061), .Y(n48076) );
+  sky130_fd_sc_hd__nand2_1 U68119 ( .A(n48062), .B(n50328), .Y(n52166) );
+  sky130_fd_sc_hd__a21oi_1 U68120 ( .A1(n57437), .A2(n57448), .B1(n57339), .Y(
+        n48063) );
+  sky130_fd_sc_hd__a21oi_1 U68121 ( .A1(n56558), .A2(n48064), .B1(n48063), .Y(
+        n48065) );
+  sky130_fd_sc_hd__a31oi_1 U68123 ( .A1(n48068), .A2(n52763), .A3(n48067), 
+        .B1(n48066), .Y(n48075) );
+  sky130_fd_sc_hd__nor2_1 U68124 ( .A(n50248), .B(n57427), .Y(n52723) );
+  sky130_fd_sc_hd__o22ai_1 U68125 ( .A1(n52465), .A2(n52426), .B1(n50919), 
+        .B2(n57453), .Y(n48069) );
+  sky130_fd_sc_hd__a211oi_1 U68126 ( .A1(n48070), .A2(n52144), .B1(n52723), 
+        .C1(n48069), .Y(n48074) );
+  sky130_fd_sc_hd__o21ai_0 U68127 ( .A1(n48072), .A2(n48071), .B1(n52252), .Y(
+        n48073) );
+  sky130_fd_sc_hd__nand4_1 U68128 ( .A(n48076), .B(n48075), .C(n48074), .D(
+        n48073), .Y(n48092) );
+  sky130_fd_sc_hd__nor2_1 U68129 ( .A(n57485), .B(n57483), .Y(n48082) );
+  sky130_fd_sc_hd__nor2b_1 U68130 ( .B_N(n48077), .A(n50954), .Y(n57264) );
+  sky130_fd_sc_hd__a21oi_1 U68132 ( .A1(n48084), .A2(n52381), .B1(n57549), .Y(
+        n48087) );
+  sky130_fd_sc_hd__o211ai_1 U68133 ( .A1(n57482), .A2(n57319), .B1(n51829), 
+        .C1(n48085), .Y(n48086) );
+  sky130_fd_sc_hd__a211oi_1 U68134 ( .A1(n48088), .A2(n50332), .B1(n48087), 
+        .C1(n48086), .Y(n48089) );
+  sky130_fd_sc_hd__o22ai_1 U68135 ( .A1(n48090), .A2(n52747), .B1(n48089), 
+        .B2(n57079), .Y(n48091) );
+  sky130_fd_sc_hd__nor4_1 U68136 ( .A(n48094), .B(n48093), .C(n48092), .D(
+        n48091), .Y(n48105) );
+  sky130_fd_sc_hd__nor2_1 U68137 ( .A(n57199), .B(n48095), .Y(n52708) );
+  sky130_fd_sc_hd__nand2_1 U68138 ( .A(n52708), .B(n57216), .Y(n48097) );
+  sky130_fd_sc_hd__o21ai_1 U68139 ( .A1(n56542), .A2(n48097), .B1(n48096), .Y(
+        n57342) );
+  sky130_fd_sc_hd__o21ai_1 U68140 ( .A1(n57342), .A2(n48098), .B1(n56999), .Y(
+        n48104) );
+  sky130_fd_sc_hd__nor2_1 U68141 ( .A(n48099), .B(n57302), .Y(n52475) );
+  sky130_fd_sc_hd__nor2_1 U68142 ( .A(n52475), .B(n51822), .Y(n48102) );
+  sky130_fd_sc_hd__o31ai_1 U68143 ( .A1(n52118), .A2(n48102), .A3(n48101), 
+        .B1(n48100), .Y(n48103) );
+  sky130_fd_sc_hd__a31oi_1 U68144 ( .A1(n48105), .A2(n48104), .A3(n48103), 
+        .B1(n57517), .Y(n48169) );
+  sky130_fd_sc_hd__o21ai_0 U68145 ( .A1(n50448), .A2(n56444), .B1(n48106), .Y(
+        n48107) );
+  sky130_fd_sc_hd__nor4_1 U68146 ( .A(n48110), .B(n48109), .C(n48108), .D(
+        n48107), .Y(n48112) );
+  sky130_fd_sc_hd__a31oi_1 U68147 ( .A1(n48112), .A2(n48111), .A3(n48312), 
+        .B1(n56583), .Y(n48113) );
+  sky130_fd_sc_hd__a31oi_1 U68148 ( .A1(n52261), .A2(n57542), .A3(n51551), 
+        .B1(n48113), .Y(n48138) );
+  sky130_fd_sc_hd__nor2_1 U68149 ( .A(n56498), .B(n56569), .Y(n56580) );
+  sky130_fd_sc_hd__a21oi_1 U68150 ( .A1(n57163), .A2(n48114), .B1(n56462), .Y(
+        n48119) );
+  sky130_fd_sc_hd__a21oi_1 U68151 ( .A1(n57473), .A2(n57013), .B1(n48115), .Y(
+        n48118) );
+  sky130_fd_sc_hd__nand4_1 U68152 ( .A(n48119), .B(n48118), .C(n48117), .D(
+        n48116), .Y(n48120) );
+  sky130_fd_sc_hd__nor4_1 U68153 ( .A(n50266), .B(n57357), .C(n56580), .D(
+        n48120), .Y(n48121) );
+  sky130_fd_sc_hd__o21ai_0 U68154 ( .A1(n52475), .A2(n52691), .B1(n48121), .Y(
+        n48128) );
+  sky130_fd_sc_hd__nor2_1 U68155 ( .A(n52229), .B(n57296), .Y(n51548) );
+  sky130_fd_sc_hd__nor3_1 U68157 ( .A(n50338), .B(n51548), .C(n48124), .Y(
+        n48126) );
+  sky130_fd_sc_hd__a31oi_1 U68158 ( .A1(n48126), .A2(n56537), .A3(n48125), 
+        .B1(n57542), .Y(n48127) );
+  sky130_fd_sc_hd__a21oi_1 U68159 ( .A1(n57476), .A2(n48128), .B1(n48127), .Y(
+        n48137) );
+  sky130_fd_sc_hd__nor2_1 U68160 ( .A(n57488), .B(n48129), .Y(n57354) );
+  sky130_fd_sc_hd__nand4_1 U68161 ( .A(n57354), .B(n48132), .C(n48131), .D(
+        n48130), .Y(n48134) );
+  sky130_fd_sc_hd__o21ai_1 U68162 ( .A1(n48135), .A2(n48134), .B1(n48133), .Y(
+        n48136) );
+  sky130_fd_sc_hd__a31oi_1 U68163 ( .A1(n48138), .A2(n48137), .A3(n48136), 
+        .B1(n56604), .Y(n48168) );
+  sky130_fd_sc_hd__a22oi_1 U68164 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[39]), 
+        .A2(n57564), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[39]), 
+        .B2(n57563), .Y(n48143) );
+  sky130_fd_sc_hd__a22oi_1 U68165 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[7]), .A2(
+        n57560), .B1(MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[0]), .B2(
+        n57588), .Y(n48142) );
+  sky130_fd_sc_hd__nand2_1 U68166 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[7]), .B(
+        n57559), .Y(n48141) );
+  sky130_fd_sc_hd__nor2_1 U68167 ( .A(n78170), .B(n50909), .Y(n48139) );
+  sky130_fd_sc_hd__nand4_1 U68168 ( .A(n48143), .B(n48142), .C(n48141), .D(
+        n48140), .Y(n48144) );
+  sky130_fd_sc_hd__a21o_1 U68169 ( .A1(n57570), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[0]), .B1(n48144), .X(
+        n48158) );
+  sky130_fd_sc_hd__a22oi_1 U68170 ( .A1(n38397), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[8]), 
+        .B1(n82327), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[8]), 
+        .Y(n48148) );
+  sky130_fd_sc_hd__a22oi_1 U68171 ( .A1(n82339), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[8]), 
+        .B1(n82309), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[8]), 
+        .Y(n48147) );
+  sky130_fd_sc_hd__a22oi_1 U68172 ( .A1(n38401), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[8]), 
+        .B1(n82303), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[8]), 
+        .Y(n48146) );
+  sky130_fd_sc_hd__a22oi_1 U68173 ( .A1(n82284), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[8]), 
+        .B1(n82361), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[8]), 
+        .Y(n48145) );
+  sky130_fd_sc_hd__nand4_1 U68174 ( .A(n48148), .B(n48147), .C(n48146), .D(
+        n48145), .Y(n48154) );
+  sky130_fd_sc_hd__a22oi_1 U68175 ( .A1(n82296), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[8]), 
+        .B1(n82278), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[8]), 
+        .Y(n48152) );
+  sky130_fd_sc_hd__a22oi_1 U68176 ( .A1(n82290), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[8]), 
+        .B1(n82321), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[8]), 
+        .Y(n48151) );
+  sky130_fd_sc_hd__a22oi_1 U68177 ( .A1(n82315), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[8]), 
+        .B1(n38396), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[8]), 
+        .Y(n48150) );
+  sky130_fd_sc_hd__a22oi_1 U68178 ( .A1(n82350), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[8]), 
+        .B1(n82333), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[8]), 
+        .Y(n48149) );
+  sky130_fd_sc_hd__nand4_1 U68179 ( .A(n48152), .B(n48151), .C(n48150), .D(
+        n48149), .Y(n48153) );
+  sky130_fd_sc_hd__a211oi_1 U68180 ( .A1(n57586), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[8]), 
+        .B1(n48154), .C1(n48153), .Y(n48156) );
+  sky130_fd_sc_hd__a22oi_1 U68181 ( .A1(n56525), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__5[0]), .B1(n38443), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[8]), .Y(n48155) );
+  sky130_fd_sc_hd__o21ai_0 U68182 ( .A1(n43381), .A2(n48156), .B1(n48155), .Y(
+        n48157) );
+  sky130_fd_sc_hd__a211oi_1 U68183 ( .A1(n57571), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[40]), .B1(n48158), .C1(n48157), .Y(n48161) );
+  sky130_fd_sc_hd__a22oi_1 U68184 ( .A1(n37065), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[40]), .B1(n41794), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[8]), .Y(n48160) );
+  sky130_fd_sc_hd__a22oi_1 U68185 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__1[0]), .A2(n57587), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[8]), .B2(n57558), .Y(n48159) );
+  sky130_fd_sc_hd__nand3_1 U68186 ( .A(n48161), .B(n48160), .C(n48159), .Y(
+        n48167) );
+  sky130_fd_sc_hd__nand2_1 U68187 ( .A(n41625), .B(n48162), .Y(n48165) );
+  sky130_fd_sc_hd__a31oi_1 U68188 ( .A1(n48165), .A2(n48164), .A3(n48163), 
+        .B1(n50948), .Y(n48166) );
+  sky130_fd_sc_hd__or4_1 U68189 ( .A(n48169), .B(n48168), .C(n48167), .D(
+        n48166), .X(n84492) );
+  sky130_fd_sc_hd__mux2_2 U68190 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[40]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[8]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .X(n84559) );
+  sky130_fd_sc_hd__o22ai_1 U68191 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_fmt_endian), .A2(n80539), .B1(n48170), .B2(n80562), .Y(n85070) );
+  sky130_fd_sc_hd__nor2b_1 U68192 ( .B_N(n85070), .A(n48171), .Y(n85324) );
+  sky130_fd_sc_hd__nand2_1 U68193 ( .A(n57367), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_8[0]), .Y(
+        n48174) );
+  sky130_fd_sc_hd__nand2_1 U68194 ( .A(n57600), .B(n84559), .Y(n48173) );
+  sky130_fd_sc_hd__a22oi_1 U68195 ( .A1(n85324), .A2(n57369), .B1(n57368), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_1[0]), .Y(n48172) );
+  sky130_fd_sc_hd__nand3_1 U68196 ( .A(n48174), .B(n48173), .C(n48172), .Y(
+        n84536) );
+  sky130_fd_sc_hd__nor2_1 U68197 ( .A(n75943), .B(n56044), .Y(n84377) );
+  sky130_fd_sc_hd__nand2_1 U68198 ( .A(n54312), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[40]), .Y(n48176) );
+  sky130_fd_sc_hd__nand2_1 U68199 ( .A(n54307), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[8]), .Y(n48175) );
+  sky130_fd_sc_hd__nand2_1 U68200 ( .A(n48176), .B(n48175), .Y(n84761) );
+  sky130_fd_sc_hd__nor2_1 U68201 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_8[0]), .B(
+        n54216), .Y(n48177) );
+  sky130_fd_sc_hd__o21ai_1 U68202 ( .A1(n48177), .A2(n36791), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_8[1]), .Y(
+        n48186) );
+  sky130_fd_sc_hd__nand2_1 U68203 ( .A(n54218), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_8[1]), .Y(
+        n48178) );
+  sky130_fd_sc_hd__nand2_1 U68206 ( .A(n48181), .B(n48180), .Y(n48182) );
+  sky130_fd_sc_hd__xor2_1 U68207 ( .A(n51581), .B(n48182), .X(n48183) );
+  sky130_fd_sc_hd__a22oi_1 U68208 ( .A1(n54228), .A2(n48183), .B1(n52494), 
+        .B2(n84761), .Y(n48184) );
+  sky130_fd_sc_hd__nand3_1 U68209 ( .A(n48186), .B(n48185), .C(n48184), .Y(
+        n84350) );
+  sky130_fd_sc_hd__nand2_1 U68210 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[40]), .Y(n48188) );
+  sky130_fd_sc_hd__nand2_1 U68211 ( .A(n54996), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[8]), .Y(n48187) );
+  sky130_fd_sc_hd__nand2_1 U68212 ( .A(n48188), .B(n48187), .Y(n85574) );
+  sky130_fd_sc_hd__mux2_2 U68213 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[40]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[8]), .S(n55502), .X(n85111) );
+  sky130_fd_sc_hd__nor2_1 U68214 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_8[0]), .B(
+        n56152), .Y(n48189) );
+  sky130_fd_sc_hd__o21ai_1 U68215 ( .A1(n48189), .A2(n36818), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_8[1]), .Y(
+        n48198) );
+  sky130_fd_sc_hd__nand2_1 U68216 ( .A(n56146), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_8[1]), .Y(
+        n48190) );
+  sky130_fd_sc_hd__o21ai_1 U68217 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_8[1]), .A2(
+        n56144), .B1(n48190), .Y(n48191) );
+  sky130_fd_sc_hd__nand2_1 U68219 ( .A(n48193), .B(n50899), .Y(n48194) );
+  sky130_fd_sc_hd__xor2_1 U68220 ( .A(n51598), .B(n48194), .X(n48195) );
+  sky130_fd_sc_hd__a22oi_1 U68221 ( .A1(n56151), .A2(n85111), .B1(n48195), 
+        .B2(n56149), .Y(n48196) );
+  sky130_fd_sc_hd__nand3_1 U68222 ( .A(n48198), .B(n48197), .C(n48196), .Y(
+        n84880) );
+  sky130_fd_sc_hd__o22ai_1 U68223 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_fmt_endian), .A2(n80872), .B1(n51608), .B2(n80856), .Y(n85078) );
+  sky130_fd_sc_hd__a22oi_1 U68224 ( .A1(n56695), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23[30]), .B1(n56929), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[30]), .Y(n48222) );
+  sky130_fd_sc_hd__inv_2 U68225 ( .A(n56371), .Y(n50050) );
+  sky130_fd_sc_hd__a22oi_1 U68226 ( .A1(n56116), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[30]), 
+        .B1(n61081), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[30]), 
+        .Y(n48202) );
+  sky130_fd_sc_hd__a22oi_1 U68227 ( .A1(n63517), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[30]), .B1(n79921), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[30]), 
+        .Y(n48201) );
+  sky130_fd_sc_hd__a22oi_1 U68228 ( .A1(n61078), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[30]), 
+        .B1(n60000), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[30]), 
+        .Y(n48200) );
+  sky130_fd_sc_hd__a22oi_1 U68229 ( .A1(n63490), .A2(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_30_o_oe), .B1(n63514), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[30]), .Y(n48199) );
+  sky130_fd_sc_hd__nand4_1 U68230 ( .A(n48202), .B(n48201), .C(n48200), .D(
+        n48199), .Y(n48203) );
+  sky130_fd_sc_hd__a22oi_1 U68231 ( .A1(n56123), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[30]), 
+        .B1(n56122), .B2(n48203), .Y(n48204) );
+  sky130_fd_sc_hd__o21ai_0 U68232 ( .A1(n48205), .A2(n56787), .B1(n48204), .Y(
+        n48220) );
+  sky130_fd_sc_hd__a22o_1 U68233 ( .A1(n56843), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[30]), 
+        .B1(n56919), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[30]), .X(n48206) );
+  sky130_fd_sc_hd__a21oi_1 U68234 ( .A1(n51688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[30]), .B1(n48206), .Y(n48211) );
+  sky130_fd_sc_hd__a22oi_1 U68235 ( .A1(n36814), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[30]), 
+        .B1(n36990), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[30]), 
+        .Y(n48210) );
+  sky130_fd_sc_hd__a22oi_1 U68236 ( .A1(n86736), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[30]), 
+        .B1(n56393), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[30]), 
+        .Y(n48209) );
+  sky130_fd_sc_hd__a22oi_1 U68237 ( .A1(n56372), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[30]), 
+        .B1(n48207), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[30]), 
+        .Y(n48208) );
+  sky130_fd_sc_hd__nand4_1 U68238 ( .A(n48211), .B(n48210), .C(n48209), .D(
+        n48208), .Y(n48219) );
+  sky130_fd_sc_hd__nand2_1 U68239 ( .A(n85078), .B(n51609), .Y(n51960) );
+  sky130_fd_sc_hd__clkinv_1 U68240 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[30]), .Y(n48212) );
+  sky130_fd_sc_hd__o22ai_1 U68241 ( .A1(n56132), .A2(n51960), .B1(n48212), 
+        .B2(n56361), .Y(n48213) );
+  sky130_fd_sc_hd__a21oi_1 U68242 ( .A1(n56296), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[30]), .B1(n48213), .Y(n48214) );
+  sky130_fd_sc_hd__o21ai_1 U68243 ( .A1(n36817), .A2(n48215), .B1(n48214), .Y(
+        n48216) );
+  sky130_fd_sc_hd__a21oi_1 U68244 ( .A1(n36789), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[30]), 
+        .B1(n48216), .Y(n48217) );
+  sky130_fd_sc_hd__nor3_1 U68246 ( .A(n48220), .B(n48219), .C(n48218), .Y(
+        n48221) );
+  sky130_fd_sc_hd__o211ai_1 U68247 ( .A1(n51742), .A2(n60216), .B1(n48222), 
+        .C1(n48221), .Y(n84430) );
+  sky130_fd_sc_hd__nand2_1 U68248 ( .A(n36974), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_opcode_0__0_), .Y(n48224) );
+  sky130_fd_sc_hd__nand2_1 U68249 ( .A(n36973), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_opcode_1__0_), .Y(n48223) );
+  sky130_fd_sc_hd__nand2_1 U68250 ( .A(n48224), .B(n48223), .Y(n83792) );
+  sky130_fd_sc_hd__nor3_1 U68251 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_d_first_counter[2]), 
+        .B(MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_d_first_counter[1]), .C(MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_d_first_counter[0]), .Y(
+        n83796) );
+  sky130_fd_sc_hd__nor2_1 U68252 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_d_first_counter[3]), 
+        .B(n83801), .Y(n83793) );
+  sky130_fd_sc_hd__a22oi_1 U68253 ( .A1(n36973), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_source[1]), .B1(n36974), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_source[3]), .Y(n55503) );
+  sky130_fd_sc_hd__a22oi_1 U68254 ( .A1(n36973), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_source[0]), .B1(n36974), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_source[2]), .Y(n55345) );
+  sky130_fd_sc_hd__o22ai_1 U68255 ( .A1(n55503), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_source[1]), .B1(n55345), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_source[0]), .Y(n48225) );
+  sky130_fd_sc_hd__a221oi_1 U68256 ( .A1(n55503), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_source[1]), .B1(MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_source[0]), 
+        .B2(n55345), .C1(n48225), .Y(n48226) );
+  sky130_fd_sc_hd__nand2_1 U68257 ( .A(n83793), .B(n48226), .Y(n48227) );
+  sky130_fd_sc_hd__nand2_1 U68258 ( .A(n36973), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[30]), .Y(n48228) );
+  sky130_fd_sc_hd__o22ai_1 U68259 ( .A1(n56744), .A2(n73462), .B1(n56950), 
+        .B2(n48229), .Y(n84837) );
+  sky130_fd_sc_hd__nand3_1 U68260 ( .A(n48231), .B(n48230), .C(n56510), .Y(
+        n48232) );
+  sky130_fd_sc_hd__a21oi_1 U68261 ( .A1(n56543), .A2(n57481), .B1(n48232), .Y(
+        n48371) );
+  sky130_fd_sc_hd__a22oi_1 U68262 ( .A1(n50396), .A2(n56467), .B1(n52181), 
+        .B2(n48233), .Y(n48246) );
+  sky130_fd_sc_hd__a21oi_1 U68263 ( .A1(n48236), .A2(n48235), .B1(n48234), .Y(
+        n51846) );
+  sky130_fd_sc_hd__nand2_1 U68264 ( .A(n57139), .B(n52102), .Y(n48237) );
+  sky130_fd_sc_hd__a21oi_1 U68265 ( .A1(n56495), .A2(n48237), .B1(n48281), .Y(
+        n48244) );
+  sky130_fd_sc_hd__nand2_1 U68266 ( .A(n51836), .B(n50239), .Y(n48241) );
+  sky130_fd_sc_hd__a211oi_1 U68267 ( .A1(n57407), .A2(n57004), .B1(n50985), 
+        .C1(n48238), .Y(n48240) );
+  sky130_fd_sc_hd__nor2_1 U68268 ( .A(n57339), .B(n48239), .Y(n52717) );
+  sky130_fd_sc_hd__nand2_1 U68269 ( .A(n41606), .B(n52717), .Y(n57198) );
+  sky130_fd_sc_hd__o211ai_1 U68270 ( .A1(n48242), .A2(n48241), .B1(n48240), 
+        .C1(n57198), .Y(n48243) );
+  sky130_fd_sc_hd__nor3_1 U68271 ( .A(n48244), .B(n52397), .C(n48243), .Y(
+        n48245) );
+  sky130_fd_sc_hd__a31oi_1 U68272 ( .A1(n48246), .A2(n51846), .A3(n48245), 
+        .B1(n57344), .Y(n48369) );
+  sky130_fd_sc_hd__nor2_1 U68273 ( .A(n48247), .B(n57451), .Y(n48256) );
+  sky130_fd_sc_hd__a22o_1 U68274 ( .A1(n57485), .A2(n52234), .B1(n48248), .B2(
+        n50990), .X(n48255) );
+  sky130_fd_sc_hd__a22o_1 U68275 ( .A1(n48250), .A2(n48249), .B1(n52698), .B2(
+        n56456), .X(n48254) );
+  sky130_fd_sc_hd__o22ai_1 U68276 ( .A1(n50378), .A2(n48252), .B1(n57238), 
+        .B2(n48251), .Y(n48253) );
+  sky130_fd_sc_hd__nor4_1 U68277 ( .A(n48256), .B(n48255), .C(n48254), .D(
+        n48253), .Y(n48339) );
+  sky130_fd_sc_hd__nor2_1 U68278 ( .A(n48258), .B(n48257), .Y(n52147) );
+  sky130_fd_sc_hd__nor2_1 U68279 ( .A(n56455), .B(n48259), .Y(n48261) );
+  sky130_fd_sc_hd__o22ai_1 U68280 ( .A1(n52199), .A2(n52126), .B1(n57196), 
+        .B2(n57070), .Y(n48260) );
+  sky130_fd_sc_hd__nor4_1 U68281 ( .A(n52147), .B(n48262), .C(n48261), .D(
+        n48260), .Y(n48265) );
+  sky130_fd_sc_hd__a31oi_1 U68282 ( .A1(n48265), .A2(n48264), .A3(n48263), 
+        .B1(n57409), .Y(n48266) );
+  sky130_fd_sc_hd__a21oi_1 U68283 ( .A1(n48268), .A2(n48267), .B1(n48266), .Y(
+        n48338) );
+  sky130_fd_sc_hd__a21oi_1 U68284 ( .A1(n48269), .A2(n52704), .B1(n51822), .Y(
+        n56450) );
+  sky130_fd_sc_hd__nor2_1 U68285 ( .A(n50331), .B(n48270), .Y(n48277) );
+  sky130_fd_sc_hd__nor3_1 U68286 ( .A(n51517), .B(n48272), .C(n48271), .Y(
+        n57537) );
+  sky130_fd_sc_hd__nand2_1 U68287 ( .A(n37029), .B(n52181), .Y(n48274) );
+  sky130_fd_sc_hd__nor2_1 U68288 ( .A(n36838), .B(n48273), .Y(n52113) );
+  sky130_fd_sc_hd__nand2_1 U68289 ( .A(n52113), .B(n57526), .Y(n50380) );
+  sky130_fd_sc_hd__nand4_1 U68290 ( .A(n57537), .B(n48275), .C(n48274), .D(
+        n50380), .Y(n48276) );
+  sky130_fd_sc_hd__nor4_1 U68291 ( .A(n48278), .B(n56450), .C(n48277), .D(
+        n48276), .Y(n48302) );
+  sky130_fd_sc_hd__a21oi_1 U68292 ( .A1(n52179), .A2(n48280), .B1(n48279), .Y(
+        n48288) );
+  sky130_fd_sc_hd__nor2_1 U68293 ( .A(n56444), .B(n50174), .Y(n48284) );
+  sky130_fd_sc_hd__nor2_1 U68294 ( .A(n50919), .B(n48281), .Y(n51865) );
+  sky130_fd_sc_hd__o22ai_1 U68295 ( .A1(n57450), .A2(n56993), .B1(n51865), 
+        .B2(n57196), .Y(n56572) );
+  sky130_fd_sc_hd__o22ai_1 U68296 ( .A1(n51551), .A2(n57334), .B1(n52402), 
+        .B2(n50470), .Y(n48282) );
+  sky130_fd_sc_hd__nor4_1 U68297 ( .A(n48284), .B(n56572), .C(n48283), .D(
+        n48282), .Y(n48287) );
+  sky130_fd_sc_hd__nand4_1 U68298 ( .A(n48288), .B(n48287), .C(n48286), .D(
+        n48285), .Y(n48300) );
+  sky130_fd_sc_hd__a31oi_1 U68299 ( .A1(n48290), .A2(n52170), .A3(n56542), 
+        .B1(n48289), .Y(n48292) );
+  sky130_fd_sc_hd__nand4_1 U68300 ( .A(n48293), .B(n48292), .C(n48291), .D(
+        n52084), .Y(n48294) );
+  sky130_fd_sc_hd__nor3b_1 U68301 ( .C_N(n57035), .A(n52143), .B(n48294), .Y(
+        n48298) );
+  sky130_fd_sc_hd__nand2_1 U68302 ( .A(n52198), .B(n48295), .Y(n48296) );
+  sky130_fd_sc_hd__o22ai_1 U68303 ( .A1(n48298), .A2(n57325), .B1(n48297), 
+        .B2(n48296), .Y(n48299) );
+  sky130_fd_sc_hd__a21oi_1 U68304 ( .A1(n48329), .A2(n48300), .B1(n48299), .Y(
+        n48301) );
+  sky130_fd_sc_hd__o21ai_1 U68305 ( .A1(n48302), .A2(n57079), .B1(n48301), .Y(
+        n48336) );
+  sky130_fd_sc_hd__nor2_1 U68306 ( .A(n57481), .B(n52258), .Y(n57265) );
+  sky130_fd_sc_hd__nor2_1 U68307 ( .A(n52744), .B(n52408), .Y(n48310) );
+  sky130_fd_sc_hd__nand2_1 U68308 ( .A(n57473), .B(n50378), .Y(n56445) );
+  sky130_fd_sc_hd__o22ai_1 U68309 ( .A1(n52744), .A2(n56445), .B1(n57527), 
+        .B2(n56456), .Y(n48309) );
+  sky130_fd_sc_hd__a22oi_1 U68310 ( .A1(n41780), .A2(n48304), .B1(n48303), 
+        .B2(n56557), .Y(n48305) );
+  sky130_fd_sc_hd__nor2_1 U68311 ( .A(n48305), .B(n50243), .Y(n57090) );
+  sky130_fd_sc_hd__nor2_1 U68312 ( .A(n48306), .B(n57090), .Y(n48307) );
+  sky130_fd_sc_hd__o21ai_0 U68313 ( .A1(n51864), .A2(n56467), .B1(n48307), .Y(
+        n48308) );
+  sky130_fd_sc_hd__nor4_1 U68314 ( .A(n57265), .B(n48310), .C(n48309), .D(
+        n48308), .Y(n48334) );
+  sky130_fd_sc_hd__o211ai_1 U68315 ( .A1(n52100), .A2(n56444), .B1(n48312), 
+        .C1(n48311), .Y(n48313) );
+  sky130_fd_sc_hd__a211oi_1 U68316 ( .A1(n52129), .A2(n56557), .B1(n48314), 
+        .C1(n48313), .Y(n48315) );
+  sky130_fd_sc_hd__nand4b_1 U68317 ( .A_N(n48317), .B(n48316), .C(n48315), .D(
+        n57477), .Y(n48332) );
+  sky130_fd_sc_hd__nor2_1 U68318 ( .A(n51861), .B(n48318), .Y(n48322) );
+  sky130_fd_sc_hd__o21ai_0 U68319 ( .A1(n57458), .A2(n57334), .B1(n51527), .Y(
+        n48319) );
+  sky130_fd_sc_hd__nor4_1 U68320 ( .A(n48322), .B(n48321), .C(n48320), .D(
+        n48319), .Y(n48323) );
+  sky130_fd_sc_hd__o21ai_0 U68321 ( .A1(n52475), .A2(n52164), .B1(n48323), .Y(
+        n48331) );
+  sky130_fd_sc_hd__nor2_1 U68322 ( .A(n52109), .B(n57406), .Y(n50366) );
+  sky130_fd_sc_hd__nor2_1 U68323 ( .A(n57300), .B(n52437), .Y(n52155) );
+  sky130_fd_sc_hd__nor2_1 U68324 ( .A(n52402), .B(n57436), .Y(n57066) );
+  sky130_fd_sc_hd__nand3_1 U68325 ( .A(n41624), .B(n50235), .C(n56408), .Y(
+        n51844) );
+  sky130_fd_sc_hd__nand2_1 U68326 ( .A(n56587), .B(n56440), .Y(n52093) );
+  sky130_fd_sc_hd__o211ai_1 U68327 ( .A1(n50438), .A2(n51844), .B1(n52093), 
+        .C1(n57522), .Y(n48324) );
+  sky130_fd_sc_hd__nor4_1 U68328 ( .A(n52155), .B(n57066), .C(n48325), .D(
+        n48324), .Y(n48328) );
+  sky130_fd_sc_hd__nand4_1 U68329 ( .A(n50366), .B(n48328), .C(n48327), .D(
+        n48326), .Y(n48330) );
+  sky130_fd_sc_hd__a222oi_1 U68330 ( .A1(n48332), .A2(n57184), .B1(n48331), 
+        .B2(n52198), .C1(n48330), .C2(n48329), .Y(n48333) );
+  sky130_fd_sc_hd__o22ai_1 U68331 ( .A1(n48334), .A2(n52747), .B1(n48333), 
+        .B2(n52744), .Y(n48335) );
+  sky130_fd_sc_hd__a21oi_1 U68332 ( .A1(n52744), .A2(n48336), .B1(n48335), .Y(
+        n48337) );
+  sky130_fd_sc_hd__a31oi_1 U68333 ( .A1(n48339), .A2(n48338), .A3(n48337), 
+        .B1(n57517), .Y(n48368) );
+  sky130_fd_sc_hd__nand2_1 U68334 ( .A(n57588), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[6]), .Y(n48342) );
+  sky130_fd_sc_hd__a22oi_1 U68335 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[1]), .A2(n57561), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[29]), 
+        .B2(n57559), .Y(n48341) );
+  sky130_fd_sc_hd__nand2_1 U68336 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[29]), .B(
+        n57560), .Y(n48340) );
+  sky130_fd_sc_hd__nand3_1 U68337 ( .A(n48342), .B(n48341), .C(n48340), .Y(
+        n48357) );
+  sky130_fd_sc_hd__a22oi_1 U68338 ( .A1(n38397), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[30]), 
+        .B1(n38396), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[30]), 
+        .Y(n48355) );
+  sky130_fd_sc_hd__a22oi_1 U68339 ( .A1(n82339), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[30]), 
+        .B1(n82315), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[30]), 
+        .Y(n48354) );
+  sky130_fd_sc_hd__a22oi_1 U68340 ( .A1(n82284), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[30]), 
+        .B1(n82321), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[30]), 
+        .Y(n48346) );
+  sky130_fd_sc_hd__nand2_1 U68341 ( .A(n82290), .B(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[30]), 
+        .Y(n48344) );
+  sky130_fd_sc_hd__nand2_1 U68342 ( .A(n82333), .B(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[30]), 
+        .Y(n48343) );
+  sky130_fd_sc_hd__nand4_1 U68343 ( .A(n48346), .B(n48345), .C(n48344), .D(
+        n48343), .Y(n48352) );
+  sky130_fd_sc_hd__a22oi_1 U68344 ( .A1(n82278), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[30]), 
+        .B1(n82303), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[30]), 
+        .Y(n48350) );
+  sky130_fd_sc_hd__a22oi_1 U68345 ( .A1(n82309), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[30]), 
+        .B1(n82350), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[30]), 
+        .Y(n48349) );
+  sky130_fd_sc_hd__a22oi_1 U68346 ( .A1(n82296), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[30]), 
+        .B1(n82327), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[30]), 
+        .Y(n48348) );
+  sky130_fd_sc_hd__a22oi_1 U68347 ( .A1(n38401), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[30]), 
+        .B1(n82361), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[30]), 
+        .Y(n48347) );
+  sky130_fd_sc_hd__nand4_1 U68348 ( .A(n48350), .B(n48349), .C(n48348), .D(
+        n48347), .Y(n48351) );
+  sky130_fd_sc_hd__a211oi_1 U68349 ( .A1(n57586), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[30]), 
+        .B1(n48352), .C1(n48351), .Y(n48353) );
+  sky130_fd_sc_hd__a31oi_1 U68350 ( .A1(n48355), .A2(n48354), .A3(n48353), 
+        .B1(n43381), .Y(n48356) );
+  sky130_fd_sc_hd__a211oi_1 U68351 ( .A1(n38443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[30]), .B1(n48357), .C1(n48356), .Y(n48358) );
+  sky130_fd_sc_hd__nand2_1 U68352 ( .A(n48359), .B(n48358), .Y(n48367) );
+  sky130_fd_sc_hd__a22oi_1 U68353 ( .A1(n57587), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__3[6]), .B1(n41794), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[30]), .Y(n48365) );
+  sky130_fd_sc_hd__a22oi_1 U68354 ( .A1(n56525), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__7[6]), .B1(n37065), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[62]), .Y(n48364) );
+  sky130_fd_sc_hd__nand2_1 U68355 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[30]), .B(n57558), .Y(n48360) );
+  sky130_fd_sc_hd__o21ai_1 U68356 ( .A1(n77022), .A2(n48361), .B1(n48360), .Y(
+        n48362) );
+  sky130_fd_sc_hd__a21oi_1 U68357 ( .A1(n57571), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[62]), .B1(n48362), .Y(n48363) );
+  sky130_fd_sc_hd__nand3_1 U68358 ( .A(n48365), .B(n48364), .C(n48363), .Y(
+        n48366) );
+  sky130_fd_sc_hd__nor4_1 U68359 ( .A(n48369), .B(n48368), .C(n48367), .D(
+        n48366), .Y(n48370) );
+  sky130_fd_sc_hd__mux2_2 U68361 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[62]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[30]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .X(n85299) );
+  sky130_fd_sc_hd__mux2_2 U68362 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[62]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[30]), .S(n54795), .X(n84742) );
+  sky130_fd_sc_hd__nand2_1 U68363 ( .A(n54218), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_30[0]), .Y(
+        n48372) );
+  sky130_fd_sc_hd__o21ai_1 U68364 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_30[0]), .A2(
+        n54216), .B1(n48372), .Y(n48373) );
+  sky130_fd_sc_hd__o21ai_1 U68365 ( .A1(n48373), .A2(n36791), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_30[1]), .Y(
+        n48383) );
+  sky130_fd_sc_hd__nor2_1 U68366 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_30[1]), .B(
+        n54220), .Y(n48374) );
+  sky130_fd_sc_hd__o21ai_1 U68367 ( .A1(n48374), .A2(n36790), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_30[0]), .Y(
+        n48382) );
+  sky130_fd_sc_hd__nand2_1 U68368 ( .A(n48377), .B(n48376), .Y(n48378) );
+  sky130_fd_sc_hd__xor2_1 U68369 ( .A(n48379), .B(n48378), .X(n48380) );
+  sky130_fd_sc_hd__a22oi_1 U68370 ( .A1(n52494), .A2(n84742), .B1(n48380), 
+        .B2(n54228), .Y(n48381) );
+  sky130_fd_sc_hd__nand3_1 U68371 ( .A(n48383), .B(n48382), .C(n48381), .Y(
+        n84371) );
+  sky130_fd_sc_hd__nand2_1 U68372 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[62]), .Y(n48385) );
+  sky130_fd_sc_hd__nand2_1 U68373 ( .A(n54996), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[30]), .Y(n48384) );
+  sky130_fd_sc_hd__nand2_1 U68374 ( .A(n48385), .B(n48384), .Y(n85867) );
+  sky130_fd_sc_hd__mux2_2 U68375 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[62]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[30]), .S(n55502), .X(n85091) );
+  sky130_fd_sc_hd__nand2_1 U68376 ( .A(n56146), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_30[0]), .Y(
+        n48386) );
+  sky130_fd_sc_hd__o21ai_1 U68377 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_30[0]), .A2(
+        n56152), .B1(n48386), .Y(n48387) );
+  sky130_fd_sc_hd__o21ai_1 U68378 ( .A1(n48387), .A2(n51594), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_30[1]), .Y(
+        n48397) );
+  sky130_fd_sc_hd__nor2_1 U68379 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_30[1]), .B(
+        n56144), .Y(n48388) );
+  sky130_fd_sc_hd__nand2_1 U68381 ( .A(n48391), .B(n48390), .Y(n48392) );
+  sky130_fd_sc_hd__xor2_1 U68382 ( .A(n48393), .B(n48392), .X(n48394) );
+  sky130_fd_sc_hd__a22oi_1 U68383 ( .A1(n55472), .A2(n85091), .B1(n48394), 
+        .B2(n56149), .Y(n48395) );
+  sky130_fd_sc_hd__nand3_1 U68384 ( .A(n48397), .B(n48396), .C(n48395), .Y(
+        n84900) );
+  sky130_fd_sc_hd__o22ai_1 U68385 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_fmt_endian), .A2(n80861), .B1(n51608), .B2(n80868), .Y(n85080) );
+  sky130_fd_sc_hd__nor2b_1 U68386 ( .B_N(n85080), .A(n48398), .Y(n85270) );
+  sky130_fd_sc_hd__a22oi_1 U68387 ( .A1(n56695), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23[28]), .B1(n56929), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[28]), .Y(n48419) );
+  sky130_fd_sc_hd__a22oi_1 U68388 ( .A1(n85270), .A2(n55319), .B1(n56749), 
+        .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[28]), .Y(n48401) );
+  sky130_fd_sc_hd__nand2_1 U68389 ( .A(n56841), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[28]), 
+        .Y(n48400) );
+  sky130_fd_sc_hd__nand2_1 U68390 ( .A(n56842), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[28]), .Y(n48399) );
+  sky130_fd_sc_hd__nand3_1 U68391 ( .A(n48401), .B(n48400), .C(n48399), .Y(
+        n48402) );
+  sky130_fd_sc_hd__a21oi_1 U68392 ( .A1(n36789), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[28]), 
+        .B1(n48402), .Y(n48403) );
+  sky130_fd_sc_hd__o21ai_0 U68393 ( .A1(n67418), .A2(n56349), .B1(n48403), .Y(
+        n48417) );
+  sky130_fd_sc_hd__a22oi_1 U68394 ( .A1(n56116), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[28]), 
+        .B1(n79921), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[28]), 
+        .Y(n48407) );
+  sky130_fd_sc_hd__a22oi_1 U68395 ( .A1(n63517), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[28]), .B1(n60000), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[28]), 
+        .Y(n48406) );
+  sky130_fd_sc_hd__a22oi_1 U68396 ( .A1(n63514), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[28]), .B1(n61081), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[28]), 
+        .Y(n48405) );
+  sky130_fd_sc_hd__a22oi_1 U68397 ( .A1(n63490), .A2(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_28_o_oe), .B1(n61078), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[28]), 
+        .Y(n48404) );
+  sky130_fd_sc_hd__nand4_1 U68398 ( .A(n48407), .B(n48406), .C(n48405), .D(
+        n48404), .Y(n48408) );
+  sky130_fd_sc_hd__a22oi_1 U68399 ( .A1(n56371), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[28]), 
+        .B1(n56122), .B2(n48408), .Y(n48409) );
+  sky130_fd_sc_hd__o21ai_0 U68400 ( .A1(n56787), .A2(n63550), .B1(n48409), .Y(
+        n48416) );
+  sky130_fd_sc_hd__a22o_1 U68401 ( .A1(n56843), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[28]), 
+        .B1(n56919), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[28]), .X(n48410) );
+  sky130_fd_sc_hd__a21oi_1 U68402 ( .A1(n51688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[28]), .B1(n48410), .Y(n48414) );
+  sky130_fd_sc_hd__a22oi_1 U68403 ( .A1(n56802), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[28]), 
+        .B1(n36990), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[28]), 
+        .Y(n48413) );
+  sky130_fd_sc_hd__a22oi_1 U68404 ( .A1(n86736), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[28]), 
+        .B1(n56393), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[28]), 
+        .Y(n48412) );
+  sky130_fd_sc_hd__a22oi_1 U68405 ( .A1(n56372), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[28]), 
+        .B1(n48207), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[28]), 
+        .Y(n48411) );
+  sky130_fd_sc_hd__nand4_1 U68406 ( .A(n48414), .B(n48413), .C(n48412), .D(
+        n48411), .Y(n48415) );
+  sky130_fd_sc_hd__nor3_1 U68407 ( .A(n48417), .B(n48416), .C(n48415), .Y(
+        n48418) );
+  sky130_fd_sc_hd__o211ai_1 U68408 ( .A1(n51742), .A2(n60222), .B1(n48419), 
+        .C1(n48418), .Y(n84428) );
+  sky130_fd_sc_hd__mux2_2 U68409 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[60]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[28]), .S(n55502), .X(n85093) );
+  sky130_fd_sc_hd__nand2_1 U68410 ( .A(n56146), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_28[0]), .Y(
+        n48420) );
+  sky130_fd_sc_hd__o21ai_1 U68412 ( .A1(n48423), .A2(n51594), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_28[1]), .Y(
+        n48431) );
+  sky130_fd_sc_hd__nor2_1 U68413 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_28[1]), .B(
+        n56144), .Y(n48424) );
+  sky130_fd_sc_hd__nand2_1 U68415 ( .A(n37227), .B(n48425), .Y(n48426) );
+  sky130_fd_sc_hd__xor2_1 U68416 ( .A(n48427), .B(n48426), .X(n48428) );
+  sky130_fd_sc_hd__a22oi_1 U68417 ( .A1(n55472), .A2(n85093), .B1(n48428), 
+        .B2(n56149), .Y(n48429) );
+  sky130_fd_sc_hd__nand3_1 U68418 ( .A(n48431), .B(n48430), .C(n48429), .Y(
+        n84898) );
+  sky130_fd_sc_hd__a22oi_1 U68419 ( .A1(n82296), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[7]), 
+        .B1(n82315), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[7]), 
+        .Y(n48435) );
+  sky130_fd_sc_hd__a22oi_1 U68420 ( .A1(n82339), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[7]), 
+        .B1(n82327), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[7]), 
+        .Y(n48434) );
+  sky130_fd_sc_hd__a22oi_1 U68421 ( .A1(n82333), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[7]), 
+        .B1(n82303), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[7]), 
+        .Y(n48433) );
+  sky130_fd_sc_hd__a22oi_1 U68422 ( .A1(n82350), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[7]), 
+        .B1(n82290), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[7]), 
+        .Y(n48432) );
+  sky130_fd_sc_hd__nand4_1 U68423 ( .A(n48435), .B(n48434), .C(n48433), .D(
+        n48432), .Y(n48441) );
+  sky130_fd_sc_hd__a22oi_1 U68424 ( .A1(n82321), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[7]), 
+        .B1(n82361), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[7]), 
+        .Y(n48439) );
+  sky130_fd_sc_hd__a22oi_1 U68425 ( .A1(n38397), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[7]), 
+        .B1(n82278), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[7]), 
+        .Y(n48438) );
+  sky130_fd_sc_hd__a22oi_1 U68426 ( .A1(n82309), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[7]), 
+        .B1(n38396), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[7]), 
+        .Y(n48437) );
+  sky130_fd_sc_hd__a22oi_1 U68427 ( .A1(n82284), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[7]), 
+        .B1(n38401), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[7]), 
+        .Y(n48436) );
+  sky130_fd_sc_hd__nand4_1 U68428 ( .A(n48439), .B(n48438), .C(n48437), .D(
+        n48436), .Y(n48440) );
+  sky130_fd_sc_hd__a211oi_1 U68429 ( .A1(n57586), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[7]), 
+        .B1(n48441), .C1(n48440), .Y(n48444) );
+  sky130_fd_sc_hd__a22oi_1 U68430 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[7]), .A2(n41794), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[7]), .B2(n57558), .Y(n48443) );
+  sky130_fd_sc_hd__a22oi_1 U68431 ( .A1(n57571), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[39]), .B1(n38443), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[7]), .Y(n48442) );
+  sky130_fd_sc_hd__o211ai_1 U68432 ( .A1(n48444), .A2(n43381), .B1(n48443), 
+        .C1(n48442), .Y(n48456) );
+  sky130_fd_sc_hd__a22oi_1 U68433 ( .A1(n57587), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__0[7]), .B1(n37065), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[39]), .Y(n48453) );
+  sky130_fd_sc_hd__nand2_1 U68434 ( .A(n52828), .B(n48445), .Y(n48452) );
+  sky130_fd_sc_hd__a22oi_1 U68435 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[6]), .A2(
+        n57560), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[6]), .B2(
+        n57559), .Y(n48448) );
+  sky130_fd_sc_hd__a22oi_1 U68436 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[10]), .A2(n57562), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[38]), 
+        .B2(n57564), .Y(n48447) );
+  sky130_fd_sc_hd__a22oi_1 U68437 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[38]), 
+        .A2(n57563), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[6]), .B2(n57561), .Y(n48446) );
+  sky130_fd_sc_hd__nand3_1 U68438 ( .A(n48448), .B(n48447), .C(n48446), .Y(
+        n48449) );
+  sky130_fd_sc_hd__a21oi_1 U68439 ( .A1(n57570), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[7]), .B1(n48449), .Y(
+        n48451) );
+  sky130_fd_sc_hd__a22oi_1 U68440 ( .A1(n57588), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[7]), .B1(n56525), .B2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__4[7]), .Y(n48450) );
+  sky130_fd_sc_hd__nand4_1 U68441 ( .A(n48453), .B(n48452), .C(n48451), .D(
+        n48450), .Y(n48455) );
+  sky130_fd_sc_hd__nor2_1 U68442 ( .A(n50948), .B(n48454), .Y(n48476) );
+  sky130_fd_sc_hd__or3_1 U68443 ( .A(n48456), .B(n48455), .C(n48476), .X(
+        n84491) );
+  sky130_fd_sc_hd__mux2_2 U68444 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[39]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[7]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .X(n84557) );
+  sky130_fd_sc_hd__o2bb2ai_1 U68445 ( .B1(n83299), .B2(n48521), .A1_N(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_0[7]), .A2_N(n57602), .Y(n48457) );
+  sky130_fd_sc_hd__a21oi_1 U68446 ( .A1(n57600), .A2(n84557), .B1(n48457), .Y(
+        n48458) );
+  sky130_fd_sc_hd__o21ai_1 U68447 ( .A1(n48459), .A2(n57252), .B1(n48458), .Y(
+        n84535) );
+  sky130_fd_sc_hd__nor2_1 U68448 ( .A(n78433), .B(n56044), .Y(n84389) );
+  sky130_fd_sc_hd__nand2_1 U68449 ( .A(n54312), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[55]), .Y(n48461) );
+  sky130_fd_sc_hd__nand2_1 U68450 ( .A(n54795), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[23]), .Y(n48460) );
+  sky130_fd_sc_hd__nand2_1 U68451 ( .A(n48461), .B(n48460), .Y(n84749) );
+  sky130_fd_sc_hd__nor2_1 U68452 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_23[0]), .B(
+        n54216), .Y(n48462) );
+  sky130_fd_sc_hd__nand2_1 U68454 ( .A(n54218), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_23[1]), .Y(
+        n48463) );
+  sky130_fd_sc_hd__o21ai_1 U68455 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_23[1]), .A2(
+        n54220), .B1(n48463), .Y(n48465) );
+  sky130_fd_sc_hd__o21ai_1 U68456 ( .A1(n48465), .A2(n48464), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_23[0]), .Y(
+        n48474) );
+  sky130_fd_sc_hd__a21oi_1 U68457 ( .A1(n48467), .A2(n38466), .B1(n48466), .Y(
+        n48471) );
+  sky130_fd_sc_hd__nand2_1 U68458 ( .A(n48469), .B(n48468), .Y(n48470) );
+  sky130_fd_sc_hd__xor2_1 U68459 ( .A(n48471), .B(n48470), .X(n48472) );
+  sky130_fd_sc_hd__a22oi_1 U68460 ( .A1(n52494), .A2(n84749), .B1(n54228), 
+        .B2(n48472), .Y(n48473) );
+  sky130_fd_sc_hd__nand3_1 U68461 ( .A(n48475), .B(n48474), .C(n48473), .Y(
+        n84365) );
+  sky130_fd_sc_hd__a21oi_1 U68462 ( .A1(n48478), .A2(n48477), .B1(n48476), .Y(
+        n48517) );
+  sky130_fd_sc_hd__a22oi_1 U68463 ( .A1(n52812), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[23]), 
+        .B1(n56952), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[23]), 
+        .Y(n48482) );
+  sky130_fd_sc_hd__a22oi_1 U68464 ( .A1(n52811), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[23]), 
+        .B1(n52814), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[23]), 
+        .Y(n48481) );
+  sky130_fd_sc_hd__a22oi_1 U68465 ( .A1(n52809), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[23]), 
+        .B1(n52813), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[23]), 
+        .Y(n48480) );
+  sky130_fd_sc_hd__a22oi_1 U68466 ( .A1(n52808), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[23]), 
+        .B1(n52810), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[23]), 
+        .Y(n48479) );
+  sky130_fd_sc_hd__nand4_1 U68467 ( .A(n48482), .B(n48481), .C(n48480), .D(
+        n48479), .Y(n48488) );
+  sky130_fd_sc_hd__a22oi_1 U68468 ( .A1(n52811), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[23]), 
+        .B1(n56952), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[23]), 
+        .Y(n48486) );
+  sky130_fd_sc_hd__a22oi_1 U68469 ( .A1(n52812), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[23]), 
+        .B1(n52814), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[23]), 
+        .Y(n48485) );
+  sky130_fd_sc_hd__a22oi_1 U68470 ( .A1(n52808), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[23]), 
+        .B1(n52810), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[23]), 
+        .Y(n48484) );
+  sky130_fd_sc_hd__a22oi_1 U68471 ( .A1(n52809), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[23]), 
+        .B1(n52813), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[23]), 
+        .Y(n48483) );
+  sky130_fd_sc_hd__nand4_1 U68472 ( .A(n48486), .B(n48485), .C(n48484), .D(
+        n48483), .Y(n48487) );
+  sky130_fd_sc_hd__a222oi_1 U68473 ( .A1(n48489), .A2(n52065), .B1(n48488), 
+        .B2(n52821), .C1(n48487), .C2(n52806), .Y(n48511) );
+  sky130_fd_sc_hd__nand2_1 U68474 ( .A(n57588), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[7]), .Y(n48494) );
+  sky130_fd_sc_hd__nand2_1 U68475 ( .A(n57570), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[7]), .Y(n48493) );
+  sky130_fd_sc_hd__a22oi_1 U68476 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[54]), 
+        .A2(n57564), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[54]), 
+        .B2(n57563), .Y(n48492) );
+  sky130_fd_sc_hd__o22ai_1 U68477 ( .A1(n50909), .A2(n67671), .B1(n67900), 
+        .B2(n43401), .Y(n48490) );
+  sky130_fd_sc_hd__a21oi_1 U68478 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[22]), 
+        .A2(n57560), .B1(n48490), .Y(n48491) );
+  sky130_fd_sc_hd__nand4_1 U68479 ( .A(n48494), .B(n48493), .C(n48492), .D(
+        n48491), .Y(n48495) );
+  sky130_fd_sc_hd__a21oi_1 U68480 ( .A1(n37065), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[55]), .B1(n48495), .Y(n48510) );
+  sky130_fd_sc_hd__nor2_1 U68481 ( .A(n48496), .B(n51903), .Y(n48508) );
+  sky130_fd_sc_hd__nand2_1 U68482 ( .A(n52794), .B(n77486), .Y(n48507) );
+  sky130_fd_sc_hd__nor2_1 U68483 ( .A(n48497), .B(n52787), .Y(n52792) );
+  sky130_fd_sc_hd__a22oi_1 U68484 ( .A1(n52792), .A2(n77488), .B1(n52796), 
+        .B2(n48497), .Y(n48500) );
+  sky130_fd_sc_hd__a22oi_1 U68486 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[22]), 
+        .A2(n57559), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[23]), .B2(n57558), .Y(n48504) );
+  sky130_fd_sc_hd__a22oi_1 U68487 ( .A1(n57587), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__2[7]), .B1(n41794), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[23]), .Y(n48503) );
+  sky130_fd_sc_hd__a22oi_1 U68488 ( .A1(n57571), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[55]), .B1(n56525), .B2(MarmotCaravelChip_dut_sys_clint_oldBytes__6[7]), .Y(n48502)
+         );
+  sky130_fd_sc_hd__nand2_1 U68489 ( .A(n38443), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[23]), .Y(n48501) );
+  sky130_fd_sc_hd__nand4_1 U68490 ( .A(n48504), .B(n48503), .C(n48502), .D(
+        n48501), .Y(n48505) );
+  sky130_fd_sc_hd__a31oi_1 U68491 ( .A1(n48508), .A2(n48507), .A3(n48506), 
+        .B1(n48505), .Y(n48509) );
+  sky130_fd_sc_hd__o211a_2 U68492 ( .A1(n48511), .A2(n52822), .B1(n48510), 
+        .C1(n48509), .X(n48515) );
+  sky130_fd_sc_hd__o21ai_1 U68493 ( .A1(n52251), .A2(n50328), .B1(n48512), .Y(
+        n48513) );
+  sky130_fd_sc_hd__nand2_1 U68494 ( .A(n57361), .B(n48513), .Y(n48514) );
+  sky130_fd_sc_hd__nand4_1 U68495 ( .A(n48517), .B(n48516), .C(n48515), .D(
+        n48514), .Y(n84502) );
+  sky130_fd_sc_hd__mux2_2 U68496 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[55]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[23]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .X(n84566) );
+  sky130_fd_sc_hd__nor2_1 U68497 ( .A(n56658), .B(n51918), .Y(n48518) );
+  sky130_fd_sc_hd__a22o_1 U68498 ( .A1(n57367), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_23[0]), .B1(
+        n48518), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_2[7]), .X(n48519) );
+  sky130_fd_sc_hd__a21oi_1 U68499 ( .A1(n57600), .A2(n84566), .B1(n48519), .Y(
+        n48520) );
+  sky130_fd_sc_hd__a22oi_1 U68501 ( .A1(n50777), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[119]), .B1(n50773), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[247]), .Y(n48525) );
+  sky130_fd_sc_hd__a22oi_1 U68502 ( .A1(n50772), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[407]), .B1(n50763), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[503]), .Y(n48524) );
+  sky130_fd_sc_hd__a22oi_1 U68503 ( .A1(n50767), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[311]), .B1(n50766), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[375]), .Y(n48523) );
+  sky130_fd_sc_hd__a22oi_1 U68504 ( .A1(n50765), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[23]), .B1(n83204), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[279]), .Y(n48522) );
+  sky130_fd_sc_hd__nand4_1 U68505 ( .A(n48525), .B(n48524), .C(n48523), .D(
+        n48522), .Y(n48532) );
+  sky130_fd_sc_hd__a22oi_1 U68506 ( .A1(n50774), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[151]), .B1(n50776), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[471]), .Y(n48529) );
+  sky130_fd_sc_hd__a22oi_1 U68507 ( .A1(n50764), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[439]), .B1(n50779), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[343]), .Y(n48528) );
+  sky130_fd_sc_hd__a22oi_1 U68508 ( .A1(n50775), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[55]), .B1(n50778), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[87]), .Y(n48527) );
+  sky130_fd_sc_hd__a22oi_1 U68509 ( .A1(n50762), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[183]), .B1(n50761), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[215]), .Y(n48526) );
+  sky130_fd_sc_hd__nand4_1 U68510 ( .A(n48529), .B(n48528), .C(n48527), .D(
+        n48526), .Y(n48531) );
+  sky130_fd_sc_hd__a22o_1 U68511 ( .A1(n38371), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[23]), .B1(n37066), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[55]), .X(n48530) );
+  sky130_fd_sc_hd__a221oi_1 U68512 ( .A1(n48532), .A2(n55987), .B1(n48531), 
+        .B2(n55987), .C1(n48530), .Y(n48566) );
+  sky130_fd_sc_hd__a22oi_1 U68513 ( .A1(n50703), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[247]), .B1(n50690), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[151]), .Y(n48536) );
+  sky130_fd_sc_hd__a22oi_1 U68514 ( .A1(n50700), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[375]), .B1(n50687), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[343]), .Y(n48535) );
+  sky130_fd_sc_hd__a22oi_1 U68515 ( .A1(n50686), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[311]), .B1(n50685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[279]), .Y(n48534) );
+  sky130_fd_sc_hd__a22oi_1 U68516 ( .A1(n50704), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[471]), .B1(n50692), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[23]), .Y(n48533) );
+  sky130_fd_sc_hd__nand4_1 U68517 ( .A(n48536), .B(n48535), .C(n48534), .D(
+        n48533), .Y(n48542) );
+  sky130_fd_sc_hd__a22oi_1 U68518 ( .A1(n50689), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[407]), .B1(n50701), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[183]), .Y(n48540) );
+  sky130_fd_sc_hd__a22oi_1 U68519 ( .A1(n50688), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[215]), .B1(n50702), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[55]), .Y(n48539) );
+  sky130_fd_sc_hd__a22oi_1 U68520 ( .A1(n50698), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[119]), .B1(n50697), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[439]), .Y(n48538) );
+  sky130_fd_sc_hd__a22oi_1 U68521 ( .A1(n50699), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[503]), .B1(n50691), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[87]), .Y(n48537) );
+  sky130_fd_sc_hd__nand4_1 U68522 ( .A(n48540), .B(n48539), .C(n48538), .D(
+        n48537), .Y(n48541) );
+  sky130_fd_sc_hd__o21ai_1 U68523 ( .A1(n48542), .A2(n48541), .B1(n54782), .Y(
+        n48565) );
+  sky130_fd_sc_hd__a22oi_1 U68524 ( .A1(n50661), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[503]), .B1(n50713), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[343]), .Y(n48546) );
+  sky130_fd_sc_hd__a22oi_1 U68525 ( .A1(n50725), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[55]), .B1(n50726), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[87]), .Y(n48545) );
+  sky130_fd_sc_hd__a22oi_1 U68526 ( .A1(n50723), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[151]), .B1(n50714), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[311]), .Y(n48544) );
+  sky130_fd_sc_hd__a22oi_1 U68527 ( .A1(n50716), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[23]), .B1(n83122), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[279]), .Y(n48543) );
+  sky130_fd_sc_hd__nand4_1 U68528 ( .A(n48546), .B(n48545), .C(n48544), .D(
+        n48543), .Y(n48552) );
+  sky130_fd_sc_hd__a22oi_1 U68529 ( .A1(n50712), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[183]), .B1(n50724), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[471]), .Y(n48550) );
+  sky130_fd_sc_hd__a22oi_1 U68530 ( .A1(n50711), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[215]), .B1(n50717), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[375]), .Y(n48549) );
+  sky130_fd_sc_hd__a22oi_1 U68531 ( .A1(n50728), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[407]), .B1(n50727), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[247]), .Y(n48548) );
+  sky130_fd_sc_hd__a22oi_1 U68532 ( .A1(n50722), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[119]), .B1(n50715), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[439]), .Y(n48547) );
+  sky130_fd_sc_hd__nand4_1 U68533 ( .A(n48550), .B(n48549), .C(n48548), .D(
+        n48547), .Y(n48551) );
+  sky130_fd_sc_hd__o21ai_1 U68534 ( .A1(n48552), .A2(n48551), .B1(n54781), .Y(
+        n48564) );
+  sky130_fd_sc_hd__a22oi_1 U68535 ( .A1(n50748), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[55]), .B1(n50753), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[183]), .Y(n48556) );
+  sky130_fd_sc_hd__a22oi_1 U68536 ( .A1(n50737), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[119]), .B1(n50740), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[215]), .Y(n48555) );
+  sky130_fd_sc_hd__a22oi_1 U68537 ( .A1(n50751), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[87]), .B1(n50742), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[279]), .Y(n48554) );
+  sky130_fd_sc_hd__a22oi_1 U68538 ( .A1(n50750), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[471]), .B1(n83080), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[23]), .Y(n48553) );
+  sky130_fd_sc_hd__nand4_1 U68539 ( .A(n48556), .B(n48555), .C(n48554), .D(
+        n48553), .Y(n48562) );
+  sky130_fd_sc_hd__a22oi_1 U68540 ( .A1(n50752), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[407]), .B1(n50736), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[343]), .Y(n48560) );
+  sky130_fd_sc_hd__a22oi_1 U68541 ( .A1(n50754), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[375]), .B1(n50749), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[503]), .Y(n48559) );
+  sky130_fd_sc_hd__a22oi_1 U68542 ( .A1(n50741), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[247]), .B1(n50747), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[151]), .Y(n48558) );
+  sky130_fd_sc_hd__a22oi_1 U68543 ( .A1(n50739), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[439]), .B1(n50738), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[311]), .Y(n48557) );
+  sky130_fd_sc_hd__nand4_1 U68544 ( .A(n48560), .B(n48559), .C(n48558), .D(
+        n48557), .Y(n48561) );
+  sky130_fd_sc_hd__o21ai_1 U68545 ( .A1(n48562), .A2(n48561), .B1(n54746), .Y(
+        n48563) );
+  sky130_fd_sc_hd__nand4_1 U68546 ( .A(n48566), .B(n48565), .C(n48564), .D(
+        n48563), .Y(n84308) );
+  sky130_fd_sc_hd__a22oi_1 U68547 ( .A1(n50764), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[431]), .B1(n50766), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[367]), .Y(n48570) );
+  sky130_fd_sc_hd__a22oi_1 U68548 ( .A1(n50779), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[335]), .B1(n50767), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[303]), .Y(n48569) );
+  sky130_fd_sc_hd__a22oi_1 U68549 ( .A1(n50762), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[175]), .B1(n50775), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[47]), .Y(n48568) );
+  sky130_fd_sc_hd__a22oi_1 U68550 ( .A1(n50765), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[15]), .B1(n83204), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[271]), .Y(n48567) );
+  sky130_fd_sc_hd__nand4_1 U68551 ( .A(n48570), .B(n48569), .C(n48568), .D(
+        n48567), .Y(n48577) );
+  sky130_fd_sc_hd__a22oi_1 U68552 ( .A1(n50778), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[79]), .B1(n50776), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[463]), .Y(n48574) );
+  sky130_fd_sc_hd__a22oi_1 U68553 ( .A1(n50777), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[111]), .B1(n50772), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[399]), .Y(n48573) );
+  sky130_fd_sc_hd__a22oi_1 U68554 ( .A1(n50763), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[495]), .B1(n50761), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[207]), .Y(n48572) );
+  sky130_fd_sc_hd__a22oi_1 U68555 ( .A1(n50773), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[239]), .B1(n50774), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[143]), .Y(n48571) );
+  sky130_fd_sc_hd__nand4_1 U68556 ( .A(n48574), .B(n48573), .C(n48572), .D(
+        n48571), .Y(n48576) );
+  sky130_fd_sc_hd__a22o_1 U68557 ( .A1(n38371), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[15]), .B1(n37066), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[47]), .X(n48575) );
+  sky130_fd_sc_hd__a221oi_1 U68558 ( .A1(n48577), .A2(n37033), .B1(n48576), 
+        .B2(n55987), .C1(n48575), .Y(n48611) );
+  sky130_fd_sc_hd__a22oi_1 U68559 ( .A1(n50687), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[335]), .B1(n50701), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[175]), .Y(n48581) );
+  sky130_fd_sc_hd__a22oi_1 U68560 ( .A1(n50700), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[367]), .B1(n50697), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[431]), .Y(n48580) );
+  sky130_fd_sc_hd__a22oi_1 U68561 ( .A1(n50703), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[239]), .B1(n50691), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[79]), .Y(n48579) );
+  sky130_fd_sc_hd__a22oi_1 U68562 ( .A1(n50704), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[463]), .B1(n50699), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[495]), .Y(n48578) );
+  sky130_fd_sc_hd__nand4_1 U68563 ( .A(n48581), .B(n48580), .C(n48579), .D(
+        n48578), .Y(n48587) );
+  sky130_fd_sc_hd__a22oi_1 U68564 ( .A1(n50690), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[143]), .B1(n50685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[271]), .Y(n48585) );
+  sky130_fd_sc_hd__a22oi_1 U68565 ( .A1(n50698), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[111]), .B1(n50686), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[303]), .Y(n48584) );
+  sky130_fd_sc_hd__a22oi_1 U68566 ( .A1(n50688), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[207]), .B1(n50702), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[47]), .Y(n48583) );
+  sky130_fd_sc_hd__a22oi_1 U68567 ( .A1(n50692), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[15]), .B1(n50689), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[399]), .Y(n48582) );
+  sky130_fd_sc_hd__nand4_1 U68568 ( .A(n48585), .B(n48584), .C(n48583), .D(
+        n48582), .Y(n48586) );
+  sky130_fd_sc_hd__o21ai_1 U68569 ( .A1(n48587), .A2(n48586), .B1(n54782), .Y(
+        n48610) );
+  sky130_fd_sc_hd__a22oi_1 U68570 ( .A1(n50715), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[431]), .B1(n50727), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[239]), .Y(n48591) );
+  sky130_fd_sc_hd__a22oi_1 U68571 ( .A1(n50661), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[495]), .B1(n50714), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[303]), .Y(n48590) );
+  sky130_fd_sc_hd__a22oi_1 U68572 ( .A1(n50713), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[335]), .B1(n50725), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[47]), .Y(n48589) );
+  sky130_fd_sc_hd__a22oi_1 U68573 ( .A1(n50716), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[15]), .B1(n83122), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[271]), .Y(n48588) );
+  sky130_fd_sc_hd__nand4_1 U68574 ( .A(n48591), .B(n48590), .C(n48589), .D(
+        n48588), .Y(n48597) );
+  sky130_fd_sc_hd__a22oi_1 U68575 ( .A1(n50711), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[207]), .B1(n50615), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[111]), .Y(n48595) );
+  sky130_fd_sc_hd__a22oi_1 U68576 ( .A1(n50565), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[367]), .B1(n50728), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[399]), .Y(n48594) );
+  sky130_fd_sc_hd__a22oi_1 U68577 ( .A1(n50723), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[143]), .B1(n50712), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[175]), .Y(n48593) );
+  sky130_fd_sc_hd__a22oi_1 U68578 ( .A1(n50726), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[79]), .B1(n50724), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[463]), .Y(n48592) );
+  sky130_fd_sc_hd__nand4_1 U68579 ( .A(n48595), .B(n48594), .C(n48593), .D(
+        n48592), .Y(n48596) );
+  sky130_fd_sc_hd__o21ai_1 U68580 ( .A1(n48597), .A2(n48596), .B1(n54781), .Y(
+        n48609) );
+  sky130_fd_sc_hd__a22oi_1 U68581 ( .A1(n50739), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[431]), .B1(n50750), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[463]), .Y(n48601) );
+  sky130_fd_sc_hd__a22oi_1 U68582 ( .A1(n50741), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[239]), .B1(n50753), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[175]), .Y(n48600) );
+  sky130_fd_sc_hd__a22oi_1 U68583 ( .A1(n50748), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[47]), .B1(n50736), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[335]), .Y(n48599) );
+  sky130_fd_sc_hd__a22oi_1 U68584 ( .A1(n50742), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[271]), .B1(n83080), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[15]), .Y(n48598) );
+  sky130_fd_sc_hd__nand4_1 U68585 ( .A(n48601), .B(n48600), .C(n48599), .D(
+        n48598), .Y(n48607) );
+  sky130_fd_sc_hd__a22oi_1 U68586 ( .A1(n50737), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[111]), .B1(n50738), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[303]), .Y(n48605) );
+  sky130_fd_sc_hd__a22oi_1 U68587 ( .A1(n50747), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[143]), .B1(n50751), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[79]), .Y(n48604) );
+  sky130_fd_sc_hd__a22oi_1 U68588 ( .A1(n50752), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[399]), .B1(n50740), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[207]), .Y(n48603) );
+  sky130_fd_sc_hd__a22oi_1 U68589 ( .A1(n50754), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[367]), .B1(n50749), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[495]), .Y(n48602) );
+  sky130_fd_sc_hd__nand4_1 U68590 ( .A(n48605), .B(n48604), .C(n48603), .D(
+        n48602), .Y(n48606) );
+  sky130_fd_sc_hd__nand4_1 U68592 ( .A(n48611), .B(n48610), .C(n48609), .D(
+        n48608), .Y(n85195) );
+  sky130_fd_sc_hd__a22oi_1 U68593 ( .A1(n50775), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[63]), .B1(n50761), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[223]), .Y(n48615) );
+  sky130_fd_sc_hd__a22oi_1 U68594 ( .A1(n50764), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[447]), .B1(n50778), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[95]), .Y(n48614) );
+  sky130_fd_sc_hd__a22oi_1 U68595 ( .A1(n50773), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[255]), .B1(n83204), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[287]), .Y(n48613) );
+  sky130_fd_sc_hd__a22oi_1 U68596 ( .A1(n50766), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[383]), .B1(n50765), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[31]), .Y(n48612) );
+  sky130_fd_sc_hd__nand4_1 U68597 ( .A(n48615), .B(n48614), .C(n48613), .D(
+        n48612), .Y(n48622) );
+  sky130_fd_sc_hd__a22oi_1 U68598 ( .A1(n50777), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[127]), .B1(n50763), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[511]), .Y(n48619) );
+  sky130_fd_sc_hd__a22oi_1 U68599 ( .A1(n50772), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[415]), .B1(n50774), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[159]), .Y(n48618) );
+  sky130_fd_sc_hd__a22oi_1 U68600 ( .A1(n50762), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[191]), .B1(n50779), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[351]), .Y(n48617) );
+  sky130_fd_sc_hd__a22oi_1 U68601 ( .A1(n50767), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[319]), .B1(n50776), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[479]), .Y(n48616) );
+  sky130_fd_sc_hd__nand4_1 U68602 ( .A(n48619), .B(n48618), .C(n48617), .D(
+        n48616), .Y(n48621) );
+  sky130_fd_sc_hd__a22o_1 U68603 ( .A1(n38371), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[31]), .B1(n37066), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[63]), .X(n48620) );
+  sky130_fd_sc_hd__a221oi_1 U68604 ( .A1(n48622), .A2(n37033), .B1(n48621), 
+        .B2(n37033), .C1(n48620), .Y(n48656) );
+  sky130_fd_sc_hd__a22oi_1 U68605 ( .A1(n50688), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[223]), .B1(n50686), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[319]), .Y(n48626) );
+  sky130_fd_sc_hd__a22oi_1 U68606 ( .A1(n50698), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[127]), .B1(n50699), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[511]), .Y(n48625) );
+  sky130_fd_sc_hd__a22oi_1 U68607 ( .A1(n50704), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[479]), .B1(n50701), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[191]), .Y(n48624) );
+  sky130_fd_sc_hd__a22oi_1 U68608 ( .A1(n50700), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[383]), .B1(n50691), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[95]), .Y(n48623) );
+  sky130_fd_sc_hd__nand4_1 U68609 ( .A(n48626), .B(n48625), .C(n48624), .D(
+        n48623), .Y(n48632) );
+  sky130_fd_sc_hd__a22oi_1 U68610 ( .A1(n50703), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[255]), .B1(n50689), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[415]), .Y(n48630) );
+  sky130_fd_sc_hd__a22oi_1 U68611 ( .A1(n50687), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[351]), .B1(n50685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[287]), .Y(n48629) );
+  sky130_fd_sc_hd__a22oi_1 U68612 ( .A1(n50702), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[63]), .B1(n50697), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[447]), .Y(n48628) );
+  sky130_fd_sc_hd__a22oi_1 U68613 ( .A1(n50692), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[31]), .B1(n50690), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[159]), .Y(n48627) );
+  sky130_fd_sc_hd__nand4_1 U68614 ( .A(n48630), .B(n48629), .C(n48628), .D(
+        n48627), .Y(n48631) );
+  sky130_fd_sc_hd__o21ai_1 U68615 ( .A1(n48632), .A2(n48631), .B1(n54782), .Y(
+        n48655) );
+  sky130_fd_sc_hd__a22oi_1 U68616 ( .A1(n50712), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[191]), .B1(n50727), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[255]), .Y(n48636) );
+  sky130_fd_sc_hd__a22oi_1 U68617 ( .A1(n50661), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[511]), .B1(n50726), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[95]), .Y(n48635) );
+  sky130_fd_sc_hd__a22oi_1 U68618 ( .A1(n50713), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[351]), .B1(n50725), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[63]), .Y(n48634) );
+  sky130_fd_sc_hd__a22oi_1 U68619 ( .A1(n50716), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[31]), .B1(n83122), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[287]), .Y(n48633) );
+  sky130_fd_sc_hd__nand4_1 U68620 ( .A(n48636), .B(n48635), .C(n48634), .D(
+        n48633), .Y(n48642) );
+  sky130_fd_sc_hd__a22oi_1 U68621 ( .A1(n50723), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[159]), .B1(n50711), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[223]), .Y(n48640) );
+  sky130_fd_sc_hd__a22oi_1 U68622 ( .A1(n50714), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[319]), .B1(n50615), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[127]), .Y(n48639) );
+  sky130_fd_sc_hd__a22oi_1 U68623 ( .A1(n50728), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[415]), .B1(n50724), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[479]), .Y(n48638) );
+  sky130_fd_sc_hd__a22oi_1 U68624 ( .A1(n50565), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[383]), .B1(n50715), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[447]), .Y(n48637) );
+  sky130_fd_sc_hd__nand4_1 U68625 ( .A(n48640), .B(n48639), .C(n48638), .D(
+        n48637), .Y(n48641) );
+  sky130_fd_sc_hd__o21ai_1 U68626 ( .A1(n48642), .A2(n48641), .B1(n54781), .Y(
+        n48654) );
+  sky130_fd_sc_hd__a22oi_1 U68627 ( .A1(n50751), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[95]), .B1(n50740), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[223]), .Y(n48646) );
+  sky130_fd_sc_hd__a22oi_1 U68628 ( .A1(n50747), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[159]), .B1(n50753), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[191]), .Y(n48645) );
+  sky130_fd_sc_hd__a22oi_1 U68629 ( .A1(n50737), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[127]), .B1(n83080), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[31]), .Y(n48644) );
+  sky130_fd_sc_hd__a22oi_1 U68630 ( .A1(n50754), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[383]), .B1(n50742), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[287]), .Y(n48643) );
+  sky130_fd_sc_hd__nand4_1 U68631 ( .A(n48646), .B(n48645), .C(n48644), .D(
+        n48643), .Y(n48652) );
+  sky130_fd_sc_hd__a22oi_1 U68632 ( .A1(n50741), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[255]), .B1(n50738), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[319]), .Y(n48650) );
+  sky130_fd_sc_hd__a22oi_1 U68633 ( .A1(n50739), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[447]), .B1(n50750), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[479]), .Y(n48649) );
+  sky130_fd_sc_hd__a22oi_1 U68634 ( .A1(n50748), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[63]), .B1(n50752), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[415]), .Y(n48648) );
+  sky130_fd_sc_hd__a22oi_1 U68635 ( .A1(n50736), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[351]), .B1(n50749), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[511]), .Y(n48647) );
+  sky130_fd_sc_hd__nand4_1 U68636 ( .A(n48650), .B(n48649), .C(n48648), .D(
+        n48647), .Y(n48651) );
+  sky130_fd_sc_hd__o21ai_1 U68637 ( .A1(n48652), .A2(n48651), .B1(n54746), .Y(
+        n48653) );
+  sky130_fd_sc_hd__nand4_1 U68638 ( .A(n48656), .B(n48655), .C(n48654), .D(
+        n48653), .Y(n85194) );
+  sky130_fd_sc_hd__a222oi_1 U68639 ( .A1(n84308), .A2(n50684), .B1(n85195), 
+        .B2(n50683), .C1(n85194), .C2(n50682), .Y(n48706) );
+  sky130_fd_sc_hd__a222oi_1 U68640 ( .A1(n50684), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[23]), .B1(n50683), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[15]), .C1(n50682), .C2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[31]), .Y(n48705) );
+  sky130_fd_sc_hd__a22oi_1 U68641 ( .A1(n50702), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[39]), .B1(n50685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[263]), .Y(n48660) );
+  sky130_fd_sc_hd__a22oi_1 U68642 ( .A1(n50700), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[359]), .B1(n50691), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[71]), .Y(n48659) );
+  sky130_fd_sc_hd__a22oi_1 U68643 ( .A1(n50703), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[231]), .B1(n50688), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[199]), .Y(n48658) );
+  sky130_fd_sc_hd__a22oi_1 U68644 ( .A1(n50692), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[7]), .B1(n50690), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[135]), .Y(n48657) );
+  sky130_fd_sc_hd__nand4_1 U68645 ( .A(n48660), .B(n48659), .C(n48658), .D(
+        n48657), .Y(n48666) );
+  sky130_fd_sc_hd__a22oi_1 U68646 ( .A1(n50689), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[391]), .B1(n50699), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[487]), .Y(n48664) );
+  sky130_fd_sc_hd__a22oi_1 U68647 ( .A1(n50698), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[103]), .B1(n50687), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[327]), .Y(n48663) );
+  sky130_fd_sc_hd__a22oi_1 U68648 ( .A1(n50686), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[295]), .B1(n50701), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[167]), .Y(n48662) );
+  sky130_fd_sc_hd__a22oi_1 U68649 ( .A1(n50704), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[455]), .B1(n50697), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[423]), .Y(n48661) );
+  sky130_fd_sc_hd__nand4_1 U68650 ( .A(n48664), .B(n48663), .C(n48662), .D(
+        n48661), .Y(n48665) );
+  sky130_fd_sc_hd__o21a_1 U68651 ( .A1(n48666), .A2(n48665), .B1(n54782), .X(
+        n48703) );
+  sky130_fd_sc_hd__a22oi_1 U68652 ( .A1(n38371), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[7]), .B1(n37066), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[39]), .Y(n48701) );
+  sky130_fd_sc_hd__a22oi_1 U68653 ( .A1(n50715), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[423]), .B1(n50725), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[39]), .Y(n48670) );
+  sky130_fd_sc_hd__a22oi_1 U68654 ( .A1(n50712), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[167]), .B1(n50728), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[391]), .Y(n48669) );
+  sky130_fd_sc_hd__a22oi_1 U68655 ( .A1(n50726), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[71]), .B1(n50724), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[455]), .Y(n48668) );
+  sky130_fd_sc_hd__a22oi_1 U68656 ( .A1(n50716), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[7]), .B1(n83122), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[263]), .Y(n48667) );
+  sky130_fd_sc_hd__nand4_1 U68657 ( .A(n48670), .B(n48669), .C(n48668), .D(
+        n48667), .Y(n48677) );
+  sky130_fd_sc_hd__a22oi_1 U68658 ( .A1(n50714), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[295]), .B1(n50615), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[103]), .Y(n48675) );
+  sky130_fd_sc_hd__a22oi_1 U68659 ( .A1(n50713), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[327]), .B1(n48671), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[231]), .Y(n48674) );
+  sky130_fd_sc_hd__a22oi_1 U68660 ( .A1(n50661), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[487]), .B1(n50711), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[199]), .Y(n48673) );
+  sky130_fd_sc_hd__a22oi_1 U68661 ( .A1(n50723), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[135]), .B1(n50717), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[359]), .Y(n48672) );
+  sky130_fd_sc_hd__nand4_1 U68662 ( .A(n48675), .B(n48674), .C(n48673), .D(
+        n48672), .Y(n48676) );
+  sky130_fd_sc_hd__o21ai_1 U68663 ( .A1(n48677), .A2(n48676), .B1(n54781), .Y(
+        n48700) );
+  sky130_fd_sc_hd__a22oi_1 U68664 ( .A1(n50747), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[135]), .B1(n50751), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[71]), .Y(n48681) );
+  sky130_fd_sc_hd__a22oi_1 U68665 ( .A1(n50754), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[359]), .B1(n50740), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[199]), .Y(n48680) );
+  sky130_fd_sc_hd__a22oi_1 U68666 ( .A1(n50741), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[231]), .B1(n50742), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[263]), .Y(n48679) );
+  sky130_fd_sc_hd__a22oi_1 U68667 ( .A1(n50738), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[295]), .B1(n83080), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[7]), .Y(n48678) );
+  sky130_fd_sc_hd__nand4_1 U68668 ( .A(n48681), .B(n48680), .C(n48679), .D(
+        n48678), .Y(n48687) );
+  sky130_fd_sc_hd__a22oi_1 U68669 ( .A1(n50736), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[327]), .B1(n50750), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[455]), .Y(n48685) );
+  sky130_fd_sc_hd__a22oi_1 U68670 ( .A1(n50748), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[39]), .B1(n50749), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[487]), .Y(n48684) );
+  sky130_fd_sc_hd__a22oi_1 U68671 ( .A1(n50737), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[103]), .B1(n50753), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[167]), .Y(n48683) );
+  sky130_fd_sc_hd__a22oi_1 U68672 ( .A1(n50739), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[423]), .B1(n50752), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[391]), .Y(n48682) );
+  sky130_fd_sc_hd__nand4_1 U68673 ( .A(n48685), .B(n48684), .C(n48683), .D(
+        n48682), .Y(n48686) );
+  sky130_fd_sc_hd__o21ai_1 U68674 ( .A1(n48687), .A2(n48686), .B1(n55985), .Y(
+        n48699) );
+  sky130_fd_sc_hd__a22oi_1 U68675 ( .A1(n50779), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[327]), .B1(n50766), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[359]), .Y(n48691) );
+  sky130_fd_sc_hd__a22oi_1 U68676 ( .A1(n50764), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[423]), .B1(n50775), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[39]), .Y(n48690) );
+  sky130_fd_sc_hd__a22oi_1 U68677 ( .A1(n50777), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[103]), .B1(n83204), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[263]), .Y(n48689) );
+  sky130_fd_sc_hd__a22oi_1 U68678 ( .A1(n50763), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[487]), .B1(n50765), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[7]), .Y(n48688) );
+  sky130_fd_sc_hd__nand4_1 U68679 ( .A(n48691), .B(n48690), .C(n48689), .D(
+        n48688), .Y(n48697) );
+  sky130_fd_sc_hd__a22oi_1 U68680 ( .A1(n50767), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[295]), .B1(n50778), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[71]), .Y(n48695) );
+  sky130_fd_sc_hd__a22oi_1 U68681 ( .A1(n50774), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[135]), .B1(n50761), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[199]), .Y(n48694) );
+  sky130_fd_sc_hd__a22oi_1 U68682 ( .A1(n50762), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[167]), .B1(n50772), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[391]), .Y(n48693) );
+  sky130_fd_sc_hd__a22oi_1 U68683 ( .A1(n50773), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[231]), .B1(n50776), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[455]), .Y(n48692) );
+  sky130_fd_sc_hd__nand4_1 U68684 ( .A(n48695), .B(n48694), .C(n48693), .D(
+        n48692), .Y(n48696) );
+  sky130_fd_sc_hd__nand4_1 U68686 ( .A(n48701), .B(n48700), .C(n48699), .D(
+        n48698), .Y(n48702) );
+  sky130_fd_sc_hd__o22ai_1 U68688 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_fmt_endian), .A2(n80874), .B1(n51608), .B2(n80850), .Y(n85077) );
+  sky130_fd_sc_hd__nand2_1 U68689 ( .A(n85077), .B(n51609), .Y(n50032) );
+  sky130_fd_sc_hd__nor2_1 U68690 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_io_deq_bits_MPORT_addr[0]), .B(n49158), .Y(n56940) );
+  sky130_fd_sc_hd__nor2_1 U68691 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_io_deq_bits_MPORT_addr[0]), .Y(n81043) );
+  sky130_fd_sc_hd__a22oi_1 U68692 ( .A1(n56940), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[15]), 
+        .B1(n81043), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[31]), 
+        .Y(n48735) );
+  sky130_fd_sc_hd__nand2_1 U68693 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_io_deq_bits_MPORT_addr[0]), .Y(n81044) );
+  sky130_fd_sc_hd__nor2_1 U68694 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_io_deq_bits_MPORT_addr[1]), .B(n50000), .Y(n56941) );
+  sky130_fd_sc_hd__a22oi_1 U68695 ( .A1(n81046), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[7]), 
+        .B1(n56941), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[23]), 
+        .Y(n48734) );
+  sky130_fd_sc_hd__nor2_1 U68696 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_address[5]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_address[6]), .Y(n48710) );
+  sky130_fd_sc_hd__nor2_1 U68697 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_address[7]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_address[8]), .Y(n48709) );
+  sky130_fd_sc_hd__nor2_1 U68698 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_address[9]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_address[10]), .Y(n48708) );
+  sky130_fd_sc_hd__nand4b_1 U68699 ( .A_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_address[11]), .B(n48710), .C(n48709), .D(n48708), .Y(n48711) );
+  sky130_fd_sc_hd__mux2i_1 U68700 ( .A0(n48875), .A1(n48711), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_io_full), .Y(n48714) );
+  sky130_fd_sc_hd__nand3_1 U68701 ( .A(n83760), .B(n48712), .C(n48722), .Y(
+        n48713) );
+  sky130_fd_sc_hd__nand2_1 U68702 ( .A(n48714), .B(n48713), .Y(n61067) );
+  sky130_fd_sc_hd__nand2_1 U68703 ( .A(n47981), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_4), .Y(n48719)
+         );
+  sky130_fd_sc_hd__nand2_1 U68704 ( .A(n48722), .B(n48721), .Y(n48724) );
+  sky130_fd_sc_hd__mux2i_1 U68705 ( .A0(n85057), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_address[3]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_io_full), .Y(n48723) );
+  sky130_fd_sc_hd__nand2_1 U68706 ( .A(n48724), .B(n48723), .Y(n49388) );
+  sky130_fd_sc_hd__nand2_1 U68707 ( .A(n48727), .B(n48726), .Y(n48729) );
+  sky130_fd_sc_hd__mux2i_1 U68708 ( .A0(n85053), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_address[4]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_io_full), .Y(n48728) );
+  sky130_fd_sc_hd__nand2_1 U68709 ( .A(n48729), .B(n48728), .Y(n62024) );
+  sky130_fd_sc_hd__nor2_1 U68710 ( .A(n49388), .B(n62024), .Y(n49159) );
+  sky130_fd_sc_hd__mux2i_1 U68711 ( .A0(n85061), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_address[2]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_io_full), .Y(n48730) );
+  sky130_fd_sc_hd__nand2_1 U68713 ( .A(n49159), .B(n49389), .Y(n61068) );
+  sky130_fd_sc_hd__nand2_1 U68714 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_io_deq_bits_MPORT_addr[2]), .B(n49849), .Y(n56942) );
+  sky130_fd_sc_hd__a21oi_1 U68715 ( .A1(n48735), .A2(n48734), .B1(n56942), .Y(
+        n49126) );
+  sky130_fd_sc_hd__mux2i_1 U68716 ( .A0(n85061), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_address[2]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_io_full), .Y(n48736) );
+  sky130_fd_sc_hd__o21a_1 U68717 ( .A1(n48738), .A2(n48737), .B1(n48736), .X(
+        n49450) );
+  sky130_fd_sc_hd__nand2_1 U68718 ( .A(n48741), .B(n48740), .Y(n48743) );
+  sky130_fd_sc_hd__mux2i_1 U68719 ( .A0(n85057), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_address[3]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_io_full), .Y(n48742) );
+  sky130_fd_sc_hd__nand2_1 U68720 ( .A(n48743), .B(n48742), .Y(n49451) );
+  sky130_fd_sc_hd__mux2_2 U68721 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_address[4]), .A1(n85053), .S(n62073), .X(n48745) );
+  sky130_fd_sc_hd__a21oi_1 U68722 ( .A1(n48747), .A2(n48746), .B1(n48745), .Y(
+        n62071) );
+  sky130_fd_sc_hd__nand2_1 U68723 ( .A(n62075), .B(n61045), .Y(n48761) );
+  sky130_fd_sc_hd__nor2_2 U68724 ( .A(n48750), .B(n48749), .Y(n48802) );
+  sky130_fd_sc_hd__nand2_2 U68725 ( .A(n48802), .B(n48751), .Y(n48866) );
+  sky130_fd_sc_hd__nor2_1 U68726 ( .A(n60251), .B(n37696), .Y(n48777) );
+  sky130_fd_sc_hd__nand2_1 U68727 ( .A(n48803), .B(n48777), .Y(n48867) );
+  sky130_fd_sc_hd__nor2_2 U68728 ( .A(n48775), .B(n49222), .Y(n63298) );
+  sky130_fd_sc_hd__nand2_1 U68729 ( .A(n63298), .B(n49219), .Y(n48754) );
+  sky130_fd_sc_hd__nand2_1 U68730 ( .A(n47981), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_2), .Y(n48753)
+         );
+  sky130_fd_sc_hd__nor2_1 U68731 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_address[5]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_address[6]), .Y(n48757) );
+  sky130_fd_sc_hd__nor2_1 U68732 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_address[7]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_address[8]), .Y(n48756) );
+  sky130_fd_sc_hd__nor2_1 U68733 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_address[9]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_address[10]), .Y(n48755) );
+  sky130_fd_sc_hd__nand4b_1 U68734 ( .A_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_address[11]), .B(n48757), .C(n48756), .D(n48755), .Y(n48758) );
+  sky130_fd_sc_hd__mux2i_1 U68735 ( .A0(n48875), .A1(n48758), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_io_full), .Y(n48759) );
+  sky130_fd_sc_hd__nand2_1 U68736 ( .A(n55543), .B(n49224), .Y(n49588) );
+  sky130_fd_sc_hd__mux2i_1 U68737 ( .A0(n85061), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_address[2]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_io_full), .Y(n48763) );
+  sky130_fd_sc_hd__o21a_1 U68738 ( .A1(n48765), .A2(n48764), .B1(n48763), .X(
+        n56643) );
+  sky130_fd_sc_hd__nand2_1 U68739 ( .A(n48768), .B(n48767), .Y(n48770) );
+  sky130_fd_sc_hd__mux2i_1 U68740 ( .A0(n85053), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_address[4]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_io_full), .Y(n48769) );
+  sky130_fd_sc_hd__nand2_1 U68741 ( .A(n48770), .B(n48769), .Y(n49589) );
+  sky130_fd_sc_hd__nand2_1 U68742 ( .A(n56643), .B(n49589), .Y(n49473) );
+  sky130_fd_sc_hd__mux2_2 U68743 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_address[3]), .A1(n85057), .S(n63512), .X(n48772) );
+  sky130_fd_sc_hd__a21oi_1 U68744 ( .A1(n48774), .A2(n48773), .B1(n48772), .Y(
+        n62077) );
+  sky130_fd_sc_hd__nand2_1 U68745 ( .A(n62080), .B(n49474), .Y(n48788) );
+  sky130_fd_sc_hd__nand4b_1 U68746 ( .A_N(n48778), .B(n48777), .C(n48776), .D(
+        n60263), .Y(n53519) );
+  sky130_fd_sc_hd__o31a_1 U68747 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[15]), .A2(n48779), .A3(n48866), .B1(n53519), .X(n55240) );
+  sky130_fd_sc_hd__nand2_1 U68748 ( .A(n63310), .B(n49219), .Y(n48781) );
+  sky130_fd_sc_hd__nand2_1 U68749 ( .A(n47981), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_0), .Y(n48780)
+         );
+  sky130_fd_sc_hd__nor2_1 U68750 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_address[5]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_address[6]), .Y(n48784) );
+  sky130_fd_sc_hd__nor2_1 U68751 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_address[7]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_address[8]), .Y(n48783) );
+  sky130_fd_sc_hd__nor2_1 U68752 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_address[9]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_address[10]), .Y(n48782) );
+  sky130_fd_sc_hd__nand4b_1 U68753 ( .A_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_address[11]), .B(n48784), .C(n48783), .D(n48782), .Y(n48785) );
+  sky130_fd_sc_hd__mux2i_1 U68754 ( .A0(n48875), .A1(n48785), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_io_full), .Y(n48786) );
+  sky130_fd_sc_hd__o21a_1 U68755 ( .A1(n55245), .A2(n48787), .B1(n48786), .X(
+        n56645) );
+  sky130_fd_sc_hd__nand2_2 U68756 ( .A(n55542), .B(n56645), .Y(n49602) );
+  sky130_fd_sc_hd__a22oi_1 U68757 ( .A1(n48762), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[7]), .B1(n48789), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[7]), .Y(n48838) );
+  sky130_fd_sc_hd__mux2i_1 U68758 ( .A0(n85061), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_address[2]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_io_full), .Y(n48790) );
+  sky130_fd_sc_hd__mux2i_1 U68759 ( .A0(n85053), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_address[4]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_io_full), .Y(n48793) );
+  sky130_fd_sc_hd__o21a_1 U68760 ( .A1(n48795), .A2(n48794), .B1(n48793), .X(
+        n60308) );
+  sky130_fd_sc_hd__nand2_1 U68761 ( .A(n48798), .B(n48797), .Y(n48800) );
+  sky130_fd_sc_hd__mux2i_1 U68762 ( .A0(n85057), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_address[3]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_io_full), .Y(n48799) );
+  sky130_fd_sc_hd__nand2_1 U68763 ( .A(n48800), .B(n48799), .Y(n60306) );
+  sky130_fd_sc_hd__nand3_1 U68764 ( .A(n60976), .B(n49412), .C(n60306), .Y(
+        n48817) );
+  sky130_fd_sc_hd__nand2_1 U68765 ( .A(n48802), .B(n48801), .Y(n48805) );
+  sky130_fd_sc_hd__nand2_1 U68766 ( .A(n48803), .B(n37698), .Y(n48804) );
+  sky130_fd_sc_hd__nand2_1 U68767 ( .A(n48805), .B(n48804), .Y(n53510) );
+  sky130_fd_sc_hd__nand2_1 U68768 ( .A(n53510), .B(n37696), .Y(n63290) );
+  sky130_fd_sc_hd__nand2b_1 U68769 ( .A_N(n63290), .B(n49219), .Y(n48807) );
+  sky130_fd_sc_hd__nand2_1 U68770 ( .A(n47981), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_3), .Y(n48806)
+         );
+  sky130_fd_sc_hd__nor3_1 U68771 ( .A(n48810), .B(n48809), .C(n48808), .Y(
+        n48816) );
+  sky130_fd_sc_hd__nor2_1 U68772 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_address[5]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_address[6]), .Y(n48813) );
+  sky130_fd_sc_hd__nor2_1 U68773 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_address[7]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_address[8]), .Y(n48812) );
+  sky130_fd_sc_hd__nor2_1 U68774 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_address[9]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_address[10]), .Y(n48811) );
+  sky130_fd_sc_hd__nand4b_1 U68775 ( .A_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_address[11]), .B(n48813), .C(n48812), .D(n48811), .Y(n48814) );
+  sky130_fd_sc_hd__mux2_2 U68776 ( .A0(n48814), .A1(n48875), .S(n73789), .X(
+        n48815) );
+  sky130_fd_sc_hd__nor2_1 U68777 ( .A(n48816), .B(n48815), .Y(n60980) );
+  sky130_fd_sc_hd__nand2b_1 U68778 ( .A_N(n49423), .B(n49424), .Y(n49440) );
+  sky130_fd_sc_hd__nor2_1 U68779 ( .A(n48826), .B(n49440), .Y(n49429) );
+  sky130_fd_sc_hd__nand2_1 U68780 ( .A(n49438), .B(n49437), .Y(n49441) );
+  sky130_fd_sc_hd__nand2_1 U68781 ( .A(n49429), .B(n48819), .Y(n49422) );
+  sky130_fd_sc_hd__nand2_1 U68782 ( .A(n56755), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T_16[7]), .Y(n48822) );
+  sky130_fd_sc_hd__a21oi_1 U68783 ( .A1(n50029), .A2(n63343), .B1(n83678), .Y(
+        n50031) );
+  sky130_fd_sc_hd__o21ai_1 U68784 ( .A1(n50082), .A2(n50031), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_written_once), .Y(n60151) );
+  sky130_fd_sc_hd__nor2_1 U68785 ( .A(n60151), .B(n56132), .Y(n56748) );
+  sky130_fd_sc_hd__a22oi_1 U68786 ( .A1(n56748), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_0[7]), .B1(n56747), .B2(n85012), .Y(n48821) );
+  sky130_fd_sc_hd__nand2_1 U68787 ( .A(n56749), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[7]), .Y(n48820) );
+  sky130_fd_sc_hd__nand3_1 U68788 ( .A(n48822), .B(n48821), .C(n48820), .Y(
+        n48823) );
+  sky130_fd_sc_hd__a21oi_1 U68789 ( .A1(n48818), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[7]), .B1(n48823), .Y(n48837) );
+  sky130_fd_sc_hd__nand2_1 U68790 ( .A(n49423), .B(n48824), .Y(n49414) );
+  sky130_fd_sc_hd__nand2b_1 U68791 ( .A_N(n49414), .B(n49442), .Y(n53496) );
+  sky130_fd_sc_hd__nand2_1 U68792 ( .A(n53496), .B(n49440), .Y(n49853) );
+  sky130_fd_sc_hd__nor2_1 U68793 ( .A(n49438), .B(n49428), .Y(n60014) );
+  sky130_fd_sc_hd__nand2b_1 U68794 ( .A_N(n49853), .B(n60014), .Y(n48825) );
+  sky130_fd_sc_hd__nand2_1 U68795 ( .A(n49417), .B(n49437), .Y(n53495) );
+  sky130_fd_sc_hd__nand2_1 U68796 ( .A(n48829), .B(n48826), .Y(n48827) );
+  sky130_fd_sc_hd__nor2_1 U68797 ( .A(n49440), .B(n48827), .Y(n63158) );
+  sky130_fd_sc_hd__a22oi_1 U68798 ( .A1(n56746), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[7]), .B1(n56760), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[7]), .Y(n48836) );
+  sky130_fd_sc_hd__nand2_1 U68799 ( .A(n49429), .B(n48829), .Y(n49434) );
+  sky130_fd_sc_hd__nand2_1 U68800 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[0]), .Y(n80991) );
+  sky130_fd_sc_hd__nor2_1 U68801 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[0]), .Y(n80990) );
+  sky130_fd_sc_hd__a22oi_1 U68802 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[7]), .A2(n80993), .B1(n80990), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[31]), .Y(n48833) );
+  sky130_fd_sc_hd__nor2_1 U68803 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[0]), .B(n49826), .Y(n56834) );
+  sky130_fd_sc_hd__nand2_1 U68804 ( .A(n56834), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[15]), .Y(n48832) );
+  sky130_fd_sc_hd__nor2_1 U68805 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[1]), .B(n49185), .Y(n56835) );
+  sky130_fd_sc_hd__nand2_1 U68806 ( .A(n56835), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[23]), .Y(n48831) );
+  sky130_fd_sc_hd__nor2_1 U68807 ( .A(n53496), .B(n49441), .Y(n60936) );
+  sky130_fd_sc_hd__nand2_1 U68808 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[2]), .B(n60936), .Y(n51302) );
+  sky130_fd_sc_hd__a31oi_1 U68809 ( .A1(n48833), .A2(n48832), .A3(n48831), 
+        .B1(n56756), .Y(n48834) );
+  sky130_fd_sc_hd__a21oi_1 U68810 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T[7]), .A2(n56754), .B1(n48834), .Y(n48835) );
+  sky130_fd_sc_hd__nand4_1 U68811 ( .A(n48838), .B(n48837), .C(n48836), .D(
+        n48835), .Y(n48886) );
+  sky130_fd_sc_hd__nor2_1 U68812 ( .A(n36830), .B(n48866), .Y(n48888) );
+  sky130_fd_sc_hd__nor2_1 U68813 ( .A(n60265), .B(n55311), .Y(n63316) );
+  sky130_fd_sc_hd__nand2_1 U68814 ( .A(n63316), .B(n49219), .Y(n78311) );
+  sky130_fd_sc_hd__nand2_1 U68815 ( .A(n47981), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_14), .Y(n48842) );
+  sky130_fd_sc_hd__nand2_1 U68816 ( .A(n78311), .B(n48842), .Y(n55007) );
+  sky130_fd_sc_hd__inv_1 U68817 ( .A(n48843), .Y(n48844) );
+  sky130_fd_sc_hd__nor2_1 U68818 ( .A(n62087), .B(n49069), .Y(n56770) );
+  sky130_fd_sc_hd__nand2_1 U68819 ( .A(n48846), .B(n49067), .Y(n60068) );
+  sky130_fd_sc_hd__a22o_1 U68820 ( .A1(n56770), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_out_f_data[7]), 
+        .B1(n56769), .B2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[7]), .X(n48885) );
+  sky130_fd_sc_hd__nor2_1 U68821 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_io_deq_bits_MPORT_addr[0]), .Y(n81193) );
+  sky130_fd_sc_hd__nor2_1 U68822 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_io_deq_bits_MPORT_addr[1]), .B(n49150), .Y(n56857) );
+  sky130_fd_sc_hd__a22oi_1 U68823 ( .A1(n81193), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[31]), 
+        .B1(n56857), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[23]), 
+        .Y(n48848) );
+  sky130_fd_sc_hd__nor2_1 U68824 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_io_deq_bits_MPORT_addr[0]), .B(n49661), .Y(n56858) );
+  sky130_fd_sc_hd__nand2_1 U68825 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_io_deq_bits_MPORT_addr[0]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_io_deq_bits_MPORT_addr[1]), .Y(n63496) );
+  sky130_fd_sc_hd__a22oi_1 U68826 ( .A1(n56858), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[15]), 
+        .B1(n81194), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[7]), 
+        .Y(n48847) );
+  sky130_fd_sc_hd__nor2_1 U68827 ( .A(n49451), .B(n49450), .Y(n61049) );
+  sky130_fd_sc_hd__nor2_1 U68828 ( .A(n61045), .B(n49525), .Y(n56869) );
+  sky130_fd_sc_hd__nand2_1 U68829 ( .A(n56869), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_io_deq_bits_MPORT_addr[2]), .Y(n52642) );
+  sky130_fd_sc_hd__a21oi_1 U68830 ( .A1(n48848), .A2(n48847), .B1(n52642), .Y(
+        n48884) );
+  sky130_fd_sc_hd__nor2_1 U68831 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_io_deq_bits_MPORT_addr[1]), .B(n49782), .Y(n56792) );
+  sky130_fd_sc_hd__nand2_1 U68832 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_io_deq_bits_MPORT_addr[0]), .Y(n76387) );
+  sky130_fd_sc_hd__nor2_1 U68833 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_io_deq_bits_MPORT_addr[0]), .Y(n81274) );
+  sky130_fd_sc_hd__a22oi_1 U68834 ( .A1(n81273), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[7]), 
+        .B1(n81274), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[31]), 
+        .Y(n48850) );
+  sky130_fd_sc_hd__nor2_1 U68835 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_io_deq_bits_MPORT_addr[0]), .B(n49784), .Y(n56791) );
+  sky130_fd_sc_hd__nand2_1 U68836 ( .A(n56791), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[15]), 
+        .Y(n48849) );
+  sky130_fd_sc_hd__nand2_1 U68837 ( .A(n48850), .B(n48849), .Y(n48851) );
+  sky130_fd_sc_hd__a21oi_1 U68838 ( .A1(n56792), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[23]), 
+        .B1(n48851), .Y(n48882) );
+  sky130_fd_sc_hd__nand2_1 U68839 ( .A(n48853), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_gennum[0]), .Y(n48855) );
+  sky130_fd_sc_hd__mux2i_1 U68840 ( .A0(n85061), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_address[2]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_io_full), .Y(n48854) );
+  sky130_fd_sc_hd__nand2_1 U68841 ( .A(n48855), .B(n48854), .Y(n59329) );
+  sky130_fd_sc_hd__mux2_2 U68842 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_address[3]), .A1(n85057), .S(n60963), .X(n48857) );
+  sky130_fd_sc_hd__a21oi_1 U68843 ( .A1(n48859), .A2(n48858), .B1(n48857), .Y(
+        n49470) );
+  sky130_fd_sc_hd__nand2_1 U68844 ( .A(n48863), .B(n48862), .Y(n48865) );
+  sky130_fd_sc_hd__mux2i_1 U68845 ( .A0(n85053), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_address[4]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_io_full), .Y(n48864) );
+  sky130_fd_sc_hd__nand2_1 U68846 ( .A(n48865), .B(n48864), .Y(n73538) );
+  sky130_fd_sc_hd__nand3_1 U68847 ( .A(n59329), .B(n49470), .C(n49164), .Y(
+        n76392) );
+  sky130_fd_sc_hd__o22ai_1 U68848 ( .A1(n60255), .A2(n48867), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[16]), .B2(n48866), .Y(n48869) );
+  sky130_fd_sc_hd__nand2_1 U68849 ( .A(n48869), .B(n48868), .Y(n56631) );
+  sky130_fd_sc_hd__nor2_1 U68850 ( .A(n60256), .B(n56631), .Y(n63319) );
+  sky130_fd_sc_hd__nand2_1 U68851 ( .A(n63319), .B(n49219), .Y(n78315) );
+  sky130_fd_sc_hd__nand2_1 U68852 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_1), .B(n47981), 
+        .Y(n48870) );
+  sky130_fd_sc_hd__nand2_1 U68853 ( .A(n78315), .B(n48870), .Y(n55585) );
+  sky130_fd_sc_hd__nor2_1 U68854 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_address[11]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_address[10]), .Y(n48873) );
+  sky130_fd_sc_hd__nor2_1 U68855 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_address[9]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_address[8]), .Y(n48872) );
+  sky130_fd_sc_hd__nor2_1 U68856 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_address[7]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_address[6]), .Y(n48871) );
+  sky130_fd_sc_hd__nand4b_1 U68857 ( .A_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_address[5]), .B(n48873), .C(n48872), .D(n48871), .Y(n48874) );
+  sky130_fd_sc_hd__mux2i_1 U68858 ( .A0(n48875), .A1(n48874), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_io_full), .Y(n48876) );
+  sky130_fd_sc_hd__o21a_1 U68859 ( .A1(n63466), .A2(n48877), .B1(n48876), .X(
+        n56628) );
+  sky130_fd_sc_hd__nand2_1 U68860 ( .A(n55585), .B(n56628), .Y(n49499) );
+  sky130_fd_sc_hd__nand2_1 U68861 ( .A(n49905), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_io_deq_bits_MPORT_addr[2]), .Y(n56788) );
+  sky130_fd_sc_hd__nand2_1 U68862 ( .A(n48878), .B(n59327), .Y(n48879) );
+  sky130_fd_sc_hd__nand2b_1 U68863 ( .A_N(n49499), .B(n73538), .Y(n49616) );
+  sky130_fd_sc_hd__o22ai_1 U68864 ( .A1(n48882), .A2(n56788), .B1(n48881), 
+        .B2(n56796), .Y(n48883) );
+  sky130_fd_sc_hd__nor4_1 U68865 ( .A(n48886), .B(n48885), .C(n48884), .D(
+        n48883), .Y(n48942) );
+  sky130_fd_sc_hd__nand2_1 U68866 ( .A(n48887), .B(n48898), .Y(n60303) );
+  sky130_fd_sc_hd__nand2_1 U68867 ( .A(n48888), .B(n60265), .Y(n48889) );
+  sky130_fd_sc_hd__nand2_1 U68868 ( .A(n48889), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[28]), .Y(n48892) );
+  sky130_fd_sc_hd__inv_1 U68869 ( .A(n48890), .Y(n48891) );
+  sky130_fd_sc_hd__nand2_1 U68870 ( .A(n48892), .B(n48891), .Y(n55005) );
+  sky130_fd_sc_hd__nor2_2 U68871 ( .A(n60263), .B(n55005), .Y(n73747) );
+  sky130_fd_sc_hd__nand2_1 U68872 ( .A(n73747), .B(n49219), .Y(n48894) );
+  sky130_fd_sc_hd__nand2_1 U68873 ( .A(n47981), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_13), .Y(n48893) );
+  sky130_fd_sc_hd__nand2_2 U68874 ( .A(n48894), .B(n48893), .Y(n55591) );
+  sky130_fd_sc_hd__nand2_1 U68875 ( .A(n55591), .B(n37559), .Y(n49004) );
+  sky130_fd_sc_hd__nand2b_1 U68876 ( .A_N(n49004), .B(n60299), .Y(n48899) );
+  sky130_fd_sc_hd__nor2_1 U68877 ( .A(n60303), .B(n48899), .Y(n56811) );
+  sky130_fd_sc_hd__inv_1 U68878 ( .A(n48896), .Y(n73765) );
+  sky130_fd_sc_hd__nor2_1 U68879 ( .A(n73765), .B(n48899), .Y(n56810) );
+  sky130_fd_sc_hd__a22oi_1 U68880 ( .A1(n56811), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[7]), 
+        .B1(n56810), .B2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_out_f_data[7]), 
+        .Y(n48941) );
+  sky130_fd_sc_hd__nand2_1 U68881 ( .A(n48898), .B(n48897), .Y(n60300) );
+  sky130_fd_sc_hd__nor2_1 U68882 ( .A(n60300), .B(n48899), .Y(n56781) );
+  sky130_fd_sc_hd__a22oi_1 U68883 ( .A1(n63517), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[7]), 
+        .B1(n60000), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[7]), 
+        .Y(n48902) );
+  sky130_fd_sc_hd__a22oi_1 U68884 ( .A1(n61078), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[7]), 
+        .B1(n63514), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[7]), 
+        .Y(n48901) );
+  sky130_fd_sc_hd__a22oi_1 U68885 ( .A1(n61081), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[7]), 
+        .B1(n79921), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[7]), 
+        .Y(n48900) );
+  sky130_fd_sc_hd__a31oi_1 U68886 ( .A1(n48902), .A2(n48901), .A3(n48900), 
+        .B1(n56765), .Y(n48903) );
+  sky130_fd_sc_hd__a21oi_1 U68887 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[7]), 
+        .A2(n36789), .B1(n48903), .Y(n48905) );
+  sky130_fd_sc_hd__a22oi_1 U68888 ( .A1(n56372), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[7]), 
+        .B1(n56205), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[7]), 
+        .Y(n48904) );
+  sky130_fd_sc_hd__nand2_1 U68889 ( .A(n48905), .B(n48904), .Y(n48909) );
+  sky130_fd_sc_hd__a22oi_1 U68890 ( .A1(n81193), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[63]), 
+        .B1(n56857), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[55]), 
+        .Y(n48907) );
+  sky130_fd_sc_hd__a22oi_1 U68891 ( .A1(n81194), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[39]), 
+        .B1(n56858), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[47]), 
+        .Y(n48906) );
+  sky130_fd_sc_hd__nand2_1 U68892 ( .A(n56869), .B(n63497), .Y(n52639) );
+  sky130_fd_sc_hd__a21oi_1 U68893 ( .A1(n48907), .A2(n48906), .B1(n52639), .Y(
+        n48908) );
+  sky130_fd_sc_hd__a211oi_1 U68894 ( .A1(n56781), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[15]), .B1(n48909), .C1(n48908), .Y(n48940) );
+  sky130_fd_sc_hd__nor2_1 U68895 ( .A(n48963), .B(n48964), .Y(n48928) );
+  sky130_fd_sc_hd__nand2_1 U68896 ( .A(n49266), .B(n48928), .Y(n48943) );
+  sky130_fd_sc_hd__nand2_1 U68897 ( .A(n49231), .B(n48945), .Y(n48965) );
+  sky130_fd_sc_hd__nor2_1 U68898 ( .A(n48943), .B(n48965), .Y(n63183) );
+  sky130_fd_sc_hd__o21ai_1 U68899 ( .A1(n48945), .A2(n49250), .B1(n49278), .Y(
+        n48912) );
+  sky130_fd_sc_hd__nand2_1 U68900 ( .A(n48964), .B(n48963), .Y(n49252) );
+  sky130_fd_sc_hd__nor2_1 U68901 ( .A(n48945), .B(n49252), .Y(n49232) );
+  sky130_fd_sc_hd__nand2b_1 U68902 ( .A_N(n49231), .B(n49232), .Y(n49707) );
+  sky130_fd_sc_hd__nand3_1 U68903 ( .A(n48913), .B(n59988), .C(n48970), .Y(
+        n56786) );
+  sky130_fd_sc_hd__nand2_1 U68904 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[1]), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[0]), .Y(n51099) );
+  sky130_fd_sc_hd__o22ai_1 U68905 ( .A1(n51098), .A2(n51099), .B1(n73465), 
+        .B2(n37001), .Y(n48916) );
+  sky130_fd_sc_hd__nand2_2 U68906 ( .A(n61704), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[0]), .Y(n56284) );
+  sky130_fd_sc_hd__nor2_1 U68907 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[0]), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[1]), .Y(n48920) );
+  sky130_fd_sc_hd__o2bb2ai_1 U68908 ( .B1(n67187), .B2(n56284), .A1_N(n48917), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[15]), 
+        .Y(n48915) );
+  sky130_fd_sc_hd__nor2_1 U68909 ( .A(n48916), .B(n48915), .Y(n63063) );
+  sky130_fd_sc_hd__o22ai_1 U68910 ( .A1(n56276), .A2(n51099), .B1(n52002), 
+        .B2(n37001), .Y(n48919) );
+  sky130_fd_sc_hd__o2bb2ai_1 U68911 ( .B1(n53053), .B2(n56284), .A1_N(n48917), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[19]), 
+        .Y(n48918) );
+  sky130_fd_sc_hd__nor2_1 U68912 ( .A(n48919), .B(n48918), .Y(n63065) );
+  sky130_fd_sc_hd__mux2_2 U68913 ( .A0(n63063), .A1(n63065), .S(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[2]), .X(n52926) );
+  sky130_fd_sc_hd__nor2_1 U68914 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[3]), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[2]), .Y(n63062) );
+  sky130_fd_sc_hd__a2bb2oi_1 U68915 ( .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[10]), 
+        .B2(n56277), .A1_N(n67298), .A2_N(n37001), .Y(n48923) );
+  sky130_fd_sc_hd__nand2_1 U68916 ( .A(n56278), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[8]), 
+        .Y(n48922) );
+  sky130_fd_sc_hd__inv_1 U68917 ( .A(n48920), .Y(n56283) );
+  sky130_fd_sc_hd__nand2_1 U68918 ( .A(n56279), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[7]), 
+        .Y(n48921) );
+  sky130_fd_sc_hd__nand3_1 U68919 ( .A(n48923), .B(n48922), .C(n48921), .Y(
+        n51202) );
+  sky130_fd_sc_hd__nor2_1 U68920 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[3]), .B(n51658), .Y(n63064) );
+  sky130_fd_sc_hd__o22ai_1 U68921 ( .A1(n67180), .A2(n36849), .B1(n67168), 
+        .B2(n37001), .Y(n48926) );
+  sky130_fd_sc_hd__nor2_1 U68922 ( .A(n48926), .B(n48925), .Y(n51198) );
+  sky130_fd_sc_hd__o2bb2ai_1 U68923 ( .B1(n61745), .B2(n51202), .A1_N(n63064), 
+        .A2_N(n51198), .Y(n48927) );
+  sky130_fd_sc_hd__a21oi_1 U68924 ( .A1(n52926), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[3]), .B1(n48927), .Y(n68090) );
+  sky130_fd_sc_hd__a22o_1 U68925 ( .A1(n56818), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T[7]), .B1(n56728), .B2(n68090), .X(n48938) );
+  sky130_fd_sc_hd__nand3_1 U68926 ( .A(n49689), .B(n49271), .C(n49266), .Y(
+        n49249) );
+  sky130_fd_sc_hd__nand2_1 U68927 ( .A(n48928), .B(n55597), .Y(n49277) );
+  sky130_fd_sc_hd__a22o_1 U68928 ( .A1(n56819), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[7]), .B1(n56821), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T_16[7]), .X(n48937) );
+  sky130_fd_sc_hd__a22oi_1 U68929 ( .A1(n81273), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[39]), 
+        .B1(n56792), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[55]), 
+        .Y(n48930) );
+  sky130_fd_sc_hd__a22oi_1 U68930 ( .A1(n81274), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[63]), 
+        .B1(n56791), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[47]), 
+        .Y(n48929) );
+  sky130_fd_sc_hd__nand2_1 U68931 ( .A(n49905), .B(n49897), .Y(n56793) );
+  sky130_fd_sc_hd__a21oi_1 U68932 ( .A1(n48930), .A2(n48929), .B1(n56793), .Y(
+        n48936) );
+  sky130_fd_sc_hd__nor2_1 U68933 ( .A(n49068), .B(n48931), .Y(n48933) );
+  sky130_fd_sc_hd__nand2_1 U68934 ( .A(n48933), .B(n48932), .Y(n60290) );
+  sky130_fd_sc_hd__nor2_1 U68935 ( .A(n60290), .B(n49069), .Y(n56772) );
+  sky130_fd_sc_hd__nand2_1 U68936 ( .A(n48933), .B(n49066), .Y(n68185) );
+  sky130_fd_sc_hd__nor2_1 U68937 ( .A(n68185), .B(n49069), .Y(n56771) );
+  sky130_fd_sc_hd__a22oi_1 U68938 ( .A1(n56772), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[15]), .B1(n56771), .B2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__receivedData_T[8]), .Y(n48934) );
+  sky130_fd_sc_hd__o21ai_0 U68939 ( .A1(n56787), .A2(n67367), .B1(n48934), .Y(
+        n48935) );
+  sky130_fd_sc_hd__nor4_1 U68940 ( .A(n48938), .B(n48937), .C(n48936), .D(
+        n48935), .Y(n48939) );
+  sky130_fd_sc_hd__nor2_1 U68941 ( .A(n48943), .B(n49278), .Y(n63165) );
+  sky130_fd_sc_hd__nor2_1 U68942 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[0]), .B(n49677), .Y(n56830) );
+  sky130_fd_sc_hd__nor2_1 U68943 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[1]), .B(n49201), .Y(n56829) );
+  sky130_fd_sc_hd__a22oi_1 U68944 ( .A1(n56830), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[47]), .B1(n56829), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[55]), .Y(n48950) );
+  sky130_fd_sc_hd__nor2_1 U68945 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[0]), .Y(n80688) );
+  sky130_fd_sc_hd__nand2_1 U68946 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[0]), .Y(n80690) );
+  sky130_fd_sc_hd__a22oi_1 U68947 ( .A1(n80688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[63]), .B1(n80692), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[39]), .Y(n48949) );
+  sky130_fd_sc_hd__nor2_1 U68948 ( .A(n48945), .B(n49231), .Y(n49270) );
+  sky130_fd_sc_hd__nand2_1 U68949 ( .A(n49270), .B(n48946), .Y(n49261) );
+  sky130_fd_sc_hd__nand2_1 U68950 ( .A(n49694), .B(n48947), .Y(n55596) );
+  sky130_fd_sc_hd__nor2_1 U68951 ( .A(n49266), .B(n55596), .Y(n80680) );
+  sky130_fd_sc_hd__nand2_1 U68952 ( .A(n80680), .B(n80691), .Y(n49695) );
+  sky130_fd_sc_hd__nand2_1 U68953 ( .A(n51690), .B(n48948), .Y(n56826) );
+  sky130_fd_sc_hd__a21oi_1 U68954 ( .A1(n48950), .A2(n48949), .B1(n56826), .Y(
+        n48961) );
+  sky130_fd_sc_hd__nor2_1 U68955 ( .A(n48951), .B(n49389), .Y(n62026) );
+  sky130_fd_sc_hd__inv_2 U68956 ( .A(n51991), .Y(n56840) );
+  sky130_fd_sc_hd__a22oi_1 U68957 ( .A1(n80993), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[39]), .B1(n56835), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[55]), .Y(n48954) );
+  sky130_fd_sc_hd__a22oi_1 U68958 ( .A1(n80990), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[63]), .B1(n56834), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[47]), .Y(n48953) );
+  sky130_fd_sc_hd__nand2_1 U68959 ( .A(n60936), .B(n80992), .Y(n51305) );
+  sky130_fd_sc_hd__nand2_1 U68960 ( .A(n51162), .B(n48952), .Y(n56836) );
+  sky130_fd_sc_hd__a21oi_1 U68961 ( .A1(n48954), .A2(n48953), .B1(n56836), .Y(
+        n48955) );
+  sky130_fd_sc_hd__a21oi_1 U68962 ( .A1(n56840), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[7]), .B1(n48955), .Y(n48959) );
+  sky130_fd_sc_hd__a22oi_1 U68963 ( .A1(n56842), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[7]), .B1(n56681), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[7]), 
+        .Y(n48958) );
+  sky130_fd_sc_hd__nand2_1 U68964 ( .A(n56843), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[7]), 
+        .Y(n48957) );
+  sky130_fd_sc_hd__nand3_1 U68965 ( .A(n48959), .B(n48958), .C(n48957), .Y(
+        n48960) );
+  sky130_fd_sc_hd__a211oi_1 U68966 ( .A1(n48944), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[7]), .B1(n48961), .C1(n48960), .Y(n48985) );
+  sky130_fd_sc_hd__nor2_1 U68967 ( .A(n48962), .B(n49231), .Y(n49251) );
+  sky130_fd_sc_hd__nand2_1 U68968 ( .A(n49266), .B(n48963), .Y(n49693) );
+  sky130_fd_sc_hd__nand3_1 U68969 ( .A(n49686), .B(n49261), .C(n48965), .Y(
+        n48966) );
+  sky130_fd_sc_hd__nand2_1 U68970 ( .A(n48972), .B(n48968), .Y(n61036) );
+  sky130_fd_sc_hd__nor2_1 U68971 ( .A(n48970), .B(n48969), .Y(n61034) );
+  sky130_fd_sc_hd__a22oi_1 U68972 ( .A1(n56820), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[7]), .B1(n56817), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[7]), 
+        .Y(n48984) );
+  sky130_fd_sc_hd__nand2_1 U68973 ( .A(n48972), .B(n48971), .Y(n61039) );
+  sky130_fd_sc_hd__nor2_1 U68974 ( .A(n61039), .B(n48975), .Y(n48973) );
+  sky130_fd_sc_hd__a22oi_1 U68975 ( .A1(n56816), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[7]), 
+        .B1(n48974), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[7]), 
+        .Y(n48983) );
+  sky130_fd_sc_hd__a22oi_1 U68976 ( .A1(n80692), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[7]), .B1(n80688), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[31]), .Y(n48980) );
+  sky130_fd_sc_hd__nand2_1 U68977 ( .A(n56829), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[23]), .Y(n48979) );
+  sky130_fd_sc_hd__nand2_1 U68978 ( .A(n56830), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[15]), .Y(n48978) );
+  sky130_fd_sc_hd__nand2_1 U68979 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[2]), .B(n80680), .Y(n49698) );
+  sky130_fd_sc_hd__nand2_1 U68980 ( .A(n51690), .B(n48977), .Y(n56831) );
+  sky130_fd_sc_hd__a31oi_1 U68981 ( .A1(n48980), .A2(n48979), .A3(n48978), 
+        .B1(n56831), .Y(n48981) );
+  sky130_fd_sc_hd__a21oi_1 U68982 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[7]), 
+        .A2(n48976), .B1(n48981), .Y(n48982) );
+  sky130_fd_sc_hd__nand2_1 U68983 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_io_deq_bits_MPORT_addr[0]), .Y(n81366) );
+  sky130_fd_sc_hd__nor2_1 U68984 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_io_deq_bits_MPORT_addr[0]), .Y(n81364) );
+  sky130_fd_sc_hd__a22oi_1 U68985 ( .A1(n81367), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[39]), 
+        .B1(n81364), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[63]), 
+        .Y(n48987) );
+  sky130_fd_sc_hd__nor2_1 U68986 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_io_deq_bits_MPORT_addr[1]), .B(n49726), .Y(n56894) );
+  sky130_fd_sc_hd__nor2_1 U68987 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_io_deq_bits_MPORT_addr[0]), .B(n49728), .Y(n56893) );
+  sky130_fd_sc_hd__a22oi_1 U68988 ( .A1(n56894), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[55]), 
+        .B1(n56893), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[47]), 
+        .Y(n48986) );
+  sky130_fd_sc_hd__nor2_1 U68989 ( .A(n49474), .B(n49589), .Y(n56644) );
+  sky130_fd_sc_hd__nand2_1 U68990 ( .A(n49590), .B(n56644), .Y(n61082) );
+  sky130_fd_sc_hd__nand2_1 U68991 ( .A(n49600), .B(n81365), .Y(n56884) );
+  sky130_fd_sc_hd__a21oi_1 U68992 ( .A1(n48987), .A2(n48986), .B1(n56884), .Y(
+        n49000) );
+  sky130_fd_sc_hd__a22oi_1 U68993 ( .A1(n81367), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[7]), 
+        .B1(n81364), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[31]), 
+        .Y(n48990) );
+  sky130_fd_sc_hd__nand2_1 U68994 ( .A(n56894), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[23]), 
+        .Y(n48989) );
+  sky130_fd_sc_hd__nand2_1 U68995 ( .A(n56893), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[15]), 
+        .Y(n48988) );
+  sky130_fd_sc_hd__nand2_1 U68996 ( .A(n49600), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_io_deq_bits_MPORT_addr[2]), .Y(n56895) );
+  sky130_fd_sc_hd__a31oi_1 U68997 ( .A1(n48990), .A2(n48989), .A3(n48988), 
+        .B1(n56895), .Y(n48999) );
+  sky130_fd_sc_hd__nand2_1 U68998 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_io_deq_bits_MPORT_addr[0]), .Y(n81127) );
+  sky130_fd_sc_hd__nor2_1 U68999 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_io_deq_bits_MPORT_addr[0]), .Y(n81126) );
+  sky130_fd_sc_hd__a22oi_1 U69000 ( .A1(n81129), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[39]), 
+        .B1(n81126), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[63]), 
+        .Y(n48993) );
+  sky130_fd_sc_hd__nor2_1 U69001 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_io_deq_bits_MPORT_addr[1]), .B(n49934), .Y(n56888) );
+  sky130_fd_sc_hd__nand2_1 U69002 ( .A(n56888), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[55]), 
+        .Y(n48992) );
+  sky130_fd_sc_hd__nor2_1 U69003 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_io_deq_bits_MPORT_addr[0]), .B(n49189), .Y(n56889) );
+  sky130_fd_sc_hd__nand2_1 U69004 ( .A(n56889), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[47]), 
+        .Y(n48991) );
+  sky130_fd_sc_hd__nand2_1 U69005 ( .A(n49745), .B(n81128), .Y(n56862) );
+  sky130_fd_sc_hd__a31oi_1 U69006 ( .A1(n48993), .A2(n48992), .A3(n48991), 
+        .B1(n56862), .Y(n48998) );
+  sky130_fd_sc_hd__a22oi_1 U69007 ( .A1(n81129), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[7]), 
+        .B1(n81126), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[31]), 
+        .Y(n48996) );
+  sky130_fd_sc_hd__nand2_1 U69008 ( .A(n56888), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[23]), 
+        .Y(n48995) );
+  sky130_fd_sc_hd__nand2_1 U69009 ( .A(n56889), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[15]), 
+        .Y(n48994) );
+  sky130_fd_sc_hd__nand2_1 U69010 ( .A(n49745), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_io_deq_bits_MPORT_addr[2]), .Y(n56890) );
+  sky130_fd_sc_hd__a31oi_1 U69011 ( .A1(n48996), .A2(n48995), .A3(n48994), 
+        .B1(n56890), .Y(n48997) );
+  sky130_fd_sc_hd__nor4_1 U69012 ( .A(n49000), .B(n48999), .C(n48998), .D(
+        n48997), .Y(n49012) );
+  sky130_fd_sc_hd__inv_2 U69013 ( .A(n49002), .Y(n56849) );
+  sky130_fd_sc_hd__a2bb2oi_1 U69014 ( .B1(n51804), .B2(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_7_o_oe), .A1_N(n78049), .A2_N(
+        n56849), .Y(n49011) );
+  sky130_fd_sc_hd__nand2b_1 U69015 ( .A_N(n60303), .B(n49003), .Y(n67357) );
+  sky130_fd_sc_hd__nor2_1 U69016 ( .A(n67357), .B(n49004), .Y(n56853) );
+  sky130_fd_sc_hd__nand2b_1 U69017 ( .A_N(n60300), .B(n49003), .Y(n67386) );
+  sky130_fd_sc_hd__nor2_1 U69018 ( .A(n67386), .B(n49004), .Y(n56870) );
+  sky130_fd_sc_hd__a22oi_1 U69019 ( .A1(n56853), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_out_prepend_1[7]), .B1(n56870), .B2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__receivedData_T[8]), .Y(n49010) );
+  sky130_fd_sc_hd__nand2_1 U69020 ( .A(n60077), .B(n49463), .Y(n49005) );
+  sky130_fd_sc_hd__nor2_1 U69021 ( .A(n49005), .B(n60076), .Y(n49082) );
+  sky130_fd_sc_hd__nand2_1 U69022 ( .A(n49082), .B(n49099), .Y(n61177) );
+  sky130_fd_sc_hd__nand2b_1 U69023 ( .A_N(n60076), .B(n49462), .Y(n49006) );
+  sky130_fd_sc_hd__nand2_1 U69024 ( .A(n49007), .B(n49006), .Y(n49461) );
+  sky130_fd_sc_hd__a22oi_1 U69025 ( .A1(n49008), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[7]), 
+        .B1(n56929), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[7]), 
+        .Y(n49009) );
+  sky130_fd_sc_hd__nand2_1 U69026 ( .A(n49013), .B(n49016), .Y(n61025) );
+  sky130_fd_sc_hd__nor2_1 U69027 ( .A(n59619), .B(n49102), .Y(n61017) );
+  sky130_fd_sc_hd__nand2_1 U69028 ( .A(n49285), .B(n61017), .Y(n49120) );
+  sky130_fd_sc_hd__nor2_1 U69029 ( .A(n61022), .B(n49120), .Y(n49017) );
+  sky130_fd_sc_hd__buf_2 U69030 ( .A(n49017), .X(n56876) );
+  sky130_fd_sc_hd__nor2_1 U69031 ( .A(n61020), .B(n49120), .Y(n49018) );
+  sky130_fd_sc_hd__buf_2 U69032 ( .A(n49018), .X(n56875) );
+  sky130_fd_sc_hd__a22o_1 U69033 ( .A1(n56876), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[7]), 
+        .B1(n56875), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[7]), 
+        .X(n49081) );
+  sky130_fd_sc_hd__nand2_1 U69034 ( .A(n49019), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_io_full), .Y(n49020) );
+  sky130_fd_sc_hd__o22ai_1 U69035 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_io_full), .A2(n49022), .B1(n49021), .B2(n83647), .Y(n49023) );
+  sky130_fd_sc_hd__a21oi_1 U69036 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_address[5]), .B1(n49023), .Y(n49060) );
+  sky130_fd_sc_hd__nor2_1 U69037 ( .A(n49037), .B(n49060), .Y(n49308) );
+  sky130_fd_sc_hd__nand2_1 U69038 ( .A(n49061), .B(n49060), .Y(n49303) );
+  sky130_fd_sc_hd__nand2_1 U69039 ( .A(n49025), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_gennum[0]), .Y(n49027) );
+  sky130_fd_sc_hd__mux2i_1 U69040 ( .A0(n85061), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_address[2]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_io_full), .Y(n49026) );
+  sky130_fd_sc_hd__nor2_1 U69041 ( .A(n49303), .B(n73475), .Y(n49305) );
+  sky130_fd_sc_hd__nand2_1 U69042 ( .A(n49029), .B(n49028), .Y(n49031) );
+  sky130_fd_sc_hd__mux2i_1 U69043 ( .A0(n85057), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_address[3]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_io_full), .Y(n49030) );
+  sky130_fd_sc_hd__nand2_1 U69044 ( .A(n49031), .B(n49030), .Y(n49574) );
+  sky130_fd_sc_hd__o22ai_1 U69045 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_io_full), .A2(n49035), .B1(n49034), .B2(n49033), .Y(n49036) );
+  sky130_fd_sc_hd__a21oi_1 U69046 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_address[4]), .B1(n49036), .Y(n59994) );
+  sky130_fd_sc_hd__nand2_1 U69047 ( .A(n49047), .B(n59994), .Y(n49307) );
+  sky130_fd_sc_hd__nor3_1 U69048 ( .A(n49308), .B(n49305), .C(n49307), .Y(
+        n49918) );
+  sky130_fd_sc_hd__nand2_1 U69049 ( .A(n49060), .B(n49037), .Y(n49038) );
+  sky130_fd_sc_hd__nor2_1 U69050 ( .A(n49327), .B(n49038), .Y(n49919) );
+  sky130_fd_sc_hd__nand2_1 U69051 ( .A(n49918), .B(n49315), .Y(n50856) );
+  sky130_fd_sc_hd__nor2_1 U69052 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[1]), .B(n49151), .Y(n56908) );
+  sky130_fd_sc_hd__nor2_1 U69053 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[0]), .B(n49753), .Y(n56909) );
+  sky130_fd_sc_hd__a22oi_1 U69054 ( .A1(n56908), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[55]), .B1(n56909), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[47]), .Y(n49040) );
+  sky130_fd_sc_hd__nand2_1 U69055 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[0]), .Y(n80384) );
+  sky130_fd_sc_hd__nor2_1 U69056 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[0]), .Y(n80383) );
+  sky130_fd_sc_hd__a22oi_1 U69057 ( .A1(n80386), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[39]), .B1(n80383), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[63]), .Y(n49039) );
+  sky130_fd_sc_hd__nor2_1 U69058 ( .A(n49327), .B(n49047), .Y(n49042) );
+  sky130_fd_sc_hd__nand2_1 U69059 ( .A(n49152), .B(n73473), .Y(n60921) );
+  sky130_fd_sc_hd__nor2_1 U69060 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[2]), .B(n60921), .Y(n49628) );
+  sky130_fd_sc_hd__a21oi_1 U69061 ( .A1(n49040), .A2(n49039), .B1(n56910), .Y(
+        n49041) );
+  sky130_fd_sc_hd__a21oi_1 U69062 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[7]), .A2(n56907), .B1(n49041), .Y(n49065) );
+  sky130_fd_sc_hd__nand2_1 U69063 ( .A(n49042), .B(n49308), .Y(n49046) );
+  sky130_fd_sc_hd__a22oi_1 U69064 ( .A1(n80383), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[31]), .B1(n56909), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[15]), .Y(n49044) );
+  sky130_fd_sc_hd__a22oi_1 U69065 ( .A1(n80386), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[7]), 
+        .B1(n56908), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[23]), .Y(n49043) );
+  sky130_fd_sc_hd__nor2_1 U69066 ( .A(n80385), .B(n60921), .Y(n49581) );
+  sky130_fd_sc_hd__a21oi_1 U69067 ( .A1(n49044), .A2(n49043), .B1(n56903), .Y(
+        n49045) );
+  sky130_fd_sc_hd__a21oi_1 U69068 ( .A1(n59967), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_1[7]), .B1(n49045), .Y(n49064) );
+  sky130_fd_sc_hd__nor2_1 U69069 ( .A(n49047), .B(n59994), .Y(n49301) );
+  sky130_fd_sc_hd__nor2b_1 U69070 ( .B_N(n49301), .A(n73473), .Y(n49302) );
+  sky130_fd_sc_hd__nand2_1 U69071 ( .A(n49308), .B(n49302), .Y(n50857) );
+  sky130_fd_sc_hd__a22oi_1 U69072 ( .A1(n59966), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_10[7]), .B1(n63157), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[7]), .Y(n49063) );
+  sky130_fd_sc_hd__nand2_1 U69073 ( .A(n49050), .B(n49049), .Y(n49051) );
+  sky130_fd_sc_hd__nand2_1 U69074 ( .A(n49052), .B(n49051), .Y(n56174) );
+  sky130_fd_sc_hd__nand2_1 U69075 ( .A(n56174), .B(n49053), .Y(n78312) );
+  sky130_fd_sc_hd__nand2_1 U69076 ( .A(n47981), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_8), .Y(n49054)
+         );
+  sky130_fd_sc_hd__nand2_1 U69077 ( .A(n49055), .B(n49054), .Y(n55342) );
+  sky130_fd_sc_hd__nor2_1 U69078 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_address[9]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_address[8]), .Y(n49057) );
+  sky130_fd_sc_hd__nor2_1 U69079 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_address[11]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_address[10]), .Y(n49056) );
+  sky130_fd_sc_hd__nand3b_1 U69080 ( .A_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_address[7]), .B(n49057), .C(n49056), .Y(n49058) );
+  sky130_fd_sc_hd__mux2i_1 U69081 ( .A0(n49059), .A1(n49058), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_io_full), .Y(n60926) );
+  sky130_fd_sc_hd__nand2_1 U69082 ( .A(n55342), .B(n60926), .Y(n49573) );
+  sky130_fd_sc_hd__nor2_1 U69083 ( .A(n49061), .B(n49060), .Y(n49328) );
+  sky130_fd_sc_hd__a31oi_1 U69084 ( .A1(n49065), .A2(n49064), .A3(n49063), 
+        .B1(n56914), .Y(n49075) );
+  sky130_fd_sc_hd__and3_1 U69085 ( .A(n49068), .B(n49067), .C(n49066), .X(
+        n67355) );
+  sky130_fd_sc_hd__a22oi_1 U69086 ( .A1(n81046), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[39]), 
+        .B1(n81043), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[63]), 
+        .Y(n49072) );
+  sky130_fd_sc_hd__nand2_1 U69087 ( .A(n56941), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[55]), 
+        .Y(n49071) );
+  sky130_fd_sc_hd__nand2_1 U69088 ( .A(n56940), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[47]), 
+        .Y(n49070) );
+  sky130_fd_sc_hd__a31oi_1 U69089 ( .A1(n49072), .A2(n49071), .A3(n49070), 
+        .B1(n56898), .Y(n49073) );
+  sky130_fd_sc_hd__a211oi_1 U69090 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[7]), .A2(n56919), .B1(n49075), .C1(n49074), .Y(n49079) );
+  sky130_fd_sc_hd__a22oi_1 U69091 ( .A1(n51688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[7]), .B1(n36990), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[7]), 
+        .Y(n49078) );
+  sky130_fd_sc_hd__a22oi_1 U69092 ( .A1(n56696), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[7]), 
+        .B1(n86736), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[7]), 
+        .Y(n49077) );
+  sky130_fd_sc_hd__inv_2 U69093 ( .A(n53054), .Y(n56803) );
+  sky130_fd_sc_hd__a22oi_1 U69094 ( .A1(n56803), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[7]), 
+        .B1(n48207), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[7]), 
+        .Y(n49076) );
+  sky130_fd_sc_hd__nand4_1 U69095 ( .A(n49079), .B(n49078), .C(n49077), .D(
+        n49076), .Y(n49080) );
+  sky130_fd_sc_hd__a211oi_1 U69096 ( .A1(n49014), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[7]), 
+        .B1(n49081), .C1(n49080), .Y(n49125) );
+  sky130_fd_sc_hd__nand2_1 U69097 ( .A(n49082), .B(n49464), .Y(n61178) );
+  sky130_fd_sc_hd__nor2_1 U69098 ( .A(n61178), .B(n49461), .Y(n49083) );
+  sky130_fd_sc_hd__buf_2 U69099 ( .A(n49083), .X(n56932) );
+  sky130_fd_sc_hd__nand2_1 U69100 ( .A(n60077), .B(n49084), .Y(n49085) );
+  sky130_fd_sc_hd__nor2_1 U69101 ( .A(n49085), .B(n60076), .Y(n49100) );
+  sky130_fd_sc_hd__nand2_1 U69102 ( .A(n49100), .B(n49464), .Y(n61175) );
+  sky130_fd_sc_hd__a22oi_1 U69103 ( .A1(n56932), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[7]), 
+        .B1(n49086), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[7]), 
+        .Y(n49124) );
+  sky130_fd_sc_hd__nor2_1 U69104 ( .A(n60077), .B(n49087), .Y(n56930) );
+  sky130_fd_sc_hd__nand2_2 U69105 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[1]), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[0]), .Y(n56253) );
+  sky130_fd_sc_hd__o22ai_1 U69106 ( .A1(n67144), .A2(n56253), .B1(n63679), 
+        .B2(n56252), .Y(n49090) );
+  sky130_fd_sc_hd__nand2_2 U69107 ( .A(n49968), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[0]), .Y(n56255) );
+  sky130_fd_sc_hd__nor2_1 U69108 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[0]), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[1]), .Y(n49088) );
+  sky130_fd_sc_hd__buf_2 U69109 ( .A(n49088), .X(n56247) );
+  sky130_fd_sc_hd__o2bb2ai_1 U69110 ( .B1(n67111), .B2(n56255), .A1_N(n56247), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[15]), .Y(n49089) );
+  sky130_fd_sc_hd__nor2_1 U69111 ( .A(n49090), .B(n49089), .Y(n67467) );
+  sky130_fd_sc_hd__o22ai_1 U69112 ( .A1(n73369), .A2(n56253), .B1(n73495), 
+        .B2(n56252), .Y(n49092) );
+  sky130_fd_sc_hd__o2bb2ai_1 U69113 ( .B1(n67162), .B2(n56255), .A1_N(n56247), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[19]), .Y(n49091) );
+  sky130_fd_sc_hd__nor2_1 U69114 ( .A(n49092), .B(n49091), .Y(n67465) );
+  sky130_fd_sc_hd__mux2_2 U69115 ( .A0(n67467), .A1(n67465), .S(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[2]), .X(n52954) );
+  sky130_fd_sc_hd__nor2_1 U69116 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[3]), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[2]), .Y(n67466) );
+  sky130_fd_sc_hd__a2bb2oi_1 U69117 ( .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[10]), .B2(n56246), .A1_N(n67311), .A2_N(n56252), .Y(n49095) );
+  sky130_fd_sc_hd__nand2_1 U69118 ( .A(n56247), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[7]), 
+        .Y(n49094) );
+  sky130_fd_sc_hd__nand2_1 U69119 ( .A(n56248), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[8]), 
+        .Y(n49093) );
+  sky130_fd_sc_hd__nand3_1 U69120 ( .A(n49095), .B(n49094), .C(n49093), .Y(
+        n51300) );
+  sky130_fd_sc_hd__nor2_1 U69121 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[3]), .B(n51726), .Y(n67464) );
+  sky130_fd_sc_hd__o22ai_1 U69122 ( .A1(n67206), .A2(n56253), .B1(n67199), 
+        .B2(n56252), .Y(n49097) );
+  sky130_fd_sc_hd__o2bb2ai_1 U69123 ( .B1(n67198), .B2(n56255), .A1_N(n56247), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[11]), .Y(n49096) );
+  sky130_fd_sc_hd__nor2_1 U69124 ( .A(n49097), .B(n49096), .Y(n51296) );
+  sky130_fd_sc_hd__o2bb2ai_1 U69125 ( .B1(n61590), .B2(n51300), .A1_N(n67464), 
+        .A2_N(n51296), .Y(n49098) );
+  sky130_fd_sc_hd__a21oi_1 U69126 ( .A1(n52954), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[3]), .B1(n49098), .Y(n67911) );
+  sky130_fd_sc_hd__nand2_1 U69127 ( .A(n49100), .B(n49099), .Y(n61176) );
+  sky130_fd_sc_hd__a22oi_1 U69128 ( .A1(n56930), .A2(n67911), .B1(n49101), 
+        .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[7]), 
+        .Y(n49123) );
+  sky130_fd_sc_hd__nand2_1 U69129 ( .A(n49102), .B(n59619), .Y(n49357) );
+  sky130_fd_sc_hd__inv_2 U69132 ( .A(n49104), .Y(n63546) );
+  sky130_fd_sc_hd__inv_2 U69133 ( .A(n56320), .Y(n56312) );
+  sky130_fd_sc_hd__a22oi_1 U69134 ( .A1(n56312), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[14]), .B1(n56311), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[13]), .Y(n49107) );
+  sky130_fd_sc_hd__nor2_4 U69135 ( .A(n63546), .B(n63558), .Y(n56313) );
+  sky130_fd_sc_hd__nor2_4 U69136 ( .A(n63546), .B(n49105), .Y(n56314) );
+  sky130_fd_sc_hd__a22oi_1 U69137 ( .A1(n56313), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[11]), .B1(n56314), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[12]), .Y(n49106) );
+  sky130_fd_sc_hd__nand2_1 U69138 ( .A(n49107), .B(n49106), .Y(n51283) );
+  sky130_fd_sc_hd__o22ai_1 U69139 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[10]), .A2(n56320), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[9]), 
+        .B2(n56319), .Y(n49109) );
+  sky130_fd_sc_hd__o22ai_1 U69140 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[7]), 
+        .A2(n56322), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[8]), 
+        .B2(n56321), .Y(n49108) );
+  sky130_fd_sc_hd__nor2_1 U69141 ( .A(n49109), .B(n49108), .Y(n51286) );
+  sky130_fd_sc_hd__nor2_1 U69142 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__countReset_feed_T_1[3]), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__countReset_feed_T_1[2]), .Y(n56878) );
+  sky130_fd_sc_hd__a22oi_1 U69143 ( .A1(n56881), .A2(n51283), .B1(n51286), 
+        .B2(n56878), .Y(n49119) );
+  sky130_fd_sc_hd__nand2_1 U69144 ( .A(n56311), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[21]), .Y(n49113) );
+  sky130_fd_sc_hd__nand2_1 U69145 ( .A(n56312), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[22]), .Y(n49112) );
+  sky130_fd_sc_hd__nand2_1 U69146 ( .A(n56313), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[19]), .Y(n49111) );
+  sky130_fd_sc_hd__nand2_1 U69147 ( .A(n56314), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[20]), .Y(n49110) );
+  sky130_fd_sc_hd__nand4_1 U69148 ( .A(n49113), .B(n49112), .C(n49111), .D(
+        n49110), .Y(n52949) );
+  sky130_fd_sc_hd__nand2_1 U69149 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__countReset_feed_T_1[2]), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__countReset_feed_T_1[3]), .Y(n56662) );
+  sky130_fd_sc_hd__nor2_1 U69150 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__countReset_feed_T_1[2]), .B(n56328), .Y(n63652) );
+  sky130_fd_sc_hd__nand2_1 U69151 ( .A(n56312), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[18]), .Y(n49117) );
+  sky130_fd_sc_hd__nand2_1 U69152 ( .A(n56311), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[17]), .Y(n49115) );
+  sky130_fd_sc_hd__nand2_1 U69154 ( .A(n49115), .B(n49114), .Y(n49116) );
+  sky130_fd_sc_hd__a22oi_1 U69155 ( .A1(n52949), .A2(n56323), .B1(n63652), 
+        .B2(n52948), .Y(n49118) );
+  sky130_fd_sc_hd__nand2_1 U69156 ( .A(n49119), .B(n49118), .Y(n73382) );
+  sky130_fd_sc_hd__a22oi_1 U69157 ( .A1(n49103), .A2(n73382), .B1(n49121), 
+        .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[7]), 
+        .Y(n49122) );
+  sky130_fd_sc_hd__mux2_2 U69158 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[39]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[7]), .S(n36973), .X(n85295) );
+  sky130_fd_sc_hd__mux2_2 U69159 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[39]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[7]), .S(n55502), .X(n85112) );
+  sky130_fd_sc_hd__nand2_1 U69160 ( .A(n56146), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_7[0]), .Y(
+        n49127) );
+  sky130_fd_sc_hd__o21ai_1 U69162 ( .A1(n49128), .A2(n51594), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_7[1]), .Y(
+        n49141) );
+  sky130_fd_sc_hd__nor2_1 U69163 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_7[1]), .B(
+        n56144), .Y(n49129) );
+  sky130_fd_sc_hd__nand2_1 U69165 ( .A(n49132), .B(n49131), .Y(n49137) );
+  sky130_fd_sc_hd__o21ai_1 U69166 ( .A1(n49135), .A2(n49134), .B1(n49133), .Y(
+        n49136) );
+  sky130_fd_sc_hd__xnor2_1 U69167 ( .A(n49137), .B(n49136), .Y(n49138) );
+  sky130_fd_sc_hd__a22oi_1 U69168 ( .A1(n55472), .A2(n85112), .B1(n49138), 
+        .B2(n56149), .Y(n49139) );
+  sky130_fd_sc_hd__nand3_1 U69169 ( .A(n49141), .B(n49140), .C(n49139), .Y(
+        n84879) );
+  sky130_fd_sc_hd__a22oi_1 U69170 ( .A1(n56803), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[31]), 
+        .B1(n56372), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[31]), 
+        .Y(n49143) );
+  sky130_fd_sc_hd__a22oi_1 U69171 ( .A1(n48207), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[31]), 
+        .B1(n56205), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[31]), 
+        .Y(n49142) );
+  sky130_fd_sc_hd__nand2_1 U69172 ( .A(n49143), .B(n49142), .Y(n49199) );
+  sky130_fd_sc_hd__a22oi_1 U69173 ( .A1(n56116), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[31]), 
+        .B1(n79921), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[31]), 
+        .Y(n49146) );
+  sky130_fd_sc_hd__a22oi_1 U69174 ( .A1(n63517), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[31]), .B1(n60000), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[31]), 
+        .Y(n49145) );
+  sky130_fd_sc_hd__a22oi_1 U69175 ( .A1(n63514), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[31]), .B1(n61078), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[31]), 
+        .Y(n49144) );
+  sky130_fd_sc_hd__nand3_1 U69176 ( .A(n49146), .B(n49145), .C(n49144), .Y(
+        n49147) );
+  sky130_fd_sc_hd__a21oi_1 U69177 ( .A1(n61081), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[31]), 
+        .B1(n49147), .Y(n49149) );
+  sky130_fd_sc_hd__o22ai_1 U69178 ( .A1(n49149), .A2(n56765), .B1(n49148), 
+        .B2(n36768), .Y(n49198) );
+  sky130_fd_sc_hd__o22ai_1 U69179 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_io_deq_bits_MPORT_addr[2]), .A2(n81281), .B1(n49897), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_MPORT_addr[2]), .Y(n49786) );
+  sky130_fd_sc_hd__o22ai_1 U69180 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_io_deq_bits_MPORT_addr[0]), .A2(n81284), .B1(n49782), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_MPORT_addr[0]), .Y(n49787) );
+  sky130_fd_sc_hd__o22ai_1 U69181 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_io_deq_bits_MPORT_addr[1]), .A2(n81282), .B1(n49784), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_MPORT_addr[1]), .Y(n49788) );
+  sky130_fd_sc_hd__nor3_1 U69182 ( .A(n49786), .B(n49787), .C(n49788), .Y(
+        n49781) );
+  sky130_fd_sc_hd__nor2b_1 U69183 ( .B_N(n49781), .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_maybe_full), .Y(n76393) );
+  sky130_fd_sc_hd__nor2_1 U69184 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_MPORT_addr[0]), .B(n49150), .Y(n49658) );
+  sky130_fd_sc_hd__a21oi_1 U69185 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_MPORT_addr[0]), .A2(n49150), .B1(n49658), .Y(n49654) );
+  sky130_fd_sc_hd__o22ai_1 U69186 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_io_deq_bits_MPORT_addr[2]), .A2(n81202), .B1(n63497), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_MPORT_addr[2]), .Y(n49663) );
+  sky130_fd_sc_hd__o22ai_1 U69187 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_io_deq_bits_MPORT_addr[1]), .A2(n81203), .B1(n49661), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_MPORT_addr[1]), .Y(n49659) );
+  sky130_fd_sc_hd__nor3b_1 U69188 ( .C_N(n49654), .A(n49663), .B(n49659), .Y(
+        n49666) );
+  sky130_fd_sc_hd__nor2b_1 U69189 ( .B_N(n49666), .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_maybe_full), .Y(n61047) );
+  sky130_fd_sc_hd__a22oi_1 U69190 ( .A1(n49905), .A2(n76393), .B1(n56869), 
+        .B2(n61047), .Y(n49172) );
+  sky130_fd_sc_hd__nor2_1 U69191 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_MPORT_addr[0]), .B(n49151), .Y(n49750) );
+  sky130_fd_sc_hd__a21oi_1 U69192 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_MPORT_addr[0]), .A2(n49151), .B1(n49750), .Y(n49746) );
+  sky130_fd_sc_hd__o22ai_1 U69193 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[2]), .A2(n80393), .B1(n80385), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_MPORT_addr[2]), .Y(n49755) );
+  sky130_fd_sc_hd__o22ai_1 U69194 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[1]), .A2(n80395), .B1(n49753), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_MPORT_addr[1]), .Y(n49751) );
+  sky130_fd_sc_hd__nor3b_1 U69195 ( .C_N(n49746), .A(n49755), .B(n49751), .Y(
+        n49758) );
+  sky130_fd_sc_hd__nand2b_1 U69196 ( .A_N(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_maybe_full), .B(n49758), .Y(n60922) );
+  sky130_fd_sc_hd__nand2_1 U69197 ( .A(n73475), .B(n49152), .Y(n56176) );
+  sky130_fd_sc_hd__nor2_1 U69198 ( .A(n60470), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_MPORT_addr[0]), .Y(n49565) );
+  sky130_fd_sc_hd__a21oi_1 U69199 ( .A1(n60470), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_MPORT_addr[0]), .B1(n49565), .Y(n49563) );
+  sky130_fd_sc_hd__o22ai_1 U69200 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_MPORT_addr[2]), .A2(n80403), .B1(n80408), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[2]), .Y(n49562) );
+  sky130_fd_sc_hd__o22ai_1 U69201 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[1]), .A2(n59506), .B1(n60469), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_MPORT_addr[1]), .Y(n49566) );
+  sky130_fd_sc_hd__nor3b_1 U69202 ( .C_N(n49563), .A(n49562), .B(n49566), .Y(
+        n56192) );
+  sky130_fd_sc_hd__nand2_1 U69203 ( .A(n56192), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_maybe_full), .Y(n56178) );
+  sky130_fd_sc_hd__o22ai_1 U69204 ( .A1(n60922), .A2(n60921), .B1(n56176), 
+        .B2(n56178), .Y(n49153) );
+  sky130_fd_sc_hd__nand2b_1 U69205 ( .A_N(n56914), .B(n49153), .Y(n49157) );
+  sky130_fd_sc_hd__nand2_1 U69206 ( .A(n56841), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[31]), 
+        .Y(n49156) );
+  sky130_fd_sc_hd__nand2_1 U69207 ( .A(n56842), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[31]), .Y(n49155) );
+  sky130_fd_sc_hd__nand2_1 U69208 ( .A(n56919), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[31]), .Y(n49154) );
+  sky130_fd_sc_hd__nand4_1 U69209 ( .A(n49157), .B(n49156), .C(n49155), .D(
+        n49154), .Y(n49168) );
+  sky130_fd_sc_hd__o22ai_1 U69210 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_io_deq_bits_MPORT_addr[2]), .A2(n81053), .B1(n81045), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_MPORT_addr[2]), .Y(n50005) );
+  sky130_fd_sc_hd__o22ai_1 U69211 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_io_deq_bits_MPORT_addr[0]), .A2(n56009), .B1(n50000), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_MPORT_addr[0]), .Y(n49994) );
+  sky130_fd_sc_hd__o22ai_1 U69212 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_io_deq_bits_MPORT_addr[1]), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_MPORT_addr[1]), .B1(n49158), .B2(n81052), .Y(n49996) );
+  sky130_fd_sc_hd__nor3_1 U69213 ( .A(n50005), .B(n49994), .C(n50001), .Y(
+        n50008) );
+  sky130_fd_sc_hd__nand2b_1 U69214 ( .A_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_maybe_full), .B(n50008), .Y(n61070) );
+  sky130_fd_sc_hd__o22ai_1 U69215 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_MPORT_addr[2]), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_io_deq_bits_MPORT_addr[2]), .B1(n81075), .B2(n81068), .Y(n49317) );
+  sky130_fd_sc_hd__nand2_1 U69216 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_io_deq_bits_MPORT_addr[0]), .B(n81074), .Y(n49319) );
+  sky130_fd_sc_hd__o22ai_1 U69218 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_io_deq_bits_MPORT_addr[1]), .A2(n81076), .B1(n79225), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_MPORT_addr[1]), .Y(n49320) );
+  sky130_fd_sc_hd__nor3b_1 U69219 ( .C_N(n49317), .A(n49321), .B(n49320), .Y(
+        n60443) );
+  sky130_fd_sc_hd__nand2_1 U69220 ( .A(n60443), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_maybe_full), .Y(n56620) );
+  sky130_fd_sc_hd__nand2_1 U69221 ( .A(n49159), .B(n81121), .Y(n56618) );
+  sky130_fd_sc_hd__nor2_1 U69222 ( .A(n56620), .B(n56618), .Y(n49160) );
+  sky130_fd_sc_hd__a22oi_1 U69223 ( .A1(n56749), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[31]), .B1(n49481), .B2(n49160), .Y(n49161) );
+  sky130_fd_sc_hd__o21ai_1 U69224 ( .A1(n61070), .A2(n37122), .B1(n49161), .Y(
+        n49166) );
+  sky130_fd_sc_hd__nor2_1 U69225 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_io_deq_bits_MPORT_addr[0]), .B(n81303), .Y(n49163) );
+  sky130_fd_sc_hd__o22ai_1 U69226 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_MPORT_addr[2]), .A2(n81297), .B1(n81304), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_io_deq_bits_MPORT_addr[2]), .Y(n49605) );
+  sky130_fd_sc_hd__o22ai_1 U69227 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_MPORT_addr[1]), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_io_deq_bits_MPORT_addr[1]), .B1(n81306), .B2(n78956), .Y(n49603) );
+  sky130_fd_sc_hd__nand2_1 U69228 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_io_deq_bits_MPORT_addr[0]), .B(n81303), .Y(n49609) );
+  sky130_fd_sc_hd__nand2_1 U69229 ( .A(n49603), .B(n49609), .Y(n49162) );
+  sky130_fd_sc_hd__nor3_1 U69230 ( .A(n49163), .B(n49605), .C(n49162), .Y(
+        n60546) );
+  sky130_fd_sc_hd__nand2_1 U69231 ( .A(n60546), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_maybe_full), .Y(n56627) );
+  sky130_fd_sc_hd__nor2_1 U69232 ( .A(n59327), .B(n59329), .Y(n73539) );
+  sky130_fd_sc_hd__nand2_1 U69233 ( .A(n73539), .B(n49164), .Y(n56637) );
+  sky130_fd_sc_hd__nor3_1 U69234 ( .A(n56627), .B(n56637), .C(n49499), .Y(
+        n49165) );
+  sky130_fd_sc_hd__a211o_1 U69235 ( .A1(n56843), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[31]), 
+        .B1(n49166), .C1(n49165), .X(n49167) );
+  sky130_fd_sc_hd__nor2_1 U69236 ( .A(n49168), .B(n49167), .Y(n49171) );
+  sky130_fd_sc_hd__nand2_1 U69237 ( .A(n56729), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_23[31]), .Y(n49170) );
+  sky130_fd_sc_hd__nand2_1 U69238 ( .A(n36788), .B(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_31_o_oe), .Y(n49169) );
+  sky130_fd_sc_hd__nand4_1 U69239 ( .A(n49172), .B(n49171), .C(n49170), .D(
+        n49169), .Y(n49197) );
+  sky130_fd_sc_hd__nor2_1 U69240 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_MPORT_addr[1]), .B(n49728), .Y(n49173) );
+  sky130_fd_sc_hd__a21oi_1 U69241 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_MPORT_addr[1]), .A2(n49728), .B1(n49173), .Y(n49732) );
+  sky130_fd_sc_hd__o22ai_1 U69242 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_io_deq_bits_MPORT_addr[2]), .A2(n81376), .B1(n81365), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_MPORT_addr[2]), .Y(n49730) );
+  sky130_fd_sc_hd__o22ai_1 U69243 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_io_deq_bits_MPORT_addr[0]), .A2(n81378), .B1(n49726), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_MPORT_addr[0]), .Y(n49733) );
+  sky130_fd_sc_hd__nor3b_1 U69244 ( .C_N(n49732), .A(n49730), .B(n49733), .Y(
+        n49738) );
+  sky130_fd_sc_hd__nor2b_1 U69245 ( .B_N(n49738), .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_maybe_full), .Y(n61083) );
+  sky130_fd_sc_hd__xor2_1 U69246 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_MPORT_addr[2]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_io_deq_bits_MPORT_addr[2]), .X(n62091) );
+  sky130_fd_sc_hd__nand2_1 U69247 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_MPORT_addr[1]), .Y(n49174) );
+  sky130_fd_sc_hd__o21a_1 U69248 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_io_deq_bits_MPORT_addr[1]), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_MPORT_addr[1]), .B1(n49174), .X(n49553) );
+  sky130_fd_sc_hd__nand2_1 U69249 ( .A(n49175), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_MPORT_addr[0]), .Y(n49176) );
+  sky130_fd_sc_hd__nand2_1 U69250 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_io_deq_bits_MPORT_addr[0]), .B(n56648), .Y(n49552) );
+  sky130_fd_sc_hd__nand2_1 U69251 ( .A(n49176), .B(n49552), .Y(n62088) );
+  sky130_fd_sc_hd__nand3_1 U69252 ( .A(n62089), .B(n49177), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_maybe_full), .Y(n49178) );
+  sky130_fd_sc_hd__nor2_1 U69253 ( .A(n62091), .B(n49178), .Y(n56640) );
+  sky130_fd_sc_hd__nand2_1 U69254 ( .A(n49770), .B(n62077), .Y(n49740) );
+  sky130_fd_sc_hd__nor4_1 U69255 ( .A(n49590), .B(n49589), .C(n49179), .D(
+        n49740), .Y(n49180) );
+  sky130_fd_sc_hd__a21oi_1 U69256 ( .A1(n61083), .A2(n49600), .B1(n49180), .Y(
+        n49195) );
+  sky130_fd_sc_hd__xor2_1 U69257 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_MPORT_addr[2]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_io_deq_bits_MPORT_addr[2]), .X(n60448) );
+  sky130_fd_sc_hd__nor2_1 U69258 ( .A(n79136), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_MPORT_addr[0]), .Y(n49486) );
+  sky130_fd_sc_hd__a21oi_1 U69259 ( .A1(n79136), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_MPORT_addr[0]), .B1(n49486), .Y(n60447) );
+  sky130_fd_sc_hd__nand2_1 U69260 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_MPORT_addr[1]), .Y(n49181) );
+  sky130_fd_sc_hd__o21a_1 U69261 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_io_deq_bits_MPORT_addr[1]), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_MPORT_addr[1]), .B1(n49181), .X(n60445) );
+  sky130_fd_sc_hd__nand3_1 U69262 ( .A(n60447), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_maybe_full), .C(n49182), .Y(n49183) );
+  sky130_fd_sc_hd__nor2_1 U69263 ( .A(n60448), .B(n49183), .Y(n56621) );
+  sky130_fd_sc_hd__nand2_1 U69264 ( .A(n60308), .B(n49413), .Y(n60975) );
+  sky130_fd_sc_hd__nor2_1 U69265 ( .A(n60975), .B(n49184), .Y(n56624) );
+  sky130_fd_sc_hd__o22ai_1 U69266 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[2]), .A2(n81000), .B1(n80992), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_MPORT_addr[2]), .Y(n49828) );
+  sky130_fd_sc_hd__o22ai_1 U69267 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[1]), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_MPORT_addr[1]), .B1(n49826), .B2(n80999), .Y(n49832) );
+  sky130_fd_sc_hd__nor2_1 U69268 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_MPORT_addr[0]), .B(n49185), .Y(n49831) );
+  sky130_fd_sc_hd__a21oi_1 U69269 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_MPORT_addr[0]), .A2(n49185), .B1(n49831), .Y(n49829) );
+  sky130_fd_sc_hd__nand3b_1 U69270 ( .A_N(n49828), .B(n49832), .C(n49829), .Y(
+        n49837) );
+  sky130_fd_sc_hd__nor2_1 U69271 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_maybe_full), .B(n49837), .Y(n60932) );
+  sky130_fd_sc_hd__o22ai_1 U69272 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_MPORT_addr[2]), .A2(n81008), .B1(n59504), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[2]), .Y(n49404) );
+  sky130_fd_sc_hd__nand2_1 U69273 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[0]), .B(n81013), .Y(n49399) );
+  sky130_fd_sc_hd__o22ai_1 U69275 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[1]), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_MPORT_addr[1]), .B1(n61833), .B2(n59502), .Y(n49396) );
+  sky130_fd_sc_hd__nor3_1 U69276 ( .A(n49404), .B(n49394), .C(n49400), .Y(
+        n51346) );
+  sky130_fd_sc_hd__nand2_1 U69277 ( .A(n51346), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_maybe_full), .Y(n53493) );
+  sky130_fd_sc_hd__nor3_1 U69278 ( .A(n53493), .B(n53496), .C(n53495), .Y(
+        n49186) );
+  sky130_fd_sc_hd__a21oi_1 U69279 ( .A1(n60932), .A2(n60936), .B1(n49186), .Y(
+        n49187) );
+  sky130_fd_sc_hd__o22ai_1 U69280 ( .A1(n56132), .A2(n50032), .B1(n49187), 
+        .B2(n52530), .Y(n49188) );
+  sky130_fd_sc_hd__a31oi_1 U69281 ( .A1(n49825), .A2(n56621), .A3(n56624), 
+        .B1(n49188), .Y(n49194) );
+  sky130_fd_sc_hd__o22ai_1 U69282 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_io_deq_bits_MPORT_addr[2]), .A2(n81136), .B1(n81128), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_MPORT_addr[2]), .Y(n49939) );
+  sky130_fd_sc_hd__o22ai_1 U69283 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_io_deq_bits_MPORT_addr[1]), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_MPORT_addr[1]), .B1(n49189), .B2(n81135), .Y(n49930) );
+  sky130_fd_sc_hd__o22ai_1 U69284 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_io_deq_bits_MPORT_addr[0]), .A2(n56162), .B1(n49934), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_MPORT_addr[0]), .Y(n49928) );
+  sky130_fd_sc_hd__nor3_1 U69285 ( .A(n49939), .B(n49935), .C(n49928), .Y(
+        n49942) );
+  sky130_fd_sc_hd__nor2b_1 U69286 ( .B_N(n49942), .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_maybe_full), .Y(n60978) );
+  sky130_fd_sc_hd__nand2_1 U69287 ( .A(n49745), .B(n60978), .Y(n49193) );
+  sky130_fd_sc_hd__inv_1 U69288 ( .A(n49588), .Y(n49771) );
+  sky130_fd_sc_hd__nand2_1 U69289 ( .A(n49450), .B(n49190), .Y(n49576) );
+  sky130_fd_sc_hd__nor2_1 U69290 ( .A(n61045), .B(n49576), .Y(n49228) );
+  sky130_fd_sc_hd__o22ai_1 U69291 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_MPORT_addr[2]), .A2(n81216), .B1(n81221), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_io_deq_bits_MPORT_addr[2]), .Y(n49519) );
+  sky130_fd_sc_hd__o22ai_1 U69292 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_MPORT_addr[1]), .A2(n79044), .B1(n81219), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_io_deq_bits_MPORT_addr[1]), .Y(n49516) );
+  sky130_fd_sc_hd__nand2_1 U69293 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_io_deq_bits_MPORT_addr[0]), .B(n49229), .Y(n49517) );
+  sky130_fd_sc_hd__o21ai_1 U69294 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_io_deq_bits_MPORT_addr[0]), .A2(n49229), .B1(n49517), .Y(n49512) );
+  sky130_fd_sc_hd__nor3_1 U69295 ( .A(n49519), .B(n49516), .C(n49512), .Y(
+        n60548) );
+  sky130_fd_sc_hd__nand2_1 U69296 ( .A(n60548), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_maybe_full), .Y(n49524) );
+  sky130_fd_sc_hd__nand3_1 U69297 ( .A(n49771), .B(n49228), .C(n49191), .Y(
+        n49192) );
+  sky130_fd_sc_hd__nand4_1 U69298 ( .A(n49195), .B(n49194), .C(n49193), .D(
+        n49192), .Y(n49196) );
+  sky130_fd_sc_hd__nor4_1 U69299 ( .A(n49199), .B(n49198), .C(n49197), .D(
+        n49196), .Y(n49209) );
+  sky130_fd_sc_hd__nor2_1 U69300 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_MPORT_addr[1]), .B(n49677), .Y(n49200) );
+  sky130_fd_sc_hd__a21oi_1 U69301 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_MPORT_addr[1]), .A2(n49677), .B1(n49200), .Y(n49675) );
+  sky130_fd_sc_hd__nor2_1 U69302 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_MPORT_addr[0]), .B(n49201), .Y(n49674) );
+  sky130_fd_sc_hd__a21oi_1 U69303 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_MPORT_addr[0]), .A2(n49201), .B1(n49674), .Y(n49669) );
+  sky130_fd_sc_hd__o22ai_1 U69304 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[2]), .A2(n80702), .B1(n80691), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_MPORT_addr[2]), .Y(n49679) );
+  sky130_fd_sc_hd__and3b_1 U69305 ( .B(n49675), .C(n49669), .A_N(n49679), .X(
+        n49682) );
+  sky130_fd_sc_hd__nand2b_1 U69306 ( .A_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_maybe_full), .B(n49682), .Y(n80683) );
+  sky130_fd_sc_hd__o22ai_1 U69307 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_MPORT_addr[2]), .A2(n80711), .B1(n61964), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_io_deq_bits_MPORT_addr[2]), .Y(n49234) );
+  sky130_fd_sc_hd__a22oi_1 U69308 ( .A1(n61625), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_MPORT_addr[1]), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_io_deq_bits_MPORT_addr[1]), .B2(n61966), .Y(n49238) );
+  sky130_fd_sc_hd__nor2_1 U69309 ( .A(n61624), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_MPORT_addr[0]), .Y(n49239) );
+  sky130_fd_sc_hd__a21oi_1 U69310 ( .A1(n61624), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_MPORT_addr[0]), .B1(n49239), .Y(n49236) );
+  sky130_fd_sc_hd__nand3b_1 U69311 ( .A_N(n49234), .B(n49238), .C(n49236), .Y(
+        n56016) );
+  sky130_fd_sc_hd__nand2b_1 U69312 ( .A_N(n56016), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_maybe_full), .Y(n55594) );
+  sky130_fd_sc_hd__nor2_1 U69313 ( .A(n49202), .B(n55597), .Y(n49203) );
+  sky130_fd_sc_hd__a211oi_1 U69314 ( .A1(n80683), .A2(n55597), .B1(n49203), 
+        .C1(n55596), .Y(n49204) );
+  sky130_fd_sc_hd__a22oi_1 U69315 ( .A1(n51688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[31]), .B1(n51690), .B2(n49204), .Y(n49206) );
+  sky130_fd_sc_hd__a22oi_1 U69316 ( .A1(n56802), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[31]), 
+        .B1(n86736), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[31]), 
+        .Y(n49205) );
+  sky130_fd_sc_hd__o211ai_1 U69317 ( .A1(n60210), .A2(n52871), .B1(n49206), 
+        .C1(n49205), .Y(n49207) );
+  sky130_fd_sc_hd__a21oi_1 U69318 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_23[31]), .A2(n56667), .B1(n49207), .Y(n49208) );
+  sky130_fd_sc_hd__nand2_1 U69319 ( .A(n49209), .B(n49208), .Y(n84431) );
+  sky130_fd_sc_hd__mux2_2 U69320 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[63]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[31]), .S(n55502), .X(n85090) );
+  sky130_fd_sc_hd__nand2_1 U69321 ( .A(n56146), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_31[0]), .Y(
+        n49210) );
+  sky130_fd_sc_hd__o21ai_1 U69323 ( .A1(n49211), .A2(n36818), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_31[1]), .Y(
+        n49216) );
+  sky130_fd_sc_hd__nor2_1 U69324 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_31[1]), .B(
+        n56144), .Y(n49212) );
+  sky130_fd_sc_hd__o21ai_0 U69325 ( .A1(n49212), .A2(n51388), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_31[0]), .Y(
+        n49215) );
+  sky130_fd_sc_hd__a22oi_1 U69326 ( .A1(n56151), .A2(n85090), .B1(n49213), 
+        .B2(n56149), .Y(n49214) );
+  sky130_fd_sc_hd__nand3_1 U69327 ( .A(n49216), .B(n49215), .C(n49214), .Y(
+        n84901) );
+  sky130_fd_sc_hd__nand2_1 U69328 ( .A(n36973), .B(n61003), .Y(n49218) );
+  sky130_fd_sc_hd__nand2_1 U69329 ( .A(n73564), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_2), .Y(n49220)
+         );
+  sky130_fd_sc_hd__nor2_1 U69330 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_io_full), .B(n59326), .Y(n61046) );
+  sky130_fd_sc_hd__buf_6 U69331 ( .A(n49226), .X(n67148) );
+  sky130_fd_sc_hd__mux2_2 U69332 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[63]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[31]), .S(n67148), .X(n79917) );
+  sky130_fd_sc_hd__o21ai_1 U69333 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_io_full), .A2(n59995), .B1(n79917), .Y(n49227) );
+  sky130_fd_sc_hd__a21oi_1 U69334 ( .A1(n62950), .A2(n62951), .B1(n37038), .Y(
+        n85856) );
+  sky130_fd_sc_hd__o22ai_1 U69335 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_fmt_endian), .A2(n80850), .B1(n51608), .B2(n80874), .Y(n85232) );
+  sky130_fd_sc_hd__nand2_1 U69336 ( .A(n49232), .B(n49231), .Y(n49276) );
+  sky130_fd_sc_hd__nor2_1 U69337 ( .A(n49276), .B(n55597), .Y(n80722) );
+  sky130_fd_sc_hd__a21oi_1 U69338 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_io_deq_bits_MPORT_addr[1]), .A2(n61966), .B1(n49233), .Y(n49235) );
+  sky130_fd_sc_hd__xor2_1 U69339 ( .A(n49235), .B(n49234), .X(n49243) );
+  sky130_fd_sc_hd__nand2_1 U69340 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_20[0]), .B(n49236), .Y(n49241) );
+  sky130_fd_sc_hd__a22oi_1 U69341 ( .A1(n49241), .A2(n49706), .B1(n49239), 
+        .B2(n49238), .Y(n49237) );
+  sky130_fd_sc_hd__o21ai_1 U69342 ( .A1(n49239), .A2(n49238), .B1(n49237), .Y(
+        n49240) );
+  sky130_fd_sc_hd__o21ai_1 U69343 ( .A1(n49241), .A2(n49706), .B1(n49240), .Y(
+        n49242) );
+  sky130_fd_sc_hd__maj3_1 U69344 ( .A(n49243), .B(n49242), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_20[2]), .X(n49244) );
+  sky130_fd_sc_hd__a222oi_1 U69345 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_20[3]), .A2(n55594), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_20[3]), .B2(n49244), .C1(n55594), .C2(n49244), .Y(n77880) );
+  sky130_fd_sc_hd__nand2b_1 U69346 ( .A_N(n49276), .B(n55597), .Y(n49685) );
+  sky130_fd_sc_hd__a22oi_1 U69347 ( .A1(n56829), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[16]), .B1(n80692), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[0]), .Y(n49246) );
+  sky130_fd_sc_hd__a22oi_1 U69348 ( .A1(n56830), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[8]), .B1(n80688), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[24]), .Y(n49245) );
+  sky130_fd_sc_hd__a21oi_1 U69349 ( .A1(n49246), .A2(n49245), .B1(n49698), .Y(
+        n49265) );
+  sky130_fd_sc_hd__a22oi_1 U69350 ( .A1(n56830), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[40]), .B1(n80688), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[56]), .Y(n49248) );
+  sky130_fd_sc_hd__a22oi_1 U69351 ( .A1(n56829), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[48]), .B1(n80692), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[32]), .Y(n49247) );
+  sky130_fd_sc_hd__a21oi_1 U69352 ( .A1(n49248), .A2(n49247), .B1(n49695), .Y(
+        n49264) );
+  sky130_fd_sc_hd__a22oi_1 U69353 ( .A1(n63182), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T_16[0]), .B1(n63160), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[0]), .Y(n49260) );
+  sky130_fd_sc_hd__nor3_1 U69354 ( .A(n49250), .B(n55597), .C(n60074), .Y(
+        n80731) );
+  sky130_fd_sc_hd__a22oi_1 U69355 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_6[0]), .A2(n80731), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[0]), .B2(n49686), .Y(n49257) );
+  sky130_fd_sc_hd__nand2_1 U69356 ( .A(n49251), .B(n55597), .Y(n49253) );
+  sky130_fd_sc_hd__nor2_1 U69357 ( .A(n49252), .B(n49253), .Y(n76422) );
+  sky130_fd_sc_hd__a22oi_1 U69358 ( .A1(n80732), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_4_0_), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_5_0_), .B2(n76422), .Y(n49256) );
+  sky130_fd_sc_hd__nor2_1 U69359 ( .A(n49254), .B(n49253), .Y(n80733) );
+  sky130_fd_sc_hd__nand2_1 U69360 ( .A(n80733), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_9[0]), .Y(n49255) );
+  sky130_fd_sc_hd__a31oi_1 U69361 ( .A1(n49257), .A2(n49256), .A3(n49255), 
+        .B1(n49689), .Y(n49258) );
+  sky130_fd_sc_hd__a21oi_1 U69362 ( .A1(n63183), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T[0]), .B1(n49258), .Y(n49259) );
+  sky130_fd_sc_hd__a21oi_1 U69363 ( .A1(n49260), .A2(n49259), .B1(n49694), .Y(
+        n49263) );
+  sky130_fd_sc_hd__nor2_1 U69364 ( .A(n49693), .B(n49261), .Y(n80737) );
+  sky130_fd_sc_hd__nand3_1 U69365 ( .A(n49689), .B(n49271), .C(n55597), .Y(
+        n51096) );
+  sky130_fd_sc_hd__o2bb2ai_1 U69366 ( .B1(n62853), .B2(n77883), .A1_N(n60016), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_15[0]), .Y(n49262) );
+  sky130_fd_sc_hd__or4_1 U69367 ( .A(n49265), .B(n49264), .C(n49263), .D(
+        n49262), .X(n49267) );
+  sky130_fd_sc_hd__nor2_1 U69368 ( .A(n55597), .B(n49707), .Y(n80727) );
+  sky130_fd_sc_hd__nor2_1 U69369 ( .A(n49266), .B(n49707), .Y(n80726) );
+  sky130_fd_sc_hd__a222oi_1 U69370 ( .A1(n49267), .A2(n49707), .B1(n80727), 
+        .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_20[0]), .C1(n80726), .C2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_21[0]), .Y(n49268) );
+  sky130_fd_sc_hd__a211oi_1 U69371 ( .A1(n49271), .A2(n49270), .B1(n49269), 
+        .C1(n49268), .Y(n49275) );
+  sky130_fd_sc_hd__nor2b_1 U69372 ( .B_N(n60071), .A(n49278), .Y(n73820) );
+  sky130_fd_sc_hd__a22oi_1 U69373 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_24_0_), .A2(n73820), .B1(n67262), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[0]), .Y(n49272) );
+  sky130_fd_sc_hd__nand2_1 U69374 ( .A(n49272), .B(n49273), .Y(n49274) );
+  sky130_fd_sc_hd__o22ai_1 U69375 ( .A1(n49275), .A2(n49274), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[0]), .B2(n49273), .Y(n49280) );
+  sky130_fd_sc_hd__o22ai_1 U69377 ( .A1(n77880), .A2(n49685), .B1(n49280), 
+        .B2(n49279), .Y(n49281) );
+  sky130_fd_sc_hd__a21oi_1 U69378 ( .A1(n80722), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_10[0]), .B1(n49281), .Y(n49296) );
+  sky130_fd_sc_hd__a22o_1 U69379 ( .A1(n56876), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[0]), 
+        .B1(n56875), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[0]), 
+        .X(n49293) );
+  sky130_fd_sc_hd__nor3_1 U69380 ( .A(n49283), .B(n61036), .C(n49282), .Y(
+        n49284) );
+  sky130_fd_sc_hd__a21oi_1 U69381 ( .A1(n36990), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[0]), 
+        .B1(n49284), .Y(n49291) );
+  sky130_fd_sc_hd__a22oi_1 U69382 ( .A1(n86736), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[0]), 
+        .B1(n56393), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[0]), 
+        .Y(n49289) );
+  sky130_fd_sc_hd__nor3_1 U69383 ( .A(n49357), .B(n61022), .C(n49286), .Y(
+        n49287) );
+  sky130_fd_sc_hd__a21oi_1 U69384 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[0]), 
+        .A2(n36814), .B1(n49287), .Y(n49288) );
+  sky130_fd_sc_hd__o211ai_1 U69385 ( .A1(n49291), .A2(n49290), .B1(n49289), 
+        .C1(n49288), .Y(n49292) );
+  sky130_fd_sc_hd__a211oi_1 U69386 ( .A1(n49014), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[0]), 
+        .B1(n49293), .C1(n49292), .Y(n49295) );
+  sky130_fd_sc_hd__nand2_1 U69387 ( .A(n56748), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_0[0]), .Y(n49294) );
+  sky130_fd_sc_hd__o211ai_1 U69388 ( .A1(n49296), .A2(n49668), .B1(n49295), 
+        .C1(n49294), .Y(n49297) );
+  sky130_fd_sc_hd__a22oi_1 U69389 ( .A1(n81046), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[0]), 
+        .B1(n56941), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[16]), 
+        .Y(n49299) );
+  sky130_fd_sc_hd__a22oi_1 U69390 ( .A1(n56940), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[8]), 
+        .B1(n81043), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[24]), 
+        .Y(n49298) );
+  sky130_fd_sc_hd__a21oi_1 U69391 ( .A1(n49299), .A2(n49298), .B1(n56942), .Y(
+        n49300) );
+  sky130_fd_sc_hd__nand3_1 U69392 ( .A(n49308), .B(n49301), .C(n73473), .Y(
+        n51050) );
+  sky130_fd_sc_hd__a21oi_1 U69393 ( .A1(n63157), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[0]), .B1(n63493), .Y(n49313) );
+  sky130_fd_sc_hd__a22oi_1 U69394 ( .A1(n59967), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_1[0]), .B1(n59966), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_10[0]), .Y(n49312) );
+  sky130_fd_sc_hd__nor2_1 U69395 ( .A(n49574), .B(n73473), .Y(n49579) );
+  sky130_fd_sc_hd__nor2b_1 U69396 ( .B_N(n49579), .A(n49303), .Y(n59993) );
+  sky130_fd_sc_hd__nand2_1 U69397 ( .A(n59993), .B(n49327), .Y(n84173) );
+  sky130_fd_sc_hd__nand2b_1 U69398 ( .A_N(n49303), .B(n49302), .Y(n84177) );
+  sky130_fd_sc_hd__o22ai_1 U69399 ( .A1(n84173), .A2(n56181), .B1(n84177), 
+        .B2(n80410), .Y(n49310) );
+  sky130_fd_sc_hd__nor2b_1 U69400 ( .B_N(n49305), .A(n49307), .Y(n80421) );
+  sky130_fd_sc_hd__o22ai_1 U69401 ( .A1(n80421), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[0]), .B1(n49304), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_3[0]), .Y(n49306) );
+  sky130_fd_sc_hd__nor2_1 U69402 ( .A(n49574), .B(n59994), .Y(n49507) );
+  sky130_fd_sc_hd__nand2_1 U69403 ( .A(n49507), .B(n49305), .Y(n77932) );
+  sky130_fd_sc_hd__o22ai_1 U69404 ( .A1(n49307), .A2(n49306), .B1(n77934), 
+        .B2(n77932), .Y(n49309) );
+  sky130_fd_sc_hd__o21bai_1 U69405 ( .A1(n49310), .A2(n49309), .B1_N(n49308), 
+        .Y(n49311) );
+  sky130_fd_sc_hd__a31oi_1 U69406 ( .A1(n49313), .A2(n49312), .A3(n49311), 
+        .B1(n56914), .Y(n49314) );
+  sky130_fd_sc_hd__o211ai_1 U69407 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_15[0]), .A2(n51050), .B1(n49315), .C1(n49314), .Y(n49332) );
+  sky130_fd_sc_hd__nor2_1 U69408 ( .A(n49479), .B(n49388), .Y(n81120) );
+  sky130_fd_sc_hd__nand2_1 U69409 ( .A(n49481), .B(n81120), .Y(n49329) );
+  sky130_fd_sc_hd__nor2_1 U69410 ( .A(n81121), .B(n49329), .Y(n50011) );
+  sky130_fd_sc_hd__nor2_1 U69411 ( .A(n49320), .B(n49319), .Y(n49316) );
+  sky130_fd_sc_hd__a21oi_1 U69412 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_io_deq_bits_MPORT_addr[1]), .A2(n81076), .B1(n49316), .Y(n49318) );
+  sky130_fd_sc_hd__xnor2_1 U69413 ( .A(n49318), .B(n49317), .Y(n49325) );
+  sky130_fd_sc_hd__xor2_1 U69414 ( .A(n49320), .B(n49319), .X(n49323) );
+  sky130_fd_sc_hd__nor2b_1 U69415 ( .B_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_6[16]), .A(n49321), .Y(n49322) );
+  sky130_fd_sc_hd__fa_1 U69416 ( .A(n49323), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_6[17]), .CIN(n49322), .COUT(n49324), .SUM() );
+  sky130_fd_sc_hd__fa_1 U69417 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_6[18]), .B(n49325), .CIN(n49324), .COUT(n49326), .SUM() );
+  sky130_fd_sc_hd__maj3_1 U69418 ( .A(n56620), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_6[19]), .C(n49326), .X(n77813) );
+  sky130_fd_sc_hd__nand2_1 U69419 ( .A(n49328), .B(n49327), .Y(n49575) );
+  sky130_fd_sc_hd__nand2b_1 U69420 ( .A_N(n49575), .B(n49579), .Y(n84174) );
+  sky130_fd_sc_hd__nor2_1 U69421 ( .A(n49573), .B(n84174), .Y(n50012) );
+  sky130_fd_sc_hd__a22oi_1 U69422 ( .A1(n50011), .A2(n77813), .B1(n50012), 
+        .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_4[0]), .Y(n49331) );
+  sky130_fd_sc_hd__nor2_1 U69423 ( .A(n49389), .B(n49329), .Y(n50010) );
+  sky130_fd_sc_hd__nand2_1 U69424 ( .A(n50010), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_8[0]), .Y(n49330) );
+  sky130_fd_sc_hd__nand2_1 U69425 ( .A(n56311), .B(n49333), .Y(n49338) );
+  sky130_fd_sc_hd__nand2_1 U69426 ( .A(n56312), .B(n68263), .Y(n49337) );
+  sky130_fd_sc_hd__nand2_1 U69427 ( .A(n56313), .B(n49334), .Y(n49336) );
+  sky130_fd_sc_hd__nand2_1 U69428 ( .A(n56314), .B(n68257), .Y(n49335) );
+  sky130_fd_sc_hd__nand4_1 U69429 ( .A(n49338), .B(n49337), .C(n49336), .D(
+        n49335), .Y(n49345) );
+  sky130_fd_sc_hd__nand2_1 U69430 ( .A(n56311), .B(n67361), .Y(n49344) );
+  sky130_fd_sc_hd__nand2_1 U69431 ( .A(n56312), .B(n67367), .Y(n49343) );
+  sky130_fd_sc_hd__nand2_1 U69432 ( .A(n56313), .B(n49339), .Y(n49342) );
+  sky130_fd_sc_hd__nand2_1 U69433 ( .A(n56314), .B(n49340), .Y(n49341) );
+  sky130_fd_sc_hd__nand4_1 U69434 ( .A(n49344), .B(n49343), .C(n49342), .D(
+        n49341), .Y(n51126) );
+  sky130_fd_sc_hd__a22oi_1 U69435 ( .A1(n49345), .A2(n56878), .B1(n51126), 
+        .B2(n56881), .Y(n49356) );
+  sky130_fd_sc_hd__o22ai_1 U69436 ( .A1(n67231), .A2(n56320), .B1(n67225), 
+        .B2(n56319), .Y(n49349) );
+  sky130_fd_sc_hd__nand2_1 U69437 ( .A(n56314), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[13]), .Y(n49347) );
+  sky130_fd_sc_hd__nand2_1 U69438 ( .A(n56313), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[12]), .Y(n49346) );
+  sky130_fd_sc_hd__nand2_1 U69439 ( .A(n49347), .B(n49346), .Y(n49348) );
+  sky130_fd_sc_hd__nor2_1 U69440 ( .A(n49349), .B(n49348), .Y(n56659) );
+  sky130_fd_sc_hd__nand2_1 U69441 ( .A(n56659), .B(n56323), .Y(n49355) );
+  sky130_fd_sc_hd__o22ai_1 U69442 ( .A1(n73511), .A2(n56320), .B1(n67327), 
+        .B2(n56319), .Y(n49353) );
+  sky130_fd_sc_hd__nand2_1 U69443 ( .A(n56314), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[9]), 
+        .Y(n49351) );
+  sky130_fd_sc_hd__nand2_1 U69444 ( .A(n56313), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[8]), 
+        .Y(n49350) );
+  sky130_fd_sc_hd__nand2_1 U69445 ( .A(n49351), .B(n49350), .Y(n49352) );
+  sky130_fd_sc_hd__nor2_1 U69446 ( .A(n49353), .B(n49352), .Y(n56660) );
+  sky130_fd_sc_hd__nand2_1 U69447 ( .A(n56660), .B(n63652), .Y(n49354) );
+  sky130_fd_sc_hd__nand3_1 U69448 ( .A(n49356), .B(n49355), .C(n49354), .Y(
+        n73385) );
+  sky130_fd_sc_hd__nor3_1 U69449 ( .A(n49105), .B(n49359), .C(n49358), .Y(
+        n49360) );
+  sky130_fd_sc_hd__a21oi_1 U69450 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[0]), 
+        .A2(n56275), .B1(n49360), .Y(n49361) );
+  sky130_fd_sc_hd__a22oi_1 U69452 ( .A1(n56932), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[0]), 
+        .B1(n49086), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[0]), 
+        .Y(n49365) );
+  sky130_fd_sc_hd__a22oi_1 U69453 ( .A1(n49008), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[0]), 
+        .B1(n56929), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[0]), 
+        .Y(n49364) );
+  sky130_fd_sc_hd__a22oi_1 U69454 ( .A1(n56695), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[0]), .B1(n49101), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[0]), 
+        .Y(n49363) );
+  sky130_fd_sc_hd__nand2_1 U69455 ( .A(n49121), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[0]), 
+        .Y(n49362) );
+  sky130_fd_sc_hd__nand4_1 U69456 ( .A(n49365), .B(n49364), .C(n49363), .D(
+        n49362), .Y(n49536) );
+  sky130_fd_sc_hd__o22ai_1 U69457 ( .A1(n67247), .A2(n36849), .B1(n67292), 
+        .B2(n37001), .Y(n49367) );
+  sky130_fd_sc_hd__o2bb2ai_1 U69458 ( .B1(n67298), .B2(n56284), .A1_N(n56279), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[8]), 
+        .Y(n49366) );
+  sky130_fd_sc_hd__nor2_1 U69459 ( .A(n49367), .B(n49366), .Y(n51104) );
+  sky130_fd_sc_hd__o22ai_1 U69460 ( .A1(n67185), .A2(n51099), .B1(n67180), 
+        .B2(n37001), .Y(n49369) );
+  sky130_fd_sc_hd__o2bb2ai_1 U69461 ( .B1(n67168), .B2(n56284), .A1_N(n48917), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[12]), 
+        .Y(n49368) );
+  sky130_fd_sc_hd__nor2_1 U69462 ( .A(n49369), .B(n49368), .Y(n51097) );
+  sky130_fd_sc_hd__mux2_2 U69463 ( .A0(n51104), .A1(n51097), .S(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[2]), .X(n56727) );
+  sky130_fd_sc_hd__nand2_1 U69464 ( .A(n56727), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[3]), .Y(n49376) );
+  sky130_fd_sc_hd__o22ai_1 U69465 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[3]), 
+        .A2(n36849), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[2]), 
+        .B2(n37001), .Y(n49371) );
+  sky130_fd_sc_hd__o22ai_1 U69466 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[1]), 
+        .A2(n56284), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[0]), 
+        .B2(n56283), .Y(n49370) );
+  sky130_fd_sc_hd__o22ai_1 U69468 ( .A1(n67349), .A2(n36849), .B1(n67375), 
+        .B2(n37001), .Y(n49373) );
+  sky130_fd_sc_hd__nor2_1 U69469 ( .A(n49373), .B(n49372), .Y(n51105) );
+  sky130_fd_sc_hd__nand2_1 U69470 ( .A(n51105), .B(n63064), .Y(n49374) );
+  sky130_fd_sc_hd__a22oi_1 U69471 ( .A1(n56729), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[0]), .B1(n56817), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[0]), 
+        .Y(n49460) );
+  sky130_fd_sc_hd__nor2_1 U69472 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[2]), .B(n56261), .Y(n61610) );
+  sky130_fd_sc_hd__o22ai_1 U69473 ( .A1(n67243), .A2(n56253), .B1(n67314), 
+        .B2(n56252), .Y(n49378) );
+  sky130_fd_sc_hd__o2bb2ai_1 U69474 ( .B1(n67311), .B2(n56255), .A1_N(n56247), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[8]), 
+        .Y(n49377) );
+  sky130_fd_sc_hd__nor2_1 U69475 ( .A(n49378), .B(n49377), .Y(n56671) );
+  sky130_fd_sc_hd__nand2_1 U69476 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[2]), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[3]), .Y(n50867) );
+  sky130_fd_sc_hd__o22ai_1 U69477 ( .A1(n67108), .A2(n56253), .B1(n67206), 
+        .B2(n56252), .Y(n49380) );
+  sky130_fd_sc_hd__o2bb2ai_1 U69478 ( .B1(n67199), .B2(n56255), .A1_N(n56247), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[12]), .Y(n49379) );
+  sky130_fd_sc_hd__nor2_1 U69479 ( .A(n49380), .B(n49379), .Y(n56673) );
+  sky130_fd_sc_hd__o22a_1 U69480 ( .A1(n56259), .A2(n56671), .B1(n50867), .B2(
+        n56673), .X(n49387) );
+  sky130_fd_sc_hd__a22o_1 U69481 ( .A1(n56246), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[3]), 
+        .B1(n52956), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[2]), 
+        .X(n49382) );
+  sky130_fd_sc_hd__a22o_1 U69482 ( .A1(n56247), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[0]), 
+        .B1(n56248), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[1]), 
+        .X(n49381) );
+  sky130_fd_sc_hd__a22oi_1 U69484 ( .A1(n56247), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[4]), 
+        .B1(n56248), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[5]), 
+        .Y(n49384) );
+  sky130_fd_sc_hd__a22oi_1 U69485 ( .A1(n56246), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[7]), 
+        .B1(n52956), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[6]), 
+        .Y(n49383) );
+  sky130_fd_sc_hd__nand2_1 U69486 ( .A(n49384), .B(n49383), .Y(n51158) );
+  sky130_fd_sc_hd__nand2_1 U69487 ( .A(n51158), .B(n67464), .Y(n49385) );
+  sky130_fd_sc_hd__nand3_1 U69488 ( .A(n49387), .B(n49386), .C(n49385), .Y(
+        n67920) );
+  sky130_fd_sc_hd__nand3_1 U69489 ( .A(n49389), .B(n49479), .C(n49388), .Y(
+        n84196) );
+  sky130_fd_sc_hd__nand2_1 U69490 ( .A(n49481), .B(n49390), .Y(n52995) );
+  sky130_fd_sc_hd__a22oi_1 U69491 ( .A1(n56840), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[0]), .B1(n56379), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_prepend_T_7_0_), .Y(n49456) );
+  sky130_fd_sc_hd__nor2_1 U69492 ( .A(n49392), .B(n49391), .Y(n73543) );
+  sky130_fd_sc_hd__nor2_1 U69493 ( .A(n49442), .B(n49437), .Y(n49416) );
+  sky130_fd_sc_hd__nand2_1 U69494 ( .A(n49417), .B(n49416), .Y(n49425) );
+  sky130_fd_sc_hd__nor2_1 U69495 ( .A(n49392), .B(n49425), .Y(n81021) );
+  sky130_fd_sc_hd__nor2_1 U69496 ( .A(n49393), .B(n49426), .Y(n49839) );
+  sky130_fd_sc_hd__nor2b_1 U69497 ( .B_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_20[0]), .A(n49394), .Y(n49398) );
+  sky130_fd_sc_hd__a22oi_1 U69498 ( .A1(n49398), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_20[1]), .B1(n49399), .B2(n49396), .Y(n49395) );
+  sky130_fd_sc_hd__o21ai_1 U69500 ( .A1(n49398), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_20[1]), .B1(n49397), .Y(n49406) );
+  sky130_fd_sc_hd__nor2_1 U69501 ( .A(n49400), .B(n49399), .Y(n49401) );
+  sky130_fd_sc_hd__a21oi_1 U69502 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[1]), .A2(n59502), .B1(n49401), .Y(n49403) );
+  sky130_fd_sc_hd__a22oi_1 U69503 ( .A1(n49407), .A2(n49406), .B1(n49404), 
+        .B2(n49403), .Y(n49402) );
+  sky130_fd_sc_hd__o21ai_1 U69504 ( .A1(n49404), .A2(n49403), .B1(n49402), .Y(
+        n49405) );
+  sky130_fd_sc_hd__maj3_1 U69506 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_20[3]), .B(n49408), .C(n53493), .X(n77821) );
+  sky130_fd_sc_hd__a22oi_1 U69507 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_10[0]), .A2(n81021), .B1(n49839), .B2(n77821), .Y(n49409) );
+  sky130_fd_sc_hd__nand2_1 U69508 ( .A(n85232), .B(n51609), .Y(n56131) );
+  sky130_fd_sc_hd__o22ai_1 U69509 ( .A1(n49410), .A2(n49841), .B1(n56131), 
+        .B2(n51313), .Y(n49411) );
+  sky130_fd_sc_hd__a21oi_1 U69510 ( .A1(n56749), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[0]), .B1(n49411), .Y(n49455) );
+  sky130_fd_sc_hd__nand3_1 U69511 ( .A(n60976), .B(n49413), .C(n49412), .Y(
+        n73542) );
+  sky130_fd_sc_hd__nand2_1 U69512 ( .A(n49416), .B(n49415), .Y(n49864) );
+  sky130_fd_sc_hd__nor2_1 U69513 ( .A(n49438), .B(n49864), .Y(n81026) );
+  sky130_fd_sc_hd__nor2_1 U69514 ( .A(n49417), .B(n49864), .Y(n81025) );
+  sky130_fd_sc_hd__a22oi_1 U69515 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_20[0]), .A2(n81026), .B1(n81025), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_21[0]), .Y(n49448) );
+  sky130_fd_sc_hd__a22oi_1 U69516 ( .A1(n56835), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[16]), .B1(n56834), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[8]), .Y(n49419) );
+  sky130_fd_sc_hd__a22oi_1 U69517 ( .A1(n80993), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[0]), .B1(n80990), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[24]), .Y(n49418) );
+  sky130_fd_sc_hd__a21oi_1 U69518 ( .A1(n49419), .A2(n49418), .B1(n51302), .Y(
+        n49446) );
+  sky130_fd_sc_hd__a22oi_1 U69519 ( .A1(n56835), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[48]), .B1(n56834), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[40]), .Y(n49421) );
+  sky130_fd_sc_hd__a22oi_1 U69520 ( .A1(n80993), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[32]), .B1(n80990), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[56]), .Y(n49420) );
+  sky130_fd_sc_hd__a21oi_1 U69521 ( .A1(n49421), .A2(n49420), .B1(n51305), .Y(
+        n49445) );
+  sky130_fd_sc_hd__nand2_1 U69522 ( .A(n49424), .B(n49423), .Y(n60012) );
+  sky130_fd_sc_hd__nor2_1 U69523 ( .A(n49425), .B(n60012), .Y(n81031) );
+  sky130_fd_sc_hd__a22oi_1 U69524 ( .A1(n60014), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[0]), .B1(n81031), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_4_0_), .Y(n49432) );
+  sky130_fd_sc_hd__nor3_1 U69525 ( .A(n49442), .B(n53495), .C(n60012), .Y(
+        n81030) );
+  sky130_fd_sc_hd__nand2b_1 U69526 ( .A_N(n60012), .B(n49438), .Y(n49427) );
+  sky130_fd_sc_hd__nor2_1 U69527 ( .A(n49426), .B(n49427), .Y(n76419) );
+  sky130_fd_sc_hd__a22oi_1 U69528 ( .A1(n81030), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_6[0]), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_5_0_), .B2(n76419), .Y(n49431) );
+  sky130_fd_sc_hd__nor2_1 U69529 ( .A(n49428), .B(n49427), .Y(n81032) );
+  sky130_fd_sc_hd__nand2_1 U69530 ( .A(n81032), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_9[0]), .Y(n49430) );
+  sky130_fd_sc_hd__a31oi_1 U69531 ( .A1(n49432), .A2(n49431), .A3(n49430), 
+        .B1(n49429), .Y(n49433) );
+  sky130_fd_sc_hd__a21oi_1 U69532 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T_16[0]), .A2(n63697), .B1(n49433), .Y(n49436) );
+  sky130_fd_sc_hd__a22oi_1 U69533 ( .A1(n63695), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T[0]), .B1(n63158), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[0]), .Y(n49435) );
+  sky130_fd_sc_hd__a21oi_1 U69534 ( .A1(n49436), .A2(n49435), .B1(n49855), .Y(
+        n49444) );
+  sky130_fd_sc_hd__nor2_1 U69535 ( .A(n49438), .B(n49437), .Y(n49854) );
+  sky130_fd_sc_hd__nor2_1 U69536 ( .A(n49439), .B(n53496), .Y(n81039) );
+  sky130_fd_sc_hd__nor3_1 U69537 ( .A(n49442), .B(n49441), .C(n49440), .Y(
+        n60064) );
+  sky130_fd_sc_hd__nor4_1 U69538 ( .A(n49446), .B(n49445), .C(n49444), .D(
+        n49443), .Y(n49447) );
+  sky130_fd_sc_hd__a21oi_1 U69539 ( .A1(n49448), .A2(n49447), .B1(n52530), .Y(
+        n49449) );
+  sky130_fd_sc_hd__a31oi_1 U69540 ( .A1(n49825), .A2(n62013), .A3(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_8[0]), .B1(n49449), .Y(n49454) );
+  sky130_fd_sc_hd__nand3_1 U69541 ( .A(n49452), .B(n62071), .C(n49451), .Y(
+        n84203) );
+  sky130_fd_sc_hd__nor2_1 U69542 ( .A(n84203), .B(n49588), .Y(n56345) );
+  sky130_fd_sc_hd__nand2_1 U69543 ( .A(n56345), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_prepend_T_7_0_), .Y(n49453) );
+  sky130_fd_sc_hd__nand4_1 U69544 ( .A(n49456), .B(n49455), .C(n49454), .D(
+        n49453), .Y(n49457) );
+  sky130_fd_sc_hd__a31oi_1 U69545 ( .A1(n49458), .A2(n49462), .A3(n67920), 
+        .B1(n49457), .Y(n49459) );
+  sky130_fd_sc_hd__nand2_1 U69546 ( .A(n48976), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[0]), 
+        .Y(n49469) );
+  sky130_fd_sc_hd__a22oi_1 U69547 ( .A1(n56681), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[0]), 
+        .B1(n56843), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[0]), 
+        .Y(n49468) );
+  sky130_fd_sc_hd__a22oi_1 U69548 ( .A1(n56296), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[0]), .B1(n56919), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[0]), .Y(n49467) );
+  sky130_fd_sc_hd__nand4_1 U69549 ( .A(n49465), .B(n49464), .C(n49463), .D(
+        n49462), .Y(n49466) );
+  sky130_fd_sc_hd__nor2_1 U69550 ( .A(n49470), .B(n73538), .Y(n49498) );
+  sky130_fd_sc_hd__nand2b_1 U69551 ( .A_N(n59329), .B(n49498), .Y(n73477) );
+  sky130_fd_sc_hd__nor2_1 U69552 ( .A(n73477), .B(n49499), .Y(n56380) );
+  sky130_fd_sc_hd__a22oi_1 U69553 ( .A1(n56889), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[8]), 
+        .B1(n81126), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[24]), 
+        .Y(n49472) );
+  sky130_fd_sc_hd__a22oi_1 U69554 ( .A1(n81129), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[0]), 
+        .B1(n56888), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[16]), 
+        .Y(n49471) );
+  sky130_fd_sc_hd__a21oi_1 U69555 ( .A1(n49472), .A2(n49471), .B1(n56890), .Y(
+        n49505) );
+  sky130_fd_sc_hd__nand2_1 U69556 ( .A(n60308), .B(n60306), .Y(n49495) );
+  sky130_fd_sc_hd__nor2_1 U69557 ( .A(n60976), .B(n49495), .Y(n63520) );
+  sky130_fd_sc_hd__nand2_1 U69558 ( .A(n49825), .B(n63520), .Y(n53010) );
+  sky130_fd_sc_hd__nor2_1 U69559 ( .A(n49474), .B(n49473), .Y(n76345) );
+  sky130_fd_sc_hd__a22oi_1 U69560 ( .A1(n81043), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[56]), 
+        .B1(n56941), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[48]), 
+        .Y(n49476) );
+  sky130_fd_sc_hd__a22oi_1 U69561 ( .A1(n56940), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[40]), 
+        .B1(n81046), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[32]), 
+        .Y(n49475) );
+  sky130_fd_sc_hd__a21oi_1 U69562 ( .A1(n49476), .A2(n49475), .B1(n56898), .Y(
+        n49477) );
+  sky130_fd_sc_hd__a211oi_1 U69563 ( .A1(n56356), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_prepend_T_7_0_), .B1(n49478), .C1(n49477), .Y(n49503) );
+  sky130_fd_sc_hd__nand2_1 U69564 ( .A(n49479), .B(n62026), .Y(n84199) );
+  sky130_fd_sc_hd__clkinv_1 U69565 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_6[17]), .Y(n49485) );
+  sky130_fd_sc_hd__nand2_1 U69566 ( .A(n60447), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_6[16]), .Y(n49483) );
+  sky130_fd_sc_hd__a21oi_1 U69568 ( .A1(n49486), .A2(n60445), .B1(n49482), .Y(
+        n49484) );
+  sky130_fd_sc_hd__o22ai_1 U69569 ( .A1(n49485), .A2(n49484), .B1(n49483), 
+        .B2(n60445), .Y(n49490) );
+  sky130_fd_sc_hd__nor2b_1 U69570 ( .B_N(n49486), .A(n60445), .Y(n49487) );
+  sky130_fd_sc_hd__a21oi_1 U69571 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_io_deq_bits_MPORT_addr[1]), .A2(n76258), .B1(n49487), .Y(n49488) );
+  sky130_fd_sc_hd__xor2_1 U69572 ( .A(n60448), .B(n49488), .X(n49489) );
+  sky130_fd_sc_hd__maj3_1 U69573 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_6[18]), .B(n49490), .C(n49489), .X(n49491) );
+  sky130_fd_sc_hd__nor2_1 U69574 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_6[19]), .B(n49491), .Y(n49492) );
+  sky130_fd_sc_hd__o2bb2ai_1 U69575 ( .B1(n56621), .B2(n49492), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_6[19]), .A2_N(n49491), .Y(n77804) );
+  sky130_fd_sc_hd__nor3_1 U69576 ( .A(n60308), .B(n49493), .C(n49944), .Y(
+        n49494) );
+  sky130_fd_sc_hd__a21oi_1 U69577 ( .A1(n37077), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_5[0]), .B1(n49494), .Y(n49502) );
+  sky130_fd_sc_hd__nand2_1 U69578 ( .A(n49496), .B(n60976), .Y(n63525) );
+  sky130_fd_sc_hd__nor2_1 U69579 ( .A(n63525), .B(n49497), .Y(n56357) );
+  sky130_fd_sc_hd__a22oi_1 U69580 ( .A1(n56357), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_5[0]), .B1(n48818), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[0]), .Y(n49501) );
+  sky130_fd_sc_hd__nand2_1 U69581 ( .A(n59329), .B(n49498), .Y(n81351) );
+  sky130_fd_sc_hd__nor2_1 U69582 ( .A(n81351), .B(n49499), .Y(n56386) );
+  sky130_fd_sc_hd__nand2_1 U69583 ( .A(n56386), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_prepend_T_7_0_), .Y(n49500) );
+  sky130_fd_sc_hd__nand4_1 U69584 ( .A(n49503), .B(n49502), .C(n49501), .D(
+        n49500), .Y(n49504) );
+  sky130_fd_sc_hd__nand2_1 U69585 ( .A(n49508), .B(n73474), .Y(n49509) );
+  sky130_fd_sc_hd__nor2_1 U69586 ( .A(n73473), .B(n49509), .Y(n51674) );
+  sky130_fd_sc_hd__a22oi_1 U69587 ( .A1(n50877), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146_0), 
+        .B1(n51674), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_20[0]), .Y(n49531) );
+  sky130_fd_sc_hd__nor2_1 U69588 ( .A(n73475), .B(n49509), .Y(n51763) );
+  sky130_fd_sc_hd__a22oi_1 U69589 ( .A1(n49002), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[0]), 
+        .B1(n51763), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_21[0]), .Y(n49530) );
+  sky130_fd_sc_hd__a22oi_1 U69590 ( .A1(n56889), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[40]), 
+        .B1(n81129), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[32]), 
+        .Y(n49511) );
+  sky130_fd_sc_hd__a22oi_1 U69591 ( .A1(n81126), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[56]), 
+        .B1(n56888), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[48]), 
+        .Y(n49510) );
+  sky130_fd_sc_hd__a21oi_1 U69592 ( .A1(n49511), .A2(n49510), .B1(n56862), .Y(
+        n49528) );
+  sky130_fd_sc_hd__nor2b_1 U69593 ( .B_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_6[16]), .A(n49512), .Y(n49515) );
+  sky130_fd_sc_hd__nor2_1 U69594 ( .A(n49516), .B(n49517), .Y(n49513) );
+  sky130_fd_sc_hd__a21oi_1 U69595 ( .A1(n49516), .A2(n49517), .B1(n49513), .Y(
+        n49514) );
+  sky130_fd_sc_hd__maj3_1 U69596 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_6[17]), .B(n49515), .C(n49514), .X(n49521) );
+  sky130_fd_sc_hd__o22ai_1 U69597 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_MPORT_addr[1]), .A2(n79044), .B1(n49517), .B2(n49516), .Y(n49518) );
+  sky130_fd_sc_hd__xnor2_1 U69598 ( .A(n49519), .B(n49518), .Y(n49520) );
+  sky130_fd_sc_hd__maj3_1 U69599 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_6[18]), .B(n49521), .C(n49520), .X(n49522) );
+  sky130_fd_sc_hd__a21oi_1 U69600 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_6[19]), .A2(n49524), .B1(n49522), .Y(n49523) );
+  sky130_fd_sc_hd__o21bai_1 U69601 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_6[19]), .A2(n49524), .B1_N(n49523), .Y(n77796) );
+  sky130_fd_sc_hd__nand2_1 U69602 ( .A(n49526), .B(n61045), .Y(n49714) );
+  sky130_fd_sc_hd__nor2_1 U69603 ( .A(n77796), .B(n49714), .Y(n49527) );
+  sky130_fd_sc_hd__nand4_1 U69604 ( .A(n49532), .B(n49531), .C(n49530), .D(
+        n49529), .Y(n49533) );
+  sky130_fd_sc_hd__nand4_1 U69606 ( .A(n37117), .B(n38389), .C(n38387), .D(
+        n49534), .Y(n49535) );
+  sky130_fd_sc_hd__a22oi_1 U69607 ( .A1(n56811), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[0]), 
+        .B1(n56810), .B2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_out_f_data_0), 
+        .Y(n49641) );
+  sky130_fd_sc_hd__a22oi_1 U69608 ( .A1(n56804), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[0]), 
+        .B1(n48207), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[0]), 
+        .Y(n49539) );
+  sky130_fd_sc_hd__a22oi_1 U69609 ( .A1(n56123), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[0]), 
+        .B1(n36789), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[0]), 
+        .Y(n49538) );
+  sky130_fd_sc_hd__nand2_1 U69610 ( .A(n49539), .B(n49538), .Y(n49543) );
+  sky130_fd_sc_hd__a22oi_1 U69611 ( .A1(n81193), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[56]), 
+        .B1(n56857), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[48]), 
+        .Y(n49541) );
+  sky130_fd_sc_hd__a22oi_1 U69612 ( .A1(n81194), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[32]), 
+        .B1(n56858), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[40]), 
+        .Y(n49540) );
+  sky130_fd_sc_hd__a21oi_1 U69613 ( .A1(n49541), .A2(n49540), .B1(n52639), .Y(
+        n49542) );
+  sky130_fd_sc_hd__a22oi_1 U69614 ( .A1(n81193), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[24]), 
+        .B1(n56857), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[16]), 
+        .Y(n49545) );
+  sky130_fd_sc_hd__a22oi_1 U69615 ( .A1(n81194), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[0]), 
+        .B1(n56858), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[8]), 
+        .Y(n49544) );
+  sky130_fd_sc_hd__a21oi_1 U69616 ( .A1(n49545), .A2(n49544), .B1(n52642), .Y(
+        n49639) );
+  sky130_fd_sc_hd__a22oi_1 U69617 ( .A1(n61081), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[0]), 
+        .B1(n63517), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[0]), 
+        .Y(n49549) );
+  sky130_fd_sc_hd__a22o_1 U69618 ( .A1(n61078), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[0]), 
+        .B1(n60000), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[0]), 
+        .X(n49546) );
+  sky130_fd_sc_hd__a21oi_1 U69619 ( .A1(n63514), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[0]), 
+        .B1(n49546), .Y(n49548) );
+  sky130_fd_sc_hd__nand2_1 U69620 ( .A(n79921), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[0]), 
+        .Y(n49547) );
+  sky130_fd_sc_hd__a31oi_1 U69621 ( .A1(n49549), .A2(n49548), .A3(n49547), 
+        .B1(n56765), .Y(n49587) );
+  sky130_fd_sc_hd__nand2_1 U69622 ( .A(n49590), .B(n49589), .Y(n49741) );
+  sky130_fd_sc_hd__nor2_1 U69623 ( .A(n49553), .B(n49552), .Y(n49550) );
+  sky130_fd_sc_hd__a21oi_1 U69624 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_io_deq_bits_MPORT_addr[1]), .A2(n76248), .B1(n49550), .Y(n49551) );
+  sky130_fd_sc_hd__xor2_1 U69625 ( .A(n49551), .B(n62091), .X(n49557) );
+  sky130_fd_sc_hd__xor2_1 U69626 ( .A(n49553), .B(n49552), .X(n49555) );
+  sky130_fd_sc_hd__nor2b_1 U69627 ( .B_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_6[16]), .A(n62088), .Y(n49554) );
+  sky130_fd_sc_hd__maj3_1 U69628 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_6[17]), .B(n49555), .C(n49554), .X(n49556) );
+  sky130_fd_sc_hd__maj3_1 U69629 ( .A(n49557), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_6[18]), .C(n49556), .X(n49559) );
+  sky130_fd_sc_hd__nand2_1 U69630 ( .A(n49559), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_6[19]), .Y(n49558) );
+  sky130_fd_sc_hd__o2bb2ai_1 U69631 ( .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_6[19]), .B2(n49559), .A1_N(n49558), .A2_N(n56640), .Y(n77776) );
+  sky130_fd_sc_hd__nand2b_1 U69632 ( .A_N(n49566), .B(n49565), .Y(n49560) );
+  sky130_fd_sc_hd__xnor2_1 U69634 ( .A(n49562), .B(n49561), .Y(n49571) );
+  sky130_fd_sc_hd__clkinv_1 U69635 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_20[1]), .Y(n49569) );
+  sky130_fd_sc_hd__nand2_1 U69636 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_20[0]), .B(n49563), .Y(n49567) );
+  sky130_fd_sc_hd__a21oi_1 U69638 ( .A1(n49565), .A2(n49566), .B1(n49564), .Y(
+        n49568) );
+  sky130_fd_sc_hd__o22ai_1 U69639 ( .A1(n49569), .A2(n49568), .B1(n49567), 
+        .B2(n49566), .Y(n49570) );
+  sky130_fd_sc_hd__maj3_1 U69640 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_20[2]), .B(n49571), .C(n49570), .X(n49572) );
+  sky130_fd_sc_hd__maj3_1 U69641 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_20[3]), .B(n56178), .C(n49572), .X(n77938) );
+  sky130_fd_sc_hd__nor4_1 U69642 ( .A(n73475), .B(n49575), .C(n49574), .D(
+        n49573), .Y(n49774) );
+  sky130_fd_sc_hd__nor2_1 U69643 ( .A(n62071), .B(n49576), .Y(n81265) );
+  sky130_fd_sc_hd__and3_1 U69644 ( .A(n49771), .B(n81265), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_8[0]), .X(n49577) );
+  sky130_fd_sc_hd__a21oi_1 U69645 ( .A1(n77938), .A2(n49774), .B1(n49577), .Y(
+        n49578) );
+  sky130_fd_sc_hd__o31ai_1 U69646 ( .A1(n49741), .A2(n77776), .A3(n49740), 
+        .B1(n49578), .Y(n49586) );
+  sky130_fd_sc_hd__nand2_1 U69647 ( .A(n49579), .B(n49919), .Y(n80424) );
+  sky130_fd_sc_hd__nor2_1 U69648 ( .A(n80424), .B(n56914), .Y(n51700) );
+  sky130_fd_sc_hd__a22oi_1 U69649 ( .A1(n80386), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[0]), 
+        .B1(n80383), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[24]), .Y(n49583) );
+  sky130_fd_sc_hd__a22oi_1 U69650 ( .A1(n56908), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[16]), .B1(n56909), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[8]), 
+        .Y(n49582) );
+  sky130_fd_sc_hd__nand2b_1 U69651 ( .A_N(n56914), .B(n49581), .Y(n52625) );
+  sky130_fd_sc_hd__a21oi_1 U69652 ( .A1(n49583), .A2(n49582), .B1(n52625), .Y(
+        n49584) );
+  sky130_fd_sc_hd__nand4bb_1 U69653 ( .A_N(n49587), .B_N(n49586), .C(n38378), 
+        .D(n49585), .Y(n49638) );
+  sky130_fd_sc_hd__nand2_1 U69654 ( .A(n62075), .B(n62071), .Y(n73552) );
+  sky130_fd_sc_hd__nor2_1 U69655 ( .A(n73552), .B(n49588), .Y(n56355) );
+  sky130_fd_sc_hd__nor2_1 U69656 ( .A(n62077), .B(n49589), .Y(n49601) );
+  sky130_fd_sc_hd__nand2_1 U69657 ( .A(n49590), .B(n49601), .Y(n63513) );
+  sky130_fd_sc_hd__nor2_1 U69658 ( .A(n63513), .B(n49602), .Y(n56344) );
+  sky130_fd_sc_hd__a22o_1 U69659 ( .A1(n56355), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_5[0]), .B1(n56344), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_prepend_T_7_0_), .X(n49591) );
+  sky130_fd_sc_hd__a21oi_1 U69660 ( .A1(n56770), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_out_f_data_0), 
+        .B1(n49591), .Y(n49621) );
+  sky130_fd_sc_hd__a21oi_1 U69661 ( .A1(n81367), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[32]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_io_deq_bits_MPORT_addr[2]), .Y(n49598) );
+  sky130_fd_sc_hd__a22oi_1 U69662 ( .A1(n56893), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[40]), 
+        .B1(n81364), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[56]), 
+        .Y(n49597) );
+  sky130_fd_sc_hd__nand2_1 U69663 ( .A(n56894), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[48]), 
+        .Y(n49596) );
+  sky130_fd_sc_hd__nand2_1 U69664 ( .A(n81364), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[24]), 
+        .Y(n49593) );
+  sky130_fd_sc_hd__a22oi_1 U69665 ( .A1(n81367), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[0]), 
+        .B1(n56893), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[8]), 
+        .Y(n49592) );
+  sky130_fd_sc_hd__nand3_1 U69666 ( .A(n49593), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_io_deq_bits_MPORT_addr[2]), .C(n49592), .Y(n49594) );
+  sky130_fd_sc_hd__a21oi_1 U69667 ( .A1(n56894), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[16]), 
+        .B1(n49594), .Y(n49595) );
+  sky130_fd_sc_hd__a31oi_1 U69668 ( .A1(n49598), .A2(n49597), .A3(n49596), 
+        .B1(n49595), .Y(n49599) );
+  sky130_fd_sc_hd__a22oi_1 U69669 ( .A1(n48762), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[0]), .B1(n49600), .B2(n49599), .Y(n49620) );
+  sky130_fd_sc_hd__nand2_1 U69670 ( .A(n49601), .B(n56643), .Y(n63531) );
+  sky130_fd_sc_hd__nor2_1 U69671 ( .A(n63531), .B(n49602), .Y(n56354) );
+  sky130_fd_sc_hd__a22oi_1 U69672 ( .A1(n56354), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_5[0]), .B1(n48789), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[0]), .Y(n49619) );
+  sky130_fd_sc_hd__nor2_1 U69673 ( .A(n49609), .B(n49610), .Y(n49604) );
+  sky130_fd_sc_hd__a21oi_1 U69674 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_io_deq_bits_MPORT_addr[1]), .A2(n81306), .B1(n49604), .Y(n49606) );
+  sky130_fd_sc_hd__xor2_1 U69675 ( .A(n49606), .B(n49605), .X(n49613) );
+  sky130_fd_sc_hd__o21ai_1 U69676 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_io_deq_bits_MPORT_addr[0]), .A2(n81303), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_6[16]), .Y(n49611) );
+  sky130_fd_sc_hd__o21bai_1 U69677 ( .A1(n49611), .A2(n49610), .B1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_6[17]), .Y(n49607) );
+  sky130_fd_sc_hd__o21ai_1 U69678 ( .A1(n49610), .A2(n49609), .B1(n49607), .Y(
+        n49608) );
+  sky130_fd_sc_hd__a31oi_1 U69679 ( .A1(n49611), .A2(n49610), .A3(n49609), 
+        .B1(n49608), .Y(n49612) );
+  sky130_fd_sc_hd__maj3_1 U69680 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_6[18]), .B(n49613), .C(n49612), .X(n49615) );
+  sky130_fd_sc_hd__a21o_1 U69681 ( .A1(n49615), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_6[19]), .B1(n56627), .X(n49614) );
+  sky130_fd_sc_hd__o21ai_1 U69682 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_6[19]), .A2(n49615), .B1(n49614), .Y(n77785) );
+  sky130_fd_sc_hd__nand2_1 U69683 ( .A(n77785), .B(n59329), .Y(n49617) );
+  sky130_fd_sc_hd__nor2_1 U69684 ( .A(n59327), .B(n49616), .Y(n49799) );
+  sky130_fd_sc_hd__o211ai_1 U69685 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_8[0]), .A2(n59329), .B1(n49617), .C1(n49799), .Y(n49618) );
+  sky130_fd_sc_hd__nand4_1 U69686 ( .A(n49621), .B(n49620), .C(n49619), .D(
+        n49618), .Y(n49637) );
+  sky130_fd_sc_hd__a22oi_1 U69687 ( .A1(n81273), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[0]), 
+        .B1(n56791), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[8]), 
+        .Y(n49623) );
+  sky130_fd_sc_hd__a22oi_1 U69688 ( .A1(n56792), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[16]), 
+        .B1(n81274), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[24]), 
+        .Y(n49622) );
+  sky130_fd_sc_hd__a21oi_1 U69689 ( .A1(n49623), .A2(n49622), .B1(n56788), .Y(
+        n49627) );
+  sky130_fd_sc_hd__a22oi_1 U69690 ( .A1(n81273), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[32]), 
+        .B1(n56792), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[48]), 
+        .Y(n49625) );
+  sky130_fd_sc_hd__a22oi_1 U69691 ( .A1(n81274), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[56]), 
+        .B1(n56791), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[40]), 
+        .Y(n49624) );
+  sky130_fd_sc_hd__a21oi_1 U69692 ( .A1(n49625), .A2(n49624), .B1(n56793), .Y(
+        n49626) );
+  sky130_fd_sc_hd__nor2_1 U69693 ( .A(n49627), .B(n49626), .Y(n49635) );
+  sky130_fd_sc_hd__a22oi_1 U69694 ( .A1(n56769), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[0]), .B1(n56772), .B2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[8]), .Y(n49634) );
+  sky130_fd_sc_hd__a22oi_1 U69695 ( .A1(n80386), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[32]), .B1(n56909), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[40]), .Y(n49630) );
+  sky130_fd_sc_hd__a22oi_1 U69696 ( .A1(n80383), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[56]), .B1(n56908), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[48]), .Y(n49629) );
+  sky130_fd_sc_hd__nand2b_1 U69697 ( .A_N(n56914), .B(n49628), .Y(n52681) );
+  sky130_fd_sc_hd__a21oi_1 U69698 ( .A1(n49630), .A2(n49629), .B1(n52681), .Y(
+        n49631) );
+  sky130_fd_sc_hd__a21oi_1 U69699 ( .A1(n56771), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__receivedData_T[1]), .B1(n49631), .Y(n49633) );
+  sky130_fd_sc_hd__nand2_1 U69700 ( .A(n48880), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[0]), .Y(n49632) );
+  sky130_fd_sc_hd__nand4_1 U69701 ( .A(n49635), .B(n49634), .C(n49633), .D(
+        n49632), .Y(n49636) );
+  sky130_fd_sc_hd__nor4_1 U69702 ( .A(n49639), .B(n49638), .C(n49637), .D(
+        n49636), .Y(n49640) );
+  sky130_fd_sc_hd__mux2_2 U69703 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[32]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[0]), .S(n36973), .X(n85292) );
+  sky130_fd_sc_hd__mux2_2 U69704 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[32]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[0]), .S(n55502), .X(n85119) );
+  sky130_fd_sc_hd__nand2_1 U69705 ( .A(n56146), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_0[0]), .Y(
+        n49644) );
+  sky130_fd_sc_hd__o21ai_1 U69706 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_0[0]), .A2(
+        n56152), .B1(n49644), .Y(n49645) );
+  sky130_fd_sc_hd__o21ai_1 U69707 ( .A1(n49645), .A2(n51594), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_0[1]), .Y(
+        n49653) );
+  sky130_fd_sc_hd__nor2_1 U69708 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_0[1]), .B(
+        n56144), .Y(n49646) );
+  sky130_fd_sc_hd__o21ai_1 U69709 ( .A1(n49646), .A2(n52974), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_0[0]), .Y(
+        n49652) );
+  sky130_fd_sc_hd__a22oi_1 U69710 ( .A1(n56149), .A2(n49650), .B1(n55472), 
+        .B2(n85119), .Y(n49651) );
+  sky130_fd_sc_hd__nand3_1 U69711 ( .A(n49653), .B(n49652), .C(n49651), .Y(
+        n84902) );
+  sky130_fd_sc_hd__o22ai_1 U69712 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_fmt_endian), .A2(n80856), .B1(n51608), .B2(n80872), .Y(n85230) );
+  sky130_fd_sc_hd__xnor2_1 U69713 ( .A(n49658), .B(n49659), .Y(n49655) );
+  sky130_fd_sc_hd__o22ai_1 U69714 ( .A1(n49654), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_7[16]), .B1(n49655), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_7[17]), .Y(n49657) );
+  sky130_fd_sc_hd__nand2_1 U69715 ( .A(n49655), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_7[17]), .Y(n49656) );
+  sky130_fd_sc_hd__nand2_1 U69716 ( .A(n49657), .B(n49656), .Y(n49665) );
+  sky130_fd_sc_hd__nand2b_1 U69717 ( .A_N(n49659), .B(n49658), .Y(n49660) );
+  sky130_fd_sc_hd__xnor2_1 U69719 ( .A(n49663), .B(n49662), .Y(n49664) );
+  sky130_fd_sc_hd__maj3_1 U69720 ( .A(n49665), .B(n49664), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_7[18]), .X(n49667) );
+  sky130_fd_sc_hd__nand2_1 U69721 ( .A(n49666), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_maybe_full), .Y(n56167) );
+  sky130_fd_sc_hd__a21o_1 U69722 ( .A1(n49667), .A2(n56167), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_7[19]), .X(n77793) );
+  sky130_fd_sc_hd__clkinv_1 U69723 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_21[1]), .Y(n49673) );
+  sky130_fd_sc_hd__nor2_1 U69724 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_21[0]), .B(n49669), .Y(n49672) );
+  sky130_fd_sc_hd__a22oi_1 U69725 ( .A1(n49673), .A2(n49672), .B1(n49674), 
+        .B2(n49675), .Y(n49670) );
+  sky130_fd_sc_hd__o21ai_1 U69726 ( .A1(n49674), .A2(n49675), .B1(n49670), .Y(
+        n49671) );
+  sky130_fd_sc_hd__nand2_1 U69728 ( .A(n49675), .B(n49674), .Y(n49676) );
+  sky130_fd_sc_hd__o21ai_1 U69729 ( .A1(n49677), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_MPORT_addr[1]), .B1(n49676), .Y(n49678) );
+  sky130_fd_sc_hd__xnor2_1 U69730 ( .A(n49679), .B(n49678), .Y(n49680) );
+  sky130_fd_sc_hd__maj3_1 U69731 ( .A(n49681), .B(n49680), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_21[2]), .X(n49683) );
+  sky130_fd_sc_hd__nand2_1 U69732 ( .A(n49682), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_maybe_full), .Y(n55483) );
+  sky130_fd_sc_hd__a21o_1 U69733 ( .A1(n49683), .A2(n55483), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_21[3]), .X(n77878) );
+  sky130_fd_sc_hd__a22oi_1 U69734 ( .A1(n63165), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[1]), .B1(n80722), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_10[1]), .Y(n49684) );
+  sky130_fd_sc_hd__a22oi_1 U69736 ( .A1(n63183), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T[1]), .B1(n63182), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T_16[1]), .Y(n49692) );
+  sky130_fd_sc_hd__a222oi_1 U69737 ( .A1(n49686), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[1]), .B1(n80731), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_6[1]), .C1(n80733), .C2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_9[1]), .Y(n49688) );
+  sky130_fd_sc_hd__a22oi_1 U69738 ( .A1(n63160), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[1]), .B1(n80737), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_io_link_fmt_proto[1]), .Y(n49687) );
+  sky130_fd_sc_hd__o31ai_1 U69739 ( .A1(n49689), .A2(n80737), .A3(n49688), 
+        .B1(n49687), .Y(n49690) );
+  sky130_fd_sc_hd__a21oi_1 U69740 ( .A1(n60016), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_15[1]), .B1(n49690), .Y(n49691) );
+  sky130_fd_sc_hd__a22oi_1 U69741 ( .A1(n49694), .A2(n49693), .B1(n49692), 
+        .B2(n49691), .Y(n49703) );
+  sky130_fd_sc_hd__a22oi_1 U69742 ( .A1(n56830), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[41]), .B1(n80692), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[33]), .Y(n49697) );
+  sky130_fd_sc_hd__a22oi_1 U69743 ( .A1(n80688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[57]), .B1(n56829), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[49]), .Y(n49696) );
+  sky130_fd_sc_hd__a21oi_1 U69744 ( .A1(n49697), .A2(n49696), .B1(n49695), .Y(
+        n49702) );
+  sky130_fd_sc_hd__a22oi_1 U69745 ( .A1(n56830), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[9]), .B1(n56829), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[17]), .Y(n49700) );
+  sky130_fd_sc_hd__a22oi_1 U69746 ( .A1(n80688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[25]), .B1(n80692), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[1]), .Y(n49699) );
+  sky130_fd_sc_hd__a21oi_1 U69747 ( .A1(n49700), .A2(n49699), .B1(n49698), .Y(
+        n49701) );
+  sky130_fd_sc_hd__nor3_1 U69748 ( .A(n49703), .B(n49702), .C(n49701), .Y(
+        n49705) );
+  sky130_fd_sc_hd__o32ai_1 U69749 ( .A1(n49707), .A2(n55597), .A3(n49706), 
+        .B1(n49705), .B2(n49704), .Y(n49708) );
+  sky130_fd_sc_hd__a21oi_1 U69750 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_21[1]), .A2(n80726), .B1(n49708), .Y(n49709) );
+  sky130_fd_sc_hd__a2bb2oi_1 U69751 ( .B1(n49711), .B2(n49710), .A1_N(n49709), 
+        .A2_N(n51213), .Y(n49713) );
+  sky130_fd_sc_hd__a22oi_1 U69752 ( .A1(n56841), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[1]), 
+        .B1(n56843), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[1]), 
+        .Y(n49712) );
+  sky130_fd_sc_hd__o211ai_1 U69753 ( .A1(n77793), .A2(n49714), .B1(n49713), 
+        .C1(n49712), .Y(n49715) );
+  sky130_fd_sc_hd__a21oi_1 U69754 ( .A1(n51763), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_21[1]), .B1(n49715), .Y(n49719) );
+  sky130_fd_sc_hd__a22oi_1 U69755 ( .A1(n56817), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[1]), 
+        .B1(n56816), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[1]), 
+        .Y(n49718) );
+  sky130_fd_sc_hd__a22oi_1 U69756 ( .A1(n48974), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[1]), 
+        .B1(n48976), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[1]), 
+        .Y(n49717) );
+  sky130_fd_sc_hd__a22oi_1 U69757 ( .A1(n36788), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146_1), 
+        .B1(n51674), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_20[1]), .Y(n49716) );
+  sky130_fd_sc_hd__nand4_1 U69758 ( .A(n49719), .B(n49718), .C(n49717), .D(
+        n49716), .Y(n49992) );
+  sky130_fd_sc_hd__a22oi_1 U69759 ( .A1(n56889), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[41]), 
+        .B1(n56888), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[49]), 
+        .Y(n49722) );
+  sky130_fd_sc_hd__a21oi_1 U69760 ( .A1(n81129), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[33]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_io_deq_bits_MPORT_addr[2]), .Y(n49721) );
+  sky130_fd_sc_hd__nand2_1 U69761 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[57]), 
+        .B(n81126), .Y(n49720) );
+  sky130_fd_sc_hd__nand3_1 U69762 ( .A(n49722), .B(n49721), .C(n49720), .Y(
+        n49744) );
+  sky130_fd_sc_hd__a22oi_1 U69763 ( .A1(n81129), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[1]), 
+        .B1(n56888), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[17]), 
+        .Y(n49725) );
+  sky130_fd_sc_hd__a21oi_1 U69764 ( .A1(n56889), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[9]), 
+        .B1(n81128), .Y(n49724) );
+  sky130_fd_sc_hd__nand2_1 U69765 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[25]), 
+        .B(n81126), .Y(n49723) );
+  sky130_fd_sc_hd__nand3_1 U69766 ( .A(n49725), .B(n49724), .C(n49723), .Y(
+        n49743) );
+  sky130_fd_sc_hd__nor2_1 U69767 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_MPORT_addr[0]), .B(n49726), .Y(n49731) );
+  sky130_fd_sc_hd__nand2_1 U69768 ( .A(n49732), .B(n49731), .Y(n49727) );
+  sky130_fd_sc_hd__o21ai_1 U69769 ( .A1(n49728), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_MPORT_addr[1]), .B1(n49727), .Y(n49729) );
+  sky130_fd_sc_hd__xnor2_1 U69770 ( .A(n49730), .B(n49729), .Y(n49737) );
+  sky130_fd_sc_hd__xor2_1 U69771 ( .A(n49732), .B(n49731), .X(n49735) );
+  sky130_fd_sc_hd__nand2b_1 U69772 ( .A_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_7[16]), .B(n49733), .Y(n49734) );
+  sky130_fd_sc_hd__maj3_1 U69773 ( .A(n49735), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_7[17]), .C(n49734), .X(n49736) );
+  sky130_fd_sc_hd__maj3_1 U69774 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_7[18]), .B(n49737), .C(n49736), .X(n49739) );
+  sky130_fd_sc_hd__nand2_1 U69775 ( .A(n49738), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_maybe_full), .Y(n53497) );
+  sky130_fd_sc_hd__a21o_1 U69776 ( .A1(n49739), .A2(n53497), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_7[19]), .X(n77773) );
+  sky130_fd_sc_hd__nor3_1 U69777 ( .A(n49741), .B(n77773), .C(n49740), .Y(
+        n49742) );
+  sky130_fd_sc_hd__a31oi_1 U69778 ( .A1(n49745), .A2(n49744), .A3(n49743), 
+        .B1(n49742), .Y(n49804) );
+  sky130_fd_sc_hd__xnor2_1 U69779 ( .A(n49750), .B(n49751), .Y(n49747) );
+  sky130_fd_sc_hd__o22ai_1 U69780 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_21[0]), .A2(n49746), .B1(n49747), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_21[1]), .Y(n49749) );
+  sky130_fd_sc_hd__nand2_1 U69781 ( .A(n49747), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_21[1]), .Y(n49748) );
+  sky130_fd_sc_hd__nand2_1 U69782 ( .A(n49749), .B(n49748), .Y(n49757) );
+  sky130_fd_sc_hd__nand2b_1 U69783 ( .A_N(n49751), .B(n49750), .Y(n49752) );
+  sky130_fd_sc_hd__xnor2_1 U69785 ( .A(n49755), .B(n49754), .Y(n49756) );
+  sky130_fd_sc_hd__maj3_1 U69786 ( .A(n49757), .B(n49756), .C(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_21[2]), .X(n49759) );
+  sky130_fd_sc_hd__nand2_1 U69787 ( .A(n49758), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_maybe_full), .Y(n56195) );
+  sky130_fd_sc_hd__a21oi_1 U69788 ( .A1(n49759), .A2(n56195), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_21[3]), .Y(n77937) );
+  sky130_fd_sc_hd__a21oi_1 U69789 ( .A1(n56893), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[41]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_io_deq_bits_MPORT_addr[2]), .Y(n49762) );
+  sky130_fd_sc_hd__a22oi_1 U69790 ( .A1(n56894), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[49]), 
+        .B1(n81367), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[33]), 
+        .Y(n49761) );
+  sky130_fd_sc_hd__nand2_1 U69791 ( .A(n81364), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[57]), 
+        .Y(n49760) );
+  sky130_fd_sc_hd__a31oi_1 U69792 ( .A1(n49762), .A2(n49761), .A3(n49760), 
+        .B1(n61082), .Y(n49767) );
+  sky130_fd_sc_hd__a22oi_1 U69793 ( .A1(n56894), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[17]), 
+        .B1(n56893), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[9]), 
+        .Y(n49765) );
+  sky130_fd_sc_hd__nand2_1 U69794 ( .A(n81367), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[1]), 
+        .Y(n49764) );
+  sky130_fd_sc_hd__nand2_1 U69795 ( .A(n81364), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[25]), 
+        .Y(n49763) );
+  sky130_fd_sc_hd__nand4_1 U69796 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_io_deq_bits_MPORT_addr[2]), .B(n49765), .C(n49764), .D(n49763), .Y(n49766) );
+  sky130_fd_sc_hd__a22oi_1 U69797 ( .A1(n76345), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_8[1]), .B1(n49767), .B2(n49766), .Y(n49768) );
+  sky130_fd_sc_hd__a22o_1 U69799 ( .A1(n56357), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_5[1]), .B1(n49770), .B2(n49769), .X(n49773) );
+  sky130_fd_sc_hd__and3_1 U69800 ( .A(n49771), .B(n81265), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_8[1]), .X(n49772) );
+  sky130_fd_sc_hd__a211oi_1 U69801 ( .A1(n49774), .A2(n77937), .B1(n49773), 
+        .C1(n49772), .Y(n49803) );
+  sky130_fd_sc_hd__a22oi_1 U69802 ( .A1(n61078), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[1]), 
+        .B1(n60000), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[1]), 
+        .Y(n49779) );
+  sky130_fd_sc_hd__a22oi_1 U69803 ( .A1(n63517), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[1]), 
+        .B1(n61081), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[1]), 
+        .Y(n49778) );
+  sky130_fd_sc_hd__o22ai_1 U69804 ( .A1(n56700), .A2(n79923), .B1(n53005), 
+        .B2(n49775), .Y(n49776) );
+  sky130_fd_sc_hd__a21oi_1 U69805 ( .A1(n79921), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[1]), 
+        .B1(n49776), .Y(n49777) );
+  sky130_fd_sc_hd__a31oi_1 U69806 ( .A1(n49779), .A2(n49778), .A3(n49777), 
+        .B1(n56765), .Y(n49780) );
+  sky130_fd_sc_hd__a21oi_1 U69807 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[1]), 
+        .A2(n36789), .B1(n49780), .Y(n49802) );
+  sky130_fd_sc_hd__nand2_1 U69808 ( .A(n49781), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_maybe_full), .Y(n56010) );
+  sky130_fd_sc_hd__nor2_1 U69809 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_MPORT_addr[0]), .B(n49782), .Y(n49789) );
+  sky130_fd_sc_hd__nand2b_1 U69810 ( .A_N(n49788), .B(n49789), .Y(n49783) );
+  sky130_fd_sc_hd__o21ai_1 U69811 ( .A1(n49784), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_MPORT_addr[1]), .B1(n49783), .Y(n49785) );
+  sky130_fd_sc_hd__xnor2_1 U69812 ( .A(n49786), .B(n49785), .Y(n49795) );
+  sky130_fd_sc_hd__nand2b_1 U69813 ( .A_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_7[16]), .B(n49787), .Y(n49791) );
+  sky130_fd_sc_hd__xnor2_1 U69814 ( .A(n49789), .B(n49788), .Y(n49790) );
+  sky130_fd_sc_hd__nand2_1 U69816 ( .A(n49791), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_7[17]), .Y(n49792) );
+  sky130_fd_sc_hd__a2bb2oi_1 U69817 ( .B1(n49793), .B2(n49792), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_7[18]), .A2_N(n49795), .Y(n49794) );
+  sky130_fd_sc_hd__a21oi_1 U69818 ( .A1(n49795), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_7[18]), .B1(n49794), .Y(n49797) );
+  sky130_fd_sc_hd__nand2_1 U69820 ( .A(n77783), .B(n59329), .Y(n49800) );
+  sky130_fd_sc_hd__o211ai_1 U69821 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_8[1]), .A2(n59329), .B1(n49800), .C1(n49799), .Y(n49801) );
+  sky130_fd_sc_hd__nand4_1 U69822 ( .A(n49804), .B(n49803), .C(n49802), .D(
+        n49801), .Y(n49805) );
+  sky130_fd_sc_hd__a21oi_1 U69823 ( .A1(n56781), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[9]), 
+        .B1(n49805), .Y(n49814) );
+  sky130_fd_sc_hd__a22oi_1 U69824 ( .A1(n56876), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[1]), 
+        .B1(n56875), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[1]), 
+        .Y(n49813) );
+  sky130_fd_sc_hd__a22oi_1 U69825 ( .A1(n51688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[1]), .B1(n36990), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[1]), 
+        .Y(n49809) );
+  sky130_fd_sc_hd__a22oi_1 U69826 ( .A1(n36814), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[1]), 
+        .B1(n56393), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[1]), 
+        .Y(n49808) );
+  sky130_fd_sc_hd__a22oi_1 U69827 ( .A1(n86736), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[1]), 
+        .B1(n56372), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[1]), 
+        .Y(n49807) );
+  sky130_fd_sc_hd__a22oi_1 U69828 ( .A1(n48207), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[1]), 
+        .B1(n56371), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[1]), 
+        .Y(n49806) );
+  sky130_fd_sc_hd__nand4_1 U69829 ( .A(n49809), .B(n49808), .C(n49807), .D(
+        n49806), .Y(n49810) );
+  sky130_fd_sc_hd__a21oi_1 U69830 ( .A1(n49014), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[1]), 
+        .B1(n49810), .Y(n49812) );
+  sky130_fd_sc_hd__a22oi_1 U69831 ( .A1(n56811), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[1]), 
+        .B1(n56810), .B2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_out_f_data_1), 
+        .Y(n49811) );
+  sky130_fd_sc_hd__a22oi_1 U69832 ( .A1(n56769), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[1]), .B1(n56772), .B2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[9]), .Y(n49817) );
+  sky130_fd_sc_hd__a22oi_1 U69833 ( .A1(n48762), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[1]), .B1(n48789), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[1]), .Y(n49816) );
+  sky130_fd_sc_hd__nand2_1 U69834 ( .A(n56770), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_out_f_data_1), 
+        .Y(n49815) );
+  sky130_fd_sc_hd__nand3_1 U69835 ( .A(n49817), .B(n49816), .C(n49815), .Y(
+        n49818) );
+  sky130_fd_sc_hd__a21oi_1 U69836 ( .A1(n48880), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[1]), .B1(n49818), .Y(n49895) );
+  sky130_fd_sc_hd__nand2_1 U69837 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[49]), 
+        .B(n56941), .Y(n49821) );
+  sky130_fd_sc_hd__a21oi_1 U69838 ( .A1(n81046), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[33]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_io_deq_bits_MPORT_addr[2]), .Y(n49820) );
+  sky130_fd_sc_hd__a22oi_1 U69839 ( .A1(n56940), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[41]), 
+        .B1(n81043), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[57]), 
+        .Y(n49819) );
+  sky130_fd_sc_hd__nand3_1 U69840 ( .A(n49821), .B(n49820), .C(n49819), .Y(
+        n49848) );
+  sky130_fd_sc_hd__nand2_1 U69841 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[1]), 
+        .B(n81046), .Y(n49824) );
+  sky130_fd_sc_hd__a21oi_1 U69842 ( .A1(n81043), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[25]), 
+        .B1(n81045), .Y(n49823) );
+  sky130_fd_sc_hd__a22oi_1 U69843 ( .A1(n56940), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[9]), 
+        .B1(n56941), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[17]), 
+        .Y(n49822) );
+  sky130_fd_sc_hd__nand3_1 U69844 ( .A(n49824), .B(n49823), .C(n49822), .Y(
+        n49847) );
+  sky130_fd_sc_hd__nand3_1 U69845 ( .A(n49825), .B(n62013), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_8[1]), .Y(n49845) );
+  sky130_fd_sc_hd__nand2_1 U69846 ( .A(n49832), .B(n49831), .Y(n49830) );
+  sky130_fd_sc_hd__o21ai_1 U69847 ( .A1(n49826), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_MPORT_addr[1]), .B1(n49830), .Y(n49827) );
+  sky130_fd_sc_hd__xnor2_1 U69848 ( .A(n49828), .B(n49827), .Y(n49836) );
+  sky130_fd_sc_hd__nor2_1 U69849 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_21[0]), .B(n49829), .Y(n49834) );
+  sky130_fd_sc_hd__clkinv_1 U69850 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_21[1]), .Y(n49850) );
+  sky130_fd_sc_hd__o21ai_1 U69851 ( .A1(n49832), .A2(n49831), .B1(n49830), .Y(
+        n49833) );
+  sky130_fd_sc_hd__a222oi_1 U69852 ( .A1(n49834), .A2(n49850), .B1(n49834), 
+        .B2(n49833), .C1(n49850), .C2(n49833), .Y(n49835) );
+  sky130_fd_sc_hd__maj3_1 U69853 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_21[2]), .B(n49836), .C(n49835), .X(n49838) );
+  sky130_fd_sc_hd__nand2b_1 U69854 ( .A_N(n49837), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_maybe_full), .Y(n55484) );
+  sky130_fd_sc_hd__a21oi_1 U69855 ( .A1(n49838), .A2(n55484), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_21[3]), .Y(n77822) );
+  sky130_fd_sc_hd__a22oi_1 U69856 ( .A1(n49839), .A2(n77822), .B1(n81021), 
+        .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_10[1]), .Y(n49842) );
+  sky130_fd_sc_hd__nand2b_1 U69857 ( .A_N(n56132), .B(n85231), .Y(n52526) );
+  sky130_fd_sc_hd__o22ai_1 U69858 ( .A1(n49842), .A2(n49841), .B1(n49840), 
+        .B2(n52526), .Y(n49843) );
+  sky130_fd_sc_hd__a21oi_1 U69859 ( .A1(n56748), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_0[1]), .B1(n49843), .Y(n49844) );
+  sky130_fd_sc_hd__nand2_1 U69860 ( .A(n49845), .B(n49844), .Y(n49846) );
+  sky130_fd_sc_hd__a31oi_1 U69861 ( .A1(n49849), .A2(n49848), .A3(n49847), 
+        .B1(n49846), .Y(n49876) );
+  sky130_fd_sc_hd__o2bb2ai_1 U69862 ( .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_20[1]), .B2(n49851), .A1_N(n49850), .A2_N(n81025), .Y(n49871) );
+  sky130_fd_sc_hd__a222oi_1 U69863 ( .A1(n60014), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[1]), .B1(n81032), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_9[1]), .C1(n81030), .C2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_6[1]), .Y(n49852) );
+  sky130_fd_sc_hd__nor2_1 U69864 ( .A(n49853), .B(n49852), .Y(n49869) );
+  sky130_fd_sc_hd__nor2_1 U69865 ( .A(n49854), .B(n53496), .Y(n49857) );
+  sky130_fd_sc_hd__a222oi_1 U69866 ( .A1(n49855), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_io_link_fmt_proto[1]), .B1(n63695), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T[1]), .C1(n63697), .C2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T_16[1]), .Y(n49856) );
+  sky130_fd_sc_hd__nor2_1 U69867 ( .A(n49857), .B(n49856), .Y(n49868) );
+  sky130_fd_sc_hd__a22oi_1 U69868 ( .A1(n80990), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[25]), .B1(n56835), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[17]), .Y(n49859) );
+  sky130_fd_sc_hd__a22oi_1 U69869 ( .A1(n80993), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[1]), .B1(n56834), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[9]), .Y(n49858) );
+  sky130_fd_sc_hd__a21oi_1 U69870 ( .A1(n49859), .A2(n49858), .B1(n51302), .Y(
+        n49860) );
+  sky130_fd_sc_hd__a21oi_1 U69871 ( .A1(n63158), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[1]), .B1(n49860), .Y(n49866) );
+  sky130_fd_sc_hd__a22oi_1 U69872 ( .A1(n56835), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[49]), .B1(n56834), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[41]), .Y(n49862) );
+  sky130_fd_sc_hd__a22oi_1 U69873 ( .A1(n80993), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[33]), .B1(n80990), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[57]), .Y(n49861) );
+  sky130_fd_sc_hd__a21oi_1 U69874 ( .A1(n49862), .A2(n49861), .B1(n51305), .Y(
+        n49863) );
+  sky130_fd_sc_hd__a21oi_1 U69875 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_15[1]), .A2(n60064), .B1(n49863), .Y(n49865) );
+  sky130_fd_sc_hd__nand3_1 U69876 ( .A(n49866), .B(n49865), .C(n49864), .Y(
+        n49867) );
+  sky130_fd_sc_hd__nor3_1 U69877 ( .A(n49869), .B(n49868), .C(n49867), .Y(
+        n49870) );
+  sky130_fd_sc_hd__nor3_1 U69878 ( .A(n49871), .B(n49870), .C(n52530), .Y(
+        n49872) );
+  sky130_fd_sc_hd__a21oi_1 U69879 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[1]), .A2(n56749), .B1(n49872), .Y(n49875) );
+  sky130_fd_sc_hd__nand2_1 U69880 ( .A(n56355), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_5[1]), .Y(n49874) );
+  sky130_fd_sc_hd__nand2_1 U69881 ( .A(n56840), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[1]), .Y(n49873) );
+  sky130_fd_sc_hd__nand4_1 U69882 ( .A(n49876), .B(n49875), .C(n49874), .D(
+        n49873), .Y(n49877) );
+  sky130_fd_sc_hd__a21oi_1 U69883 ( .A1(n56771), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__receivedData_T[2]), .B1(n49877), .Y(n49894) );
+  sky130_fd_sc_hd__o22ai_1 U69884 ( .A1(n67171), .A2(n36849), .B1(n67247), 
+        .B2(n37001), .Y(n49879) );
+  sky130_fd_sc_hd__nor2_1 U69885 ( .A(n49879), .B(n49878), .Y(n52667) );
+  sky130_fd_sc_hd__nand2_1 U69886 ( .A(n51658), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[3]), .Y(n61720) );
+  sky130_fd_sc_hd__nand2_1 U69887 ( .A(n52667), .B(n61754), .Y(n49891) );
+  sky130_fd_sc_hd__o22ai_1 U69888 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[4]), 
+        .A2(n36849), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[3]), 
+        .B2(n37001), .Y(n49881) );
+  sky130_fd_sc_hd__o22ai_1 U69889 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[2]), 
+        .A2(n56284), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[1]), 
+        .B2(n56283), .Y(n49880) );
+  sky130_fd_sc_hd__a2bb2oi_1 U69891 ( .B1(n67300), .B2(n56277), .A1_N(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[7]), 
+        .A2_N(n37001), .Y(n49885) );
+  sky130_fd_sc_hd__nand2_1 U69892 ( .A(n56278), .B(n67375), .Y(n49884) );
+  sky130_fd_sc_hd__nand2_1 U69893 ( .A(n48917), .B(n49882), .Y(n49883) );
+  sky130_fd_sc_hd__nand3_1 U69894 ( .A(n49885), .B(n49884), .C(n49883), .Y(
+        n52666) );
+  sky130_fd_sc_hd__nand2_1 U69895 ( .A(n52666), .B(n63064), .Y(n49889) );
+  sky130_fd_sc_hd__o22ai_1 U69896 ( .A1(n67187), .A2(n36849), .B1(n67185), 
+        .B2(n37001), .Y(n49887) );
+  sky130_fd_sc_hd__o2bb2ai_1 U69897 ( .B1(n67180), .B2(n56284), .A1_N(n48917), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[13]), 
+        .Y(n49886) );
+  sky130_fd_sc_hd__nor2_1 U69898 ( .A(n49887), .B(n49886), .Y(n50841) );
+  sky130_fd_sc_hd__nand2_1 U69899 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[2]), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[3]), .Y(n56724) );
+  sky130_fd_sc_hd__nand2_1 U69900 ( .A(n50841), .B(n56285), .Y(n49888) );
+  sky130_fd_sc_hd__nand4_1 U69901 ( .A(n49891), .B(n49890), .C(n49889), .D(
+        n49888), .Y(n67817) );
+  sky130_fd_sc_hd__a22oi_1 U69902 ( .A1(n56729), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[1]), .B1(n56728), .B2(n68094), .Y(n49893) );
+  sky130_fd_sc_hd__nand2_1 U69903 ( .A(n56275), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[1]), 
+        .Y(n49892) );
+  sky130_fd_sc_hd__nand4_1 U69904 ( .A(n49895), .B(n49894), .C(n49893), .D(
+        n49892), .Y(n49991) );
+  sky130_fd_sc_hd__a21oi_1 U69905 ( .A1(n56791), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[9]), 
+        .B1(n49897), .Y(n49903) );
+  sky130_fd_sc_hd__nand2_1 U69906 ( .A(n56792), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[17]), 
+        .Y(n49902) );
+  sky130_fd_sc_hd__a22oi_1 U69907 ( .A1(n81273), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[1]), 
+        .B1(n81274), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[25]), 
+        .Y(n49901) );
+  sky130_fd_sc_hd__nand2_1 U69908 ( .A(n56791), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[41]), 
+        .Y(n49898) );
+  sky130_fd_sc_hd__a22oi_1 U69909 ( .A1(n81273), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[33]), 
+        .B1(n81274), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[57]), 
+        .Y(n49896) );
+  sky130_fd_sc_hd__nand3_1 U69910 ( .A(n49898), .B(n49897), .C(n49896), .Y(
+        n49899) );
+  sky130_fd_sc_hd__a21oi_1 U69911 ( .A1(n56792), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[49]), 
+        .B1(n49899), .Y(n49900) );
+  sky130_fd_sc_hd__a31oi_1 U69912 ( .A1(n49903), .A2(n49902), .A3(n49901), 
+        .B1(n49900), .Y(n49904) );
+  sky130_fd_sc_hd__a22o_1 U69913 ( .A1(n56380), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_5[1]), .B1(n49905), .B2(n49904), .X(n49955) );
+  sky130_fd_sc_hd__a22oi_1 U69914 ( .A1(n56858), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[9]), 
+        .B1(n56857), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[17]), 
+        .Y(n49912) );
+  sky130_fd_sc_hd__a21oi_1 U69915 ( .A1(n81194), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[1]), 
+        .B1(n63497), .Y(n49911) );
+  sky130_fd_sc_hd__nand2_1 U69916 ( .A(n81193), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[25]), 
+        .Y(n49910) );
+  sky130_fd_sc_hd__nand2_1 U69917 ( .A(n81194), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[33]), 
+        .Y(n49907) );
+  sky130_fd_sc_hd__a22oi_1 U69918 ( .A1(n56858), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[41]), 
+        .B1(n56857), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[49]), 
+        .Y(n49906) );
+  sky130_fd_sc_hd__nand3_1 U69919 ( .A(n49907), .B(n63497), .C(n49906), .Y(
+        n49908) );
+  sky130_fd_sc_hd__a21oi_1 U69920 ( .A1(n81193), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[57]), 
+        .B1(n49908), .Y(n49909) );
+  sky130_fd_sc_hd__a31oi_1 U69921 ( .A1(n49912), .A2(n49911), .A3(n49910), 
+        .B1(n49909), .Y(n49951) );
+  sky130_fd_sc_hd__a22oi_1 U69922 ( .A1(n80386), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[33]), .B1(n80383), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[57]), .Y(n49914) );
+  sky130_fd_sc_hd__a22oi_1 U69923 ( .A1(n56908), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[49]), .B1(n56909), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[41]), .Y(n49913) );
+  sky130_fd_sc_hd__a21oi_1 U69924 ( .A1(n49914), .A2(n49913), .B1(n56910), .Y(
+        n49927) );
+  sky130_fd_sc_hd__a22oi_1 U69925 ( .A1(n80386), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[1]), 
+        .B1(n56908), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[17]), .Y(n49916) );
+  sky130_fd_sc_hd__a22oi_1 U69926 ( .A1(n80383), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[25]), .B1(n56909), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[9]), 
+        .Y(n49915) );
+  sky130_fd_sc_hd__a21oi_1 U69927 ( .A1(n49916), .A2(n49915), .B1(n56903), .Y(
+        n49926) );
+  sky130_fd_sc_hd__a22oi_1 U69928 ( .A1(n59966), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_10[1]), .B1(n80421), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_3[1]), .Y(n49922) );
+  sky130_fd_sc_hd__o22ai_1 U69929 ( .A1(n77932), .A2(n77933), .B1(n84177), 
+        .B2(n80411), .Y(n49917) );
+  sky130_fd_sc_hd__a21oi_1 U69930 ( .A1(n59967), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_1[1]), .B1(n49917), .Y(n49921) );
+  sky130_fd_sc_hd__nand2_1 U69931 ( .A(n49918), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[1]), .Y(n49920) );
+  sky130_fd_sc_hd__a31oi_1 U69932 ( .A1(n49922), .A2(n49921), .A3(n49920), 
+        .B1(n49919), .Y(n49925) );
+  sky130_fd_sc_hd__a22oi_1 U69933 ( .A1(n63493), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_15[1]), .B1(n63157), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[1]), .Y(n49923) );
+  sky130_fd_sc_hd__nor4_1 U69935 ( .A(n49927), .B(n49926), .C(n49925), .D(
+        n49924), .Y(n49949) );
+  sky130_fd_sc_hd__a22oi_1 U69936 ( .A1(n56296), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[1]), .B1(n56919), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[1]), .Y(n49948) );
+  sky130_fd_sc_hd__nand2b_1 U69937 ( .A_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_7[16]), .B(n49928), .Y(n49933) );
+  sky130_fd_sc_hd__nand2_1 U69938 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_io_deq_bits_MPORT_addr[0]), .B(n56162), .Y(n49931) );
+  sky130_fd_sc_hd__a22oi_1 U69939 ( .A1(n49933), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_7[17]), .B1(n49931), .B2(n49930), .Y(n49929) );
+  sky130_fd_sc_hd__o21ai_1 U69940 ( .A1(n49931), .A2(n49930), .B1(n49929), .Y(
+        n49932) );
+  sky130_fd_sc_hd__o21ai_1 U69941 ( .A1(n49933), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_7[17]), .B1(n49932), .Y(n49941) );
+  sky130_fd_sc_hd__nor3_1 U69942 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_MPORT_addr[0]), .B(n49935), .C(n49934), .Y(n49936) );
+  sky130_fd_sc_hd__a21oi_1 U69943 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_io_deq_bits_MPORT_addr[1]), .A2(n81135), .B1(n49936), .Y(n49938) );
+  sky130_fd_sc_hd__a22oi_1 U69944 ( .A1(n53011), .A2(n49941), .B1(n49939), 
+        .B2(n49938), .Y(n49937) );
+  sky130_fd_sc_hd__o21ai_1 U69946 ( .A1(n53011), .A2(n49941), .B1(n49940), .Y(
+        n49943) );
+  sky130_fd_sc_hd__nand2_1 U69947 ( .A(n49942), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_maybe_full), .Y(n56161) );
+  sky130_fd_sc_hd__a21oi_1 U69948 ( .A1(n49943), .A2(n56161), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_7[19]), .Y(n77803) );
+  sky130_fd_sc_hd__nor3_1 U69949 ( .A(n60308), .B(n49945), .C(n49944), .Y(
+        n49946) );
+  sky130_fd_sc_hd__a21oi_1 U69950 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[1]), .A2(n48818), .B1(n49946), .Y(n49947) );
+  sky130_fd_sc_hd__o211ai_1 U69951 ( .A1(n49949), .A2(n56914), .B1(n49948), 
+        .C1(n49947), .Y(n49950) );
+  sky130_fd_sc_hd__a21oi_1 U69952 ( .A1(n56869), .A2(n49951), .B1(n49950), .Y(
+        n49952) );
+  sky130_fd_sc_hd__a211oi_1 U69954 ( .A1(n56929), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[1]), 
+        .B1(n49955), .C1(n49954), .Y(n49990) );
+  sky130_fd_sc_hd__a22oi_1 U69955 ( .A1(n49008), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[1]), 
+        .B1(n49086), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[1]), 
+        .Y(n49989) );
+  sky130_fd_sc_hd__a22oi_1 U69956 ( .A1(n56932), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[1]), 
+        .B1(n49101), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[1]), 
+        .Y(n49988) );
+  sky130_fd_sc_hd__o22ai_1 U69957 ( .A1(n67198), .A2(n56253), .B1(n67243), 
+        .B2(n56252), .Y(n49957) );
+  sky130_fd_sc_hd__o2bb2ai_1 U69958 ( .B1(n67314), .B2(n56255), .A1_N(n56247), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[9]), 
+        .Y(n49956) );
+  sky130_fd_sc_hd__nor2_1 U69959 ( .A(n49957), .B(n49956), .Y(n52613) );
+  sky130_fd_sc_hd__a2bb2oi_1 U69960 ( .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[16]), .B2(n56246), .A1_N(n67108), .A2_N(n56252), .Y(n49960) );
+  sky130_fd_sc_hd__nand2_1 U69961 ( .A(n56247), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[13]), .Y(n49959) );
+  sky130_fd_sc_hd__nand2_1 U69962 ( .A(n56248), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[14]), .Y(n49958) );
+  sky130_fd_sc_hd__nand3_1 U69963 ( .A(n49960), .B(n49959), .C(n49958), .Y(
+        n50864) );
+  sky130_fd_sc_hd__a2bb2oi_1 U69964 ( .B1(n61610), .B2(n52613), .A1_N(n50867), 
+        .A2_N(n50864), .Y(n49967) );
+  sky130_fd_sc_hd__o22ai_1 U69965 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[4]), 
+        .A2(n56253), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[3]), 
+        .B2(n56252), .Y(n49962) );
+  sky130_fd_sc_hd__o22ai_1 U69966 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[2]), 
+        .A2(n56255), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[1]), 
+        .B2(n56254), .Y(n49961) );
+  sky130_fd_sc_hd__o21ai_1 U69967 ( .A1(n49962), .A2(n49961), .B1(n67466), .Y(
+        n49966) );
+  sky130_fd_sc_hd__o22ai_1 U69968 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[8]), 
+        .A2(n56253), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[7]), 
+        .B2(n56252), .Y(n49964) );
+  sky130_fd_sc_hd__o22ai_1 U69969 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[6]), 
+        .A2(n56255), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[5]), 
+        .B2(n56254), .Y(n49963) );
+  sky130_fd_sc_hd__nand2_1 U69970 ( .A(n52614), .B(n67464), .Y(n49965) );
+  sky130_fd_sc_hd__nand3_1 U69971 ( .A(n49967), .B(n49966), .C(n49965), .Y(
+        n67923) );
+  sky130_fd_sc_hd__o22ai_1 U69972 ( .A1(n49968), .A2(n52871), .B1(n56680), 
+        .B2(n67923), .Y(n49987) );
+  sky130_fd_sc_hd__nand2_1 U69973 ( .A(n56311), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[15]), .Y(n49972) );
+  sky130_fd_sc_hd__nand2_1 U69974 ( .A(n56312), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[16]), .Y(n49971) );
+  sky130_fd_sc_hd__nand2_1 U69975 ( .A(n56313), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[13]), .Y(n49970) );
+  sky130_fd_sc_hd__nand2_1 U69976 ( .A(n56314), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[14]), .Y(n49969) );
+  sky130_fd_sc_hd__nand4_1 U69977 ( .A(n49972), .B(n49971), .C(n49970), .D(
+        n49969), .Y(n52616) );
+  sky130_fd_sc_hd__nand2_1 U69978 ( .A(n56311), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[11]), .Y(n49976) );
+  sky130_fd_sc_hd__nand2_1 U69979 ( .A(n56312), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[12]), .Y(n49975) );
+  sky130_fd_sc_hd__nand2_1 U69980 ( .A(n56313), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[9]), 
+        .Y(n49974) );
+  sky130_fd_sc_hd__nand2_1 U69981 ( .A(n56314), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[10]), .Y(n49973) );
+  sky130_fd_sc_hd__nand4_1 U69982 ( .A(n49976), .B(n49975), .C(n49974), .D(
+        n49973), .Y(n52617) );
+  sky130_fd_sc_hd__mux2i_1 U69983 ( .A0(n52616), .A1(n52617), .S(n37478), .Y(
+        n50875) );
+  sky130_fd_sc_hd__nand2_1 U69984 ( .A(n56311), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[7]), 
+        .Y(n49980) );
+  sky130_fd_sc_hd__nand2_1 U69985 ( .A(n56312), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[8]), 
+        .Y(n49979) );
+  sky130_fd_sc_hd__nand2_1 U69986 ( .A(n56313), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[5]), 
+        .Y(n49978) );
+  sky130_fd_sc_hd__nand2_1 U69987 ( .A(n56314), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[6]), 
+        .Y(n49977) );
+  sky130_fd_sc_hd__nand4_1 U69988 ( .A(n49980), .B(n49979), .C(n49978), .D(
+        n49977), .Y(n52618) );
+  sky130_fd_sc_hd__mux2i_1 U69989 ( .A0(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[3]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[4]), 
+        .S(n63558), .Y(n51281) );
+  sky130_fd_sc_hd__a22oi_1 U69990 ( .A1(n56313), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[1]), 
+        .B1(n56314), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[2]), 
+        .Y(n49981) );
+  sky130_fd_sc_hd__o21ai_1 U69991 ( .A1(n51282), .A2(n51281), .B1(n49981), .Y(
+        n49982) );
+  sky130_fd_sc_hd__a22oi_1 U69992 ( .A1(n52618), .A2(n56881), .B1(n49982), 
+        .B2(n56878), .Y(n49983) );
+  sky130_fd_sc_hd__o21a_1 U69993 ( .A1(n56328), .A2(n50875), .B1(n49983), .X(
+        n73388) );
+  sky130_fd_sc_hd__clkinv_1 U69994 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[1]), 
+        .Y(n49984) );
+  sky130_fd_sc_hd__o22ai_1 U69995 ( .A1(n73388), .A2(n51741), .B1(n49985), 
+        .B2(n49984), .Y(n49986) );
+  sky130_fd_sc_hd__clkinv_1 U69996 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_7[16]), .Y(n49993) );
+  sky130_fd_sc_hd__nand2_1 U69997 ( .A(n49994), .B(n49993), .Y(n49999) );
+  sky130_fd_sc_hd__nand2_1 U69998 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_io_deq_bits_MPORT_addr[0]), .B(n56009), .Y(n49997) );
+  sky130_fd_sc_hd__a22oi_1 U69999 ( .A1(n49999), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_7[17]), .B1(n49997), .B2(n49996), .Y(n49995) );
+  sky130_fd_sc_hd__o21ai_1 U70001 ( .A1(n49999), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_7[17]), .B1(n49998), .Y(n50007) );
+  sky130_fd_sc_hd__nor3_1 U70002 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_MPORT_addr[0]), .B(n50001), .C(n50000), .Y(n50002) );
+  sky130_fd_sc_hd__a21oi_1 U70003 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_io_deq_bits_MPORT_addr[1]), .A2(n81052), .B1(n50002), .Y(n50004) );
+  sky130_fd_sc_hd__a22oi_1 U70004 ( .A1(n52994), .A2(n50007), .B1(n50005), 
+        .B2(n50004), .Y(n50003) );
+  sky130_fd_sc_hd__nand2_1 U70007 ( .A(n50008), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_maybe_full), .Y(n56008) );
+  sky130_fd_sc_hd__a21oi_1 U70008 ( .A1(n50009), .A2(n56008), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_7[19]), .Y(n77812) );
+  sky130_fd_sc_hd__a22oi_1 U70009 ( .A1(n50011), .A2(n77812), .B1(n50010), 
+        .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_8[1]), .Y(n50014) );
+  sky130_fd_sc_hd__a22oi_1 U70010 ( .A1(n50012), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_4[1]), .B1(n37077), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_5[1]), .Y(n50013) );
+  sky130_fd_sc_hd__mux2_2 U70011 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[33]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[1]), .S(n36973), .X(n85288) );
+  sky130_fd_sc_hd__mux2_2 U70012 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[33]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[1]), .S(n55502), .X(n85118) );
+  sky130_fd_sc_hd__nand2_1 U70013 ( .A(n56146), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_1[0]), .Y(
+        n50015) );
+  sky130_fd_sc_hd__o21ai_1 U70014 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_1[0]), .A2(
+        n56152), .B1(n50015), .Y(n50016) );
+  sky130_fd_sc_hd__nor2_1 U70016 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_1[1]), .B(
+        n56144), .Y(n50017) );
+  sky130_fd_sc_hd__o21ai_0 U70017 ( .A1(n50017), .A2(n51388), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_1[0]), .Y(
+        n50025) );
+  sky130_fd_sc_hd__nand2_1 U70018 ( .A(n50020), .B(n50019), .Y(n50022) );
+  sky130_fd_sc_hd__xor2_1 U70019 ( .A(n50022), .B(n50021), .X(n50023) );
+  sky130_fd_sc_hd__a22oi_1 U70020 ( .A1(n55472), .A2(n85118), .B1(n50023), 
+        .B2(n56149), .Y(n50024) );
+  sky130_fd_sc_hd__nand3_1 U70021 ( .A(n50026), .B(n50025), .C(n50024), .Y(
+        n84873) );
+  sky130_fd_sc_hd__nor2_1 U70022 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_state[1]), .B(n50027), .Y(n73733) );
+  sky130_fd_sc_hd__nor2_1 U70023 ( .A(n73741), .B(n73733), .Y(n56006) );
+  sky130_fd_sc_hd__a222oi_1 U70024 ( .A1(n73741), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[9]), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[11]), .B2(n56006), .C1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[13]), .C2(n73733), .Y(n77841) );
+  sky130_fd_sc_hd__o22ai_1 U70025 ( .A1(n77841), .A2(n77824), .B1(n77827), 
+        .B2(n77865), .Y(n85847) );
+  sky130_fd_sc_hd__a22o_1 U70026 ( .A1(n56841), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[23]), 
+        .B1(n56919), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[23]), .X(n50039) );
+  sky130_fd_sc_hd__a22oi_1 U70027 ( .A1(n56842), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[23]), .B1(n56843), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[23]), 
+        .Y(n50037) );
+  sky130_fd_sc_hd__nor2_1 U70028 ( .A(n50029), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget__enable_T_3_1_), .Y(n50030) );
+  sky130_fd_sc_hd__nand2_1 U70030 ( .A(n55319), .B(n60154), .Y(n53075) );
+  sky130_fd_sc_hd__nor2_1 U70031 ( .A(n60154), .B(n56132), .Y(n56359) );
+  sky130_fd_sc_hd__o2bb2ai_1 U70032 ( .B1(n50032), .B2(n53075), .A1_N(n56359), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_2[7]), .Y(n50033) );
+  sky130_fd_sc_hd__a21oi_1 U70033 ( .A1(n56749), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[23]), .B1(n50033), .Y(n50036) );
+  sky130_fd_sc_hd__nand2_1 U70034 ( .A(n56754), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend[23]), .Y(n50035) );
+  sky130_fd_sc_hd__nand2_1 U70035 ( .A(n56755), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_16[23]), .Y(n50034) );
+  sky130_fd_sc_hd__nand4_1 U70036 ( .A(n50037), .B(n50036), .C(n50035), .D(
+        n50034), .Y(n50038) );
+  sky130_fd_sc_hd__a211oi_1 U70037 ( .A1(n51688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[23]), .B1(n50039), .C1(n50038), .Y(n50042) );
+  sky130_fd_sc_hd__a22oi_1 U70038 ( .A1(n56803), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[23]), 
+        .B1(n36990), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[23]), 
+        .Y(n50041) );
+  sky130_fd_sc_hd__a22oi_1 U70039 ( .A1(n36814), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[23]), 
+        .B1(n48207), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[23]), 
+        .Y(n50040) );
+  sky130_fd_sc_hd__nand3_1 U70040 ( .A(n50042), .B(n50041), .C(n50040), .Y(
+        n50043) );
+  sky130_fd_sc_hd__a21oi_1 U70041 ( .A1(n56667), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[23]), .B1(n50043), .Y(n50061) );
+  sky130_fd_sc_hd__o22ai_1 U70042 ( .A1(n67084), .A2(n56787), .B1(n56349), 
+        .B2(n50044), .Y(n50057) );
+  sky130_fd_sc_hd__a22o_1 U70043 ( .A1(n56818), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend[23]), .B1(n56821), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_16[23]), .X(n50056) );
+  sky130_fd_sc_hd__nor2_1 U70044 ( .A(n61971), .B(n56914), .Y(n53065) );
+  sky130_fd_sc_hd__a22oi_1 U70045 ( .A1(n63514), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[23]), .B1(n61078), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[23]), 
+        .Y(n50048) );
+  sky130_fd_sc_hd__a22o_1 U70046 ( .A1(n63517), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[23]), .B1(n79921), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[23]), 
+        .X(n50045) );
+  sky130_fd_sc_hd__a21oi_1 U70047 ( .A1(n61081), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[23]), 
+        .B1(n50045), .Y(n50047) );
+  sky130_fd_sc_hd__nand2_1 U70048 ( .A(n60000), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[23]), 
+        .Y(n50046) );
+  sky130_fd_sc_hd__a31oi_1 U70049 ( .A1(n50048), .A2(n50047), .A3(n50046), 
+        .B1(n56765), .Y(n50049) );
+  sky130_fd_sc_hd__a21oi_1 U70050 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_1[23]), .A2(n53065), .B1(n50049), .Y(n50054) );
+  sky130_fd_sc_hd__a22oi_1 U70051 ( .A1(n86736), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[23]), 
+        .B1(n56372), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[23]), 
+        .Y(n50053) );
+  sky130_fd_sc_hd__a22oi_1 U70052 ( .A1(n56123), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[23]), 
+        .B1(n36789), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[23]), 
+        .Y(n50052) );
+  sky130_fd_sc_hd__nor2_1 U70053 ( .A(n84179), .B(n56914), .Y(n53066) );
+  sky130_fd_sc_hd__a22oi_1 U70054 ( .A1(n48944), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[23]), .B1(n53066), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_10[23]), .Y(n50051) );
+  sky130_fd_sc_hd__nand4_1 U70055 ( .A(n50054), .B(n50053), .C(n50052), .D(
+        n50051), .Y(n50055) );
+  sky130_fd_sc_hd__nor3_1 U70056 ( .A(n50057), .B(n50056), .C(n50055), .Y(
+        n50060) );
+  sky130_fd_sc_hd__a22oi_1 U70057 ( .A1(n51804), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[23]), .B1(n49002), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[23]), 
+        .Y(n50059) );
+  sky130_fd_sc_hd__a2bb2oi_1 U70058 ( .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_16[23]), .B2(n56695), .A1_N(n67093), .A2_N(n53026), .Y(n50058) );
+  sky130_fd_sc_hd__nand4_1 U70059 ( .A(n50061), .B(n50060), .C(n50059), .D(
+        n50058), .Y(n84426) );
+  sky130_fd_sc_hd__mux2_2 U70060 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[55]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[23]), .S(n55502), .X(n85096) );
+  sky130_fd_sc_hd__nand2_1 U70061 ( .A(n56146), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_23[0]), .Y(
+        n50062) );
+  sky130_fd_sc_hd__o21ai_1 U70063 ( .A1(n50063), .A2(n48421), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_23[1]), .Y(
+        n50076) );
+  sky130_fd_sc_hd__nor2_1 U70064 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_23[1]), .B(
+        n56144), .Y(n50064) );
+  sky130_fd_sc_hd__o21ai_1 U70066 ( .A1(n50067), .A2(n53161), .B1(n50066), .Y(
+        n51391) );
+  sky130_fd_sc_hd__a21oi_1 U70067 ( .A1(n51391), .A2(n38453), .B1(n50068), .Y(
+        n50072) );
+  sky130_fd_sc_hd__nand2_1 U70068 ( .A(n50070), .B(n50069), .Y(n50071) );
+  sky130_fd_sc_hd__xor2_1 U70069 ( .A(n50072), .B(n50071), .X(n50073) );
+  sky130_fd_sc_hd__a22oi_1 U70070 ( .A1(n56151), .A2(n85096), .B1(n50073), 
+        .B2(n56149), .Y(n50074) );
+  sky130_fd_sc_hd__nand3_1 U70071 ( .A(n50076), .B(n50075), .C(n50074), .Y(
+        n84895) );
+  sky130_fd_sc_hd__a22oi_1 U70072 ( .A1(n56840), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[13]), .B1(n48944), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[13]), .Y(n50155) );
+  sky130_fd_sc_hd__a22oi_1 U70073 ( .A1(n63517), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[13]), .B1(n60000), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[13]), 
+        .Y(n50081) );
+  sky130_fd_sc_hd__o2bb2ai_1 U70074 ( .B1(n56700), .B2(n78030), .A1_N(n79921), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[13]), 
+        .Y(n50078) );
+  sky130_fd_sc_hd__a21oi_1 U70075 ( .A1(n61081), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[13]), 
+        .B1(n50078), .Y(n50080) );
+  sky130_fd_sc_hd__a22oi_1 U70076 ( .A1(n63514), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[13]), .B1(n61078), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[13]), 
+        .Y(n50079) );
+  sky130_fd_sc_hd__a31oi_1 U70077 ( .A1(n50081), .A2(n50080), .A3(n50079), 
+        .B1(n56765), .Y(n50091) );
+  sky130_fd_sc_hd__nand2_1 U70078 ( .A(n48762), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[13]), .Y(n50089) );
+  sky130_fd_sc_hd__a21oi_1 U70079 ( .A1(n50084), .A2(n50083), .B1(n83675), .Y(
+        n83674) );
+  sky130_fd_sc_hd__nor2_1 U70080 ( .A(n83674), .B(n56132), .Y(n56713) );
+  sky130_fd_sc_hd__nor2_1 U70081 ( .A(n50085), .B(n56132), .Y(n56712) );
+  sky130_fd_sc_hd__a22oi_1 U70082 ( .A1(n56713), .A2(n85011), .B1(n56712), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_1[5]), .Y(n50088) );
+  sky130_fd_sc_hd__nand2_1 U70083 ( .A(n48818), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[13]), .Y(n50087) );
+  sky130_fd_sc_hd__nand2_1 U70084 ( .A(n56749), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[13]), .Y(n50086) );
+  sky130_fd_sc_hd__nand4_1 U70085 ( .A(n50089), .B(n50088), .C(n50087), .D(
+        n50086), .Y(n50090) );
+  sky130_fd_sc_hd__a211oi_1 U70086 ( .A1(n56275), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[13]), .B1(n50091), .C1(n50090), .Y(n50107) );
+  sky130_fd_sc_hd__nand2_1 U70087 ( .A(n50841), .B(n51658), .Y(n50095) );
+  sky130_fd_sc_hd__o22ai_1 U70088 ( .A1(n53053), .A2(n36849), .B1(n52003), 
+        .B2(n37001), .Y(n50093) );
+  sky130_fd_sc_hd__o2bb2ai_1 U70089 ( .B1(n51098), .B2(n56284), .A1_N(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[17]), 
+        .A2_N(n56279), .Y(n50092) );
+  sky130_fd_sc_hd__nor2_1 U70090 ( .A(n50093), .B(n50092), .Y(n50843) );
+  sky130_fd_sc_hd__nand2_1 U70091 ( .A(n50843), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[2]), .Y(n50094) );
+  sky130_fd_sc_hd__nand2_1 U70092 ( .A(n50095), .B(n50094), .Y(n52668) );
+  sky130_fd_sc_hd__a2bb2oi_1 U70093 ( .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[24]), 
+        .B2(n56277), .A1_N(n50096), .A2_N(n37001), .Y(n50099) );
+  sky130_fd_sc_hd__nand2_1 U70094 ( .A(n56278), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[22]), 
+        .Y(n50098) );
+  sky130_fd_sc_hd__nand2_1 U70095 ( .A(n48917), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[21]), 
+        .Y(n50097) );
+  sky130_fd_sc_hd__nand3_1 U70096 ( .A(n50099), .B(n50098), .C(n50097), .Y(
+        n50842) );
+  sky130_fd_sc_hd__o22ai_1 U70097 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[28]), 
+        .A2(n36849), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[27]), 
+        .B2(n37001), .Y(n50101) );
+  sky130_fd_sc_hd__o22ai_1 U70098 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[26]), 
+        .A2(n56284), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[25]), 
+        .B2(n56283), .Y(n50100) );
+  sky130_fd_sc_hd__o21ai_1 U70099 ( .A1(n50101), .A2(n50100), .B1(n56285), .Y(
+        n50102) );
+  sky130_fd_sc_hd__a21oi_1 U70101 ( .A1(n52668), .A2(n56726), .B1(n50103), .Y(
+        n68150) );
+  sky130_fd_sc_hd__a22oi_1 U70102 ( .A1(n56729), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_7_13), .B1(n56728), .B2(n68150), .Y(n50106) );
+  sky130_fd_sc_hd__a22oi_1 U70103 ( .A1(n56817), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[13]), 
+        .B1(n56816), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[13]), 
+        .Y(n50105) );
+  sky130_fd_sc_hd__a22oi_1 U70104 ( .A1(n48974), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[13]), 
+        .B1(n48976), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[13]), 
+        .Y(n50104) );
+  sky130_fd_sc_hd__nand4_1 U70105 ( .A(n50107), .B(n50106), .C(n50105), .D(
+        n50104), .Y(n50153) );
+  sky130_fd_sc_hd__a22oi_1 U70106 ( .A1(n49008), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[13]), .B1(n49086), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[13]), .Y(n50121) );
+  sky130_fd_sc_hd__a22oi_1 U70107 ( .A1(n56932), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[13]), .B1(n49101), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[13]), .Y(n50120) );
+  sky130_fd_sc_hd__o22ai_1 U70108 ( .A1(n67162), .A2(n56253), .B1(n67147), 
+        .B2(n56252), .Y(n50109) );
+  sky130_fd_sc_hd__o2bb2ai_1 U70109 ( .B1(n67144), .B2(n56255), .A1_N(n56247), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[17]), .Y(n50108) );
+  sky130_fd_sc_hd__nor2_1 U70110 ( .A(n50109), .B(n50108), .Y(n50868) );
+  sky130_fd_sc_hd__nand2_1 U70111 ( .A(n50868), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[2]), .Y(n50110) );
+  sky130_fd_sc_hd__o21ai_1 U70112 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[2]), .A2(n50864), .B1(n50110), .Y(n52612) );
+  sky130_fd_sc_hd__a2bb2oi_1 U70113 ( .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[24]), .B2(n56246), .A1_N(n67093), .A2_N(n56252), .Y(n50113) );
+  sky130_fd_sc_hd__nand2_1 U70114 ( .A(n56247), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[21]), .Y(n50112) );
+  sky130_fd_sc_hd__nand2_1 U70115 ( .A(n56248), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[22]), .Y(n50111) );
+  sky130_fd_sc_hd__nand3_1 U70116 ( .A(n50113), .B(n50112), .C(n50111), .Y(
+        n50866) );
+  sky130_fd_sc_hd__o22ai_1 U70117 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[28]), .A2(n56253), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[27]), .B2(n56252), .Y(n50115) );
+  sky130_fd_sc_hd__o22ai_1 U70118 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[26]), .A2(n56255), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[25]), .B2(n56254), .Y(n50114) );
+  sky130_fd_sc_hd__a21oi_1 U70121 ( .A1(n52612), .A2(n56261), .B1(n50117), .Y(
+        n67959) );
+  sky130_fd_sc_hd__a22oi_1 U70122 ( .A1(n56695), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_7_13), .B1(n56930), .B2(n67959), .Y(n50119) );
+  sky130_fd_sc_hd__a22oi_1 U70123 ( .A1(n36788), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[13]), .B1(n56929), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[13]), .Y(n50118) );
+  sky130_fd_sc_hd__nand4_1 U70124 ( .A(n50121), .B(n50120), .C(n50119), .D(
+        n50118), .Y(n50152) );
+  sky130_fd_sc_hd__a22oi_1 U70125 ( .A1(n56372), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[13]), 
+        .B1(n48207), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[13]), 
+        .Y(n50123) );
+  sky130_fd_sc_hd__a22oi_1 U70126 ( .A1(n56371), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[13]), 
+        .B1(n36789), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[13]), 
+        .Y(n50122) );
+  sky130_fd_sc_hd__nand2_1 U70127 ( .A(n50123), .B(n50122), .Y(n50133) );
+  sky130_fd_sc_hd__o2bb2ai_1 U70128 ( .B1(n50124), .B2(n53027), .A1_N(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[13]), .A2_N(n56296), .Y(n50125) );
+  sky130_fd_sc_hd__a21oi_1 U70129 ( .A1(n48789), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[13]), .B1(n50125), .Y(n50131) );
+  sky130_fd_sc_hd__clkinv_1 U70130 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[13]), .Y(n50126) );
+  sky130_fd_sc_hd__o2bb2ai_1 U70131 ( .B1(n50126), .B2(n56388), .A1_N(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[13]), 
+        .A2_N(n56841), .Y(n50127) );
+  sky130_fd_sc_hd__a21oi_1 U70132 ( .A1(n51688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[13]), .B1(n50127), .Y(n50130) );
+  sky130_fd_sc_hd__a22oi_1 U70133 ( .A1(n36814), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[13]), 
+        .B1(n36990), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[13]), 
+        .Y(n50129) );
+  sky130_fd_sc_hd__a22oi_1 U70134 ( .A1(n86736), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[13]), 
+        .B1(n56393), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[13]), 
+        .Y(n50128) );
+  sky130_fd_sc_hd__nand4_1 U70135 ( .A(n50131), .B(n50130), .C(n50129), .D(
+        n50128), .Y(n50132) );
+  sky130_fd_sc_hd__a211oi_1 U70136 ( .A1(n48880), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[13]), .B1(n50133), .C1(n50132), .Y(n50150) );
+  sky130_fd_sc_hd__mux2i_1 U70137 ( .A0(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[27]), .A1(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[28]), 
+        .S(n63558), .Y(n52946) );
+  sky130_fd_sc_hd__mux2i_1 U70138 ( .A0(n63539), .A1(n63632), .S(n63558), .Y(
+        n51437) );
+  sky130_fd_sc_hd__mux2i_1 U70139 ( .A0(n50134), .A1(n51437), .S(n51282), .Y(
+        n50146) );
+  sky130_fd_sc_hd__o22ai_1 U70140 ( .A1(n67096), .A2(n56320), .B1(n67084), 
+        .B2(n56319), .Y(n50138) );
+  sky130_fd_sc_hd__nand2_1 U70141 ( .A(n56314), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[22]), .Y(n50136) );
+  sky130_fd_sc_hd__nand2_1 U70142 ( .A(n56313), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[21]), .Y(n50135) );
+  sky130_fd_sc_hd__nand2_1 U70143 ( .A(n50136), .B(n50135), .Y(n50137) );
+  sky130_fd_sc_hd__nor2_1 U70144 ( .A(n50138), .B(n50137), .Y(n50873) );
+  sky130_fd_sc_hd__nand2_1 U70145 ( .A(n56311), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[19]), .Y(n50142) );
+  sky130_fd_sc_hd__nand2_1 U70146 ( .A(n56312), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[20]), .Y(n50141) );
+  sky130_fd_sc_hd__nand2_1 U70147 ( .A(n56313), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[17]), .Y(n50140) );
+  sky130_fd_sc_hd__nand2_1 U70148 ( .A(n56314), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[18]), .Y(n50139) );
+  sky130_fd_sc_hd__nand4_1 U70149 ( .A(n50142), .B(n50141), .C(n50140), .D(
+        n50139), .Y(n52615) );
+  sky130_fd_sc_hd__o22ai_1 U70150 ( .A1(n56664), .A2(n50873), .B1(n50143), 
+        .B2(n63648), .Y(n50144) );
+  sky130_fd_sc_hd__a21oi_1 U70151 ( .A1(n56878), .A2(n52616), .B1(n50144), .Y(
+        n50145) );
+  sky130_fd_sc_hd__a22oi_1 U70153 ( .A1(n56667), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_7_13), .B1(n49103), .B2(n73377), .Y(n50149) );
+  sky130_fd_sc_hd__a22oi_1 U70154 ( .A1(n49121), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[13]), .B1(n56875), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[13]), .Y(n50148) );
+  sky130_fd_sc_hd__a22oi_1 U70155 ( .A1(n56876), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[13]), .B1(n49014), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[13]), .Y(n50147) );
+  sky130_fd_sc_hd__nand4_1 U70156 ( .A(n50150), .B(n50149), .C(n50148), .D(
+        n50147), .Y(n50151) );
+  sky130_fd_sc_hd__nor3_1 U70157 ( .A(n50153), .B(n50152), .C(n50151), .Y(
+        n50154) );
+  sky130_fd_sc_hd__nand2_1 U70158 ( .A(n50155), .B(n50154), .Y(n84417) );
+  sky130_fd_sc_hd__mux2_2 U70159 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[45]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[13]), .S(n36973), .X(n84300) );
+  sky130_fd_sc_hd__nand2_1 U70160 ( .A(n84300), .B(n56950), .Y(n50156) );
+  sky130_fd_sc_hd__o21ai_1 U70161 ( .A1(n56950), .A2(n50157), .B1(n50156), .Y(
+        n84835) );
+  sky130_fd_sc_hd__nor2_1 U70162 ( .A(n50159), .B(n50158), .Y(n50168) );
+  sky130_fd_sc_hd__o22ai_1 U70163 ( .A1(n50160), .A2(n50261), .B1(n50436), 
+        .B2(n52213), .Y(n50161) );
+  sky130_fd_sc_hd__a21oi_1 U70164 ( .A1(n50162), .A2(n50174), .B1(n50161), .Y(
+        n50163) );
+  sky130_fd_sc_hd__o21ai_0 U70165 ( .A1(n52088), .A2(n50262), .B1(n50163), .Y(
+        n50165) );
+  sky130_fd_sc_hd__a22oi_1 U70166 ( .A1(n50166), .A2(n50165), .B1(n50164), 
+        .B2(n52744), .Y(n51859) );
+  sky130_fd_sc_hd__nand3_1 U70167 ( .A(n50168), .B(n51859), .C(n50167), .Y(
+        n52753) );
+  sky130_fd_sc_hd__nor3_1 U70168 ( .A(n57056), .B(n57298), .C(n52753), .Y(
+        n50283) );
+  sky130_fd_sc_hd__nand2_1 U70169 ( .A(n50907), .B(n57482), .Y(n57190) );
+  sky130_fd_sc_hd__o22ai_1 U70170 ( .A1(n52179), .A2(n57190), .B1(n50467), 
+        .B2(n52404), .Y(n50169) );
+  sky130_fd_sc_hd__nor4_1 U70171 ( .A(n56416), .B(n57551), .C(n50170), .D(
+        n50169), .Y(n51832) );
+  sky130_fd_sc_hd__a21oi_1 U70172 ( .A1(n50931), .A2(n50172), .B1(n50171), .Y(
+        n50173) );
+  sky130_fd_sc_hd__a31oi_1 U70173 ( .A1(n51832), .A2(n50173), .A3(n51531), 
+        .B1(n52744), .Y(n50176) );
+  sky130_fd_sc_hd__o22ai_1 U70174 ( .A1(n57262), .A2(n50174), .B1(n57319), 
+        .B2(n50258), .Y(n50175) );
+  sky130_fd_sc_hd__nor3_1 U70175 ( .A(n51533), .B(n50176), .C(n50175), .Y(
+        n50184) );
+  sky130_fd_sc_hd__o22ai_1 U70176 ( .A1(n50177), .A2(n57196), .B1(n56993), 
+        .B2(n52182), .Y(n50178) );
+  sky130_fd_sc_hd__o21ai_1 U70177 ( .A1(n50179), .A2(n50178), .B1(n52744), .Y(
+        n51828) );
+  sky130_fd_sc_hd__o22ai_1 U70178 ( .A1(n50259), .A2(n50180), .B1(n50262), 
+        .B2(n57165), .Y(n50181) );
+  sky130_fd_sc_hd__o21ai_1 U70179 ( .A1(n50182), .A2(n50181), .B1(n50986), .Y(
+        n50183) );
+  sky130_fd_sc_hd__a31oi_1 U70180 ( .A1(n50184), .A2(n51828), .A3(n50183), 
+        .B1(n57222), .Y(n52689) );
+  sky130_fd_sc_hd__a22oi_1 U70181 ( .A1(n52179), .A2(n50346), .B1(n50991), 
+        .B2(n52744), .Y(n50190) );
+  sky130_fd_sc_hd__nand2_1 U70182 ( .A(n50185), .B(n37029), .Y(n50189) );
+  sky130_fd_sc_hd__o21ai_0 U70183 ( .A1(n50187), .A2(n50186), .B1(n57305), .Y(
+        n50188) );
+  sky130_fd_sc_hd__nand3_1 U70184 ( .A(n50190), .B(n50189), .C(n50188), .Y(
+        n51867) );
+  sky130_fd_sc_hd__nor3_1 U70185 ( .A(n50192), .B(n50191), .C(n51867), .Y(
+        n52749) );
+  sky130_fd_sc_hd__o21ai_1 U70186 ( .A1(n57317), .A2(n50194), .B1(n50193), .Y(
+        n52740) );
+  sky130_fd_sc_hd__nor2_1 U70187 ( .A(n52216), .B(n50460), .Y(n50198) );
+  sky130_fd_sc_hd__nor2_1 U70188 ( .A(n57414), .B(n50195), .Y(n51504) );
+  sky130_fd_sc_hd__o22ai_1 U70189 ( .A1(n57413), .A2(n56499), .B1(n50450), 
+        .B2(n50196), .Y(n50197) );
+  sky130_fd_sc_hd__nor3_1 U70190 ( .A(n50198), .B(n51504), .C(n50197), .Y(
+        n50199) );
+  sky130_fd_sc_hd__o21ai_1 U70191 ( .A1(n41624), .A2(n50199), .B1(n52705), .Y(
+        n50200) );
+  sky130_fd_sc_hd__o21ai_1 U70192 ( .A1(n50201), .A2(n50200), .B1(n52744), .Y(
+        n50203) );
+  sky130_fd_sc_hd__nand2_1 U70193 ( .A(n50348), .B(n50202), .Y(n52694) );
+  sky130_fd_sc_hd__nand3_1 U70194 ( .A(n50203), .B(n57268), .C(n52694), .Y(
+        n50204) );
+  sky130_fd_sc_hd__nor2_1 U70195 ( .A(n52740), .B(n50204), .Y(n51870) );
+  sky130_fd_sc_hd__a21oi_1 U70196 ( .A1(n52749), .A2(n51870), .B1(n57267), .Y(
+        n50280) );
+  sky130_fd_sc_hd__a22oi_1 U70197 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[12]), 
+        .A2(n57559), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[12]), 
+        .B2(n57560), .Y(n50207) );
+  sky130_fd_sc_hd__a22oi_1 U70198 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[2]), .A2(n57562), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[44]), 
+        .B2(n57564), .Y(n50206) );
+  sky130_fd_sc_hd__a22oi_1 U70199 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[44]), 
+        .A2(n57563), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[12]), .B2(n57561), .Y(n50205) );
+  sky130_fd_sc_hd__nand3_1 U70200 ( .A(n50207), .B(n50206), .C(n50205), .Y(
+        n50208) );
+  sky130_fd_sc_hd__a21oi_1 U70201 ( .A1(n57570), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[5]), .B1(n50208), .Y(
+        n50209) );
+  sky130_fd_sc_hd__o21ai_1 U70202 ( .A1(n76876), .A2(n57276), .B1(n50209), .Y(
+        n50279) );
+  sky130_fd_sc_hd__a22oi_1 U70203 ( .A1(n57571), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[45]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[13]), .B2(n57558), .Y(n50277) );
+  sky130_fd_sc_hd__a22oi_1 U70204 ( .A1(n41794), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[13]), .B1(n38443), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[13]), .Y(n50276) );
+  sky130_fd_sc_hd__a21oi_1 U70205 ( .A1(n50211), .A2(n50210), .B1(n57280), .Y(
+        n52450) );
+  sky130_fd_sc_hd__a21oi_1 U70206 ( .A1(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[13]), 
+        .A2(n38397), .B1(n50212), .Y(n50215) );
+  sky130_fd_sc_hd__a22oi_1 U70207 ( .A1(n82327), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[13]), 
+        .B1(n82321), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[13]), 
+        .Y(n50214) );
+  sky130_fd_sc_hd__nand2_1 U70208 ( .A(n38401), .B(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[13]), 
+        .Y(n50213) );
+  sky130_fd_sc_hd__nand4_1 U70209 ( .A(n52450), .B(n50215), .C(n50214), .D(
+        n50213), .Y(n50228) );
+  sky130_fd_sc_hd__a22oi_1 U70210 ( .A1(n82315), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[13]), 
+        .B1(n82361), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[13]), 
+        .Y(n50219) );
+  sky130_fd_sc_hd__a22oi_1 U70211 ( .A1(n82350), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[13]), 
+        .B1(n82290), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[13]), 
+        .Y(n50218) );
+  sky130_fd_sc_hd__a22oi_1 U70212 ( .A1(n82339), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[13]), 
+        .B1(n82278), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[13]), 
+        .Y(n50217) );
+  sky130_fd_sc_hd__a22oi_1 U70213 ( .A1(n38396), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[13]), 
+        .B1(n82303), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[13]), 
+        .Y(n50216) );
+  sky130_fd_sc_hd__nand4_1 U70214 ( .A(n50219), .B(n50218), .C(n50217), .D(
+        n50216), .Y(n50227) );
+  sky130_fd_sc_hd__a22oi_1 U70215 ( .A1(n82296), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[13]), 
+        .B1(n82333), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[13]), 
+        .Y(n50221) );
+  sky130_fd_sc_hd__a22oi_1 U70216 ( .A1(n82309), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[13]), 
+        .B1(n82284), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[13]), 
+        .Y(n50220) );
+  sky130_fd_sc_hd__nand2_1 U70217 ( .A(n50221), .B(n50220), .Y(n50222) );
+  sky130_fd_sc_hd__a21oi_1 U70218 ( .A1(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[13]), 
+        .A2(n57586), .B1(n50222), .Y(n50223) );
+  sky130_fd_sc_hd__o21ai_1 U70219 ( .A1(n50225), .A2(n50224), .B1(n50223), .Y(
+        n50226) );
+  sky130_fd_sc_hd__nor3_1 U70220 ( .A(n50228), .B(n50227), .C(n50226), .Y(
+        n50230) );
+  sky130_fd_sc_hd__a22oi_1 U70221 ( .A1(n56525), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__5[5]), .B1(n57587), .B2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__1[5]), .Y(n50229) );
+  sky130_fd_sc_hd__o21ai_0 U70222 ( .A1(n50230), .A2(n43381), .B1(n50229), .Y(
+        n50231) );
+  sky130_fd_sc_hd__a21oi_1 U70223 ( .A1(n37065), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[45]), .B1(n50231), .Y(n50275) );
+  sky130_fd_sc_hd__nor2_1 U70224 ( .A(n50259), .B(n52710), .Y(n50234) );
+  sky130_fd_sc_hd__o21ai_1 U70225 ( .A1(n50235), .A2(n50234), .B1(n50233), .Y(
+        n50236) );
+  sky130_fd_sc_hd__a21oi_1 U70226 ( .A1(n51836), .A2(n50236), .B1(n51834), .Y(
+        n50237) );
+  sky130_fd_sc_hd__a21oi_1 U70228 ( .A1(n52700), .A2(n52257), .B1(n57343), .Y(
+        n50250) );
+  sky130_fd_sc_hd__nor2_1 U70229 ( .A(n50238), .B(n57316), .Y(n50976) );
+  sky130_fd_sc_hd__a31oi_1 U70230 ( .A1(n51836), .A2(n57496), .A3(n50239), 
+        .B1(n50976), .Y(n50240) );
+  sky130_fd_sc_hd__nand4_1 U70231 ( .A(n50240), .B(n57484), .C(n57129), .D(
+        n57301), .Y(n50246) );
+  sky130_fd_sc_hd__a21oi_1 U70232 ( .A1(n52708), .A2(n57216), .B1(n50241), .Y(
+        n50244) );
+  sky130_fd_sc_hd__o22ai_1 U70233 ( .A1(n50244), .A2(n50981), .B1(n50243), 
+        .B2(n50242), .Y(n50245) );
+  sky130_fd_sc_hd__a211oi_1 U70234 ( .A1(n57305), .A2(n50247), .B1(n50246), 
+        .C1(n50245), .Y(n50249) );
+  sky130_fd_sc_hd__nand2_1 U70235 ( .A(n50248), .B(n52382), .Y(n51824) );
+  sky130_fd_sc_hd__nand2_1 U70236 ( .A(n57549), .B(n51824), .Y(n57306) );
+  sky130_fd_sc_hd__o211ai_1 U70237 ( .A1(n57496), .A2(n50250), .B1(n50249), 
+        .C1(n57306), .Y(n50273) );
+  sky130_fd_sc_hd__a21oi_1 U70238 ( .A1(n50251), .A2(n56558), .B1(n57173), .Y(
+        n50271) );
+  sky130_fd_sc_hd__a21oi_1 U70239 ( .A1(n52253), .A2(n57051), .B1(n56457), .Y(
+        n50255) );
+  sky130_fd_sc_hd__a21oi_1 U70240 ( .A1(n50253), .A2(n50252), .B1(n52744), .Y(
+        n57322) );
+  sky130_fd_sc_hd__o21ai_0 U70241 ( .A1(n57483), .A2(n57433), .B1(n57318), .Y(
+        n50254) );
+  sky130_fd_sc_hd__nor4_1 U70242 ( .A(n50256), .B(n50255), .C(n57322), .D(
+        n50254), .Y(n51883) );
+  sky130_fd_sc_hd__nand2_1 U70243 ( .A(n50257), .B(n57228), .Y(n50920) );
+  sky130_fd_sc_hd__nor2_1 U70244 ( .A(n50259), .B(n50258), .Y(n50265) );
+  sky130_fd_sc_hd__o22ai_1 U70245 ( .A1(n52102), .A2(n50262), .B1(n50261), 
+        .B2(n50260), .Y(n50264) );
+  sky130_fd_sc_hd__nor2_1 U70247 ( .A(n56592), .B(n52402), .Y(n52436) );
+  sky130_fd_sc_hd__o31ai_1 U70248 ( .A1(n52436), .A2(n50939), .A3(n50266), 
+        .B1(n52744), .Y(n50267) );
+  sky130_fd_sc_hd__nand3_1 U70249 ( .A(n52467), .B(n50268), .C(n50267), .Y(
+        n52732) );
+  sky130_fd_sc_hd__nor3b_1 U70250 ( .C_N(n50920), .A(n50269), .B(n52732), .Y(
+        n50270) );
+  sky130_fd_sc_hd__a31oi_1 U70251 ( .A1(n50271), .A2(n51883), .A3(n50270), 
+        .B1(n56606), .Y(n50272) );
+  sky130_fd_sc_hd__a31oi_1 U70252 ( .A1(n56989), .A2(n57096), .A3(n50273), 
+        .B1(n50272), .Y(n50274) );
+  sky130_fd_sc_hd__nand4_1 U70253 ( .A(n50277), .B(n50276), .C(n50275), .D(
+        n50274), .Y(n50278) );
+  sky130_fd_sc_hd__nor4_1 U70254 ( .A(n52689), .B(n50280), .C(n50279), .D(
+        n50278), .Y(n50281) );
+  sky130_fd_sc_hd__o21ai_1 U70255 ( .A1(n50283), .A2(n50282), .B1(n50281), .Y(
+        n84497) );
+  sky130_fd_sc_hd__mux2_2 U70256 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[45]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[13]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .X(n84561) );
+  sky130_fd_sc_hd__nand2_1 U70257 ( .A(n57367), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_13[0]), .Y(
+        n50286) );
+  sky130_fd_sc_hd__nand2_1 U70258 ( .A(n57600), .B(n84561), .Y(n50285) );
+  sky130_fd_sc_hd__o221ai_1 U70259 ( .A1(n83298), .A2(n85222), .B1(n57101), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_1[5]), .C1(n57100), .Y(n50284) );
+  sky130_fd_sc_hd__nand3_1 U70260 ( .A(n50286), .B(n50285), .C(n50284), .Y(
+        n84538) );
+  sky130_fd_sc_hd__nor2_1 U70261 ( .A(n75911), .B(n56044), .Y(n84379) );
+  sky130_fd_sc_hd__nand2_1 U70262 ( .A(n54312), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[45]), .Y(n50288) );
+  sky130_fd_sc_hd__nand2_1 U70263 ( .A(n54307), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[13]), .Y(n50287) );
+  sky130_fd_sc_hd__nand2_1 U70264 ( .A(n50288), .B(n50287), .Y(n84759) );
+  sky130_fd_sc_hd__nor2_1 U70265 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_13[0]), .B(
+        n54216), .Y(n50289) );
+  sky130_fd_sc_hd__o21ai_1 U70266 ( .A1(n50289), .A2(n36791), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_13[1]), .Y(
+        n50299) );
+  sky130_fd_sc_hd__nand2_1 U70267 ( .A(n54218), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_13[1]), .Y(
+        n50290) );
+  sky130_fd_sc_hd__o21ai_1 U70269 ( .A1(n50291), .A2(n36790), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_13[0]), .Y(
+        n50298) );
+  sky130_fd_sc_hd__a21oi_1 U70270 ( .A1(n51026), .A2(n51025), .B1(n50292), .Y(
+        n50295) );
+  sky130_fd_sc_hd__nand2_1 U70271 ( .A(n38467), .B(n50293), .Y(n50294) );
+  sky130_fd_sc_hd__xor2_1 U70272 ( .A(n50295), .B(n50294), .X(n50296) );
+  sky130_fd_sc_hd__a22oi_1 U70273 ( .A1(n54228), .A2(n50296), .B1(n52494), 
+        .B2(n84759), .Y(n50297) );
+  sky130_fd_sc_hd__nand3_1 U70274 ( .A(n50299), .B(n50298), .C(n50297), .Y(
+        n84355) );
+  sky130_fd_sc_hd__nand2_1 U70275 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[45]), .Y(n50301) );
+  sky130_fd_sc_hd__nand2_1 U70276 ( .A(n54996), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[13]), .Y(n50300) );
+  sky130_fd_sc_hd__nand2_1 U70277 ( .A(n50301), .B(n50300), .Y(n85585) );
+  sky130_fd_sc_hd__mux2_2 U70278 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[45]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[13]), .S(n55502), .X(n85106) );
+  sky130_fd_sc_hd__nand2_1 U70279 ( .A(n56146), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_13[0]), .Y(
+        n50302) );
+  sky130_fd_sc_hd__nor2_1 U70282 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_13[1]), .B(
+        n56144), .Y(n50304) );
+  sky130_fd_sc_hd__o21ai_0 U70283 ( .A1(n50304), .A2(n51388), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_13[0]), .Y(
+        n50312) );
+  sky130_fd_sc_hd__a21oi_1 U70284 ( .A1(n52045), .A2(n51038), .B1(n50306), .Y(
+        n50309) );
+  sky130_fd_sc_hd__nand2_1 U70285 ( .A(n38451), .B(n50307), .Y(n50308) );
+  sky130_fd_sc_hd__xor2_1 U70286 ( .A(n50309), .B(n50308), .X(n50310) );
+  sky130_fd_sc_hd__a22oi_1 U70287 ( .A1(n55472), .A2(n85106), .B1(n50310), 
+        .B2(n56149), .Y(n50311) );
+  sky130_fd_sc_hd__nand3_1 U70288 ( .A(n50313), .B(n50312), .C(n50311), .Y(
+        n84885) );
+  sky130_fd_sc_hd__a21oi_1 U70289 ( .A1(n57040), .A2(n50314), .B1(n57301), .Y(
+        n50322) );
+  sky130_fd_sc_hd__nor2_1 U70290 ( .A(n50315), .B(n57070), .Y(n50321) );
+  sky130_fd_sc_hd__o22ai_1 U70291 ( .A1(n57193), .A2(n56538), .B1(n50316), 
+        .B2(n52229), .Y(n50320) );
+  sky130_fd_sc_hd__o22ai_1 U70292 ( .A1(n50318), .A2(n52266), .B1(n50494), 
+        .B2(n50317), .Y(n50319) );
+  sky130_fd_sc_hd__nor4_1 U70293 ( .A(n50322), .B(n50321), .C(n50320), .D(
+        n50319), .Y(n50341) );
+  sky130_fd_sc_hd__nor2_1 U70294 ( .A(n56408), .B(n52270), .Y(n50326) );
+  sky130_fd_sc_hd__a21oi_1 U70295 ( .A1(n52253), .A2(n57432), .B1(n51865), .Y(
+        n50325) );
+  sky130_fd_sc_hd__o22ai_1 U70296 ( .A1(n52693), .A2(n52251), .B1(n37012), 
+        .B2(n57217), .Y(n50324) );
+  sky130_fd_sc_hd__o22ai_1 U70297 ( .A1(n57450), .A2(n57053), .B1(n57527), 
+        .B2(n57138), .Y(n50323) );
+  sky130_fd_sc_hd__nor4_1 U70298 ( .A(n50326), .B(n50325), .C(n50324), .D(
+        n50323), .Y(n50340) );
+  sky130_fd_sc_hd__nor2_1 U70299 ( .A(n52149), .B(n52746), .Y(n52206) );
+  sky130_fd_sc_hd__nand2_1 U70300 ( .A(n57336), .B(n50327), .Y(n57074) );
+  sky130_fd_sc_hd__a21oi_1 U70301 ( .A1(n52206), .A2(n57074), .B1(n52744), .Y(
+        n50337) );
+  sky130_fd_sc_hd__nor2_1 U70302 ( .A(n52217), .B(n57001), .Y(n51503) );
+  sky130_fd_sc_hd__a21oi_1 U70303 ( .A1(n57199), .A2(n57208), .B1(n51503), .Y(
+        n50330) );
+  sky130_fd_sc_hd__nand2_1 U70304 ( .A(n52741), .B(n50328), .Y(n50473) );
+  sky130_fd_sc_hd__nand2_1 U70305 ( .A(n52214), .B(n57192), .Y(n50329) );
+  sky130_fd_sc_hd__a31oi_1 U70306 ( .A1(n50330), .A2(n50473), .A3(n50329), 
+        .B1(n50981), .Y(n50336) );
+  sky130_fd_sc_hd__o22ai_1 U70307 ( .A1(n52216), .A2(n57195), .B1(n50450), 
+        .B2(n52724), .Y(n50469) );
+  sky130_fd_sc_hd__o21ai_1 U70308 ( .A1(n50333), .A2(n50469), .B1(n50332), .Y(
+        n50334) );
+  sky130_fd_sc_hd__nor4_1 U70310 ( .A(n50338), .B(n50337), .C(n50336), .D(
+        n50335), .Y(n50339) );
+  sky130_fd_sc_hd__a31oi_1 U70311 ( .A1(n50341), .A2(n50340), .A3(n50339), 
+        .B1(n57438), .Y(n50421) );
+  sky130_fd_sc_hd__o22ai_1 U70312 ( .A1(n50344), .A2(n50343), .B1(n52468), 
+        .B2(n50342), .Y(n50345) );
+  sky130_fd_sc_hd__a211oi_1 U70313 ( .A1(n56556), .A2(n57021), .B1(n50346), 
+        .C1(n50345), .Y(n50377) );
+  sky130_fd_sc_hd__a22oi_1 U70314 ( .A1(n50348), .A2(n56457), .B1(n51552), 
+        .B2(n50347), .Y(n50376) );
+  sky130_fd_sc_hd__nor2_1 U70315 ( .A(n41626), .B(n52215), .Y(n50355) );
+  sky130_fd_sc_hd__nor2_1 U70316 ( .A(n56558), .B(n52217), .Y(n50354) );
+  sky130_fd_sc_hd__o22ai_1 U70317 ( .A1(n50350), .A2(n57413), .B1(n52216), 
+        .B2(n50349), .Y(n50353) );
+  sky130_fd_sc_hd__o21ai_1 U70318 ( .A1(n56592), .A2(n50450), .B1(n50351), .Y(
+        n50352) );
+  sky130_fd_sc_hd__nor4_1 U70319 ( .A(n50355), .B(n50354), .C(n50353), .D(
+        n50352), .Y(n50356) );
+  sky130_fd_sc_hd__o22ai_1 U70322 ( .A1(n52221), .A2(n56597), .B1(n56994), 
+        .B2(n52215), .Y(n50361) );
+  sky130_fd_sc_hd__o22ai_1 U70323 ( .A1(n50378), .A2(n57413), .B1(n52107), 
+        .B2(n50484), .Y(n50360) );
+  sky130_fd_sc_hd__o22ai_1 U70324 ( .A1(n52216), .A2(n50395), .B1(n52217), 
+        .B2(n52743), .Y(n50359) );
+  sky130_fd_sc_hd__nor4_1 U70325 ( .A(n50362), .B(n50361), .C(n50360), .D(
+        n50359), .Y(n50371) );
+  sky130_fd_sc_hd__o22ai_1 U70326 ( .A1(n50363), .A2(n57300), .B1(n57192), 
+        .B2(n36838), .Y(n50368) );
+  sky130_fd_sc_hd__nand2_1 U70327 ( .A(n52269), .B(n57508), .Y(n56417) );
+  sky130_fd_sc_hd__nand4_1 U70328 ( .A(n50366), .B(n56417), .C(n50365), .D(
+        n50364), .Y(n50367) );
+  sky130_fd_sc_hd__nor4_1 U70329 ( .A(n52148), .B(n52744), .C(n50368), .D(
+        n50367), .Y(n50369) );
+  sky130_fd_sc_hd__o22ai_1 U70330 ( .A1(n50371), .A2(n50496), .B1(n50370), 
+        .B2(n50369), .Y(n50372) );
+  sky130_fd_sc_hd__a21oi_1 U70331 ( .A1(n50374), .A2(n50373), .B1(n50372), .Y(
+        n50375) );
+  sky130_fd_sc_hd__a31oi_1 U70332 ( .A1(n50377), .A2(n50376), .A3(n50375), 
+        .B1(n57155), .Y(n50420) );
+  sky130_fd_sc_hd__nor2_1 U70333 ( .A(n52404), .B(n57004), .Y(n52161) );
+  sky130_fd_sc_hd__o22ai_1 U70334 ( .A1(n50437), .A2(n57196), .B1(n56467), 
+        .B2(n57140), .Y(n50389) );
+  sky130_fd_sc_hd__o22ai_1 U70335 ( .A1(n57136), .A2(n52171), .B1(n52179), 
+        .B2(n56570), .Y(n50385) );
+  sky130_fd_sc_hd__nand3_1 U70336 ( .A(n51484), .B(n36975), .C(n56542), .Y(
+        n57338) );
+  sky130_fd_sc_hd__o22ai_1 U70337 ( .A1(n56475), .A2(n56993), .B1(n50378), 
+        .B2(n57338), .Y(n50384) );
+  sky130_fd_sc_hd__nand2_1 U70338 ( .A(n50379), .B(n57481), .Y(n52702) );
+  sky130_fd_sc_hd__o22ai_1 U70339 ( .A1(n56444), .A2(n50395), .B1(n50488), 
+        .B2(n52702), .Y(n50383) );
+  sky130_fd_sc_hd__o211ai_1 U70340 ( .A1(n51840), .A2(n52262), .B1(n50381), 
+        .C1(n50380), .Y(n50382) );
+  sky130_fd_sc_hd__nor4_1 U70341 ( .A(n50385), .B(n50384), .C(n50383), .D(
+        n50382), .Y(n50387) );
+  sky130_fd_sc_hd__nand4b_1 U70342 ( .A_N(n56415), .B(n50387), .C(n52094), .D(
+        n50386), .Y(n50388) );
+  sky130_fd_sc_hd__nor4_1 U70343 ( .A(n52161), .B(n50390), .C(n50389), .D(
+        n50388), .Y(n50418) );
+  sky130_fd_sc_hd__a31oi_1 U70344 ( .A1(n50474), .A2(n50392), .A3(n56994), 
+        .B1(n50391), .Y(n50417) );
+  sky130_fd_sc_hd__a22oi_1 U70345 ( .A1(n41625), .A2(n50394), .B1(n41772), 
+        .B2(n50393), .Y(n50409) );
+  sky130_fd_sc_hd__nand2_1 U70346 ( .A(n50396), .B(n50395), .Y(n52168) );
+  sky130_fd_sc_hd__o21ai_0 U70347 ( .A1(n56458), .A2(n57011), .B1(n52168), .Y(
+        n50406) );
+  sky130_fd_sc_hd__o22ai_1 U70348 ( .A1(n57450), .A2(n57188), .B1(n50463), 
+        .B2(n56444), .Y(n50405) );
+  sky130_fd_sc_hd__o2bb2ai_1 U70349 ( .B1(n57190), .B2(n50398), .A1_N(n50397), 
+        .A2_N(n51865), .Y(n50404) );
+  sky130_fd_sc_hd__o22ai_1 U70350 ( .A1(n52269), .A2(n56503), .B1(n57297), 
+        .B2(n52262), .Y(n50399) );
+  sky130_fd_sc_hd__a31oi_1 U70351 ( .A1(n51836), .A2(n51484), .A3(n37012), 
+        .B1(n50399), .Y(n50400) );
+  sky130_fd_sc_hd__o31ai_1 U70352 ( .A1(n50402), .A2(n50401), .A3(n52164), 
+        .B1(n50400), .Y(n50403) );
+  sky130_fd_sc_hd__nor4_1 U70353 ( .A(n50406), .B(n50405), .C(n50404), .D(
+        n50403), .Y(n50408) );
+  sky130_fd_sc_hd__a31oi_1 U70354 ( .A1(n50409), .A2(n50408), .A3(n50407), 
+        .B1(n57313), .Y(n50415) );
+  sky130_fd_sc_hd__o22ai_1 U70355 ( .A1(n57136), .A2(n57457), .B1(n50410), 
+        .B2(n50440), .Y(n50414) );
+  sky130_fd_sc_hd__nand2_1 U70356 ( .A(n57041), .B(n57177), .Y(n50412) );
+  sky130_fd_sc_hd__o22ai_1 U70357 ( .A1(n51481), .A2(n50412), .B1(n50411), 
+        .B2(n57153), .Y(n50413) );
+  sky130_fd_sc_hd__nor4_1 U70358 ( .A(n56481), .B(n50415), .C(n50414), .D(
+        n50413), .Y(n50416) );
+  sky130_fd_sc_hd__o211ai_1 U70359 ( .A1(n50418), .A2(n51561), .B1(n50417), 
+        .C1(n50416), .Y(n50419) );
+  sky130_fd_sc_hd__nor3_1 U70360 ( .A(n50421), .B(n50420), .C(n50419), .Y(
+        n50542) );
+  sky130_fd_sc_hd__o22ai_1 U70361 ( .A1(n57164), .A2(n57051), .B1(n50422), 
+        .B2(n57319), .Y(n50430) );
+  sky130_fd_sc_hd__o22ai_1 U70362 ( .A1(n50423), .A2(n56538), .B1(n52412), 
+        .B2(n57432), .Y(n50429) );
+  sky130_fd_sc_hd__nor2_1 U70363 ( .A(n56559), .B(n50424), .Y(n52259) );
+  sky130_fd_sc_hd__o22ai_1 U70364 ( .A1(n57458), .A2(n52259), .B1(n52266), 
+        .B2(n57001), .Y(n50428) );
+  sky130_fd_sc_hd__nor2_1 U70365 ( .A(n57192), .B(n52733), .Y(n57172) );
+  sky130_fd_sc_hd__a21oi_1 U70366 ( .A1(n50425), .A2(n57070), .B1(n57172), .Y(
+        n50426) );
+  sky130_fd_sc_hd__o21ai_0 U70367 ( .A1(n57436), .A2(n52728), .B1(n50426), .Y(
+        n50427) );
+  sky130_fd_sc_hd__nor4_1 U70368 ( .A(n50430), .B(n50429), .C(n50428), .D(
+        n50427), .Y(n50459) );
+  sky130_fd_sc_hd__o22ai_1 U70369 ( .A1(n52270), .A2(n52166), .B1(n57069), 
+        .B2(n57447), .Y(n50435) );
+  sky130_fd_sc_hd__o22ai_1 U70370 ( .A1(n50467), .A2(n57053), .B1(n57483), 
+        .B2(n57443), .Y(n50434) );
+  sky130_fd_sc_hd__o22ai_1 U70371 ( .A1(n50463), .A2(n52253), .B1(n52258), 
+        .B2(n52150), .Y(n50433) );
+  sky130_fd_sc_hd__nand2b_1 U70372 ( .A_N(n57543), .B(n57441), .Y(n52268) );
+  sky130_fd_sc_hd__nand2_1 U70373 ( .A(n50466), .B(n51505), .Y(n50431) );
+  sky130_fd_sc_hd__nand3_1 U70374 ( .A(n41630), .B(n52268), .C(n50431), .Y(
+        n50432) );
+  sky130_fd_sc_hd__nor4_1 U70375 ( .A(n50435), .B(n50434), .C(n50433), .D(
+        n50432), .Y(n50458) );
+  sky130_fd_sc_hd__nor2_1 U70376 ( .A(n52271), .B(n52216), .Y(n57418) );
+  sky130_fd_sc_hd__a211oi_1 U70377 ( .A1(n50438), .A2(n57422), .B1(n50437), 
+        .C1(n50436), .Y(n50444) );
+  sky130_fd_sc_hd__o22ai_1 U70378 ( .A1(n50440), .A2(n52215), .B1(n50475), 
+        .B2(n50439), .Y(n50443) );
+  sky130_fd_sc_hd__o22ai_1 U70379 ( .A1(n50441), .A2(n57414), .B1(n57413), 
+        .B2(n52150), .Y(n50442) );
+  sky130_fd_sc_hd__nor4_1 U70380 ( .A(n57418), .B(n50444), .C(n50443), .D(
+        n50442), .Y(n50445) );
+  sky130_fd_sc_hd__o21ai_0 U70381 ( .A1(n50472), .A2(n50450), .B1(n50445), .Y(
+        n50456) );
+  sky130_fd_sc_hd__nor2_1 U70382 ( .A(n57413), .B(n57011), .Y(n50446) );
+  sky130_fd_sc_hd__a21oi_1 U70383 ( .A1(n52741), .A2(n57016), .B1(n50446), .Y(
+        n50454) );
+  sky130_fd_sc_hd__a21oi_1 U70384 ( .A1(n50449), .A2(n50448), .B1(n50447), .Y(
+        n50453) );
+  sky130_fd_sc_hd__o22ai_1 U70385 ( .A1(n51865), .A2(n50450), .B1(n56474), 
+        .B2(n52221), .Y(n50451) );
+  sky130_fd_sc_hd__a21oi_1 U70386 ( .A1(n52214), .A2(n52220), .B1(n50451), .Y(
+        n50452) );
+  sky130_fd_sc_hd__a31oi_1 U70387 ( .A1(n50454), .A2(n50453), .A3(n50452), 
+        .B1(n50981), .Y(n50455) );
+  sky130_fd_sc_hd__a21oi_1 U70388 ( .A1(n57125), .A2(n50456), .B1(n50455), .Y(
+        n50457) );
+  sky130_fd_sc_hd__a31oi_1 U70389 ( .A1(n50459), .A2(n50458), .A3(n50457), 
+        .B1(n57552), .Y(n50540) );
+  sky130_fd_sc_hd__o22ai_1 U70390 ( .A1(n56468), .A2(n57169), .B1(n52199), 
+        .B2(n50461), .Y(n50465) );
+  sky130_fd_sc_hd__nand2_1 U70391 ( .A(n52144), .B(n50462), .Y(n57038) );
+  sky130_fd_sc_hd__o22ai_1 U70392 ( .A1(n50463), .A2(n52258), .B1(n52265), 
+        .B2(n57038), .Y(n50464) );
+  sky130_fd_sc_hd__a211oi_1 U70393 ( .A1(n50466), .A2(n56428), .B1(n50465), 
+        .C1(n50464), .Y(n50505) );
+  sky130_fd_sc_hd__o22ai_1 U70395 ( .A1(n50467), .A2(n57319), .B1(n52270), 
+        .B2(n52727), .Y(n50500) );
+  sky130_fd_sc_hd__o22ai_1 U70396 ( .A1(n57014), .A2(n57414), .B1(n51863), 
+        .B2(n50475), .Y(n50468) );
+  sky130_fd_sc_hd__a211oi_1 U70397 ( .A1(n52167), .A2(n50470), .B1(n50469), 
+        .C1(n50468), .Y(n50471) );
+  sky130_fd_sc_hd__o22ai_1 U70398 ( .A1(n50472), .A2(n56538), .B1(n50471), 
+        .B2(n50981), .Y(n50499) );
+  sky130_fd_sc_hd__o2bb2ai_1 U70399 ( .B1(n57136), .B2(n50473), .A1_N(n57433), 
+        .A2_N(n52167), .Y(n50483) );
+  sky130_fd_sc_hd__nand2_1 U70400 ( .A(n50474), .B(n52252), .Y(n50477) );
+  sky130_fd_sc_hd__o22ai_1 U70401 ( .A1(n86738), .A2(n50477), .B1(n50476), 
+        .B2(n50475), .Y(n50482) );
+  sky130_fd_sc_hd__a21oi_1 U70402 ( .A1(n56543), .A2(n57456), .B1(n50479), .Y(
+        n50480) );
+  sky130_fd_sc_hd__o21ai_0 U70403 ( .A1(n52227), .A2(n52215), .B1(n50480), .Y(
+        n50481) );
+  sky130_fd_sc_hd__nor4b_1 U70404 ( .D_N(n50484), .A(n50483), .B(n50482), .C(
+        n50481), .Y(n50497) );
+  sky130_fd_sc_hd__nor2_1 U70405 ( .A(n57413), .B(n37012), .Y(n50493) );
+  sky130_fd_sc_hd__o22ai_1 U70406 ( .A1(n50485), .A2(n52221), .B1(n52215), 
+        .B2(n51837), .Y(n50492) );
+  sky130_fd_sc_hd__nand3_1 U70408 ( .A(n52214), .B(n37012), .C(n57192), .Y(
+        n50487) );
+  sky130_fd_sc_hd__o211ai_1 U70409 ( .A1(n50489), .A2(n50488), .B1(n52101), 
+        .C1(n50487), .Y(n50490) );
+  sky130_fd_sc_hd__nor4_1 U70410 ( .A(n50493), .B(n50492), .C(n50491), .D(
+        n50490), .Y(n50495) );
+  sky130_fd_sc_hd__o22ai_1 U70411 ( .A1(n50497), .A2(n50496), .B1(n50495), 
+        .B2(n50494), .Y(n50498) );
+  sky130_fd_sc_hd__nand4_1 U70413 ( .A(n50505), .B(n50504), .C(n50503), .D(
+        n50502), .Y(n50539) );
+  sky130_fd_sc_hd__a22oi_1 U70414 ( .A1(n56525), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__7[0]), .B1(n37065), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[56]), .Y(n50509) );
+  sky130_fd_sc_hd__a22oi_1 U70415 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[23]), 
+        .A2(n57560), .B1(n41794), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[24]), .Y(n50508) );
+  sky130_fd_sc_hd__a22oi_1 U70416 ( .A1(n57571), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[56]), .B1(n57587), .B2(MarmotCaravelChip_dut_sys_clint_oldBytes__3[0]), .Y(n50507)
+         );
+  sky130_fd_sc_hd__a22oi_1 U70417 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[24]), .A2(n38443), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[24]), .B2(n57558), .Y(n50506) );
+  sky130_fd_sc_hd__nand4_1 U70418 ( .A(n50509), .B(n50508), .C(n50507), .D(
+        n50506), .Y(n50538) );
+  sky130_fd_sc_hd__a22oi_1 U70419 ( .A1(n52809), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[24]), 
+        .B1(n52808), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[24]), 
+        .Y(n50513) );
+  sky130_fd_sc_hd__a22oi_1 U70420 ( .A1(n52811), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[24]), 
+        .B1(n52810), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[24]), 
+        .Y(n50512) );
+  sky130_fd_sc_hd__a22oi_1 U70421 ( .A1(n52813), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[24]), 
+        .B1(n56952), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[24]), 
+        .Y(n50511) );
+  sky130_fd_sc_hd__a22oi_1 U70422 ( .A1(n52812), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[24]), 
+        .B1(n52814), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[24]), 
+        .Y(n50510) );
+  sky130_fd_sc_hd__nand4_1 U70423 ( .A(n50513), .B(n50512), .C(n50511), .D(
+        n50510), .Y(n50514) );
+  sky130_fd_sc_hd__a22oi_1 U70424 ( .A1(n52065), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[24]), 
+        .B1(n52806), .B2(n50514), .Y(n50521) );
+  sky130_fd_sc_hd__a22oi_1 U70425 ( .A1(n52811), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[24]), 
+        .B1(n52810), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[24]), 
+        .Y(n50518) );
+  sky130_fd_sc_hd__a22oi_1 U70426 ( .A1(n52809), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[24]), 
+        .B1(n56952), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[24]), 
+        .Y(n50517) );
+  sky130_fd_sc_hd__a22oi_1 U70427 ( .A1(n52812), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[24]), 
+        .B1(n52814), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[24]), 
+        .Y(n50516) );
+  sky130_fd_sc_hd__a22oi_1 U70428 ( .A1(n52808), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[24]), 
+        .B1(n52813), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[24]), 
+        .Y(n50515) );
+  sky130_fd_sc_hd__nand4_1 U70429 ( .A(n50518), .B(n50517), .C(n50516), .D(
+        n50515), .Y(n50519) );
+  sky130_fd_sc_hd__nand2_1 U70430 ( .A(n52821), .B(n50519), .Y(n50520) );
+  sky130_fd_sc_hd__a31oi_1 U70431 ( .A1(n50521), .A2(n52063), .A3(n50520), 
+        .B1(n43381), .Y(n50530) );
+  sky130_fd_sc_hd__nand2_1 U70432 ( .A(n52068), .B(n52067), .Y(n50522) );
+  sky130_fd_sc_hd__nor4_1 U70433 ( .A(n50524), .B(n52797), .C(n50523), .D(
+        n50522), .Y(n50525) );
+  sky130_fd_sc_hd__a31oi_1 U70434 ( .A1(n50528), .A2(n50527), .A3(n50526), 
+        .B1(n50525), .Y(n50529) );
+  sky130_fd_sc_hd__nand2_1 U70435 ( .A(n50530), .B(n50529), .Y(n50536) );
+  sky130_fd_sc_hd__a22oi_1 U70436 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[23]), 
+        .A2(n57561), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[23]), 
+        .B2(n57559), .Y(n50532) );
+  sky130_fd_sc_hd__a22oi_1 U70437 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[55]), 
+        .A2(n57564), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[55]), 
+        .B2(n57563), .Y(n50531) );
+  sky130_fd_sc_hd__o211ai_1 U70438 ( .A1(n43401), .A2(n78150), .B1(n50532), 
+        .C1(n50531), .Y(n50533) );
+  sky130_fd_sc_hd__a21oi_1 U70439 ( .A1(n57570), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[0]), .B1(n50533), .Y(
+        n50535) );
+  sky130_fd_sc_hd__nand2_1 U70440 ( .A(n57588), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[0]), .Y(n50534) );
+  sky130_fd_sc_hd__nand3_1 U70441 ( .A(n50536), .B(n50535), .C(n50534), .Y(
+        n50537) );
+  sky130_fd_sc_hd__a211oi_1 U70442 ( .A1(n50540), .A2(n50539), .B1(n50538), 
+        .C1(n50537), .Y(n50541) );
+  sky130_fd_sc_hd__o21ai_1 U70443 ( .A1(n57347), .A2(n50542), .B1(n50541), .Y(
+        n84503) );
+  sky130_fd_sc_hd__mux2_2 U70444 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[56]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[24]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .X(n84567) );
+  sky130_fd_sc_hd__a22oi_1 U70445 ( .A1(n57367), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_24[0]), .B1(
+        n57600), .B2(n84567), .Y(n50543) );
+  sky130_fd_sc_hd__o21ai_1 U70446 ( .A1(n56658), .A2(n50797), .B1(n50543), .Y(
+        n84548) );
+  sky130_fd_sc_hd__a22oi_1 U70447 ( .A1(n50775), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[48]), .B1(n50774), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[144]), .Y(n50547) );
+  sky130_fd_sc_hd__a22oi_1 U70448 ( .A1(n50763), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[496]), .B1(n50766), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[368]), .Y(n50546) );
+  sky130_fd_sc_hd__a22oi_1 U70449 ( .A1(n50762), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[176]), .B1(n50773), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[240]), .Y(n50545) );
+  sky130_fd_sc_hd__a22oi_1 U70450 ( .A1(n50765), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[16]), .B1(n83204), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[272]), .Y(n50544) );
+  sky130_fd_sc_hd__nand4_1 U70451 ( .A(n50547), .B(n50546), .C(n50545), .D(
+        n50544), .Y(n50554) );
+  sky130_fd_sc_hd__a22oi_1 U70452 ( .A1(n50777), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[112]), .B1(n50772), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[400]), .Y(n50551) );
+  sky130_fd_sc_hd__a22oi_1 U70453 ( .A1(n50767), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[304]), .B1(n50778), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[80]), .Y(n50550) );
+  sky130_fd_sc_hd__a22oi_1 U70454 ( .A1(n50776), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[464]), .B1(n50761), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[208]), .Y(n50549) );
+  sky130_fd_sc_hd__a22oi_1 U70455 ( .A1(n50764), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[432]), .B1(n50779), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[336]), .Y(n50548) );
+  sky130_fd_sc_hd__nand4_1 U70456 ( .A(n50551), .B(n50550), .C(n50549), .D(
+        n50548), .Y(n50553) );
+  sky130_fd_sc_hd__a22o_1 U70457 ( .A1(n38371), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[16]), .B1(n37066), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[48]), .X(n50552) );
+  sky130_fd_sc_hd__a221oi_1 U70458 ( .A1(n50554), .A2(n37033), .B1(n50553), 
+        .B2(n37033), .C1(n50552), .Y(n50589) );
+  sky130_fd_sc_hd__a22oi_1 U70459 ( .A1(n50685), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[272]), .B1(n50697), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[432]), .Y(n50558) );
+  sky130_fd_sc_hd__a22oi_1 U70460 ( .A1(n50703), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[240]), .B1(n50699), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[496]), .Y(n50557) );
+  sky130_fd_sc_hd__a22oi_1 U70461 ( .A1(n50698), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[112]), .B1(n50690), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[144]), .Y(n50556) );
+  sky130_fd_sc_hd__a22oi_1 U70462 ( .A1(n50688), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[208]), .B1(n50689), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[400]), .Y(n50555) );
+  sky130_fd_sc_hd__nand4_1 U70463 ( .A(n50558), .B(n50557), .C(n50556), .D(
+        n50555), .Y(n50564) );
+  sky130_fd_sc_hd__a22oi_1 U70464 ( .A1(n50704), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[464]), .B1(n50686), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[304]), .Y(n50562) );
+  sky130_fd_sc_hd__a22oi_1 U70465 ( .A1(n50702), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[48]), .B1(n50691), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[80]), .Y(n50561) );
+  sky130_fd_sc_hd__a22oi_1 U70466 ( .A1(n50692), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[16]), .B1(n50700), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[368]), .Y(n50560) );
+  sky130_fd_sc_hd__a22oi_1 U70467 ( .A1(n50687), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[336]), .B1(n50701), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[176]), .Y(n50559) );
+  sky130_fd_sc_hd__nand4_1 U70468 ( .A(n50562), .B(n50561), .C(n50560), .D(
+        n50559), .Y(n50563) );
+  sky130_fd_sc_hd__o21ai_1 U70469 ( .A1(n50564), .A2(n50563), .B1(n54782), .Y(
+        n50588) );
+  sky130_fd_sc_hd__a22oi_1 U70470 ( .A1(n50728), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[400]), .B1(n50724), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[464]), .Y(n50569) );
+  sky130_fd_sc_hd__a22oi_1 U70471 ( .A1(n50727), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[240]), .B1(n50726), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[80]), .Y(n50568) );
+  sky130_fd_sc_hd__a22oi_1 U70472 ( .A1(n50565), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[368]), .B1(n50715), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[432]), .Y(n50567) );
+  sky130_fd_sc_hd__a22oi_1 U70473 ( .A1(n50716), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[16]), .B1(n83122), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[272]), .Y(n50566) );
+  sky130_fd_sc_hd__nand4_1 U70474 ( .A(n50569), .B(n50568), .C(n50567), .D(
+        n50566), .Y(n50575) );
+  sky130_fd_sc_hd__a22oi_1 U70475 ( .A1(n50661), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[496]), .B1(n50714), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[304]), .Y(n50573) );
+  sky130_fd_sc_hd__a22oi_1 U70476 ( .A1(n50723), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[144]), .B1(n50713), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[336]), .Y(n50572) );
+  sky130_fd_sc_hd__a22oi_1 U70477 ( .A1(n50712), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[176]), .B1(n50725), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[48]), .Y(n50571) );
+  sky130_fd_sc_hd__a22oi_1 U70478 ( .A1(n50711), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[208]), .B1(n50615), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[112]), .Y(n50570) );
+  sky130_fd_sc_hd__nand4_1 U70479 ( .A(n50573), .B(n50572), .C(n50571), .D(
+        n50570), .Y(n50574) );
+  sky130_fd_sc_hd__o21ai_1 U70480 ( .A1(n50575), .A2(n50574), .B1(n54781), .Y(
+        n50587) );
+  sky130_fd_sc_hd__a22oi_1 U70481 ( .A1(n50739), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[432]), .B1(n50740), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[208]), .Y(n50579) );
+  sky130_fd_sc_hd__a22oi_1 U70482 ( .A1(n50751), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[80]), .B1(n50753), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[176]), .Y(n50578) );
+  sky130_fd_sc_hd__a22oi_1 U70483 ( .A1(n50748), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[48]), .B1(n50742), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[272]), .Y(n50577) );
+  sky130_fd_sc_hd__a22oi_1 U70484 ( .A1(n50754), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[368]), .B1(n83080), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[16]), .Y(n50576) );
+  sky130_fd_sc_hd__nand4_1 U70485 ( .A(n50579), .B(n50578), .C(n50577), .D(
+        n50576), .Y(n50585) );
+  sky130_fd_sc_hd__a22oi_1 U70486 ( .A1(n50737), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[112]), .B1(n50747), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[144]), .Y(n50583) );
+  sky130_fd_sc_hd__a22oi_1 U70487 ( .A1(n50752), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[400]), .B1(n50750), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[464]), .Y(n50582) );
+  sky130_fd_sc_hd__a22oi_1 U70488 ( .A1(n50736), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[336]), .B1(n50749), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[496]), .Y(n50581) );
+  sky130_fd_sc_hd__a22oi_1 U70489 ( .A1(n50741), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[240]), .B1(n50738), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[304]), .Y(n50580) );
+  sky130_fd_sc_hd__nand4_1 U70490 ( .A(n50583), .B(n50582), .C(n50581), .D(
+        n50580), .Y(n50584) );
+  sky130_fd_sc_hd__nand4_1 U70492 ( .A(n50589), .B(n50588), .C(n50587), .D(
+        n50586), .Y(n85188) );
+  sky130_fd_sc_hd__a22oi_1 U70493 ( .A1(n50762), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[168]), .B1(n50773), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[232]), .Y(n50593) );
+  sky130_fd_sc_hd__a22oi_1 U70494 ( .A1(n50767), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[296]), .B1(n50776), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[456]), .Y(n50592) );
+  sky130_fd_sc_hd__a22oi_1 U70495 ( .A1(n50772), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[392]), .B1(n50765), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[8]), .Y(n50591) );
+  sky130_fd_sc_hd__a22oi_1 U70496 ( .A1(n50763), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[488]), .B1(n83204), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[264]), .Y(n50590) );
+  sky130_fd_sc_hd__nand4_1 U70497 ( .A(n50593), .B(n50592), .C(n50591), .D(
+        n50590), .Y(n50600) );
+  sky130_fd_sc_hd__a22oi_1 U70498 ( .A1(n50775), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[40]), .B1(n50761), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[200]), .Y(n50597) );
+  sky130_fd_sc_hd__a22oi_1 U70499 ( .A1(n50764), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[424]), .B1(n50779), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[328]), .Y(n50596) );
+  sky130_fd_sc_hd__a22oi_1 U70500 ( .A1(n50777), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[104]), .B1(n50774), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[136]), .Y(n50595) );
+  sky130_fd_sc_hd__a22oi_1 U70501 ( .A1(n50766), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[360]), .B1(n50778), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[72]), .Y(n50594) );
+  sky130_fd_sc_hd__nand4_1 U70502 ( .A(n50597), .B(n50596), .C(n50595), .D(
+        n50594), .Y(n50599) );
+  sky130_fd_sc_hd__a22o_1 U70503 ( .A1(n38371), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[8]), .B1(n37066), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[40]), .X(n50598) );
+  sky130_fd_sc_hd__a221oi_1 U70504 ( .A1(n50600), .A2(n55987), .B1(n50599), 
+        .B2(n37033), .C1(n50598), .Y(n50635) );
+  sky130_fd_sc_hd__a22oi_1 U70505 ( .A1(n50698), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[104]), .B1(n50686), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[296]), .Y(n50604) );
+  sky130_fd_sc_hd__a22oi_1 U70506 ( .A1(n50689), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[392]), .B1(n50685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[264]), .Y(n50603) );
+  sky130_fd_sc_hd__a22oi_1 U70507 ( .A1(n50704), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[456]), .B1(n50702), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[40]), .Y(n50602) );
+  sky130_fd_sc_hd__a22oi_1 U70508 ( .A1(n50692), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[8]), .B1(n50697), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[424]), .Y(n50601) );
+  sky130_fd_sc_hd__nand4_1 U70509 ( .A(n50604), .B(n50603), .C(n50602), .D(
+        n50601), .Y(n50610) );
+  sky130_fd_sc_hd__a22oi_1 U70510 ( .A1(n50699), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[488]), .B1(n50687), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[328]), .Y(n50608) );
+  sky130_fd_sc_hd__a22oi_1 U70511 ( .A1(n50700), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[360]), .B1(n50703), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[232]), .Y(n50607) );
+  sky130_fd_sc_hd__a22oi_1 U70512 ( .A1(n50688), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[200]), .B1(n50701), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[168]), .Y(n50606) );
+  sky130_fd_sc_hd__a22oi_1 U70513 ( .A1(n50690), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[136]), .B1(n50691), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[72]), .Y(n50605) );
+  sky130_fd_sc_hd__nand4_1 U70514 ( .A(n50608), .B(n50607), .C(n50606), .D(
+        n50605), .Y(n50609) );
+  sky130_fd_sc_hd__o21ai_1 U70515 ( .A1(n50610), .A2(n50609), .B1(n54782), .Y(
+        n50634) );
+  sky130_fd_sc_hd__a22oi_1 U70516 ( .A1(n50661), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[488]), .B1(n50717), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[360]), .Y(n50614) );
+  sky130_fd_sc_hd__a22oi_1 U70517 ( .A1(n50712), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[168]), .B1(n50726), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[72]), .Y(n50613) );
+  sky130_fd_sc_hd__a22oi_1 U70518 ( .A1(n50723), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[136]), .B1(n50713), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[328]), .Y(n50612) );
+  sky130_fd_sc_hd__a22oi_1 U70519 ( .A1(n50716), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[8]), .B1(n83122), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[264]), .Y(n50611) );
+  sky130_fd_sc_hd__nand4_1 U70520 ( .A(n50614), .B(n50613), .C(n50612), .D(
+        n50611), .Y(n50621) );
+  sky130_fd_sc_hd__a22oi_1 U70521 ( .A1(n50727), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[232]), .B1(n50724), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[456]), .Y(n50619) );
+  sky130_fd_sc_hd__a22oi_1 U70522 ( .A1(n50615), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[104]), .B1(n50728), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[392]), .Y(n50618) );
+  sky130_fd_sc_hd__a22oi_1 U70523 ( .A1(n50715), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[424]), .B1(n50725), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[40]), .Y(n50617) );
+  sky130_fd_sc_hd__a22oi_1 U70524 ( .A1(n50714), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[296]), .B1(n50711), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[200]), .Y(n50616) );
+  sky130_fd_sc_hd__nand4_1 U70525 ( .A(n50619), .B(n50618), .C(n50617), .D(
+        n50616), .Y(n50620) );
+  sky130_fd_sc_hd__o21ai_1 U70526 ( .A1(n50621), .A2(n50620), .B1(n54781), .Y(
+        n50633) );
+  sky130_fd_sc_hd__a22oi_1 U70527 ( .A1(n50736), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[328]), .B1(n50753), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[168]), .Y(n50625) );
+  sky130_fd_sc_hd__a22oi_1 U70528 ( .A1(n50752), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[392]), .B1(n50740), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[200]), .Y(n50624) );
+  sky130_fd_sc_hd__a22oi_1 U70529 ( .A1(n50748), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[40]), .B1(n50750), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[456]), .Y(n50623) );
+  sky130_fd_sc_hd__a22oi_1 U70530 ( .A1(n50742), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[264]), .B1(n83080), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[8]), .Y(n50622) );
+  sky130_fd_sc_hd__nand4_1 U70531 ( .A(n50625), .B(n50624), .C(n50623), .D(
+        n50622), .Y(n50631) );
+  sky130_fd_sc_hd__a22oi_1 U70532 ( .A1(n50741), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[232]), .B1(n50751), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[72]), .Y(n50629) );
+  sky130_fd_sc_hd__a22oi_1 U70533 ( .A1(n50747), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[136]), .B1(n50749), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[488]), .Y(n50628) );
+  sky130_fd_sc_hd__a22oi_1 U70534 ( .A1(n50737), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[104]), .B1(n50738), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[296]), .Y(n50627) );
+  sky130_fd_sc_hd__a22oi_1 U70535 ( .A1(n50739), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[424]), .B1(n50754), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[360]), .Y(n50626) );
+  sky130_fd_sc_hd__nand4_1 U70536 ( .A(n50629), .B(n50628), .C(n50627), .D(
+        n50626), .Y(n50630) );
+  sky130_fd_sc_hd__o21ai_1 U70537 ( .A1(n50631), .A2(n50630), .B1(n55985), .Y(
+        n50632) );
+  sky130_fd_sc_hd__nand4_1 U70538 ( .A(n50635), .B(n50634), .C(n50633), .D(
+        n50632), .Y(n85187) );
+  sky130_fd_sc_hd__a22oi_1 U70539 ( .A1(n50774), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[152]), .B1(n50778), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[88]), .Y(n50639) );
+  sky130_fd_sc_hd__a22oi_1 U70540 ( .A1(n50763), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[504]), .B1(n50766), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[376]), .Y(n50638) );
+  sky130_fd_sc_hd__a22oi_1 U70541 ( .A1(n50761), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[216]), .B1(n83204), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[280]), .Y(n50637) );
+  sky130_fd_sc_hd__a22oi_1 U70542 ( .A1(n50765), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[24]), .B1(n50776), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[472]), .Y(n50636) );
+  sky130_fd_sc_hd__nand4_1 U70543 ( .A(n50639), .B(n50638), .C(n50637), .D(
+        n50636), .Y(n50646) );
+  sky130_fd_sc_hd__a22oi_1 U70544 ( .A1(n50762), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[184]), .B1(n50779), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[344]), .Y(n50643) );
+  sky130_fd_sc_hd__a22oi_1 U70545 ( .A1(n50764), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[440]), .B1(n50777), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[120]), .Y(n50642) );
+  sky130_fd_sc_hd__a22oi_1 U70546 ( .A1(n50772), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[408]), .B1(n50767), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[312]), .Y(n50641) );
+  sky130_fd_sc_hd__a22oi_1 U70547 ( .A1(n50775), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[56]), .B1(n50773), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[248]), .Y(n50640) );
+  sky130_fd_sc_hd__nand4_1 U70548 ( .A(n50643), .B(n50642), .C(n50641), .D(
+        n50640), .Y(n50645) );
+  sky130_fd_sc_hd__a22o_1 U70549 ( .A1(n38371), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[24]), .B1(n37066), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[56]), .X(n50644) );
+  sky130_fd_sc_hd__a221oi_1 U70550 ( .A1(n50646), .A2(n55987), .B1(n50645), 
+        .B2(n55987), .C1(n50644), .Y(n50681) );
+  sky130_fd_sc_hd__a22oi_1 U70551 ( .A1(n50686), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[312]), .B1(n50687), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[344]), .Y(n50650) );
+  sky130_fd_sc_hd__a22oi_1 U70552 ( .A1(n50689), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[408]), .B1(n50699), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[504]), .Y(n50649) );
+  sky130_fd_sc_hd__a22oi_1 U70553 ( .A1(n50685), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[280]), .B1(n50697), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[440]), .Y(n50648) );
+  sky130_fd_sc_hd__a22oi_1 U70554 ( .A1(n50701), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[184]), .B1(n50691), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[88]), .Y(n50647) );
+  sky130_fd_sc_hd__nand4_1 U70555 ( .A(n50650), .B(n50649), .C(n50648), .D(
+        n50647), .Y(n50656) );
+  sky130_fd_sc_hd__a22oi_1 U70556 ( .A1(n50700), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[376]), .B1(n50703), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[248]), .Y(n50654) );
+  sky130_fd_sc_hd__a22oi_1 U70557 ( .A1(n50704), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[472]), .B1(n50692), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[24]), .Y(n50653) );
+  sky130_fd_sc_hd__a22oi_1 U70558 ( .A1(n50698), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[120]), .B1(n50690), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[152]), .Y(n50652) );
+  sky130_fd_sc_hd__a22oi_1 U70559 ( .A1(n50688), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[216]), .B1(n50702), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[56]), .Y(n50651) );
+  sky130_fd_sc_hd__nand4_1 U70560 ( .A(n50654), .B(n50653), .C(n50652), .D(
+        n50651), .Y(n50655) );
+  sky130_fd_sc_hd__o21ai_1 U70561 ( .A1(n50656), .A2(n50655), .B1(n54782), .Y(
+        n50680) );
+  sky130_fd_sc_hd__a22oi_1 U70562 ( .A1(n50725), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[56]), .B1(n50727), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[248]), .Y(n50660) );
+  sky130_fd_sc_hd__a22oi_1 U70563 ( .A1(n50728), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[408]), .B1(n50715), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[440]), .Y(n50659) );
+  sky130_fd_sc_hd__a22oi_1 U70564 ( .A1(n50724), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[472]), .B1(n83122), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[280]), .Y(n50658) );
+  sky130_fd_sc_hd__a22oi_1 U70565 ( .A1(n50716), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[24]), .B1(n50726), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[88]), .Y(n50657) );
+  sky130_fd_sc_hd__nand4_1 U70566 ( .A(n50660), .B(n50659), .C(n50658), .D(
+        n50657), .Y(n50667) );
+  sky130_fd_sc_hd__a22oi_1 U70567 ( .A1(n50714), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[312]), .B1(n50712), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[184]), .Y(n50665) );
+  sky130_fd_sc_hd__a22oi_1 U70568 ( .A1(n50723), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[152]), .B1(n50661), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[504]), .Y(n50664) );
+  sky130_fd_sc_hd__a22oi_1 U70569 ( .A1(n50713), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[344]), .B1(n50722), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[120]), .Y(n50663) );
+  sky130_fd_sc_hd__a22oi_1 U70570 ( .A1(n50711), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[216]), .B1(n50717), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[376]), .Y(n50662) );
+  sky130_fd_sc_hd__nand4_1 U70571 ( .A(n50665), .B(n50664), .C(n50663), .D(
+        n50662), .Y(n50666) );
+  sky130_fd_sc_hd__o21ai_1 U70572 ( .A1(n50667), .A2(n50666), .B1(n54781), .Y(
+        n50679) );
+  sky130_fd_sc_hd__a22oi_1 U70573 ( .A1(n50751), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[88]), .B1(n50740), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[216]), .Y(n50671) );
+  sky130_fd_sc_hd__a22oi_1 U70574 ( .A1(n50747), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[152]), .B1(n50750), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[472]), .Y(n50670) );
+  sky130_fd_sc_hd__a22oi_1 U70575 ( .A1(n50749), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[504]), .B1(n50753), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[184]), .Y(n50669) );
+  sky130_fd_sc_hd__a22oi_1 U70576 ( .A1(n50742), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[280]), .B1(n83080), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[24]), .Y(n50668) );
+  sky130_fd_sc_hd__nand4_1 U70577 ( .A(n50671), .B(n50670), .C(n50669), .D(
+        n50668), .Y(n50677) );
+  sky130_fd_sc_hd__a22oi_1 U70578 ( .A1(n50754), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[376]), .B1(n50737), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[120]), .Y(n50675) );
+  sky130_fd_sc_hd__a22oi_1 U70579 ( .A1(n50739), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[440]), .B1(n50741), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[248]), .Y(n50674) );
+  sky130_fd_sc_hd__a22oi_1 U70580 ( .A1(n50752), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[408]), .B1(n50736), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[344]), .Y(n50673) );
+  sky130_fd_sc_hd__a22oi_1 U70581 ( .A1(n50738), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[312]), .B1(n50748), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[56]), .Y(n50672) );
+  sky130_fd_sc_hd__nand4_1 U70582 ( .A(n50675), .B(n50674), .C(n50673), .D(
+        n50672), .Y(n50676) );
+  sky130_fd_sc_hd__o21ai_1 U70583 ( .A1(n50677), .A2(n50676), .B1(n55985), .Y(
+        n50678) );
+  sky130_fd_sc_hd__nand4_1 U70584 ( .A(n50681), .B(n50680), .C(n50679), .D(
+        n50678), .Y(n84309) );
+  sky130_fd_sc_hd__a222oi_1 U70585 ( .A1(n85188), .A2(n50684), .B1(n85187), 
+        .B2(n50683), .C1(n84309), .C2(n50682), .Y(n50795) );
+  sky130_fd_sc_hd__a222oi_1 U70586 ( .A1(n50684), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[16]), .B1(n50683), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[8]), .C1(n50682), .C2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_data[24]), .Y(n50794) );
+  sky130_fd_sc_hd__a22oi_1 U70587 ( .A1(n50686), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[288]), .B1(n50685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[256]), .Y(n50696) );
+  sky130_fd_sc_hd__a22oi_1 U70588 ( .A1(n50688), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[192]), .B1(n50687), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[320]), .Y(n50695) );
+  sky130_fd_sc_hd__a22oi_1 U70589 ( .A1(n50690), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[128]), .B1(n50689), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[384]), .Y(n50694) );
+  sky130_fd_sc_hd__a22oi_1 U70590 ( .A1(n50692), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[0]), .B1(n50691), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[64]), .Y(n50693) );
+  sky130_fd_sc_hd__nand4_1 U70591 ( .A(n50696), .B(n50695), .C(n50694), .D(
+        n50693), .Y(n50710) );
+  sky130_fd_sc_hd__a22oi_1 U70592 ( .A1(n50698), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[96]), .B1(n50697), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[416]), .Y(n50708) );
+  sky130_fd_sc_hd__a22oi_1 U70593 ( .A1(n50700), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[352]), .B1(n50699), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[480]), .Y(n50707) );
+  sky130_fd_sc_hd__a22oi_1 U70594 ( .A1(n50702), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[32]), .B1(n50701), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[160]), .Y(n50706) );
+  sky130_fd_sc_hd__a22oi_1 U70595 ( .A1(n50704), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[448]), .B1(n50703), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_data[224]), .Y(n50705) );
+  sky130_fd_sc_hd__nand4_1 U70596 ( .A(n50708), .B(n50707), .C(n50706), .D(
+        n50705), .Y(n50709) );
+  sky130_fd_sc_hd__o21a_1 U70597 ( .A1(n50710), .A2(n50709), .B1(n54782), .X(
+        n50792) );
+  sky130_fd_sc_hd__a22oi_1 U70598 ( .A1(n38371), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[0]), .B1(n37066), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_data[32]), .Y(n50789) );
+  sky130_fd_sc_hd__a22oi_1 U70599 ( .A1(n50712), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[160]), .B1(n50711), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[192]), .Y(n50721) );
+  sky130_fd_sc_hd__a22oi_1 U70600 ( .A1(n50714), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[288]), .B1(n50713), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[320]), .Y(n50720) );
+  sky130_fd_sc_hd__a22oi_1 U70601 ( .A1(n50715), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[416]), .B1(n83122), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[256]), .Y(n50719) );
+  sky130_fd_sc_hd__a22oi_1 U70602 ( .A1(n50717), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[352]), .B1(n50716), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[0]), .Y(n50718) );
+  sky130_fd_sc_hd__nand4_1 U70603 ( .A(n50721), .B(n50720), .C(n50719), .D(
+        n50718), .Y(n50735) );
+  sky130_fd_sc_hd__a22oi_1 U70604 ( .A1(n50723), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[128]), .B1(n50722), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[96]), .Y(n50733) );
+  sky130_fd_sc_hd__a22oi_1 U70605 ( .A1(n50725), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[32]), .B1(n50724), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[448]), .Y(n50732) );
+  sky130_fd_sc_hd__a22oi_1 U70606 ( .A1(n50727), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[224]), .B1(n50726), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[64]), .Y(n50731) );
+  sky130_fd_sc_hd__a22oi_1 U70607 ( .A1(n50729), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[480]), .B1(n50728), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_data[384]), .Y(n50730) );
+  sky130_fd_sc_hd__nand4_1 U70608 ( .A(n50733), .B(n50732), .C(n50731), .D(
+        n50730), .Y(n50734) );
+  sky130_fd_sc_hd__o21ai_1 U70609 ( .A1(n50735), .A2(n50734), .B1(n54781), .Y(
+        n50788) );
+  sky130_fd_sc_hd__a22oi_1 U70610 ( .A1(n50737), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[96]), .B1(n50736), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[320]), .Y(n50746) );
+  sky130_fd_sc_hd__a22oi_1 U70611 ( .A1(n50739), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[416]), .B1(n50738), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[288]), .Y(n50745) );
+  sky130_fd_sc_hd__a22oi_1 U70612 ( .A1(n50741), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[224]), .B1(n50740), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[192]), .Y(n50744) );
+  sky130_fd_sc_hd__a22oi_1 U70613 ( .A1(n50742), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[256]), .B1(n83080), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[0]), .Y(n50743) );
+  sky130_fd_sc_hd__nand4_1 U70614 ( .A(n50746), .B(n50745), .C(n50744), .D(
+        n50743), .Y(n50760) );
+  sky130_fd_sc_hd__a22oi_1 U70615 ( .A1(n50748), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[32]), .B1(n50747), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[128]), .Y(n50758) );
+  sky130_fd_sc_hd__a22oi_1 U70616 ( .A1(n50750), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[448]), .B1(n50749), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[480]), .Y(n50757) );
+  sky130_fd_sc_hd__a22oi_1 U70617 ( .A1(n50752), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[384]), .B1(n50751), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[64]), .Y(n50756) );
+  sky130_fd_sc_hd__a22oi_1 U70618 ( .A1(n50754), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[352]), .B1(n50753), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_data[160]), .Y(n50755) );
+  sky130_fd_sc_hd__nand4_1 U70619 ( .A(n50758), .B(n50757), .C(n50756), .D(
+        n50755), .Y(n50759) );
+  sky130_fd_sc_hd__o21ai_1 U70620 ( .A1(n50760), .A2(n50759), .B1(n55985), .Y(
+        n50787) );
+  sky130_fd_sc_hd__a22oi_1 U70621 ( .A1(n50762), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[160]), .B1(n50761), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[192]), .Y(n50771) );
+  sky130_fd_sc_hd__a22oi_1 U70622 ( .A1(n50764), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[416]), .B1(n50763), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[480]), .Y(n50770) );
+  sky130_fd_sc_hd__a22oi_1 U70623 ( .A1(n50766), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[352]), .B1(n50765), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[0]), .Y(n50769) );
+  sky130_fd_sc_hd__a22oi_1 U70624 ( .A1(n50767), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[288]), .B1(n83204), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[256]), .Y(n50768) );
+  sky130_fd_sc_hd__nand4_1 U70625 ( .A(n50771), .B(n50770), .C(n50769), .D(
+        n50768), .Y(n50785) );
+  sky130_fd_sc_hd__a22oi_1 U70626 ( .A1(n50773), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[224]), .B1(n50772), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[384]), .Y(n50783) );
+  sky130_fd_sc_hd__a22oi_1 U70627 ( .A1(n50775), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[32]), .B1(n50774), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[128]), .Y(n50782) );
+  sky130_fd_sc_hd__a22oi_1 U70628 ( .A1(n50777), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[96]), .B1(n50776), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[448]), .Y(n50781) );
+  sky130_fd_sc_hd__a22oi_1 U70629 ( .A1(n50779), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[320]), .B1(n50778), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_data[64]), .Y(n50780) );
+  sky130_fd_sc_hd__nand4_1 U70630 ( .A(n50783), .B(n50782), .C(n50781), .D(
+        n50780), .Y(n50784) );
+  sky130_fd_sc_hd__nand4_1 U70632 ( .A(n50789), .B(n50788), .C(n50787), .D(
+        n50786), .Y(n50791) );
+  sky130_fd_sc_hd__o221ai_1 U70634 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(n50795), .B1(n55990), .B2(n50794), .C1(n50793), .Y(n84406) );
+  sky130_fd_sc_hd__mux2_2 U70635 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[48]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[16]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .X(n85283) );
+  sky130_fd_sc_hd__o21ai_0 U70636 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_2[0]), .A2(n51918), .B1(n57100), .Y(n50796) );
+  sky130_fd_sc_hd__a21oi_1 U70637 ( .A1(n50797), .A2(n51918), .B1(n50796), .Y(
+        n50798) );
+  sky130_fd_sc_hd__a21oi_1 U70638 ( .A1(n57600), .A2(n85283), .B1(n50798), .Y(
+        n50799) );
+  sky130_fd_sc_hd__o21ai_1 U70639 ( .A1(n50800), .A2(n57252), .B1(n50799), .Y(
+        n84540) );
+  sky130_fd_sc_hd__nor2_1 U70640 ( .A(n75917), .B(n56044), .Y(n84382) );
+  sky130_fd_sc_hd__nand2_1 U70641 ( .A(n54312), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[48]), .Y(n50802) );
+  sky130_fd_sc_hd__nand2_1 U70642 ( .A(n54795), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[16]), .Y(n50801) );
+  sky130_fd_sc_hd__nand2_1 U70643 ( .A(n50802), .B(n50801), .Y(n84756) );
+  sky130_fd_sc_hd__o21ai_0 U70644 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_16[0]), .A2(
+        n54216), .B1(n38490), .Y(n50803) );
+  sky130_fd_sc_hd__nand2_1 U70645 ( .A(n50803), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_16[1]), .Y(
+        n50814) );
+  sky130_fd_sc_hd__nand2_1 U70646 ( .A(n50806), .B(n50805), .Y(n50807) );
+  sky130_fd_sc_hd__xor2_1 U70647 ( .A(n50808), .B(n50807), .X(n50809) );
+  sky130_fd_sc_hd__a22oi_1 U70648 ( .A1(n54228), .A2(n50809), .B1(n52494), 
+        .B2(n84756), .Y(n50813) );
+  sky130_fd_sc_hd__nand2_1 U70649 ( .A(n54218), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_16[1]), .Y(
+        n50810) );
+  sky130_fd_sc_hd__o21ai_1 U70650 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_16[1]), .A2(
+        n54220), .B1(n50810), .Y(n50811) );
+  sky130_fd_sc_hd__o21ai_1 U70651 ( .A1(n50811), .A2(n36790), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_16[0]), .Y(
+        n50812) );
+  sky130_fd_sc_hd__nand3_1 U70652 ( .A(n50814), .B(n50813), .C(n50812), .Y(
+        n84358) );
+  sky130_fd_sc_hd__nand2_1 U70653 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[48]), .Y(n50816) );
+  sky130_fd_sc_hd__nand2_1 U70654 ( .A(n55037), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[16]), .Y(n50815) );
+  sky130_fd_sc_hd__nand2_1 U70655 ( .A(n50816), .B(n50815), .Y(n85869) );
+  sky130_fd_sc_hd__mux2_2 U70656 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[48]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[16]), .S(n55502), .X(n85103) );
+  sky130_fd_sc_hd__nor2_1 U70657 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_16[0]), .B(
+        n56152), .Y(n50817) );
+  sky130_fd_sc_hd__nand2_1 U70659 ( .A(n56146), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_16[1]), .Y(
+        n50818) );
+  sky130_fd_sc_hd__o21ai_0 U70661 ( .A1(n50819), .A2(n51388), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_16[0]), .Y(
+        n50826) );
+  sky130_fd_sc_hd__a21oi_1 U70662 ( .A1(n52045), .A2(n50821), .B1(n50820), .Y(
+        n52559) );
+  sky130_fd_sc_hd__nand2_1 U70663 ( .A(n50822), .B(n52558), .Y(n50823) );
+  sky130_fd_sc_hd__xor2_1 U70664 ( .A(n52559), .B(n50823), .X(n50824) );
+  sky130_fd_sc_hd__a22oi_1 U70665 ( .A1(n55472), .A2(n85103), .B1(n50824), 
+        .B2(n56149), .Y(n50825) );
+  sky130_fd_sc_hd__nand3_1 U70666 ( .A(n50827), .B(n50826), .C(n50825), .Y(
+        n84888) );
+  sky130_fd_sc_hd__a22oi_1 U70667 ( .A1(n51688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[9]), .B1(n36990), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[9]), 
+        .Y(n50832) );
+  sky130_fd_sc_hd__a22oi_1 U70668 ( .A1(n56802), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[9]), 
+        .B1(n56803), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[9]), 
+        .Y(n50831) );
+  sky130_fd_sc_hd__a22oi_1 U70669 ( .A1(n86736), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[9]), 
+        .B1(n48207), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[9]), 
+        .Y(n50830) );
+  sky130_fd_sc_hd__a22oi_1 U70670 ( .A1(n56372), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[9]), 
+        .B1(n56205), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[9]), 
+        .Y(n50829) );
+  sky130_fd_sc_hd__nand4_1 U70671 ( .A(n50832), .B(n50831), .C(n50830), .D(
+        n50829), .Y(n50863) );
+  sky130_fd_sc_hd__a22oi_1 U70672 ( .A1(n48762), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[9]), .B1(n48789), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[9]), .Y(n50839) );
+  sky130_fd_sc_hd__nand2_1 U70673 ( .A(n56712), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_1[1]), .Y(n50834) );
+  sky130_fd_sc_hd__nand2_1 U70674 ( .A(n56749), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[9]), .Y(n50833) );
+  sky130_fd_sc_hd__o211ai_1 U70675 ( .A1(n83674), .A2(n52526), .B1(n50834), 
+        .C1(n50833), .Y(n50835) );
+  sky130_fd_sc_hd__a21oi_1 U70676 ( .A1(n56746), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[9]), .B1(n50835), .Y(n50838) );
+  sky130_fd_sc_hd__nand2_1 U70677 ( .A(n56919), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[9]), .Y(n50837) );
+  sky130_fd_sc_hd__nand2_1 U70678 ( .A(n48818), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[9]), .Y(n50836) );
+  sky130_fd_sc_hd__nand4_1 U70679 ( .A(n50839), .B(n50838), .C(n50837), .D(
+        n50836), .Y(n50840) );
+  sky130_fd_sc_hd__a21oi_1 U70680 ( .A1(n56275), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[9]), 
+        .B1(n50840), .Y(n50850) );
+  sky130_fd_sc_hd__nor2_1 U70681 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[2]), .B(n52667), .Y(n50846) );
+  sky130_fd_sc_hd__a2bb2oi_1 U70683 ( .B1(n61754), .B2(n50843), .A1_N(n56724), 
+        .A2_N(n50842), .Y(n50844) );
+  sky130_fd_sc_hd__o21a_1 U70684 ( .A1(n50846), .A2(n50845), .B1(n50844), .X(
+        n68087) );
+  sky130_fd_sc_hd__a22oi_1 U70685 ( .A1(n56729), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_7[9]), .B1(n56728), .B2(n68087), .Y(n50849) );
+  sky130_fd_sc_hd__a22oi_1 U70686 ( .A1(n56819), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[9]), .B1(n56820), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[9]), .Y(n50848) );
+  sky130_fd_sc_hd__a22oi_1 U70687 ( .A1(n56817), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[9]), 
+        .B1(n56816), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[9]), 
+        .Y(n50847) );
+  sky130_fd_sc_hd__nand4_1 U70688 ( .A(n50850), .B(n50849), .C(n50848), .D(
+        n50847), .Y(n50862) );
+  sky130_fd_sc_hd__a22oi_1 U70689 ( .A1(n63514), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[9]), 
+        .B1(n63517), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[9]), 
+        .Y(n50854) );
+  sky130_fd_sc_hd__a22o_1 U70690 ( .A1(n79921), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[9]), 
+        .B1(n61078), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[9]), 
+        .X(n50851) );
+  sky130_fd_sc_hd__a21oi_1 U70691 ( .A1(n60000), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[9]), 
+        .B1(n50851), .Y(n50853) );
+  sky130_fd_sc_hd__nand2_1 U70692 ( .A(n61081), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[9]), 
+        .Y(n50852) );
+  sky130_fd_sc_hd__a31oi_1 U70693 ( .A1(n50854), .A2(n50853), .A3(n50852), 
+        .B1(n56765), .Y(n50855) );
+  sky130_fd_sc_hd__a21oi_1 U70694 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[9]), 
+        .A2(n36789), .B1(n50855), .Y(n50859) );
+  sky130_fd_sc_hd__nor2_1 U70695 ( .A(n50856), .B(n56914), .Y(n56707) );
+  sky130_fd_sc_hd__nor2_1 U70696 ( .A(n50857), .B(n56914), .Y(n56706) );
+  sky130_fd_sc_hd__a22oi_1 U70697 ( .A1(n56707), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[9]), .B1(n56706), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[9]), .Y(n50858) );
+  sky130_fd_sc_hd__o211ai_1 U70698 ( .A1(n50860), .A2(n56796), .B1(n50859), 
+        .C1(n50858), .Y(n50861) );
+  sky130_fd_sc_hd__nor3_1 U70699 ( .A(n50863), .B(n50862), .C(n50861), .Y(
+        n50894) );
+  sky130_fd_sc_hd__a22oi_1 U70700 ( .A1(n49121), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[9]), 
+        .B1(n56876), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[9]), 
+        .Y(n50893) );
+  sky130_fd_sc_hd__a22oi_1 U70701 ( .A1(n56875), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[9]), 
+        .B1(n49014), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[9]), 
+        .Y(n50892) );
+  sky130_fd_sc_hd__a22o_1 U70702 ( .A1(n56932), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[9]), 
+        .B1(n49101), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[9]), 
+        .X(n50890) );
+  sky130_fd_sc_hd__a21oi_1 U70703 ( .A1(n50864), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[2]), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[3]), .Y(n50865) );
+  sky130_fd_sc_hd__a2bb2oi_1 U70705 ( .B1(n61610), .B2(n50868), .A1_N(n50867), 
+        .A2_N(n50866), .Y(n50869) );
+  sky130_fd_sc_hd__nand2_1 U70706 ( .A(n50870), .B(n50869), .Y(n67908) );
+  sky130_fd_sc_hd__o22ai_1 U70707 ( .A1(n50871), .A2(n52871), .B1(n56680), 
+        .B2(n67908), .Y(n50889) );
+  sky130_fd_sc_hd__nand2_1 U70708 ( .A(n52615), .B(n63652), .Y(n50872) );
+  sky130_fd_sc_hd__o21a_1 U70709 ( .A1(n56662), .A2(n50873), .B1(n50872), .X(
+        n50874) );
+  sky130_fd_sc_hd__o21a_1 U70710 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__countReset_feed_T_1[3]), .A2(n50875), .B1(n50874), .X(n73379) );
+  sky130_fd_sc_hd__o22ai_1 U70711 ( .A1(n73379), .A2(n51741), .B1(n51742), 
+        .B2(n50876), .Y(n50888) );
+  sky130_fd_sc_hd__a22oi_1 U70712 ( .A1(n56760), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[9]), .B1(n56840), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[9]), .Y(n50881) );
+  sky130_fd_sc_hd__a22oi_1 U70713 ( .A1(n56296), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[9]), .B1(n56681), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[9]), 
+        .Y(n50880) );
+  sky130_fd_sc_hd__nand2_1 U70714 ( .A(n48944), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[9]), .Y(n50879) );
+  sky130_fd_sc_hd__nand2_1 U70715 ( .A(n56843), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[9]), 
+        .Y(n50878) );
+  sky130_fd_sc_hd__nand4_1 U70716 ( .A(n50881), .B(n50880), .C(n50879), .D(
+        n50878), .Y(n50882) );
+  sky130_fd_sc_hd__a21oi_1 U70717 ( .A1(n36788), .A2(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_9_o_oe), .B1(n50882), .Y(
+        n50886) );
+  sky130_fd_sc_hd__a22oi_1 U70718 ( .A1(n48974), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[9]), 
+        .B1(n48976), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[9]), 
+        .Y(n50885) );
+  sky130_fd_sc_hd__a22oi_1 U70719 ( .A1(n49002), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[9]), 
+        .B1(n56929), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[9]), 
+        .Y(n50884) );
+  sky130_fd_sc_hd__a22oi_1 U70720 ( .A1(n49008), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[9]), 
+        .B1(n49086), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[9]), 
+        .Y(n50883) );
+  sky130_fd_sc_hd__nand4_1 U70721 ( .A(n50886), .B(n50885), .C(n50884), .D(
+        n50883), .Y(n50887) );
+  sky130_fd_sc_hd__nor4_1 U70722 ( .A(n50890), .B(n50889), .C(n50888), .D(
+        n50887), .Y(n50891) );
+  sky130_fd_sc_hd__nand4_1 U70723 ( .A(n50894), .B(n50893), .C(n50892), .D(
+        n50891), .Y(n84414) );
+  sky130_fd_sc_hd__mux2_2 U70724 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[41]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[9]), .S(n36973), .X(n85277) );
+  sky130_fd_sc_hd__mux2_2 U70725 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[41]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[9]), .S(n55502), .X(n85110) );
+  sky130_fd_sc_hd__nand2_1 U70726 ( .A(n56146), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_9[0]), .Y(
+        n50895) );
+  sky130_fd_sc_hd__o21ai_1 U70728 ( .A1(n50896), .A2(n36818), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_9[1]), .Y(
+        n50906) );
+  sky130_fd_sc_hd__nor2_1 U70729 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_9[1]), .B(
+        n56144), .Y(n50897) );
+  sky130_fd_sc_hd__o21ai_0 U70730 ( .A1(n50897), .A2(n51388), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_9[0]), .Y(
+        n50905) );
+  sky130_fd_sc_hd__nand2_1 U70731 ( .A(n38448), .B(n50898), .Y(n50902) );
+  sky130_fd_sc_hd__xnor2_1 U70733 ( .A(n50902), .B(n50901), .Y(n50903) );
+  sky130_fd_sc_hd__a22oi_1 U70734 ( .A1(n56151), .A2(n85110), .B1(n50903), 
+        .B2(n56149), .Y(n50904) );
+  sky130_fd_sc_hd__nand3_1 U70735 ( .A(n50906), .B(n50905), .C(n50904), .Y(
+        n84881) );
+  sky130_fd_sc_hd__a21oi_1 U70736 ( .A1(n50908), .A2(n50907), .B1(n52200), .Y(
+        n56551) );
+  sky130_fd_sc_hd__a31oi_1 U70737 ( .A1(n56551), .A2(n57523), .A3(n52125), 
+        .B1(n57344), .Y(n50953) );
+  sky130_fd_sc_hd__o22ai_1 U70738 ( .A1(n50909), .A2(n78162), .B1(n57276), 
+        .B2(n76877), .Y(n50952) );
+  sky130_fd_sc_hd__nand2_1 U70739 ( .A(n41794), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[12]), .Y(n50916) );
+  sky130_fd_sc_hd__a22oi_1 U70740 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__5[4]), .A2(n56525), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[12]), .B2(n57558), .Y(n50915) );
+  sky130_fd_sc_hd__a22oi_1 U70741 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[43]), 
+        .A2(n57564), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[43]), 
+        .B2(n57563), .Y(n50910) );
+  sky130_fd_sc_hd__o21ai_1 U70742 ( .A1(n58103), .A2(n50911), .B1(n50910), .Y(
+        n50912) );
+  sky130_fd_sc_hd__a21oi_1 U70743 ( .A1(n57570), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[4]), .B1(n50912), .Y(
+        n50914) );
+  sky130_fd_sc_hd__nand2_1 U70744 ( .A(n38443), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[12]), .Y(n50913) );
+  sky130_fd_sc_hd__nand4_1 U70745 ( .A(n50916), .B(n50915), .C(n50914), .D(
+        n50913), .Y(n50951) );
+  sky130_fd_sc_hd__nor2_1 U70746 ( .A(n52466), .B(n50917), .Y(n50993) );
+  sky130_fd_sc_hd__a31oi_1 U70747 ( .A1(n57549), .A2(n50919), .A3(n50918), 
+        .B1(n50993), .Y(n50921) );
+  sky130_fd_sc_hd__a21oi_1 U70748 ( .A1(n50921), .A2(n50920), .B1(n41630), .Y(
+        n50947) );
+  sky130_fd_sc_hd__o21ai_0 U70749 ( .A1(n57152), .A2(n50922), .B1(n57549), .Y(
+        n50928) );
+  sky130_fd_sc_hd__o22ai_1 U70750 ( .A1(n50923), .A2(n57262), .B1(n57120), 
+        .B2(n57318), .Y(n50924) );
+  sky130_fd_sc_hd__a21oi_1 U70751 ( .A1(n50926), .A2(n50925), .B1(n50924), .Y(
+        n50927) );
+  sky130_fd_sc_hd__a32oi_1 U70752 ( .A1(n50929), .A2(n57542), .A3(n50928), 
+        .B1(n50927), .B2(n41630), .Y(n50945) );
+  sky130_fd_sc_hd__a21oi_1 U70753 ( .A1(n50932), .A2(n50931), .B1(n50930), .Y(
+        n50937) );
+  sky130_fd_sc_hd__o22ai_1 U70754 ( .A1(n56498), .A2(n51010), .B1(n52401), 
+        .B2(n52126), .Y(n50933) );
+  sky130_fd_sc_hd__nor4_1 U70755 ( .A(n52399), .B(n56567), .C(n50934), .D(
+        n50933), .Y(n50936) );
+  sky130_fd_sc_hd__nand3_1 U70756 ( .A(n50937), .B(n50936), .C(n50935), .Y(
+        n50938) );
+  sky130_fd_sc_hd__a21oi_1 U70757 ( .A1(n50939), .A2(n52199), .B1(n50938), .Y(
+        n50943) );
+  sky130_fd_sc_hd__a211oi_1 U70758 ( .A1(n57473), .A2(n57164), .B1(n52113), 
+        .C1(n50940), .Y(n50941) );
+  sky130_fd_sc_hd__o22ai_1 U70759 ( .A1(n50943), .A2(n50942), .B1(n50941), 
+        .B2(n56574), .Y(n50944) );
+  sky130_fd_sc_hd__nor4_1 U70760 ( .A(n50947), .B(n50946), .C(n50945), .D(
+        n50944), .Y(n50949) );
+  sky130_fd_sc_hd__o22ai_1 U70761 ( .A1(n50949), .A2(n56604), .B1(n50948), 
+        .B2(n57204), .Y(n50950) );
+  sky130_fd_sc_hd__or4_1 U70762 ( .A(n50953), .B(n50952), .C(n50951), .D(
+        n50950), .X(n51018) );
+  sky130_fd_sc_hd__nand2_1 U70763 ( .A(n57118), .B(n52690), .Y(n57239) );
+  sky130_fd_sc_hd__o22ai_1 U70764 ( .A1(n67894), .A2(n43401), .B1(n51903), 
+        .B2(n50955), .Y(n50956) );
+  sky130_fd_sc_hd__a21o_1 U70765 ( .A1(n57571), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[44]), .B1(n50956), .X(n50970) );
+  sky130_fd_sc_hd__a22oi_1 U70766 ( .A1(n82284), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[12]), 
+        .B1(n82278), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[12]), 
+        .Y(n50960) );
+  sky130_fd_sc_hd__a22oi_1 U70767 ( .A1(n82315), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[12]), 
+        .B1(n82290), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[12]), 
+        .Y(n50959) );
+  sky130_fd_sc_hd__a22oi_1 U70768 ( .A1(n82296), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[12]), 
+        .B1(n82361), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[12]), 
+        .Y(n50958) );
+  sky130_fd_sc_hd__a22oi_1 U70769 ( .A1(n82309), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[12]), 
+        .B1(n82327), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[12]), 
+        .Y(n50957) );
+  sky130_fd_sc_hd__nand4_1 U70770 ( .A(n50960), .B(n50959), .C(n50958), .D(
+        n50957), .Y(n50966) );
+  sky130_fd_sc_hd__a22oi_1 U70771 ( .A1(n38396), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[12]), 
+        .B1(n82333), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[12]), 
+        .Y(n50964) );
+  sky130_fd_sc_hd__a22oi_1 U70772 ( .A1(n82350), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[12]), 
+        .B1(n38401), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[12]), 
+        .Y(n50963) );
+  sky130_fd_sc_hd__a22oi_1 U70773 ( .A1(n82339), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[12]), 
+        .B1(n38397), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[12]), 
+        .Y(n50962) );
+  sky130_fd_sc_hd__a22oi_1 U70774 ( .A1(n82303), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[12]), 
+        .B1(n82321), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[12]), 
+        .Y(n50961) );
+  sky130_fd_sc_hd__nand4_1 U70775 ( .A(n50964), .B(n50963), .C(n50962), .D(
+        n50961), .Y(n50965) );
+  sky130_fd_sc_hd__a211oi_1 U70776 ( .A1(n57586), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[12]), 
+        .B1(n50966), .C1(n50965), .Y(n50968) );
+  sky130_fd_sc_hd__a22oi_1 U70777 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[11]), 
+        .A2(n57560), .B1(n57587), .B2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__1[4]), .Y(n50967) );
+  sky130_fd_sc_hd__o21ai_0 U70778 ( .A1(n50968), .A2(n43381), .B1(n50967), .Y(
+        n50969) );
+  sky130_fd_sc_hd__a211o_1 U70779 ( .A1(n37065), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[44]), .B1(n50970), .C1(n50969), .X(n50971) );
+  sky130_fd_sc_hd__nor2_1 U70780 ( .A(n50972), .B(n50971), .Y(n51017) );
+  sky130_fd_sc_hd__nand2_1 U70781 ( .A(n52690), .B(n50984), .Y(n57240) );
+  sky130_fd_sc_hd__o22ai_1 U70782 ( .A1(n57529), .A2(n50974), .B1(n57217), 
+        .B2(n52229), .Y(n50975) );
+  sky130_fd_sc_hd__a211oi_1 U70783 ( .A1(n50978), .A2(n50977), .B1(n50976), 
+        .C1(n50975), .Y(n50989) );
+  sky130_fd_sc_hd__o22ai_1 U70784 ( .A1(n57014), .A2(n57432), .B1(n57527), 
+        .B2(n56994), .Y(n50979) );
+  sky130_fd_sc_hd__a31oi_1 U70785 ( .A1(n41779), .A2(n50980), .A3(n52744), 
+        .B1(n50979), .Y(n50988) );
+  sky130_fd_sc_hd__o221ai_1 U70786 ( .A1(n57123), .A2(n57433), .B1(n51484), 
+        .B2(n52179), .C1(n52102), .Y(n51489) );
+  sky130_fd_sc_hd__a21oi_1 U70787 ( .A1(n50982), .A2(n52218), .B1(n50981), .Y(
+        n50983) );
+  sky130_fd_sc_hd__a21oi_1 U70788 ( .A1(n50984), .A2(n51489), .B1(n50983), .Y(
+        n50987) );
+  sky130_fd_sc_hd__nand2_1 U70789 ( .A(n50986), .B(n50985), .Y(n57122) );
+  sky130_fd_sc_hd__nand4_1 U70790 ( .A(n50989), .B(n50988), .C(n50987), .D(
+        n57122), .Y(n51009) );
+  sky130_fd_sc_hd__nor2_1 U70791 ( .A(n52227), .B(n56996), .Y(n51001) );
+  sky130_fd_sc_hd__nor2_1 U70792 ( .A(n52476), .B(n52164), .Y(n52180) );
+  sky130_fd_sc_hd__o21ai_1 U70794 ( .A1(n52227), .A2(n57437), .B1(n50992), .Y(
+        n51000) );
+  sky130_fd_sc_hd__nor3_1 U70795 ( .A(n50995), .B(n50994), .C(n50993), .Y(
+        n50996) );
+  sky130_fd_sc_hd__o22ai_1 U70796 ( .A1(n50998), .A2(n50997), .B1(n50996), 
+        .B2(n52747), .Y(n50999) );
+  sky130_fd_sc_hd__nor4_1 U70797 ( .A(n51002), .B(n51001), .C(n51000), .D(
+        n50999), .Y(n51007) );
+  sky130_fd_sc_hd__nor2_1 U70798 ( .A(n52194), .B(n56503), .Y(n52079) );
+  sky130_fd_sc_hd__nand4_1 U70800 ( .A(n51007), .B(n51006), .C(n51005), .D(
+        n51004), .Y(n51008) );
+  sky130_fd_sc_hd__a21oi_1 U70801 ( .A1(n56989), .A2(n51009), .B1(n51008), .Y(
+        n51016) );
+  sky130_fd_sc_hd__nor2_1 U70802 ( .A(n52744), .B(n57063), .Y(n51014) );
+  sky130_fd_sc_hd__o22ai_1 U70803 ( .A1(n57262), .A2(n51010), .B1(n52466), 
+        .B2(n57177), .Y(n51012) );
+  sky130_fd_sc_hd__o22ai_1 U70804 ( .A1(n57071), .A2(n57217), .B1(n57051), 
+        .B2(n56471), .Y(n51011) );
+  sky130_fd_sc_hd__nor4_1 U70805 ( .A(n51014), .B(n51013), .C(n51012), .D(
+        n51011), .Y(n51015) );
+  sky130_fd_sc_hd__nand4b_1 U70806 ( .A_N(n51018), .B(n51017), .C(n38444), .D(
+        n37142), .Y(n84496) );
+  sky130_fd_sc_hd__mux2_2 U70807 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[44]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[12]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .X(n85274) );
+  sky130_fd_sc_hd__nand2_1 U70808 ( .A(n54312), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[44]), .Y(n51020) );
+  sky130_fd_sc_hd__nand2_1 U70809 ( .A(n54307), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[12]), .Y(n51019) );
+  sky130_fd_sc_hd__nand2_1 U70810 ( .A(n51020), .B(n51019), .Y(n85215) );
+  sky130_fd_sc_hd__nand2_1 U70811 ( .A(n54218), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_12[0]), .Y(
+        n51021) );
+  sky130_fd_sc_hd__o21ai_1 U70812 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_12[0]), .A2(
+        n54216), .B1(n51021), .Y(n51022) );
+  sky130_fd_sc_hd__o21ai_1 U70813 ( .A1(n51022), .A2(n36791), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_12[1]), .Y(
+        n51031) );
+  sky130_fd_sc_hd__nor2_1 U70814 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_12[1]), .B(
+        n54220), .Y(n51023) );
+  sky130_fd_sc_hd__o21ai_1 U70815 ( .A1(n51023), .A2(n48464), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_12[0]), .Y(
+        n51030) );
+  sky130_fd_sc_hd__nand2_1 U70816 ( .A(n51025), .B(n51024), .Y(n51027) );
+  sky130_fd_sc_hd__xnor2_1 U70817 ( .A(n51027), .B(n51026), .Y(n51028) );
+  sky130_fd_sc_hd__a22oi_1 U70818 ( .A1(n54228), .A2(n51028), .B1(n52494), 
+        .B2(n85215), .Y(n51029) );
+  sky130_fd_sc_hd__nand3_1 U70819 ( .A(n51031), .B(n51030), .C(n51029), .Y(
+        n84354) );
+  sky130_fd_sc_hd__nand2_1 U70820 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[44]), .Y(n51033) );
+  sky130_fd_sc_hd__nand2_1 U70821 ( .A(n54996), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[12]), .Y(n51032) );
+  sky130_fd_sc_hd__nand2_1 U70822 ( .A(n51033), .B(n51032), .Y(n85586) );
+  sky130_fd_sc_hd__mux2_2 U70823 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[44]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[12]), .S(n55502), .X(n85107) );
+  sky130_fd_sc_hd__nor2_1 U70824 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_12[0]), .B(
+        n56152), .Y(n51034) );
+  sky130_fd_sc_hd__nand2_1 U70826 ( .A(n56146), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_12[1]), .Y(
+        n51035) );
+  sky130_fd_sc_hd__o21ai_0 U70828 ( .A1(n51036), .A2(n51388), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_12[0]), .Y(
+        n51042) );
+  sky130_fd_sc_hd__nand2_1 U70829 ( .A(n51038), .B(n51037), .Y(n51039) );
+  sky130_fd_sc_hd__xnor2_1 U70830 ( .A(n51039), .B(n52045), .Y(n51040) );
+  sky130_fd_sc_hd__a22oi_1 U70831 ( .A1(n55472), .A2(n85107), .B1(n51040), 
+        .B2(n56149), .Y(n51041) );
+  sky130_fd_sc_hd__nand3_1 U70832 ( .A(n51043), .B(n51042), .C(n51041), .Y(
+        n84884) );
+  sky130_fd_sc_hd__a22oi_1 U70833 ( .A1(n81043), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[28]), 
+        .B1(n56941), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[20]), 
+        .Y(n51045) );
+  sky130_fd_sc_hd__a22oi_1 U70834 ( .A1(n56940), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[12]), 
+        .B1(n81046), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[4]), 
+        .Y(n51044) );
+  sky130_fd_sc_hd__a21oi_1 U70835 ( .A1(n51045), .A2(n51044), .B1(n56942), .Y(
+        n51183) );
+  sky130_fd_sc_hd__a22oi_1 U70836 ( .A1(n56802), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[4]), 
+        .B1(n36990), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[4]), 
+        .Y(n51049) );
+  sky130_fd_sc_hd__a22oi_1 U70837 ( .A1(n86736), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[4]), 
+        .B1(n56393), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[4]), 
+        .Y(n51048) );
+  sky130_fd_sc_hd__a22oi_1 U70838 ( .A1(n56372), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[4]), 
+        .B1(n48207), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[4]), 
+        .Y(n51047) );
+  sky130_fd_sc_hd__a22oi_1 U70839 ( .A1(n56205), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[4]), 
+        .B1(n36789), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[4]), 
+        .Y(n51046) );
+  sky130_fd_sc_hd__nand4_1 U70840 ( .A(n51049), .B(n51048), .C(n51047), .D(
+        n51046), .Y(n51076) );
+  sky130_fd_sc_hd__nand2_1 U70841 ( .A(n56781), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[12]), .Y(n51074) );
+  sky130_fd_sc_hd__nor2_1 U70842 ( .A(n51050), .B(n56914), .Y(n51699) );
+  sky130_fd_sc_hd__a22oi_1 U70843 ( .A1(n63514), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[4]), 
+        .B1(n61078), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[4]), 
+        .Y(n51054) );
+  sky130_fd_sc_hd__a22o_1 U70844 ( .A1(n79921), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[4]), 
+        .B1(n60000), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[4]), 
+        .X(n51051) );
+  sky130_fd_sc_hd__a21oi_1 U70845 ( .A1(n61081), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[4]), 
+        .B1(n51051), .Y(n51053) );
+  sky130_fd_sc_hd__nand2_1 U70846 ( .A(n63517), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[4]), 
+        .Y(n51052) );
+  sky130_fd_sc_hd__a31oi_1 U70847 ( .A1(n51054), .A2(n51053), .A3(n51052), 
+        .B1(n56765), .Y(n51055) );
+  sky130_fd_sc_hd__a21oi_1 U70848 ( .A1(n51699), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_15[4]), .B1(n51055), .Y(n51073) );
+  sky130_fd_sc_hd__a22oi_1 U70849 ( .A1(n56707), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[4]), .B1(n56706), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[4]), .Y(n51072) );
+  sky130_fd_sc_hd__a22oi_1 U70850 ( .A1(n80386), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[4]), 
+        .B1(n80383), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[28]), .Y(n51057) );
+  sky130_fd_sc_hd__a22oi_1 U70851 ( .A1(n56908), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[20]), .B1(n56909), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[12]), .Y(n51056) );
+  sky130_fd_sc_hd__a21oi_1 U70852 ( .A1(n51057), .A2(n51056), .B1(n52625), .Y(
+        n51070) );
+  sky130_fd_sc_hd__a22o_1 U70853 ( .A1(n53065), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_1[4]), .B1(n53066), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_10[4]), .X(n51069) );
+  sky130_fd_sc_hd__a22oi_1 U70854 ( .A1(n80993), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[4]), .B1(n80990), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[28]), .Y(n51059) );
+  sky130_fd_sc_hd__a22oi_1 U70855 ( .A1(n56835), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[20]), .B1(n56834), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[12]), .Y(n51058) );
+  sky130_fd_sc_hd__a21oi_1 U70856 ( .A1(n51059), .A2(n51058), .B1(n56756), .Y(
+        n51063) );
+  sky130_fd_sc_hd__a22oi_1 U70857 ( .A1(n80993), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[36]), .B1(n80990), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[60]), .Y(n51061) );
+  sky130_fd_sc_hd__a22oi_1 U70858 ( .A1(n56835), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[52]), .B1(n56834), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[44]), .Y(n51060) );
+  sky130_fd_sc_hd__a21oi_1 U70859 ( .A1(n51061), .A2(n51060), .B1(n56836), .Y(
+        n51062) );
+  sky130_fd_sc_hd__nor2_1 U70860 ( .A(n51063), .B(n51062), .Y(n51067) );
+  sky130_fd_sc_hd__a22oi_1 U70861 ( .A1(n56755), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T_16[4]), .B1(n56746), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[4]), .Y(n51066) );
+  sky130_fd_sc_hd__a22oi_1 U70862 ( .A1(n56754), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T[4]), .B1(n56760), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[4]), .Y(n51065) );
+  sky130_fd_sc_hd__nand2_1 U70863 ( .A(n48818), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[4]), .Y(n51064) );
+  sky130_fd_sc_hd__nand4_1 U70864 ( .A(n51067), .B(n51066), .C(n51065), .D(
+        n51064), .Y(n51068) );
+  sky130_fd_sc_hd__nor3_1 U70865 ( .A(n51070), .B(n51069), .C(n51068), .Y(
+        n51071) );
+  sky130_fd_sc_hd__nand4_1 U70866 ( .A(n51074), .B(n51073), .C(n51072), .D(
+        n51071), .Y(n51075) );
+  sky130_fd_sc_hd__a211oi_1 U70867 ( .A1(n56811), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[4]), 
+        .B1(n51076), .C1(n51075), .Y(n51182) );
+  sky130_fd_sc_hd__a22oi_1 U70868 ( .A1(n81193), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[60]), 
+        .B1(n56857), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[52]), 
+        .Y(n51078) );
+  sky130_fd_sc_hd__a22oi_1 U70869 ( .A1(n81194), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[36]), 
+        .B1(n56858), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[44]), 
+        .Y(n51077) );
+  sky130_fd_sc_hd__a21oi_1 U70870 ( .A1(n51078), .A2(n51077), .B1(n52639), .Y(
+        n51116) );
+  sky130_fd_sc_hd__a22oi_1 U70871 ( .A1(n81193), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[28]), 
+        .B1(n56857), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[20]), 
+        .Y(n51080) );
+  sky130_fd_sc_hd__a22oi_1 U70872 ( .A1(n81194), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[4]), 
+        .B1(n56858), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[12]), 
+        .Y(n51079) );
+  sky130_fd_sc_hd__a21oi_1 U70873 ( .A1(n51080), .A2(n51079), .B1(n52642), .Y(
+        n51115) );
+  sky130_fd_sc_hd__a22oi_1 U70874 ( .A1(n56772), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[12]), .B1(n56771), .B2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__receivedData_T[5]), .Y(n51084) );
+  sky130_fd_sc_hd__a22oi_1 U70875 ( .A1(n48762), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[4]), .B1(n48789), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[4]), .Y(n51083) );
+  sky130_fd_sc_hd__nand2_1 U70876 ( .A(n48880), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[4]), .Y(n51082) );
+  sky130_fd_sc_hd__nand2_1 U70877 ( .A(n56769), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[4]), .Y(n51081) );
+  sky130_fd_sc_hd__nand4_1 U70878 ( .A(n51084), .B(n51083), .C(n51082), .D(
+        n51081), .Y(n51114) );
+  sky130_fd_sc_hd__a22oi_1 U70879 ( .A1(n81273), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[36]), 
+        .B1(n81274), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[60]), 
+        .Y(n51087) );
+  sky130_fd_sc_hd__nand2_1 U70880 ( .A(n56792), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[52]), 
+        .Y(n51086) );
+  sky130_fd_sc_hd__nand2_1 U70881 ( .A(n56791), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[44]), 
+        .Y(n51085) );
+  sky130_fd_sc_hd__a31oi_1 U70882 ( .A1(n51087), .A2(n51086), .A3(n51085), 
+        .B1(n56793), .Y(n51092) );
+  sky130_fd_sc_hd__a22oi_1 U70883 ( .A1(n81273), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[4]), 
+        .B1(n81274), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[28]), 
+        .Y(n51090) );
+  sky130_fd_sc_hd__nand2_1 U70884 ( .A(n56792), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[20]), 
+        .Y(n51089) );
+  sky130_fd_sc_hd__nand2_1 U70885 ( .A(n56791), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[12]), 
+        .Y(n51088) );
+  sky130_fd_sc_hd__a31oi_1 U70886 ( .A1(n51090), .A2(n51089), .A3(n51088), 
+        .B1(n56788), .Y(n51091) );
+  sky130_fd_sc_hd__nor2_1 U70887 ( .A(n51092), .B(n51091), .Y(n51112) );
+  sky130_fd_sc_hd__a22oi_1 U70888 ( .A1(n80386), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[36]), .B1(n80383), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[60]), .Y(n51094) );
+  sky130_fd_sc_hd__a22oi_1 U70889 ( .A1(n56908), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[52]), .B1(n56909), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[44]), .Y(n51093) );
+  sky130_fd_sc_hd__a21oi_1 U70890 ( .A1(n51094), .A2(n51093), .B1(n52681), .Y(
+        n51095) );
+  sky130_fd_sc_hd__a21oi_1 U70891 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[4]), .A2(n48944), .B1(n51095), .Y(n51111) );
+  sky130_fd_sc_hd__nor2_1 U70892 ( .A(n51096), .B(n56391), .Y(n51659) );
+  sky130_fd_sc_hd__nand2_1 U70893 ( .A(n51097), .B(n51658), .Y(n51103) );
+  sky130_fd_sc_hd__o22ai_1 U70894 ( .A1(n52003), .A2(n51099), .B1(n51098), 
+        .B2(n37001), .Y(n51101) );
+  sky130_fd_sc_hd__nor2_1 U70895 ( .A(n51101), .B(n51100), .Y(n56722) );
+  sky130_fd_sc_hd__nand2_1 U70896 ( .A(n56722), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[2]), .Y(n51102) );
+  sky130_fd_sc_hd__nand2_1 U70897 ( .A(n51103), .B(n51102), .Y(n56290) );
+  sky130_fd_sc_hd__nand2_1 U70898 ( .A(n51104), .B(n63064), .Y(n51107) );
+  sky130_fd_sc_hd__nand2_1 U70899 ( .A(n51105), .B(n63062), .Y(n51106) );
+  sky130_fd_sc_hd__nand2_1 U70900 ( .A(n51107), .B(n51106), .Y(n51108) );
+  sky130_fd_sc_hd__a21oi_1 U70901 ( .A1(n56290), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[3]), .B1(n51108), .Y(n68109) );
+  sky130_fd_sc_hd__a22oi_1 U70902 ( .A1(n51659), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_15[4]), .B1(n56728), .B2(n68109), .Y(n51110) );
+  sky130_fd_sc_hd__nand2_1 U70903 ( .A(n56275), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[4]), 
+        .Y(n51109) );
+  sky130_fd_sc_hd__nand4_1 U70904 ( .A(n51112), .B(n51111), .C(n51110), .D(
+        n51109), .Y(n51113) );
+  sky130_fd_sc_hd__nor4_1 U70905 ( .A(n51116), .B(n51115), .C(n51114), .D(
+        n51113), .Y(n51181) );
+  sky130_fd_sc_hd__a22oi_1 U70906 ( .A1(n56817), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[4]), 
+        .B1(n56816), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[4]), 
+        .Y(n51120) );
+  sky130_fd_sc_hd__a22oi_1 U70907 ( .A1(n48974), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[4]), 
+        .B1(n48976), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[4]), 
+        .Y(n51119) );
+  sky130_fd_sc_hd__a22oi_1 U70908 ( .A1(n56819), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[4]), .B1(n56818), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T[4]), .Y(n51118) );
+  sky130_fd_sc_hd__a22oi_1 U70909 ( .A1(n56821), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T_16[4]), .B1(n56820), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[4]), .Y(n51117) );
+  sky130_fd_sc_hd__nand4_1 U70910 ( .A(n51120), .B(n51119), .C(n51118), .D(
+        n51117), .Y(n51179) );
+  sky130_fd_sc_hd__nand2_1 U70911 ( .A(n56311), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[18]), .Y(n51124) );
+  sky130_fd_sc_hd__nand2_1 U70912 ( .A(n56312), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[19]), .Y(n51123) );
+  sky130_fd_sc_hd__nand2_1 U70913 ( .A(n56313), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[16]), .Y(n51122) );
+  sky130_fd_sc_hd__nand2_1 U70914 ( .A(n56314), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[17]), .Y(n51121) );
+  sky130_fd_sc_hd__nand4_1 U70915 ( .A(n51124), .B(n51123), .C(n51122), .D(
+        n51121), .Y(n56663) );
+  sky130_fd_sc_hd__mux2i_1 U70916 ( .A0(n51125), .A1(n56663), .S(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__countReset_feed_T_1[2]), .Y(n56329) );
+  sky130_fd_sc_hd__a222oi_1 U70917 ( .A1(n51126), .A2(n56878), .B1(n56881), 
+        .B2(n56660), .C1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__countReset_feed_T_1[3]), .C2(n56329), .Y(n73384) );
+  sky130_fd_sc_hd__a22oi_1 U70918 ( .A1(n49103), .A2(n73384), .B1(n49121), 
+        .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[4]), 
+        .Y(n51129) );
+  sky130_fd_sc_hd__a22oi_1 U70919 ( .A1(n49008), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[4]), 
+        .B1(n49086), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[4]), 
+        .Y(n51128) );
+  sky130_fd_sc_hd__a22oi_1 U70920 ( .A1(n56932), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[4]), 
+        .B1(n49101), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[4]), 
+        .Y(n51127) );
+  sky130_fd_sc_hd__nand3_1 U70921 ( .A(n51129), .B(n51128), .C(n51127), .Y(
+        n51178) );
+  sky130_fd_sc_hd__a22oi_1 U70922 ( .A1(n56889), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[12]), 
+        .B1(n81129), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[4]), 
+        .Y(n51131) );
+  sky130_fd_sc_hd__a22oi_1 U70923 ( .A1(n81126), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[28]), 
+        .B1(n56888), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[20]), 
+        .Y(n51130) );
+  sky130_fd_sc_hd__a21oi_1 U70924 ( .A1(n51131), .A2(n51130), .B1(n56890), .Y(
+        n51136) );
+  sky130_fd_sc_hd__a22oi_1 U70925 ( .A1(n81367), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[36]), 
+        .B1(n81364), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[60]), 
+        .Y(n51134) );
+  sky130_fd_sc_hd__nand2_1 U70926 ( .A(n56894), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[52]), 
+        .Y(n51133) );
+  sky130_fd_sc_hd__nand2_1 U70927 ( .A(n56893), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[44]), 
+        .Y(n51132) );
+  sky130_fd_sc_hd__a31oi_1 U70928 ( .A1(n51134), .A2(n51133), .A3(n51132), 
+        .B1(n56884), .Y(n51135) );
+  sky130_fd_sc_hd__a211oi_1 U70929 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[4]), 
+        .A2(n56929), .B1(n51136), .C1(n51135), .Y(n51153) );
+  sky130_fd_sc_hd__a22oi_1 U70930 ( .A1(n80688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[60]), .B1(n80692), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[36]), .Y(n51138) );
+  sky130_fd_sc_hd__a22oi_1 U70931 ( .A1(n56830), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[44]), .B1(n56829), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[52]), .Y(n51137) );
+  sky130_fd_sc_hd__a21oi_1 U70932 ( .A1(n51138), .A2(n51137), .B1(n56826), .Y(
+        n51142) );
+  sky130_fd_sc_hd__a22oi_1 U70933 ( .A1(n80688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[28]), .B1(n56829), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[20]), .Y(n51140) );
+  sky130_fd_sc_hd__a22oi_1 U70934 ( .A1(n56830), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[12]), .B1(n80692), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[4]), .Y(n51139) );
+  sky130_fd_sc_hd__a21oi_1 U70935 ( .A1(n51140), .A2(n51139), .B1(n56831), .Y(
+        n51141) );
+  sky130_fd_sc_hd__nor2_1 U70936 ( .A(n51142), .B(n51141), .Y(n51152) );
+  sky130_fd_sc_hd__a22oi_1 U70937 ( .A1(n36788), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146_4), 
+        .B1(n49002), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[4]), 
+        .Y(n51151) );
+  sky130_fd_sc_hd__a22oi_1 U70938 ( .A1(n81129), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[36]), 
+        .B1(n81126), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[60]), 
+        .Y(n51144) );
+  sky130_fd_sc_hd__nand2_1 U70939 ( .A(n56889), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[44]), 
+        .Y(n51143) );
+  sky130_fd_sc_hd__nand2_1 U70940 ( .A(n51144), .B(n51143), .Y(n51145) );
+  sky130_fd_sc_hd__a21oi_1 U70941 ( .A1(n56888), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[52]), 
+        .B1(n51145), .Y(n51148) );
+  sky130_fd_sc_hd__a22oi_1 U70942 ( .A1(n56842), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[4]), .B1(n56843), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[4]), 
+        .Y(n51147) );
+  sky130_fd_sc_hd__a22oi_1 U70943 ( .A1(n56681), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[4]), 
+        .B1(n56919), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[4]), .Y(n51146) );
+  sky130_fd_sc_hd__o211ai_1 U70944 ( .A1(n51148), .A2(n56862), .B1(n51147), 
+        .C1(n51146), .Y(n51149) );
+  sky130_fd_sc_hd__a21oi_1 U70945 ( .A1(n56870), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__receivedData_T[5]), .B1(n51149), .Y(n51150) );
+  sky130_fd_sc_hd__nand4_1 U70946 ( .A(n51153), .B(n51152), .C(n51151), .D(
+        n51150), .Y(n51177) );
+  sky130_fd_sc_hd__o22ai_1 U70947 ( .A1(n67147), .A2(n56253), .B1(n67144), 
+        .B2(n56252), .Y(n51155) );
+  sky130_fd_sc_hd__o2bb2ai_1 U70948 ( .B1(n63679), .B2(n56255), .A1_N(n56247), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[16]), .Y(n51154) );
+  sky130_fd_sc_hd__nor2_1 U70949 ( .A(n51155), .B(n51154), .Y(n56672) );
+  sky130_fd_sc_hd__nand2_1 U70950 ( .A(n56672), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[2]), .Y(n51156) );
+  sky130_fd_sc_hd__o21ai_1 U70951 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[2]), .A2(n51157), .B1(n51156), .Y(n56262) );
+  sky130_fd_sc_hd__a222oi_1 U70952 ( .A1(n56262), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[3]), .B1(n67466), .B2(n51159), .C1(n67464), .C2(n56671), .Y(n67913) );
+  sky130_fd_sc_hd__a22oi_1 U70953 ( .A1(n56893), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[12]), 
+        .B1(n81364), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[28]), 
+        .Y(n51161) );
+  sky130_fd_sc_hd__a22oi_1 U70954 ( .A1(n56894), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[20]), 
+        .B1(n81367), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[4]), 
+        .Y(n51160) );
+  sky130_fd_sc_hd__a21oi_1 U70955 ( .A1(n51161), .A2(n51160), .B1(n56895), .Y(
+        n51172) );
+  sky130_fd_sc_hd__a22oi_1 U70957 ( .A1(n56748), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_0[4]), .B1(n56747), .B2(n85270), .Y(n51165) );
+  sky130_fd_sc_hd__nand2_1 U70958 ( .A(n56749), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[4]), .Y(n51164) );
+  sky130_fd_sc_hd__nand3_1 U70959 ( .A(n51162), .B(n60064), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_15[4]), .Y(n51163) );
+  sky130_fd_sc_hd__nand4_1 U70960 ( .A(n51166), .B(n51165), .C(n51164), .D(
+        n51163), .Y(n51170) );
+  sky130_fd_sc_hd__a22oi_1 U70961 ( .A1(n81043), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[60]), 
+        .B1(n56941), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[52]), 
+        .Y(n51168) );
+  sky130_fd_sc_hd__a22oi_1 U70962 ( .A1(n56940), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[44]), 
+        .B1(n81046), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[36]), 
+        .Y(n51167) );
+  sky130_fd_sc_hd__a21oi_1 U70963 ( .A1(n51168), .A2(n51167), .B1(n56898), .Y(
+        n51169) );
+  sky130_fd_sc_hd__a211o_1 U70964 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[4]), .A2(n51688), .B1(n51170), .C1(n51169), .X(n51171) );
+  sky130_fd_sc_hd__a211oi_1 U70965 ( .A1(n67913), .A2(n56930), .B1(n51172), 
+        .C1(n51171), .Y(n51175) );
+  sky130_fd_sc_hd__a22oi_1 U70966 ( .A1(n56876), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[4]), 
+        .B1(n56875), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[4]), 
+        .Y(n51174) );
+  sky130_fd_sc_hd__nand2_1 U70967 ( .A(n49014), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[4]), 
+        .Y(n51173) );
+  sky130_fd_sc_hd__nand3_1 U70968 ( .A(n51175), .B(n51174), .C(n51173), .Y(
+        n51176) );
+  sky130_fd_sc_hd__nand4b_1 U70970 ( .A_N(n51183), .B(n51182), .C(n51181), .D(
+        n51180), .Y(n84410) );
+  sky130_fd_sc_hd__mux2_2 U70971 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[36]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[4]), .S(n36973), .X(n85268) );
+  sky130_fd_sc_hd__mux2_2 U70972 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[36]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[4]), .S(n55502), .X(n85115) );
+  sky130_fd_sc_hd__nand2_1 U70973 ( .A(n56146), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_4[0]), .Y(
+        n51184) );
+  sky130_fd_sc_hd__o21ai_1 U70974 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_4[0]), .A2(
+        n56152), .B1(n51184), .Y(n51185) );
+  sky130_fd_sc_hd__nor2_1 U70976 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_4[1]), .B(
+        n56144), .Y(n51186) );
+  sky130_fd_sc_hd__o21ai_0 U70977 ( .A1(n51186), .A2(n51388), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_4[0]), .Y(
+        n51191) );
+  sky130_fd_sc_hd__nand2_1 U70978 ( .A(n52858), .B(n52856), .Y(n51188) );
+  sky130_fd_sc_hd__xnor2_1 U70979 ( .A(n51188), .B(n52859), .Y(n51189) );
+  sky130_fd_sc_hd__a22oi_1 U70980 ( .A1(n55472), .A2(n85115), .B1(n51189), 
+        .B2(n56149), .Y(n51190) );
+  sky130_fd_sc_hd__nand3_1 U70981 ( .A(n51192), .B(n51191), .C(n51190), .Y(
+        n84876) );
+  sky130_fd_sc_hd__mux2_2 U70982 ( .A0(n51194), .A1(n51193), .S(n67148), .X(
+        n75859) );
+  sky130_fd_sc_hd__o22ai_1 U70983 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_fmt_endian), .A2(n80219), .B1(n56242), .B2(n56243), .Y(n85242) );
+  sky130_fd_sc_hd__o22ai_1 U70984 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_fmt_endian), .A2(n80868), .B1(n51608), .B2(n80861), .Y(n85081) );
+  sky130_fd_sc_hd__a22oi_1 U70985 ( .A1(n81043), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[27]), 
+        .B1(n56941), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[19]), 
+        .Y(n51196) );
+  sky130_fd_sc_hd__a22oi_1 U70986 ( .A1(n56940), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[11]), 
+        .B1(n81046), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[3]), 
+        .Y(n51195) );
+  sky130_fd_sc_hd__a21oi_1 U70987 ( .A1(n51196), .A2(n51195), .B1(n56942), .Y(
+        n51197) );
+  sky130_fd_sc_hd__a22oi_1 U70988 ( .A1(n56748), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_0[3]), .B1(n48944), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[3]), .Y(n51331) );
+  sky130_fd_sc_hd__a22oi_1 U70989 ( .A1(n56275), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[3]), 
+        .B1(n56729), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[3]), .Y(n51208) );
+  sky130_fd_sc_hd__a22oi_1 U70990 ( .A1(n56819), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[3]), .B1(n56818), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T[3]), .Y(n51207) );
+  sky130_fd_sc_hd__mux2_2 U70991 ( .A0(n51198), .A1(n63063), .S(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[2]), .X(n51420) );
+  sky130_fd_sc_hd__inv_1 U70992 ( .A(n63064), .Y(n51203) );
+  sky130_fd_sc_hd__o22ai_1 U70993 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[6]), 
+        .A2(n36849), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[5]), 
+        .B2(n37001), .Y(n51200) );
+  sky130_fd_sc_hd__o22ai_1 U70994 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[4]), 
+        .A2(n56284), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[3]), 
+        .B2(n56283), .Y(n51199) );
+  sky130_fd_sc_hd__o21ai_1 U70995 ( .A1(n51200), .A2(n51199), .B1(n63062), .Y(
+        n51201) );
+  sky130_fd_sc_hd__a21oi_1 U70997 ( .A1(n51420), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[3]), .B1(n51204), .Y(n68091) );
+  sky130_fd_sc_hd__a22oi_1 U70998 ( .A1(n51659), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_15[3]), .B1(n56728), .B2(n68091), .Y(n51206) );
+  sky130_fd_sc_hd__a22oi_1 U70999 ( .A1(n56821), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T_16[3]), .B1(n56820), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[3]), .Y(n51205) );
+  sky130_fd_sc_hd__nand4_1 U71000 ( .A(n51208), .B(n51207), .C(n51206), .D(
+        n51205), .Y(n51259) );
+  sky130_fd_sc_hd__a22oi_1 U71001 ( .A1(n56830), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[43]), .B1(n56829), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[51]), .Y(n51210) );
+  sky130_fd_sc_hd__a22oi_1 U71002 ( .A1(n80688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[59]), .B1(n80692), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[35]), .Y(n51209) );
+  sky130_fd_sc_hd__a21oi_1 U71003 ( .A1(n51210), .A2(n51209), .B1(n56826), .Y(
+        n51219) );
+  sky130_fd_sc_hd__a22oi_1 U71004 ( .A1(n80688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[27]), .B1(n80692), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[3]), .Y(n51212) );
+  sky130_fd_sc_hd__a22oi_1 U71005 ( .A1(n56830), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[11]), .B1(n56829), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[19]), .Y(n51211) );
+  sky130_fd_sc_hd__a21oi_1 U71006 ( .A1(n51212), .A2(n51211), .B1(n56831), .Y(
+        n51218) );
+  sky130_fd_sc_hd__a22oi_1 U71007 ( .A1(n80727), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_20[3]), .B1(n80726), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_21[3]), .Y(n51214) );
+  sky130_fd_sc_hd__a22oi_1 U71008 ( .A1(n48956), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[3]), 
+        .B1(n56843), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[3]), 
+        .Y(n51217) );
+  sky130_fd_sc_hd__a22oi_1 U71009 ( .A1(n56842), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[3]), .B1(n56919), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[3]), .Y(n51216) );
+  sky130_fd_sc_hd__nand2_1 U71010 ( .A(n51804), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146_3), 
+        .Y(n51215) );
+  sky130_fd_sc_hd__a22oi_1 U71011 ( .A1(n56817), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[3]), 
+        .B1(n56816), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[3]), 
+        .Y(n51221) );
+  sky130_fd_sc_hd__a22oi_1 U71012 ( .A1(n48974), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[3]), 
+        .B1(n48976), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[3]), 
+        .Y(n51220) );
+  sky130_fd_sc_hd__nand3_1 U71013 ( .A(n51222), .B(n51221), .C(n51220), .Y(
+        n51258) );
+  sky130_fd_sc_hd__a22oi_1 U71014 ( .A1(n81274), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[59]), 
+        .B1(n56791), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[43]), 
+        .Y(n51224) );
+  sky130_fd_sc_hd__a22oi_1 U71015 ( .A1(n81273), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[35]), 
+        .B1(n56792), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[51]), 
+        .Y(n51223) );
+  sky130_fd_sc_hd__a21oi_1 U71016 ( .A1(n51224), .A2(n51223), .B1(n56793), .Y(
+        n51229) );
+  sky130_fd_sc_hd__a22oi_1 U71017 ( .A1(n81273), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[3]), 
+        .B1(n81274), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[27]), 
+        .Y(n51227) );
+  sky130_fd_sc_hd__nand2_1 U71018 ( .A(n56792), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[19]), 
+        .Y(n51226) );
+  sky130_fd_sc_hd__nand2_1 U71019 ( .A(n56791), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[11]), 
+        .Y(n51225) );
+  sky130_fd_sc_hd__a31oi_1 U71020 ( .A1(n51227), .A2(n51226), .A3(n51225), 
+        .B1(n56788), .Y(n51228) );
+  sky130_fd_sc_hd__nor2_1 U71021 ( .A(n51229), .B(n51228), .Y(n51237) );
+  sky130_fd_sc_hd__a22oi_1 U71022 ( .A1(n56769), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[3]), .B1(n56772), .B2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[11]), .Y(n51236) );
+  sky130_fd_sc_hd__a22oi_1 U71023 ( .A1(n80386), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[35]), .B1(n80383), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[59]), .Y(n51232) );
+  sky130_fd_sc_hd__nand2_1 U71024 ( .A(n56909), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[43]), .Y(n51231) );
+  sky130_fd_sc_hd__nand2_1 U71025 ( .A(n56908), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[51]), .Y(n51230) );
+  sky130_fd_sc_hd__a31oi_1 U71026 ( .A1(n51232), .A2(n51231), .A3(n51230), 
+        .B1(n52681), .Y(n51233) );
+  sky130_fd_sc_hd__a21oi_1 U71027 ( .A1(n56771), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__receivedData_T[4]), .B1(n51233), .Y(n51235) );
+  sky130_fd_sc_hd__nand2_1 U71028 ( .A(n48880), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[3]), .Y(n51234) );
+  sky130_fd_sc_hd__nand4_1 U71029 ( .A(n51237), .B(n51236), .C(n51235), .D(
+        n51234), .Y(n51257) );
+  sky130_fd_sc_hd__a22oi_1 U71030 ( .A1(n80386), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[3]), 
+        .B1(n80383), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[27]), .Y(n51240) );
+  sky130_fd_sc_hd__nand2_1 U71031 ( .A(n56909), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[11]), .Y(n51239) );
+  sky130_fd_sc_hd__nand2_1 U71032 ( .A(n56908), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[19]), .Y(n51238) );
+  sky130_fd_sc_hd__a31oi_1 U71033 ( .A1(n51240), .A2(n51239), .A3(n51238), 
+        .B1(n52625), .Y(n51249) );
+  sky130_fd_sc_hd__a22o_1 U71034 ( .A1(n53065), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_1[3]), .B1(n53066), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_10[3]), .X(n51248) );
+  sky130_fd_sc_hd__nand2_1 U71035 ( .A(n48762), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[3]), .Y(n51243) );
+  sky130_fd_sc_hd__a22oi_1 U71036 ( .A1(n56755), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T_16[3]), .B1(n56746), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[3]), .Y(n51242) );
+  sky130_fd_sc_hd__nand2_1 U71037 ( .A(n48818), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[3]), .Y(n51241) );
+  sky130_fd_sc_hd__nand3_1 U71038 ( .A(n51243), .B(n51242), .C(n51241), .Y(
+        n51247) );
+  sky130_fd_sc_hd__a22oi_1 U71039 ( .A1(n81193), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[27]), 
+        .B1(n56857), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[19]), 
+        .Y(n51245) );
+  sky130_fd_sc_hd__a22oi_1 U71040 ( .A1(n81194), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[3]), 
+        .B1(n56858), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[11]), 
+        .Y(n51244) );
+  sky130_fd_sc_hd__a21oi_1 U71041 ( .A1(n51245), .A2(n51244), .B1(n52642), .Y(
+        n51246) );
+  sky130_fd_sc_hd__nor4_1 U71042 ( .A(n51249), .B(n51248), .C(n51247), .D(
+        n51246), .Y(n51255) );
+  sky130_fd_sc_hd__a22oi_1 U71043 ( .A1(n51700), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_io_link_fmt_iodir), .B1(n51699), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_15[3]), .Y(n51254) );
+  sky130_fd_sc_hd__a22oi_1 U71044 ( .A1(n56707), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[3]), .B1(n56706), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[3]), .Y(n51253) );
+  sky130_fd_sc_hd__a22oi_1 U71045 ( .A1(n81193), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[59]), 
+        .B1(n56857), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[51]), 
+        .Y(n51251) );
+  sky130_fd_sc_hd__a22oi_1 U71046 ( .A1(n81194), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[35]), 
+        .B1(n56858), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[43]), 
+        .Y(n51250) );
+  sky130_fd_sc_hd__a21o_1 U71047 ( .A1(n51251), .A2(n51250), .B1(n52639), .X(
+        n51252) );
+  sky130_fd_sc_hd__nand4_1 U71048 ( .A(n51255), .B(n51254), .C(n51253), .D(
+        n51252), .Y(n51256) );
+  sky130_fd_sc_hd__nor4_1 U71049 ( .A(n51259), .B(n51258), .C(n51257), .D(
+        n51256), .Y(n51330) );
+  sky130_fd_sc_hd__a22oi_1 U71050 ( .A1(n56932), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[3]), 
+        .B1(n49086), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[3]), 
+        .Y(n51261) );
+  sky130_fd_sc_hd__a22oi_1 U71051 ( .A1(n56695), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[3]), .B1(n49101), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[3]), 
+        .Y(n51260) );
+  sky130_fd_sc_hd__nand2_1 U71052 ( .A(n51261), .B(n51260), .Y(n51328) );
+  sky130_fd_sc_hd__a22oi_1 U71053 ( .A1(n56894), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[51]), 
+        .B1(n56893), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[43]), 
+        .Y(n51263) );
+  sky130_fd_sc_hd__a22oi_1 U71054 ( .A1(n81367), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[35]), 
+        .B1(n81364), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[59]), 
+        .Y(n51262) );
+  sky130_fd_sc_hd__a21oi_1 U71055 ( .A1(n51263), .A2(n51262), .B1(n56884), .Y(
+        n51274) );
+  sky130_fd_sc_hd__a22oi_1 U71056 ( .A1(n56889), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[11]), 
+        .B1(n81126), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[27]), 
+        .Y(n51265) );
+  sky130_fd_sc_hd__a22oi_1 U71057 ( .A1(n81129), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[3]), 
+        .B1(n56888), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[19]), 
+        .Y(n51264) );
+  sky130_fd_sc_hd__a21oi_1 U71058 ( .A1(n51265), .A2(n51264), .B1(n56890), .Y(
+        n51273) );
+  sky130_fd_sc_hd__a22oi_1 U71059 ( .A1(n81129), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[35]), 
+        .B1(n81126), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[59]), 
+        .Y(n51268) );
+  sky130_fd_sc_hd__nand2_1 U71060 ( .A(n56888), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[51]), 
+        .Y(n51267) );
+  sky130_fd_sc_hd__nand2_1 U71061 ( .A(n56889), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[43]), 
+        .Y(n51266) );
+  sky130_fd_sc_hd__a31oi_1 U71062 ( .A1(n51268), .A2(n51267), .A3(n51266), 
+        .B1(n56862), .Y(n51272) );
+  sky130_fd_sc_hd__a22oi_1 U71063 ( .A1(n81367), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[3]), 
+        .B1(n81364), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[27]), 
+        .Y(n51270) );
+  sky130_fd_sc_hd__a22oi_1 U71064 ( .A1(n56894), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[19]), 
+        .B1(n56893), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[11]), 
+        .Y(n51269) );
+  sky130_fd_sc_hd__a21oi_1 U71065 ( .A1(n51270), .A2(n51269), .B1(n56895), .Y(
+        n51271) );
+  sky130_fd_sc_hd__nor4_1 U71066 ( .A(n51274), .B(n51273), .C(n51272), .D(
+        n51271), .Y(n51278) );
+  sky130_fd_sc_hd__a22oi_1 U71067 ( .A1(n51674), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_20[3]), .B1(n51763), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_21[3]), .Y(n51277) );
+  sky130_fd_sc_hd__a22oi_1 U71068 ( .A1(n49002), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[3]), 
+        .B1(n56870), .B2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__receivedData_T[4]), .Y(n51276) );
+  sky130_fd_sc_hd__a22oi_1 U71069 ( .A1(n49008), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[3]), 
+        .B1(n56929), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[3]), 
+        .Y(n51275) );
+  sky130_fd_sc_hd__nand4_1 U71070 ( .A(n51278), .B(n51277), .C(n51276), .D(
+        n51275), .Y(n51327) );
+  sky130_fd_sc_hd__a21oi_1 U71071 ( .A1(n56312), .A2(n67361), .B1(n63650), .Y(
+        n51279) );
+  sky130_fd_sc_hd__a21oi_1 U71073 ( .A1(n51282), .A2(n51281), .B1(n51280), .Y(
+        n51285) );
+  sky130_fd_sc_hd__a211oi_1 U71074 ( .A1(n56881), .A2(n51286), .B1(n51285), 
+        .C1(n51284), .Y(n73394) );
+  sky130_fd_sc_hd__o22ai_1 U71075 ( .A1(n73394), .A2(n51741), .B1(n56328), 
+        .B2(n51742), .Y(n51326) );
+  sky130_fd_sc_hd__a22oi_1 U71076 ( .A1(n36814), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[3]), 
+        .B1(n56803), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[3]), 
+        .Y(n51295) );
+  sky130_fd_sc_hd__a22oi_1 U71077 ( .A1(n86736), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[3]), 
+        .B1(n56372), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[3]), 
+        .Y(n51294) );
+  sky130_fd_sc_hd__a22oi_1 U71078 ( .A1(n48207), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[3]), 
+        .B1(n56371), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[3]), 
+        .Y(n51293) );
+  sky130_fd_sc_hd__a22oi_1 U71079 ( .A1(n61078), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[3]), 
+        .B1(n60000), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[3]), 
+        .Y(n51290) );
+  sky130_fd_sc_hd__a22oi_1 U71080 ( .A1(n63514), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[3]), 
+        .B1(n63517), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[3]), 
+        .Y(n51289) );
+  sky130_fd_sc_hd__nand2_1 U71081 ( .A(n79921), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[3]), 
+        .Y(n51288) );
+  sky130_fd_sc_hd__nand2_1 U71082 ( .A(n61081), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[3]), 
+        .Y(n51287) );
+  sky130_fd_sc_hd__nand4_1 U71083 ( .A(n51290), .B(n51289), .C(n51288), .D(
+        n51287), .Y(n51291) );
+  sky130_fd_sc_hd__a22oi_1 U71084 ( .A1(n36789), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[3]), 
+        .B1(n56122), .B2(n51291), .Y(n51292) );
+  sky130_fd_sc_hd__nand4_1 U71085 ( .A(n51295), .B(n51294), .C(n51293), .D(
+        n51292), .Y(n51324) );
+  sky130_fd_sc_hd__mux2_2 U71086 ( .A0(n51296), .A1(n67467), .S(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[2]), .X(n51443) );
+  sky130_fd_sc_hd__o22ai_1 U71087 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[6]), 
+        .A2(n56253), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[5]), 
+        .B2(n56252), .Y(n51298) );
+  sky130_fd_sc_hd__o22ai_1 U71088 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[4]), 
+        .A2(n56255), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[3]), 
+        .B2(n56254), .Y(n51297) );
+  sky130_fd_sc_hd__a21oi_1 U71091 ( .A1(n51443), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[3]), .B1(n51301), .Y(n67917) );
+  sky130_fd_sc_hd__a22oi_1 U71092 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_20[3]), .A2(n81026), .B1(n81025), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_21[3]), .Y(n51312) );
+  sky130_fd_sc_hd__a22oi_1 U71093 ( .A1(n80993), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[3]), .B1(n80990), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[27]), .Y(n51304) );
+  sky130_fd_sc_hd__a22oi_1 U71094 ( .A1(n56835), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[19]), .B1(n56834), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[11]), .Y(n51303) );
+  sky130_fd_sc_hd__a21oi_1 U71095 ( .A1(n51304), .A2(n51303), .B1(n51302), .Y(
+        n51309) );
+  sky130_fd_sc_hd__a22oi_1 U71096 ( .A1(n56835), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[51]), .B1(n56834), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[43]), .Y(n51307) );
+  sky130_fd_sc_hd__a22oi_1 U71097 ( .A1(n80993), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[35]), .B1(n80990), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[59]), .Y(n51306) );
+  sky130_fd_sc_hd__a21oi_1 U71098 ( .A1(n51307), .A2(n51306), .B1(n51305), .Y(
+        n51308) );
+  sky130_fd_sc_hd__a211oi_1 U71099 ( .A1(n81039), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_io_link_fmt_iodir), .B1(n51309), .C1(n51308), .Y(n51311) );
+  sky130_fd_sc_hd__nand2_1 U71100 ( .A(n60064), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_15[3]), .Y(n51310) );
+  sky130_fd_sc_hd__a31oi_1 U71101 ( .A1(n51312), .A2(n51311), .A3(n51310), 
+        .B1(n52530), .Y(n51314) );
+  sky130_fd_sc_hd__nand2_1 U71102 ( .A(n85081), .B(n51609), .Y(n56082) );
+  sky130_fd_sc_hd__nand2_1 U71103 ( .A(n36990), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[3]), 
+        .Y(n51319) );
+  sky130_fd_sc_hd__nand3_1 U71104 ( .A(n51690), .B(n80737), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_io_link_fmt_iodir), .Y(n51318) );
+  sky130_fd_sc_hd__a22oi_1 U71105 ( .A1(n81046), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[35]), 
+        .B1(n56941), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[51]), 
+        .Y(n51316) );
+  sky130_fd_sc_hd__a22oi_1 U71106 ( .A1(n56940), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[43]), 
+        .B1(n81043), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[59]), 
+        .Y(n51315) );
+  sky130_fd_sc_hd__a21oi_1 U71107 ( .A1(n51316), .A2(n51315), .B1(n56898), .Y(
+        n51317) );
+  sky130_fd_sc_hd__a22oi_1 U71108 ( .A1(n56811), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[3]), 
+        .B1(n56781), .B2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[11]), .Y(n51321) );
+  sky130_fd_sc_hd__a22oi_1 U71109 ( .A1(n56875), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[3]), 
+        .B1(n49014), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[3]), 
+        .Y(n51320) );
+  sky130_fd_sc_hd__nand2_1 U71110 ( .A(n51321), .B(n51320), .Y(n51322) );
+  sky130_fd_sc_hd__nand2b_1 U71111 ( .A_N(n51324), .B(n51323), .Y(n51325) );
+  sky130_fd_sc_hd__nor4_1 U71112 ( .A(n51328), .B(n51327), .C(n51326), .D(
+        n51325), .Y(n51329) );
+  sky130_fd_sc_hd__nand4_1 U71113 ( .A(n51332), .B(n51331), .C(n51330), .D(
+        n51329), .Y(n84409) );
+  sky130_fd_sc_hd__mux2_2 U71114 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[35]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[3]), .S(n36973), .X(n85265) );
+  sky130_fd_sc_hd__mux2_2 U71115 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[35]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[3]), .S(n55502), .X(n85116) );
+  sky130_fd_sc_hd__nand2_1 U71116 ( .A(n56146), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_3[0]), .Y(
+        n51333) );
+  sky130_fd_sc_hd__nor2_1 U71119 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_3[1]), .B(
+        n56144), .Y(n51335) );
+  sky130_fd_sc_hd__o21ai_0 U71120 ( .A1(n51335), .A2(n51388), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_3[0]), .Y(
+        n51344) );
+  sky130_fd_sc_hd__nand2_1 U71121 ( .A(n51338), .B(n51337), .Y(n51341) );
+  sky130_fd_sc_hd__xnor2_1 U71123 ( .A(n51341), .B(n51340), .Y(n51342) );
+  sky130_fd_sc_hd__a22oi_1 U71124 ( .A1(n56151), .A2(n85116), .B1(n51342), 
+        .B2(n56149), .Y(n51343) );
+  sky130_fd_sc_hd__nand3_1 U71125 ( .A(n51345), .B(n51344), .C(n51343), .Y(
+        n84875) );
+  sky130_fd_sc_hd__nand2_1 U71126 ( .A(n73724), .B(n73729), .Y(n73712) );
+  sky130_fd_sc_hd__nand2_1 U71127 ( .A(n73712), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_arb_io_outer_cs_hold), .Y(n75815) );
+  sky130_fd_sc_hd__nand2_1 U71128 ( .A(n86753), .B(n75825), .Y(n73715) );
+  sky130_fd_sc_hd__nor2b_1 U71129 ( .B_N(n51346), .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_maybe_full), .Y(n53104) );
+  sky130_fd_sc_hd__a21oi_1 U71130 ( .A1(n53104), .A2(n51347), .B1(n77865), .Y(
+        n75813) );
+  sky130_fd_sc_hd__nor3_1 U71131 ( .A(n53105), .B(n73715), .C(n75813), .Y(
+        n85673) );
+  sky130_fd_sc_hd__mux2_2 U71132 ( .A0(n51349), .A1(n51348), .S(n67148), .X(
+        n76425) );
+  sky130_fd_sc_hd__mux2_2 U71133 ( .A0(n51351), .A1(n51350), .S(n67148), .X(
+        n76385) );
+  sky130_fd_sc_hd__mux2_2 U71134 ( .A0(n51353), .A1(n51352), .S(n67148), .X(
+        n76383) );
+  sky130_fd_sc_hd__mux2i_2 U71135 ( .A0(n51355), .A1(n51354), .S(n67148), .Y(
+        n85290) );
+  sky130_fd_sc_hd__mux2_2 U71136 ( .A0(n51357), .A1(n51356), .S(n67148), .X(
+        n75705) );
+  sky130_fd_sc_hd__a22o_1 U71137 ( .A1(n56841), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[22]), 
+        .B1(n56919), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[22]), .X(n51364) );
+  sky130_fd_sc_hd__a22oi_1 U71138 ( .A1(n56842), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[22]), .B1(n56843), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[22]), 
+        .Y(n51362) );
+  sky130_fd_sc_hd__o2bb2ai_1 U71139 ( .B1(n51960), .B2(n53075), .A1_N(n56359), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_2[6]), .Y(n51358) );
+  sky130_fd_sc_hd__a21oi_1 U71140 ( .A1(n56749), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[22]), .B1(n51358), .Y(n51361) );
+  sky130_fd_sc_hd__nand2_1 U71141 ( .A(n56754), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend[22]), .Y(n51360) );
+  sky130_fd_sc_hd__nand2_1 U71142 ( .A(n56755), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_16[22]), .Y(n51359) );
+  sky130_fd_sc_hd__nand4_1 U71143 ( .A(n51362), .B(n51361), .C(n51360), .D(
+        n51359), .Y(n51363) );
+  sky130_fd_sc_hd__a211oi_1 U71144 ( .A1(n51688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[22]), .B1(n51364), .C1(n51363), .Y(n51367) );
+  sky130_fd_sc_hd__a22oi_1 U71145 ( .A1(n56803), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[22]), 
+        .B1(n36990), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[22]), 
+        .Y(n51366) );
+  sky130_fd_sc_hd__a22oi_1 U71146 ( .A1(n56802), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[22]), 
+        .B1(n48207), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[22]), 
+        .Y(n51365) );
+  sky130_fd_sc_hd__nand3_1 U71147 ( .A(n51367), .B(n51366), .C(n51365), .Y(
+        n51368) );
+  sky130_fd_sc_hd__a21oi_1 U71148 ( .A1(n56667), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[22]), .B1(n51368), .Y(n51385) );
+  sky130_fd_sc_hd__o22ai_1 U71149 ( .A1(n63693), .A2(n56787), .B1(n56349), 
+        .B2(n51369), .Y(n51381) );
+  sky130_fd_sc_hd__a22o_1 U71150 ( .A1(n56818), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend[22]), .B1(n56821), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_16[22]), .X(n51380) );
+  sky130_fd_sc_hd__a22oi_1 U71151 ( .A1(n61078), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[22]), 
+        .B1(n60000), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[22]), 
+        .Y(n51373) );
+  sky130_fd_sc_hd__a22o_1 U71152 ( .A1(n63514), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[22]), .B1(n79921), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[22]), 
+        .X(n51370) );
+  sky130_fd_sc_hd__a21oi_1 U71153 ( .A1(n63517), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[22]), .B1(n51370), .Y(n51372) );
+  sky130_fd_sc_hd__nand2_1 U71154 ( .A(n61081), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[22]), 
+        .Y(n51371) );
+  sky130_fd_sc_hd__a31oi_1 U71155 ( .A1(n51373), .A2(n51372), .A3(n51371), 
+        .B1(n56765), .Y(n51374) );
+  sky130_fd_sc_hd__a21oi_1 U71156 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_1[22]), .A2(n53065), .B1(n51374), .Y(n51378) );
+  sky130_fd_sc_hd__a22oi_1 U71157 ( .A1(n86736), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[22]), 
+        .B1(n56804), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[22]), 
+        .Y(n51377) );
+  sky130_fd_sc_hd__a22oi_1 U71158 ( .A1(n56123), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[22]), 
+        .B1(n36789), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[22]), 
+        .Y(n51376) );
+  sky130_fd_sc_hd__a22oi_1 U71159 ( .A1(n48944), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[22]), .B1(n53066), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_10[22]), .Y(n51375) );
+  sky130_fd_sc_hd__nand4_1 U71160 ( .A(n51378), .B(n51377), .C(n51376), .D(
+        n51375), .Y(n51379) );
+  sky130_fd_sc_hd__nor3_1 U71161 ( .A(n51381), .B(n51380), .C(n51379), .Y(
+        n51384) );
+  sky130_fd_sc_hd__a22oi_1 U71162 ( .A1(n36788), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[22]), .B1(n49002), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[22]), 
+        .Y(n51383) );
+  sky130_fd_sc_hd__a2bb2oi_1 U71163 ( .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_16[22]), .B2(n56695), .A1_N(n73369), .A2_N(n53026), .Y(n51382) );
+  sky130_fd_sc_hd__nand4_1 U71164 ( .A(n51385), .B(n51384), .C(n51383), .D(
+        n51382), .Y(n84425) );
+  sky130_fd_sc_hd__mux2_2 U71165 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[54]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[22]), .S(n55502), .X(n85097) );
+  sky130_fd_sc_hd__nand2_1 U71166 ( .A(n56146), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_22[0]), .Y(
+        n51386) );
+  sky130_fd_sc_hd__nor2_1 U71169 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_22[1]), .B(
+        n56144), .Y(n51389) );
+  sky130_fd_sc_hd__o21ai_0 U71170 ( .A1(n51389), .A2(n51388), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_22[0]), .Y(
+        n51395) );
+  sky130_fd_sc_hd__nand2_1 U71171 ( .A(n38453), .B(n51390), .Y(n51392) );
+  sky130_fd_sc_hd__xnor2_1 U71172 ( .A(n51392), .B(n51391), .Y(n51393) );
+  sky130_fd_sc_hd__a22oi_1 U71173 ( .A1(n55472), .A2(n85097), .B1(n51393), 
+        .B2(n56149), .Y(n51394) );
+  sky130_fd_sc_hd__nand3_1 U71174 ( .A(n51396), .B(n51395), .C(n51394), .Y(
+        n84894) );
+  sky130_fd_sc_hd__a22oi_1 U71175 ( .A1(n56746), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[11]), .B1(n56840), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[11]), .Y(n51400) );
+  sky130_fd_sc_hd__a22oi_1 U71176 ( .A1(n56842), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[11]), .B1(n56681), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[11]), 
+        .Y(n51399) );
+  sky130_fd_sc_hd__nand2_1 U71177 ( .A(n48944), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[11]), .Y(n51398) );
+  sky130_fd_sc_hd__nand2_1 U71178 ( .A(n56843), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[11]), 
+        .Y(n51397) );
+  sky130_fd_sc_hd__nand4_1 U71179 ( .A(n51400), .B(n51399), .C(n51398), .D(
+        n51397), .Y(n51401) );
+  sky130_fd_sc_hd__a21oi_1 U71180 ( .A1(n48976), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[11]), 
+        .B1(n51401), .Y(n51405) );
+  sky130_fd_sc_hd__a22oi_1 U71181 ( .A1(n36788), .A2(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_11_o_oe), .B1(n49002), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[11]), 
+        .Y(n51404) );
+  sky130_fd_sc_hd__a22oi_1 U71182 ( .A1(n49008), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[11]), .B1(n56929), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[11]), .Y(n51403) );
+  sky130_fd_sc_hd__a22oi_1 U71183 ( .A1(n56932), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[11]), .B1(n49086), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[11]), .Y(n51402) );
+  sky130_fd_sc_hd__nand4_1 U71184 ( .A(n51405), .B(n51404), .C(n51403), .D(
+        n51402), .Y(n51456) );
+  sky130_fd_sc_hd__a22oi_1 U71185 ( .A1(n48762), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[11]), .B1(n48789), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[11]), .Y(n51412) );
+  sky130_fd_sc_hd__clkinv_1 U71186 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[11]), .Y(n51407) );
+  sky130_fd_sc_hd__a22oi_1 U71187 ( .A1(n56713), .A2(n85010), .B1(n56712), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_1[3]), .Y(n51406) );
+  sky130_fd_sc_hd__o21ai_1 U71188 ( .A1(n51407), .A2(n56361), .B1(n51406), .Y(
+        n51408) );
+  sky130_fd_sc_hd__a21oi_1 U71189 ( .A1(n56760), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[11]), .B1(n51408), .Y(n51411) );
+  sky130_fd_sc_hd__nand2_1 U71190 ( .A(n56919), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[11]), .Y(n51410) );
+  sky130_fd_sc_hd__nand2_1 U71191 ( .A(n48818), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[11]), .Y(n51409) );
+  sky130_fd_sc_hd__nand4_1 U71192 ( .A(n51412), .B(n51411), .C(n51410), .D(
+        n51409), .Y(n51413) );
+  sky130_fd_sc_hd__a21oi_1 U71193 ( .A1(n56275), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[11]), .B1(n51413), .Y(n51424) );
+  sky130_fd_sc_hd__nand2_1 U71194 ( .A(n63065), .B(n61754), .Y(n51418) );
+  sky130_fd_sc_hd__o22ai_1 U71195 ( .A1(n61751), .A2(n36849), .B1(n51414), 
+        .B2(n37001), .Y(n51416) );
+  sky130_fd_sc_hd__nor2_1 U71196 ( .A(n51416), .B(n51415), .Y(n52927) );
+  sky130_fd_sc_hd__nand2_1 U71197 ( .A(n52927), .B(n56285), .Y(n51417) );
+  sky130_fd_sc_hd__nand2_1 U71198 ( .A(n51418), .B(n51417), .Y(n51419) );
+  sky130_fd_sc_hd__a21oi_1 U71199 ( .A1(n51420), .A2(n56726), .B1(n51419), .Y(
+        n68135) );
+  sky130_fd_sc_hd__a22oi_1 U71200 ( .A1(n56819), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[11]), .B1(n56728), .B2(n68135), .Y(n51423) );
+  sky130_fd_sc_hd__a22oi_1 U71201 ( .A1(n56820), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[11]), .B1(n56817), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[11]), 
+        .Y(n51422) );
+  sky130_fd_sc_hd__a22oi_1 U71202 ( .A1(n56816), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[11]), 
+        .B1(n48974), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[11]), 
+        .Y(n51421) );
+  sky130_fd_sc_hd__nand4_1 U71203 ( .A(n51424), .B(n51423), .C(n51422), .D(
+        n51421), .Y(n51455) );
+  sky130_fd_sc_hd__a22oi_1 U71204 ( .A1(n51688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[11]), .B1(n36990), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[11]), 
+        .Y(n51428) );
+  sky130_fd_sc_hd__a22oi_1 U71205 ( .A1(n56696), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[11]), 
+        .B1(n56803), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[11]), 
+        .Y(n51427) );
+  sky130_fd_sc_hd__a22oi_1 U71206 ( .A1(n86736), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[11]), 
+        .B1(n48207), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[11]), 
+        .Y(n51426) );
+  sky130_fd_sc_hd__a22oi_1 U71207 ( .A1(n56372), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[11]), 
+        .B1(n56371), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[11]), 
+        .Y(n51425) );
+  sky130_fd_sc_hd__nand4_1 U71208 ( .A(n51428), .B(n51427), .C(n51426), .D(
+        n51425), .Y(n51436) );
+  sky130_fd_sc_hd__a22oi_1 U71209 ( .A1(n63514), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[11]), .B1(n61078), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[11]), 
+        .Y(n51431) );
+  sky130_fd_sc_hd__a22oi_1 U71210 ( .A1(n63517), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[11]), .B1(n61081), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[11]), 
+        .Y(n51430) );
+  sky130_fd_sc_hd__a22oi_1 U71211 ( .A1(n79921), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[11]), 
+        .B1(n60000), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[11]), 
+        .Y(n51429) );
+  sky130_fd_sc_hd__a31oi_1 U71212 ( .A1(n51431), .A2(n51430), .A3(n51429), 
+        .B1(n56765), .Y(n51432) );
+  sky130_fd_sc_hd__a21oi_1 U71213 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[11]), 
+        .A2(n36789), .B1(n51432), .Y(n51434) );
+  sky130_fd_sc_hd__a22oi_1 U71214 ( .A1(n56707), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[11]), .B1(n56706), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[11]), .Y(n51433) );
+  sky130_fd_sc_hd__nand2_1 U71215 ( .A(n51434), .B(n51433), .Y(n51435) );
+  sky130_fd_sc_hd__a211oi_1 U71216 ( .A1(n48880), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[11]), .B1(n51436), .C1(n51435), .Y(n51453) );
+  sky130_fd_sc_hd__nand2_1 U71217 ( .A(n51437), .B(n63546), .Y(n51440) );
+  sky130_fd_sc_hd__nand2_1 U71218 ( .A(n56313), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[23]), .Y(n51439) );
+  sky130_fd_sc_hd__nand2_1 U71219 ( .A(n56314), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[24]), .Y(n51438) );
+  sky130_fd_sc_hd__nand3_1 U71220 ( .A(n51440), .B(n51439), .C(n51438), .Y(
+        n52944) );
+  sky130_fd_sc_hd__a22oi_1 U71221 ( .A1(n63652), .A2(n52949), .B1(n52944), 
+        .B2(n56323), .Y(n51441) );
+  sky130_fd_sc_hd__o21ai_1 U71222 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__countReset_feed_T_1[3]), .A2(n51442), .B1(n51441), .Y(n73429) );
+  sky130_fd_sc_hd__o22ai_1 U71223 ( .A1(n66786), .A2(n56253), .B1(n61599), 
+        .B2(n56252), .Y(n51445) );
+  sky130_fd_sc_hd__o2bb2ai_1 U71224 ( .B1(n67103), .B2(n56255), .A1_N(n56247), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[23]), .Y(n51444) );
+  sky130_fd_sc_hd__nor2_1 U71225 ( .A(n51445), .B(n51444), .Y(n52955) );
+  sky130_fd_sc_hd__a22oi_1 U71226 ( .A1(n52955), .A2(n56669), .B1(n67465), 
+        .B2(n61610), .Y(n51446) );
+  sky130_fd_sc_hd__o21ai_1 U71227 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[3]), .A2(n51447), .B1(n51446), .Y(n67960) );
+  sky130_fd_sc_hd__o22ai_1 U71228 ( .A1(n67960), .A2(n56680), .B1(n56679), 
+        .B2(n51448), .Y(n51449) );
+  sky130_fd_sc_hd__a21oi_1 U71229 ( .A1(n49103), .A2(n73429), .B1(n51449), .Y(
+        n51452) );
+  sky130_fd_sc_hd__a22oi_1 U71230 ( .A1(n49121), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[11]), .B1(n56876), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[11]), .Y(n51451) );
+  sky130_fd_sc_hd__a22oi_1 U71231 ( .A1(n56875), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[11]), .B1(n49014), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[11]), .Y(n51450) );
+  sky130_fd_sc_hd__nand4_1 U71232 ( .A(n51453), .B(n51452), .C(n51451), .D(
+        n51450), .Y(n51454) );
+  sky130_fd_sc_hd__or3_1 U71233 ( .A(n51456), .B(n51455), .C(n51454), .X(
+        n84416) );
+  sky130_fd_sc_hd__mux2_2 U71234 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[43]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[11]), .S(n36973), .X(n84301) );
+  sky130_fd_sc_hd__nand2_1 U71235 ( .A(n84301), .B(n56950), .Y(n51457) );
+  sky130_fd_sc_hd__a22oi_1 U71237 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[43]), .A2(n37065), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[11]), .B2(n57558), .Y(n51462) );
+  sky130_fd_sc_hd__a22oi_1 U71238 ( .A1(n57587), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__1[3]), .B1(n41794), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[11]), .Y(n51461) );
+  sky130_fd_sc_hd__a22oi_1 U71239 ( .A1(n56525), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__5[3]), .B1(n38443), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[11]), .Y(n51460) );
+  sky130_fd_sc_hd__a22oi_1 U71240 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[10]), 
+        .A2(n57560), .B1(n57571), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[43]), .Y(n51459) );
+  sky130_fd_sc_hd__nand4_1 U71241 ( .A(n51462), .B(n51461), .C(n51460), .D(
+        n51459), .Y(n51574) );
+  sky130_fd_sc_hd__a22oi_1 U71242 ( .A1(n82278), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[11]), 
+        .B1(n82290), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[11]), 
+        .Y(n51474) );
+  sky130_fd_sc_hd__a22oi_1 U71243 ( .A1(n82303), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[11]), 
+        .B1(n82321), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[11]), 
+        .Y(n51473) );
+  sky130_fd_sc_hd__a22oi_1 U71244 ( .A1(n82339), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[11]), 
+        .B1(n82350), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[11]), 
+        .Y(n51465) );
+  sky130_fd_sc_hd__a22oi_1 U71245 ( .A1(n38396), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[11]), 
+        .B1(n82284), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[11]), 
+        .Y(n51464) );
+  sky130_fd_sc_hd__nand3_1 U71246 ( .A(n51465), .B(n51464), .C(n51463), .Y(
+        n51471) );
+  sky130_fd_sc_hd__a22oi_1 U71247 ( .A1(n38397), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[11]), 
+        .B1(n82309), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[11]), 
+        .Y(n51469) );
+  sky130_fd_sc_hd__a22oi_1 U71248 ( .A1(n82296), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[11]), 
+        .B1(n82333), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[11]), 
+        .Y(n51468) );
+  sky130_fd_sc_hd__a22oi_1 U71249 ( .A1(n38401), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[11]), 
+        .B1(n82327), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[11]), 
+        .Y(n51467) );
+  sky130_fd_sc_hd__a22oi_1 U71250 ( .A1(n82315), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[11]), 
+        .B1(n82361), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[11]), 
+        .Y(n51466) );
+  sky130_fd_sc_hd__nand4_1 U71251 ( .A(n51469), .B(n51468), .C(n51467), .D(
+        n51466), .Y(n51470) );
+  sky130_fd_sc_hd__a211oi_1 U71252 ( .A1(n57586), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[11]), 
+        .B1(n51471), .C1(n51470), .Y(n51472) );
+  sky130_fd_sc_hd__a31oi_1 U71253 ( .A1(n51474), .A2(n51473), .A3(n51472), 
+        .B1(n43381), .Y(n51573) );
+  sky130_fd_sc_hd__nand2_1 U71254 ( .A(n57588), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[3]), .Y(n51479) );
+  sky130_fd_sc_hd__nand2_1 U71255 ( .A(n57570), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[3]), .Y(n51478) );
+  sky130_fd_sc_hd__a22oi_1 U71256 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[42]), 
+        .A2(n57563), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[10]), .B2(n57561), .Y(n51477) );
+  sky130_fd_sc_hd__a22o_1 U71257 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[0]), .A2(n57562), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[42]), 
+        .B2(n57564), .X(n51475) );
+  sky130_fd_sc_hd__a21oi_1 U71258 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[10]), 
+        .A2(n57559), .B1(n51475), .Y(n51476) );
+  sky130_fd_sc_hd__nand4_1 U71259 ( .A(n51479), .B(n51478), .C(n51477), .D(
+        n51476), .Y(n51572) );
+  sky130_fd_sc_hd__a22oi_1 U71260 ( .A1(n51481), .A2(n52183), .B1(n51480), 
+        .B2(n51484), .Y(n51488) );
+  sky130_fd_sc_hd__nor2_1 U71261 ( .A(n51484), .B(n51483), .Y(n52711) );
+  sky130_fd_sc_hd__a211oi_1 U71262 ( .A1(n51486), .A2(n52711), .B1(n57161), 
+        .C1(n51485), .Y(n51487) );
+  sky130_fd_sc_hd__o211ai_1 U71263 ( .A1(n51822), .A2(n51489), .B1(n51488), 
+        .C1(n51487), .Y(n51499) );
+  sky130_fd_sc_hd__nor2_1 U71264 ( .A(n56570), .B(n56456), .Y(n57311) );
+  sky130_fd_sc_hd__nor4_1 U71265 ( .A(n56580), .B(n57311), .C(n51492), .D(
+        n51491), .Y(n51496) );
+  sky130_fd_sc_hd__nand3_1 U71266 ( .A(n51495), .B(n51494), .C(n51493), .Y(
+        n57205) );
+  sky130_fd_sc_hd__a31oi_1 U71267 ( .A1(n51497), .A2(n51496), .A3(n57205), 
+        .B1(n57313), .Y(n51498) );
+  sky130_fd_sc_hd__a21oi_1 U71268 ( .A1(n52237), .A2(n51499), .B1(n51498), .Y(
+        n51570) );
+  sky130_fd_sc_hd__nor3_1 U71269 ( .A(n57540), .B(n56542), .C(n51500), .Y(
+        n51522) );
+  sky130_fd_sc_hd__nor2_1 U71270 ( .A(n51501), .B(n57437), .Y(n51521) );
+  sky130_fd_sc_hd__a221oi_1 U71271 ( .A1(n51504), .A2(n56542), .B1(n51503), 
+        .B2(n56542), .C1(n51502), .Y(n51519) );
+  sky130_fd_sc_hd__o22ai_1 U71272 ( .A1(n51507), .A2(n51506), .B1(n52404), 
+        .B2(n51505), .Y(n51516) );
+  sky130_fd_sc_hd__o211ai_1 U71273 ( .A1(n52402), .A2(n51509), .B1(n51508), 
+        .C1(n51531), .Y(n51515) );
+  sky130_fd_sc_hd__nor2_1 U71274 ( .A(n52199), .B(n56495), .Y(n52239) );
+  sky130_fd_sc_hd__a21oi_1 U71275 ( .A1(n57144), .A2(n51510), .B1(n52239), .Y(
+        n52411) );
+  sky130_fd_sc_hd__nor2_1 U71276 ( .A(n57446), .B(n57334), .Y(n52435) );
+  sky130_fd_sc_hd__nor3_1 U71277 ( .A(n52435), .B(n52113), .C(n51511), .Y(
+        n51513) );
+  sky130_fd_sc_hd__nand4_1 U71278 ( .A(n52411), .B(n51513), .C(n51878), .D(
+        n51512), .Y(n51514) );
+  sky130_fd_sc_hd__nor4_1 U71279 ( .A(n51517), .B(n51516), .C(n51515), .D(
+        n51514), .Y(n51518) );
+  sky130_fd_sc_hd__o22ai_1 U71280 ( .A1(n51519), .A2(n57158), .B1(n51518), 
+        .B2(n57153), .Y(n51520) );
+  sky130_fd_sc_hd__nor4_1 U71281 ( .A(n51523), .B(n51522), .C(n51521), .D(
+        n51520), .Y(n51569) );
+  sky130_fd_sc_hd__o21ai_0 U71282 ( .A1(n57485), .A2(n57483), .B1(n51524), .Y(
+        n52376) );
+  sky130_fd_sc_hd__o22ai_1 U71283 ( .A1(n52710), .A2(n57169), .B1(n57238), 
+        .B2(n51525), .Y(n51526) );
+  sky130_fd_sc_hd__nor3_1 U71284 ( .A(n57542), .B(n52376), .C(n51526), .Y(
+        n51539) );
+  sky130_fd_sc_hd__nand2_1 U71285 ( .A(n57145), .B(n51527), .Y(n57550) );
+  sky130_fd_sc_hd__a21oi_1 U71286 ( .A1(n57139), .A2(n57509), .B1(n51528), .Y(
+        n51529) );
+  sky130_fd_sc_hd__o21ai_0 U71287 ( .A1(n57071), .A2(n51822), .B1(n51529), .Y(
+        n51530) );
+  sky130_fd_sc_hd__nor4_1 U71288 ( .A(n52200), .B(n56414), .C(n57550), .D(
+        n51530), .Y(n51532) );
+  sky130_fd_sc_hd__a31oi_1 U71289 ( .A1(n51532), .A2(n51531), .A3(n52083), 
+        .B1(n52744), .Y(n51537) );
+  sky130_fd_sc_hd__nand3_1 U71290 ( .A(n57542), .B(n57215), .C(n51534), .Y(
+        n51536) );
+  sky130_fd_sc_hd__o21ai_1 U71291 ( .A1(n51537), .A2(n51536), .B1(n51535), .Y(
+        n51538) );
+  sky130_fd_sc_hd__a31oi_1 U71292 ( .A1(n51541), .A2(n51540), .A3(n51539), 
+        .B1(n51538), .Y(n51567) );
+  sky130_fd_sc_hd__a21oi_1 U71293 ( .A1(n52129), .A2(n36797), .B1(n51542), .Y(
+        n51545) );
+  sky130_fd_sc_hd__a31oi_1 U71294 ( .A1(n51545), .A2(n51544), .A3(n51543), 
+        .B1(n57061), .Y(n51566) );
+  sky130_fd_sc_hd__nor2_1 U71295 ( .A(n57488), .B(n51546), .Y(n52433) );
+  sky130_fd_sc_hd__a31oi_1 U71296 ( .A1(n52433), .A2(n56452), .A3(n51547), 
+        .B1(n57549), .Y(n51555) );
+  sky130_fd_sc_hd__a21oi_1 U71297 ( .A1(n57123), .A2(n51548), .B1(n57542), .Y(
+        n57504) );
+  sky130_fd_sc_hd__a21oi_1 U71298 ( .A1(n51550), .A2(n52144), .B1(n51549), .Y(
+        n51553) );
+  sky130_fd_sc_hd__nand2_1 U71299 ( .A(n51552), .B(n51551), .Y(n52256) );
+  sky130_fd_sc_hd__nand3_1 U71300 ( .A(n57504), .B(n51553), .C(n52256), .Y(
+        n51554) );
+  sky130_fd_sc_hd__nor4_1 U71301 ( .A(n57548), .B(n51556), .C(n51555), .D(
+        n51554), .Y(n51557) );
+  sky130_fd_sc_hd__a211oi_1 U71302 ( .A1(n57542), .A2(n51558), .B1(n51557), 
+        .C1(n57501), .Y(n51565) );
+  sky130_fd_sc_hd__o21a_1 U71303 ( .A1(n52230), .A2(n52144), .B1(n56991), .X(
+        n51563) );
+  sky130_fd_sc_hd__o22ai_1 U71304 ( .A1(n57011), .A2(n36838), .B1(n56444), 
+        .B2(n57412), .Y(n51559) );
+  sky130_fd_sc_hd__nor3_1 U71305 ( .A(n57475), .B(n51560), .C(n51559), .Y(
+        n51562) );
+  sky130_fd_sc_hd__o22ai_1 U71306 ( .A1(n51563), .A2(n52724), .B1(n51562), 
+        .B2(n51561), .Y(n51564) );
+  sky130_fd_sc_hd__nor4_1 U71307 ( .A(n51567), .B(n51566), .C(n51565), .D(
+        n51564), .Y(n51568) );
+  sky130_fd_sc_hd__a31oi_1 U71308 ( .A1(n51570), .A2(n51569), .A3(n51568), 
+        .B1(n57517), .Y(n51571) );
+  sky130_fd_sc_hd__or4_1 U71309 ( .A(n51574), .B(n51573), .C(n51572), .D(
+        n51571), .X(n84495) );
+  sky130_fd_sc_hd__mux2_2 U71310 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[43]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[11]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .X(n85318) );
+  sky130_fd_sc_hd__nand2_1 U71311 ( .A(n54312), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[43]), .Y(n51576) );
+  sky130_fd_sc_hd__nand2_1 U71312 ( .A(n54307), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[11]), .Y(n51575) );
+  sky130_fd_sc_hd__nand2_1 U71313 ( .A(n51576), .B(n51575), .Y(n85212) );
+  sky130_fd_sc_hd__nand2_1 U71314 ( .A(n54218), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_11[0]), .Y(
+        n51577) );
+  sky130_fd_sc_hd__o21ai_1 U71315 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_11[0]), .A2(
+        n54216), .B1(n51577), .Y(n51578) );
+  sky130_fd_sc_hd__o21ai_1 U71316 ( .A1(n51578), .A2(n36791), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_11[1]), .Y(
+        n51590) );
+  sky130_fd_sc_hd__nor2_1 U71317 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_11[1]), .B(
+        n54220), .Y(n51579) );
+  sky130_fd_sc_hd__o21ai_1 U71319 ( .A1(n51582), .A2(n51581), .B1(n51580), .Y(
+        n52492) );
+  sky130_fd_sc_hd__a21oi_1 U71320 ( .A1(n52492), .A2(n38456), .B1(n51583), .Y(
+        n51586) );
+  sky130_fd_sc_hd__nand2_1 U71321 ( .A(n38455), .B(n51584), .Y(n51585) );
+  sky130_fd_sc_hd__xor2_1 U71322 ( .A(n51586), .B(n51585), .X(n51587) );
+  sky130_fd_sc_hd__a22oi_1 U71323 ( .A1(n54228), .A2(n51587), .B1(n52494), 
+        .B2(n85212), .Y(n51588) );
+  sky130_fd_sc_hd__nand3_1 U71324 ( .A(n51590), .B(n51589), .C(n51588), .Y(
+        n84353) );
+  sky130_fd_sc_hd__nand2_1 U71325 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[43]), .Y(n51592) );
+  sky130_fd_sc_hd__nand2_1 U71326 ( .A(n54996), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[11]), .Y(n51591) );
+  sky130_fd_sc_hd__nand2_1 U71327 ( .A(n51592), .B(n51591), .Y(n85572) );
+  sky130_fd_sc_hd__mux2_2 U71328 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[43]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[11]), .S(n55502), .X(n85108) );
+  sky130_fd_sc_hd__nand2_1 U71329 ( .A(n56146), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_11[0]), .Y(
+        n51593) );
+  sky130_fd_sc_hd__o21ai_1 U71331 ( .A1(n51595), .A2(n51594), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_11[1]), .Y(
+        n51607) );
+  sky130_fd_sc_hd__nor2_1 U71332 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_11[1]), .B(
+        n56144), .Y(n51596) );
+  sky130_fd_sc_hd__o21ai_1 U71333 ( .A1(n51596), .A2(n52974), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_11[0]), .Y(
+        n51606) );
+  sky130_fd_sc_hd__a21oi_1 U71335 ( .A1(n52505), .A2(n38450), .B1(n51600), .Y(
+        n51603) );
+  sky130_fd_sc_hd__nand2_1 U71336 ( .A(n38449), .B(n51601), .Y(n51602) );
+  sky130_fd_sc_hd__xor2_1 U71337 ( .A(n51603), .B(n51602), .X(n51604) );
+  sky130_fd_sc_hd__a22oi_1 U71338 ( .A1(n56151), .A2(n85108), .B1(n51604), 
+        .B2(n56149), .Y(n51605) );
+  sky130_fd_sc_hd__nand3_1 U71339 ( .A(n51607), .B(n51606), .C(n51605), .Y(
+        n84883) );
+  sky130_fd_sc_hd__o22ai_1 U71340 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_fmt_endian), .A2(n80862), .B1(n51608), .B2(n80870), .Y(n85082) );
+  sky130_fd_sc_hd__nand2_1 U71341 ( .A(n85082), .B(n51609), .Y(n52993) );
+  sky130_fd_sc_hd__a22oi_1 U71342 ( .A1(n81193), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[26]), 
+        .B1(n56857), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[18]), 
+        .Y(n51611) );
+  sky130_fd_sc_hd__a22oi_1 U71343 ( .A1(n81194), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[2]), 
+        .B1(n56858), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[10]), 
+        .Y(n51610) );
+  sky130_fd_sc_hd__a21oi_1 U71344 ( .A1(n51611), .A2(n51610), .B1(n52642), .Y(
+        n51637) );
+  sky130_fd_sc_hd__a22oi_1 U71345 ( .A1(n81193), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[58]), 
+        .B1(n56857), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[50]), 
+        .Y(n51613) );
+  sky130_fd_sc_hd__a22oi_1 U71346 ( .A1(n81194), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[34]), 
+        .B1(n56858), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[42]), 
+        .Y(n51612) );
+  sky130_fd_sc_hd__a21oi_1 U71347 ( .A1(n51613), .A2(n51612), .B1(n52639), .Y(
+        n51636) );
+  sky130_fd_sc_hd__a22oi_1 U71348 ( .A1(n56908), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[18]), .B1(n56909), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[10]), .Y(n51615) );
+  sky130_fd_sc_hd__a22oi_1 U71349 ( .A1(n80386), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[2]), 
+        .B1(n80383), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[26]), .Y(n51614) );
+  sky130_fd_sc_hd__a21oi_1 U71350 ( .A1(n51615), .A2(n51614), .B1(n52625), .Y(
+        n51616) );
+  sky130_fd_sc_hd__a21oi_1 U71351 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_1[2]), .A2(n53065), .B1(n51616), .Y(n51618) );
+  sky130_fd_sc_hd__a22oi_1 U71352 ( .A1(n56707), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[2]), .B1(n53066), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_10[2]), .Y(n51617) );
+  sky130_fd_sc_hd__nand2_1 U71353 ( .A(n51618), .B(n51617), .Y(n51635) );
+  sky130_fd_sc_hd__a22o_1 U71354 ( .A1(n56755), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T_16[2]), .B1(n56746), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[2]), .X(n51622) );
+  sky130_fd_sc_hd__a22oi_1 U71355 ( .A1(n56940), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[42]), 
+        .B1(n81043), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[58]), 
+        .Y(n51620) );
+  sky130_fd_sc_hd__a22oi_1 U71356 ( .A1(n81046), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[34]), 
+        .B1(n56941), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[50]), 
+        .Y(n51619) );
+  sky130_fd_sc_hd__a21oi_1 U71357 ( .A1(n51620), .A2(n51619), .B1(n56898), .Y(
+        n51621) );
+  sky130_fd_sc_hd__a211oi_1 U71358 ( .A1(n48818), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[2]), .B1(n51622), .C1(n51621), .Y(n51633) );
+  sky130_fd_sc_hd__a22oi_1 U71359 ( .A1(n80993), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[2]), .B1(n80990), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[26]), .Y(n51624) );
+  sky130_fd_sc_hd__a22oi_1 U71360 ( .A1(n56835), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[18]), .B1(n56834), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[10]), .Y(n51623) );
+  sky130_fd_sc_hd__a21oi_1 U71361 ( .A1(n51624), .A2(n51623), .B1(n56756), .Y(
+        n51629) );
+  sky130_fd_sc_hd__a22oi_1 U71362 ( .A1(n80990), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[58]), .B1(n56834), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[42]), .Y(n51626) );
+  sky130_fd_sc_hd__a22oi_1 U71363 ( .A1(n80993), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[34]), .B1(n56835), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[50]), .Y(n51625) );
+  sky130_fd_sc_hd__a21oi_1 U71364 ( .A1(n51626), .A2(n51625), .B1(n56836), .Y(
+        n51628) );
+  sky130_fd_sc_hd__a22o_1 U71365 ( .A1(n56754), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T[2]), .B1(n56760), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[2]), .X(n51627) );
+  sky130_fd_sc_hd__nor3_1 U71366 ( .A(n51629), .B(n51628), .C(n51627), .Y(
+        n51632) );
+  sky130_fd_sc_hd__a22oi_1 U71367 ( .A1(n56769), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[2]), .B1(n56772), .B2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[10]), .Y(n51631) );
+  sky130_fd_sc_hd__nand2_1 U71368 ( .A(n48762), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[2]), .Y(n51630) );
+  sky130_fd_sc_hd__nand4_1 U71369 ( .A(n51633), .B(n51632), .C(n51631), .D(
+        n51630), .Y(n51634) );
+  sky130_fd_sc_hd__nor4_1 U71370 ( .A(n51637), .B(n51636), .C(n51635), .D(
+        n51634), .Y(n51686) );
+  sky130_fd_sc_hd__a22oi_1 U71371 ( .A1(n81273), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[2]), 
+        .B1(n56792), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[18]), 
+        .Y(n51639) );
+  sky130_fd_sc_hd__a22oi_1 U71372 ( .A1(n81274), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[26]), 
+        .B1(n56791), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[10]), 
+        .Y(n51638) );
+  sky130_fd_sc_hd__a21oi_1 U71373 ( .A1(n51639), .A2(n51638), .B1(n56788), .Y(
+        n51640) );
+  sky130_fd_sc_hd__a21oi_1 U71374 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[2]), .A2(n48880), .B1(n51640), .Y(n51685) );
+  sky130_fd_sc_hd__a22oi_1 U71375 ( .A1(n56908), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[50]), .B1(n56909), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[42]), .Y(n51642) );
+  sky130_fd_sc_hd__a22oi_1 U71376 ( .A1(n80386), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[34]), .B1(n80383), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[58]), .Y(n51641) );
+  sky130_fd_sc_hd__a21oi_1 U71377 ( .A1(n51642), .A2(n51641), .B1(n52681), .Y(
+        n51643) );
+  sky130_fd_sc_hd__a21o_1 U71378 ( .A1(n56771), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__receivedData_T[3]), .B1(n51643), .X(n51647) );
+  sky130_fd_sc_hd__a22oi_1 U71379 ( .A1(n81273), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[34]), 
+        .B1(n56791), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[42]), 
+        .Y(n51645) );
+  sky130_fd_sc_hd__a22oi_1 U71380 ( .A1(n56792), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[50]), 
+        .B1(n81274), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[58]), 
+        .Y(n51644) );
+  sky130_fd_sc_hd__a21oi_1 U71381 ( .A1(n51645), .A2(n51644), .B1(n56793), .Y(
+        n51646) );
+  sky130_fd_sc_hd__a211oi_1 U71382 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[2]), 
+        .A2(n56275), .B1(n51647), .C1(n51646), .Y(n51684) );
+  sky130_fd_sc_hd__o22ai_1 U71383 ( .A1(n67168), .A2(n51099), .B1(n67171), 
+        .B2(n37001), .Y(n51649) );
+  sky130_fd_sc_hd__nor2_1 U71384 ( .A(n51649), .B(n51648), .Y(n56783) );
+  sky130_fd_sc_hd__o22ai_1 U71385 ( .A1(n73465), .A2(n36849), .B1(n67187), 
+        .B2(n37001), .Y(n51651) );
+  sky130_fd_sc_hd__nor2_1 U71386 ( .A(n51651), .B(n51650), .Y(n52006) );
+  sky130_fd_sc_hd__mux2i_1 U71387 ( .A0(n56783), .A1(n52006), .S(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[2]), .Y(n52341) );
+  sky130_fd_sc_hd__o22ai_1 U71388 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[5]), 
+        .A2(n36849), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[4]), 
+        .B2(n37001), .Y(n51653) );
+  sky130_fd_sc_hd__o22ai_1 U71389 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[3]), 
+        .A2(n56284), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[2]), 
+        .B2(n56283), .Y(n51652) );
+  sky130_fd_sc_hd__o21ai_1 U71390 ( .A1(n51653), .A2(n51652), .B1(n63062), .Y(
+        n51657) );
+  sky130_fd_sc_hd__o22ai_1 U71391 ( .A1(n67298), .A2(n36849), .B1(n67300), 
+        .B2(n37001), .Y(n51655) );
+  sky130_fd_sc_hd__o22ai_1 U71392 ( .A1(n67349), .A2(n56284), .B1(n67375), 
+        .B2(n56283), .Y(n51654) );
+  sky130_fd_sc_hd__nor2_1 U71393 ( .A(n51655), .B(n51654), .Y(n56784) );
+  sky130_fd_sc_hd__nand2_1 U71394 ( .A(n56784), .B(n63064), .Y(n51656) );
+  sky130_fd_sc_hd__o22ai_1 U71396 ( .A1(n51658), .A2(n56349), .B1(n56786), 
+        .B2(n68092), .Y(n51682) );
+  sky130_fd_sc_hd__a22o_1 U71397 ( .A1(n51659), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_15[2]), .B1(n56819), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[2]), .X(n51681) );
+  sky130_fd_sc_hd__a22oi_1 U71398 ( .A1(n56818), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T[2]), .B1(n56821), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T_16[2]), .Y(n51661) );
+  sky130_fd_sc_hd__a22oi_1 U71399 ( .A1(n56820), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[2]), .B1(n56817), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[2]), 
+        .Y(n51660) );
+  sky130_fd_sc_hd__nand2_1 U71400 ( .A(n51661), .B(n51660), .Y(n51680) );
+  sky130_fd_sc_hd__a22oi_1 U71401 ( .A1(n56829), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[50]), .B1(n80692), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[34]), .Y(n51663) );
+  sky130_fd_sc_hd__a22oi_1 U71402 ( .A1(n56830), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[42]), .B1(n80688), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[58]), .Y(n51662) );
+  sky130_fd_sc_hd__a21oi_1 U71403 ( .A1(n51663), .A2(n51662), .B1(n56826), .Y(
+        n51670) );
+  sky130_fd_sc_hd__a22o_1 U71404 ( .A1(n80726), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_21[2]), .B1(n80727), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_20[2]), .X(n51664) );
+  sky130_fd_sc_hd__a22oi_1 U71405 ( .A1(n56296), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[2]), .B1(n51665), .B2(n51664), .Y(n51666) );
+  sky130_fd_sc_hd__a211oi_1 U71407 ( .A1(n48944), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[2]), .B1(n51670), .C1(n51669), .Y(n51678) );
+  sky130_fd_sc_hd__a22oi_1 U71408 ( .A1(n56816), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[2]), 
+        .B1(n48974), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[2]), 
+        .Y(n51677) );
+  sky130_fd_sc_hd__a22oi_1 U71409 ( .A1(n56830), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[10]), .B1(n80688), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[26]), .Y(n51672) );
+  sky130_fd_sc_hd__a22oi_1 U71410 ( .A1(n56829), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[18]), .B1(n80692), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[2]), .Y(n51671) );
+  sky130_fd_sc_hd__a21oi_1 U71411 ( .A1(n51672), .A2(n51671), .B1(n56831), .Y(
+        n51673) );
+  sky130_fd_sc_hd__a21oi_1 U71412 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[2]), 
+        .A2(n48976), .B1(n51673), .Y(n51676) );
+  sky130_fd_sc_hd__a22oi_1 U71413 ( .A1(n50877), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146_2), 
+        .B1(n51674), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_20[2]), .Y(n51675) );
+  sky130_fd_sc_hd__nand4_1 U71414 ( .A(n51678), .B(n51677), .C(n51676), .D(
+        n51675), .Y(n51679) );
+  sky130_fd_sc_hd__nor4_1 U71415 ( .A(n51682), .B(n51681), .C(n51680), .D(
+        n51679), .Y(n51683) );
+  sky130_fd_sc_hd__nand4_1 U71416 ( .A(n51686), .B(n51685), .C(n51684), .D(
+        n51683), .Y(n51687) );
+  sky130_fd_sc_hd__inv_2 U71417 ( .A(n51687), .Y(n51775) );
+  sky130_fd_sc_hd__a22oi_1 U71418 ( .A1(n56811), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[2]), 
+        .B1(n56781), .B2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[10]), .Y(n51714) );
+  sky130_fd_sc_hd__a22o_1 U71419 ( .A1(n51688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[2]), .B1(n36990), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[2]), 
+        .X(n51710) );
+  sky130_fd_sc_hd__a22oi_1 U71420 ( .A1(n86736), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[2]), 
+        .B1(n51689), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[2]), 
+        .Y(n51693) );
+  sky130_fd_sc_hd__nand2_1 U71421 ( .A(n56696), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[2]), 
+        .Y(n51692) );
+  sky130_fd_sc_hd__nand3_1 U71422 ( .A(n51690), .B(n80737), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_link_fmt_endian), .Y(n51691) );
+  sky130_fd_sc_hd__nand3_1 U71423 ( .A(n51693), .B(n51692), .C(n51691), .Y(
+        n51709) );
+  sky130_fd_sc_hd__a22oi_1 U71424 ( .A1(n63514), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[2]), 
+        .B1(n61078), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[2]), 
+        .Y(n51697) );
+  sky130_fd_sc_hd__a22o_1 U71425 ( .A1(n63517), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[2]), 
+        .B1(n79921), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[2]), 
+        .X(n51694) );
+  sky130_fd_sc_hd__a21oi_1 U71426 ( .A1(n61081), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[2]), 
+        .B1(n51694), .Y(n51696) );
+  sky130_fd_sc_hd__nand2_1 U71427 ( .A(n60000), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[2]), 
+        .Y(n51695) );
+  sky130_fd_sc_hd__a31oi_1 U71428 ( .A1(n51697), .A2(n51696), .A3(n51695), 
+        .B1(n56765), .Y(n51698) );
+  sky130_fd_sc_hd__a21oi_1 U71429 ( .A1(n51699), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_15[2]), .B1(n51698), .Y(n51704) );
+  sky130_fd_sc_hd__a22oi_1 U71430 ( .A1(n56372), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[2]), 
+        .B1(n48207), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[2]), 
+        .Y(n51703) );
+  sky130_fd_sc_hd__a22oi_1 U71431 ( .A1(n56123), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[2]), 
+        .B1(n36789), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[2]), 
+        .Y(n51702) );
+  sky130_fd_sc_hd__a22oi_1 U71432 ( .A1(n51700), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_io_link_fmt_endian), .B1(n56706), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[2]), .Y(n51701) );
+  sky130_fd_sc_hd__nand4_1 U71433 ( .A(n51704), .B(n51703), .C(n51702), .D(
+        n51701), .Y(n51708) );
+  sky130_fd_sc_hd__a22oi_1 U71434 ( .A1(n56894), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[50]), 
+        .B1(n81367), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[34]), 
+        .Y(n51706) );
+  sky130_fd_sc_hd__a22oi_1 U71435 ( .A1(n56893), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[42]), 
+        .B1(n81364), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[58]), 
+        .Y(n51705) );
+  sky130_fd_sc_hd__a21oi_1 U71436 ( .A1(n51706), .A2(n51705), .B1(n56884), .Y(
+        n51707) );
+  sky130_fd_sc_hd__nor4_1 U71437 ( .A(n51710), .B(n51709), .C(n51708), .D(
+        n51707), .Y(n51713) );
+  sky130_fd_sc_hd__a22oi_1 U71438 ( .A1(n49121), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[2]), 
+        .B1(n56876), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[2]), 
+        .Y(n51712) );
+  sky130_fd_sc_hd__a22oi_1 U71439 ( .A1(n56875), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[2]), 
+        .B1(n49014), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[2]), 
+        .Y(n51711) );
+  sky130_fd_sc_hd__o22ai_1 U71440 ( .A1(n67199), .A2(n56253), .B1(n67198), 
+        .B2(n56252), .Y(n51716) );
+  sky130_fd_sc_hd__o22ai_1 U71441 ( .A1(n67243), .A2(n56255), .B1(n67314), 
+        .B2(n56254), .Y(n51715) );
+  sky130_fd_sc_hd__nor2_1 U71442 ( .A(n51716), .B(n51715), .Y(n56926) );
+  sky130_fd_sc_hd__a22oi_1 U71443 ( .A1(n56247), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[14]), .B1(n56248), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[15]), .Y(n51718) );
+  sky130_fd_sc_hd__a22oi_1 U71444 ( .A1(n56246), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[17]), .B1(n52956), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[16]), .Y(n51717) );
+  sky130_fd_sc_hd__nand2_1 U71445 ( .A(n51718), .B(n51717), .Y(n51982) );
+  sky130_fd_sc_hd__nor2_1 U71446 ( .A(n51726), .B(n51982), .Y(n51719) );
+  sky130_fd_sc_hd__o22ai_1 U71447 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[5]), 
+        .A2(n56253), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[4]), 
+        .B2(n56252), .Y(n51721) );
+  sky130_fd_sc_hd__o22ai_1 U71448 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[3]), 
+        .A2(n56255), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[2]), 
+        .B2(n56254), .Y(n51720) );
+  sky130_fd_sc_hd__o21ai_1 U71449 ( .A1(n51721), .A2(n51720), .B1(n67466), .Y(
+        n51725) );
+  sky130_fd_sc_hd__o22ai_1 U71450 ( .A1(n67311), .A2(n56253), .B1(n67308), 
+        .B2(n56252), .Y(n51723) );
+  sky130_fd_sc_hd__o22ai_1 U71451 ( .A1(n67374), .A2(n56255), .B1(n67370), 
+        .B2(n56254), .Y(n51722) );
+  sky130_fd_sc_hd__nor2_1 U71452 ( .A(n51723), .B(n51722), .Y(n56927) );
+  sky130_fd_sc_hd__nand2_1 U71453 ( .A(n56927), .B(n67464), .Y(n51724) );
+  sky130_fd_sc_hd__o22ai_1 U71454 ( .A1(n67221), .A2(n56320), .B1(n67214), 
+        .B2(n56319), .Y(n51730) );
+  sky130_fd_sc_hd__nand2_1 U71455 ( .A(n56314), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[11]), .Y(n51728) );
+  sky130_fd_sc_hd__nand2_1 U71456 ( .A(n56313), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[10]), .Y(n51727) );
+  sky130_fd_sc_hd__nand2_1 U71457 ( .A(n51728), .B(n51727), .Y(n51729) );
+  sky130_fd_sc_hd__nor2_1 U71458 ( .A(n51730), .B(n51729), .Y(n56880) );
+  sky130_fd_sc_hd__o22ai_1 U71459 ( .A1(n63671), .A2(n56320), .B1(n67236), 
+        .B2(n56319), .Y(n51734) );
+  sky130_fd_sc_hd__nand2_1 U71460 ( .A(n56314), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[15]), .Y(n51732) );
+  sky130_fd_sc_hd__nand2_1 U71461 ( .A(n56313), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[14]), .Y(n51731) );
+  sky130_fd_sc_hd__nand2_1 U71462 ( .A(n51732), .B(n51731), .Y(n51733) );
+  sky130_fd_sc_hd__nor2_1 U71463 ( .A(n51734), .B(n51733), .Y(n51965) );
+  sky130_fd_sc_hd__mux2i_1 U71464 ( .A0(n56880), .A1(n51965), .S(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__countReset_feed_T_1[2]), .Y(n52348) );
+  sky130_fd_sc_hd__o22ai_1 U71465 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[5]), 
+        .A2(n56320), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[4]), 
+        .B2(n56319), .Y(n51736) );
+  sky130_fd_sc_hd__o22ai_1 U71466 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[2]), 
+        .A2(n56322), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[3]), 
+        .B2(n56321), .Y(n51735) );
+  sky130_fd_sc_hd__o21ai_1 U71467 ( .A1(n51736), .A2(n51735), .B1(n56878), .Y(
+        n51740) );
+  sky130_fd_sc_hd__o22ai_1 U71468 ( .A1(n67324), .A2(n56320), .B1(n67318), 
+        .B2(n56319), .Y(n51738) );
+  sky130_fd_sc_hd__o22ai_1 U71469 ( .A1(n67361), .A2(n56322), .B1(n56321), 
+        .B2(n67367), .Y(n51737) );
+  sky130_fd_sc_hd__nor2_1 U71470 ( .A(n51738), .B(n51737), .Y(n56879) );
+  sky130_fd_sc_hd__nand2_1 U71471 ( .A(n56879), .B(n56881), .Y(n51739) );
+  sky130_fd_sc_hd__o211ai_1 U71472 ( .A1(n56328), .A2(n52348), .B1(n51740), 
+        .C1(n51739), .Y(n73393) );
+  sky130_fd_sc_hd__o22ai_1 U71473 ( .A1(n37478), .A2(n51742), .B1(n51741), 
+        .B2(n73393), .Y(n51769) );
+  sky130_fd_sc_hd__a22oi_1 U71474 ( .A1(n81129), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[2]), 
+        .B1(n56888), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[18]), 
+        .Y(n51744) );
+  sky130_fd_sc_hd__a22oi_1 U71475 ( .A1(n56889), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[10]), 
+        .B1(n81126), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[26]), 
+        .Y(n51743) );
+  sky130_fd_sc_hd__a21oi_1 U71476 ( .A1(n51744), .A2(n51743), .B1(n56890), .Y(
+        n51749) );
+  sky130_fd_sc_hd__a22oi_1 U71477 ( .A1(n81367), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[2]), 
+        .B1(n81364), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[26]), 
+        .Y(n51747) );
+  sky130_fd_sc_hd__nand2_1 U71478 ( .A(n56894), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[18]), 
+        .Y(n51746) );
+  sky130_fd_sc_hd__nand2_1 U71479 ( .A(n56893), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[10]), 
+        .Y(n51745) );
+  sky130_fd_sc_hd__a31oi_1 U71480 ( .A1(n51747), .A2(n51746), .A3(n51745), 
+        .B1(n56895), .Y(n51748) );
+  sky130_fd_sc_hd__a211oi_1 U71481 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[2]), 
+        .A2(n56929), .B1(n51749), .C1(n51748), .Y(n51767) );
+  sky130_fd_sc_hd__a22oi_1 U71482 ( .A1(n81129), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[34]), 
+        .B1(n81126), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[58]), 
+        .Y(n51751) );
+  sky130_fd_sc_hd__a22oi_1 U71483 ( .A1(n56889), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[42]), 
+        .B1(n56888), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[50]), 
+        .Y(n51750) );
+  sky130_fd_sc_hd__a21oi_1 U71484 ( .A1(n51751), .A2(n51750), .B1(n56862), .Y(
+        n51762) );
+  sky130_fd_sc_hd__a22oi_1 U71485 ( .A1(n56748), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_0[2]), .B1(n56747), .B2(n85009), .Y(n51756) );
+  sky130_fd_sc_hd__a22oi_1 U71486 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_20[2]), .A2(n81026), .B1(n81025), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_21[2]), .Y(n51753) );
+  sky130_fd_sc_hd__a22oi_1 U71487 ( .A1(n60064), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_15[2]), .B1(n81039), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_link_fmt_endian), .Y(n51752) );
+  sky130_fd_sc_hd__a21oi_1 U71488 ( .A1(n51753), .A2(n51752), .B1(n52530), .Y(
+        n51754) );
+  sky130_fd_sc_hd__a21oi_1 U71489 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[2]), .A2(n37018), .B1(n51754), .Y(n51755) );
+  sky130_fd_sc_hd__o211ai_1 U71490 ( .A1(n51757), .A2(n51991), .B1(n51756), 
+        .C1(n51755), .Y(n51758) );
+  sky130_fd_sc_hd__a21oi_1 U71491 ( .A1(n56919), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[2]), .B1(n51758), .Y(n51760) );
+  sky130_fd_sc_hd__a22oi_1 U71492 ( .A1(n56681), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[2]), 
+        .B1(n56843), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[2]), 
+        .Y(n51759) );
+  sky130_fd_sc_hd__nand2_1 U71493 ( .A(n51760), .B(n51759), .Y(n51761) );
+  sky130_fd_sc_hd__a22oi_1 U71494 ( .A1(n49002), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[2]), 
+        .B1(n51763), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_21[2]), .Y(n51765) );
+  sky130_fd_sc_hd__a22oi_1 U71495 ( .A1(n49008), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[2]), 
+        .B1(n56932), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[2]), 
+        .Y(n51764) );
+  sky130_fd_sc_hd__nand4_1 U71496 ( .A(n51767), .B(n51766), .C(n51765), .D(
+        n51764), .Y(n51768) );
+  sky130_fd_sc_hd__nor4bb_1 U71497 ( .C_N(n38439), .D_N(n38441), .A(n51769), 
+        .B(n51768), .Y(n51774) );
+  sky130_fd_sc_hd__a22oi_1 U71498 ( .A1(n56940), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[10]), 
+        .B1(n81043), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[26]), 
+        .Y(n51771) );
+  sky130_fd_sc_hd__a22oi_1 U71499 ( .A1(n81046), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[2]), 
+        .B1(n56941), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[18]), 
+        .Y(n51770) );
+  sky130_fd_sc_hd__a21oi_1 U71500 ( .A1(n51771), .A2(n51770), .B1(n56942), .Y(
+        n51772) );
+  sky130_fd_sc_hd__nand4_1 U71501 ( .A(n51775), .B(n37125), .C(n51774), .D(
+        n51773), .Y(n84408) );
+  sky130_fd_sc_hd__mux2_2 U71502 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[34]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[2]), .S(n36973), .X(n85262) );
+  sky130_fd_sc_hd__mux2_2 U71503 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[34]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[2]), .S(n55502), .X(n85117) );
+  sky130_fd_sc_hd__nand2_1 U71504 ( .A(n56146), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_2[0]), .Y(
+        n51776) );
+  sky130_fd_sc_hd__nor2_1 U71507 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_2[1]), .B(
+        n56144), .Y(n51778) );
+  sky130_fd_sc_hd__o21ai_0 U71508 ( .A1(n51778), .A2(n51388), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_2[0]), .Y(
+        n51786) );
+  sky130_fd_sc_hd__nand2_1 U71509 ( .A(n51781), .B(n51780), .Y(n51782) );
+  sky130_fd_sc_hd__xor2_1 U71510 ( .A(n51783), .B(n51782), .X(n51784) );
+  sky130_fd_sc_hd__a22oi_1 U71511 ( .A1(n56151), .A2(n85117), .B1(n51784), 
+        .B2(n56149), .Y(n51785) );
+  sky130_fd_sc_hd__nand3_1 U71512 ( .A(n51787), .B(n51786), .C(n51785), .Y(
+        n84874) );
+  sky130_fd_sc_hd__mux2_2 U71513 ( .A0(n51789), .A1(n51788), .S(n67148), .X(
+        n75707) );
+  sky130_fd_sc_hd__a22oi_1 U71514 ( .A1(n52645), .A2(n60154), .B1(n56359), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_2[5]), .Y(n51816) );
+  sky130_fd_sc_hd__o22ai_1 U71515 ( .A1(n67048), .A2(n56787), .B1(n56349), 
+        .B2(n51790), .Y(n51801) );
+  sky130_fd_sc_hd__a22o_1 U71516 ( .A1(n56818), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend[21]), .B1(n56821), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_16[21]), .X(n51800) );
+  sky130_fd_sc_hd__a22oi_1 U71517 ( .A1(n48944), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[21]), .B1(n53065), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_1[21]), .Y(n51798) );
+  sky130_fd_sc_hd__a22o_1 U71518 ( .A1(n63517), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[21]), .B1(n61078), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[21]), 
+        .X(n51793) );
+  sky130_fd_sc_hd__a22oi_1 U71519 ( .A1(n63514), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[21]), .B1(n60000), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[21]), 
+        .Y(n51792) );
+  sky130_fd_sc_hd__a22oi_1 U71520 ( .A1(n61081), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[21]), 
+        .B1(n79921), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[21]), 
+        .Y(n51791) );
+  sky130_fd_sc_hd__nand3b_1 U71521 ( .A_N(n51793), .B(n51792), .C(n51791), .Y(
+        n51794) );
+  sky130_fd_sc_hd__a22oi_1 U71522 ( .A1(n56122), .A2(n51794), .B1(n53066), 
+        .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_10[21]), .Y(n51797) );
+  sky130_fd_sc_hd__a22oi_1 U71523 ( .A1(n86736), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[21]), 
+        .B1(n56804), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[21]), 
+        .Y(n51796) );
+  sky130_fd_sc_hd__a22oi_1 U71524 ( .A1(n56123), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[21]), 
+        .B1(n36789), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[21]), 
+        .Y(n51795) );
+  sky130_fd_sc_hd__nand4_1 U71525 ( .A(n51798), .B(n51797), .C(n51796), .D(
+        n51795), .Y(n51799) );
+  sky130_fd_sc_hd__nor3_1 U71526 ( .A(n51801), .B(n51800), .C(n51799), .Y(
+        n51815) );
+  sky130_fd_sc_hd__nor2_1 U71527 ( .A(n79966), .B(n56849), .Y(n51803) );
+  sky130_fd_sc_hd__o22ai_1 U71528 ( .A1(n73495), .A2(n53026), .B1(n60242), 
+        .B2(n52871), .Y(n51802) );
+  sky130_fd_sc_hd__a211oi_1 U71529 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[21]), .A2(n51804), .B1(n51803), .C1(n51802), .Y(n51814) );
+  sky130_fd_sc_hd__a22o_1 U71530 ( .A1(n56749), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[21]), .B1(n56754), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend[21]), .X(n51806) );
+  sky130_fd_sc_hd__a22o_1 U71531 ( .A1(n56842), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[21]), .B1(n56843), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[21]), 
+        .X(n51805) );
+  sky130_fd_sc_hd__a211oi_1 U71532 ( .A1(n56755), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_16[21]), .B1(n51806), .C1(n51805), .Y(n51811) );
+  sky130_fd_sc_hd__a22o_1 U71533 ( .A1(n56681), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[21]), 
+        .B1(n56919), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[21]), .X(n51807) );
+  sky130_fd_sc_hd__a21oi_1 U71534 ( .A1(n51688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[21]), .B1(n51807), .Y(n51810) );
+  sky130_fd_sc_hd__a22oi_1 U71535 ( .A1(n56803), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[21]), 
+        .B1(n36990), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[21]), 
+        .Y(n51809) );
+  sky130_fd_sc_hd__a22oi_1 U71536 ( .A1(n56802), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[21]), 
+        .B1(n48207), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[21]), 
+        .Y(n51808) );
+  sky130_fd_sc_hd__nand4_1 U71537 ( .A(n51811), .B(n51810), .C(n51809), .D(
+        n51808), .Y(n51812) );
+  sky130_fd_sc_hd__a21oi_1 U71538 ( .A1(n56667), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[21]), .B1(n51812), .Y(n51813) );
+  sky130_fd_sc_hd__nand4_1 U71539 ( .A(n51816), .B(n51815), .C(n51814), .D(
+        n51813), .Y(n84424) );
+  sky130_fd_sc_hd__mux2_2 U71540 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[53]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[21]), .S(n36973), .X(n84302) );
+  sky130_fd_sc_hd__nand2_1 U71541 ( .A(n84302), .B(n56950), .Y(n51817) );
+  sky130_fd_sc_hd__nand2_1 U71543 ( .A(n51819), .B(n57177), .Y(n51820) );
+  sky130_fd_sc_hd__nand4_1 U71544 ( .A(n51822), .B(n57140), .C(n51821), .D(
+        n51820), .Y(n51823) );
+  sky130_fd_sc_hd__a21oi_1 U71545 ( .A1(n52711), .A2(n57481), .B1(n51823), .Y(
+        n51827) );
+  sky130_fd_sc_hd__a31oi_1 U71546 ( .A1(n56440), .A2(n56540), .A3(n57238), 
+        .B1(n51824), .Y(n51826) );
+  sky130_fd_sc_hd__a31oi_1 U71547 ( .A1(n51827), .A2(n51826), .A3(n51825), 
+        .B1(n57427), .Y(n51851) );
+  sky130_fd_sc_hd__a21oi_1 U71548 ( .A1(n51829), .A2(n51828), .B1(n57079), .Y(
+        n51850) );
+  sky130_fd_sc_hd__nor2_1 U71549 ( .A(n51831), .B(n51830), .Y(n51833) );
+  sky130_fd_sc_hd__a21oi_1 U71550 ( .A1(n51833), .A2(n51832), .B1(n56451), .Y(
+        n51849) );
+  sky130_fd_sc_hd__a22oi_1 U71551 ( .A1(n51836), .A2(n51835), .B1(n51834), 
+        .B2(n57216), .Y(n51847) );
+  sky130_fd_sc_hd__nor2_1 U71552 ( .A(n52262), .B(n51837), .Y(n51843) );
+  sky130_fd_sc_hd__o21ai_0 U71553 ( .A1(n51840), .A2(n51839), .B1(n51838), .Y(
+        n51841) );
+  sky130_fd_sc_hd__nor4b_1 U71554 ( .D_N(n51844), .A(n51843), .B(n51842), .C(
+        n51841), .Y(n51845) );
+  sky130_fd_sc_hd__a31oi_1 U71555 ( .A1(n51847), .A2(n51846), .A3(n51845), 
+        .B1(n57153), .Y(n51848) );
+  sky130_fd_sc_hd__nor4_1 U71556 ( .A(n51851), .B(n51850), .C(n51849), .D(
+        n51848), .Y(n51889) );
+  sky130_fd_sc_hd__a21oi_1 U71557 ( .A1(n57445), .A2(n57002), .B1(n57000), .Y(
+        n51853) );
+  sky130_fd_sc_hd__nor4_1 U71558 ( .A(n51855), .B(n51854), .C(n51853), .D(
+        n51852), .Y(n51888) );
+  sky130_fd_sc_hd__nand2_1 U71559 ( .A(n57502), .B(n57358), .Y(n51856) );
+  sky130_fd_sc_hd__a21oi_1 U71560 ( .A1(n57496), .A2(n51857), .B1(n51856), .Y(
+        n51858) );
+  sky130_fd_sc_hd__a31oi_1 U71561 ( .A1(n51860), .A2(n51859), .A3(n51858), 
+        .B1(n57155), .Y(n51886) );
+  sky130_fd_sc_hd__nor2_1 U71562 ( .A(n51861), .B(n57176), .Y(n51868) );
+  sky130_fd_sc_hd__o22ai_1 U71563 ( .A1(n51865), .A2(n51864), .B1(n51863), 
+        .B2(n51862), .Y(n51866) );
+  sky130_fd_sc_hd__nor3_1 U71564 ( .A(n51868), .B(n51867), .C(n51866), .Y(
+        n51869) );
+  sky130_fd_sc_hd__a31oi_1 U71565 ( .A1(n51871), .A2(n51870), .A3(n51869), 
+        .B1(n52747), .Y(n51885) );
+  sky130_fd_sc_hd__nor2_1 U71566 ( .A(n52179), .B(n52164), .Y(n51873) );
+  sky130_fd_sc_hd__nor3_1 U71567 ( .A(n52436), .B(n51873), .C(n51872), .Y(
+        n51874) );
+  sky130_fd_sc_hd__nand4_1 U71568 ( .A(n51877), .B(n51876), .C(n51875), .D(
+        n51874), .Y(n51881) );
+  sky130_fd_sc_hd__nand3_1 U71569 ( .A(n56995), .B(n51879), .C(n51878), .Y(
+        n51880) );
+  sky130_fd_sc_hd__o22ai_1 U71570 ( .A1(n57549), .A2(n51881), .B1(n38426), 
+        .B2(n51880), .Y(n51882) );
+  sky130_fd_sc_hd__a21oi_1 U71571 ( .A1(n51883), .A2(n51882), .B1(n57325), .Y(
+        n51884) );
+  sky130_fd_sc_hd__nor3_1 U71572 ( .A(n51886), .B(n51885), .C(n51884), .Y(
+        n51887) );
+  sky130_fd_sc_hd__a31oi_1 U71573 ( .A1(n51889), .A2(n51888), .A3(n51887), 
+        .B1(n57517), .Y(n51917) );
+  sky130_fd_sc_hd__a22oi_1 U71574 ( .A1(n56525), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__6[5]), .B1(n41794), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[21]), .Y(n51916) );
+  sky130_fd_sc_hd__a22oi_1 U71575 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__2[5]), .A2(n57587), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[21]), .B2(n57558), .Y(n51915) );
+  sky130_fd_sc_hd__a22oi_1 U71576 ( .A1(n38397), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[21]), 
+        .B1(n82290), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[21]), 
+        .Y(n51893) );
+  sky130_fd_sc_hd__a22oi_1 U71577 ( .A1(n82278), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[21]), 
+        .B1(n82350), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[21]), 
+        .Y(n51892) );
+  sky130_fd_sc_hd__a22oi_1 U71578 ( .A1(n82315), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[21]), 
+        .B1(n82321), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[21]), 
+        .Y(n51891) );
+  sky130_fd_sc_hd__a22oi_1 U71579 ( .A1(n82333), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[21]), 
+        .B1(n82361), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[21]), 
+        .Y(n51890) );
+  sky130_fd_sc_hd__nand4_1 U71580 ( .A(n51893), .B(n51892), .C(n51891), .D(
+        n51890), .Y(n51899) );
+  sky130_fd_sc_hd__a22oi_1 U71581 ( .A1(n82296), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[21]), 
+        .B1(n82303), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[21]), 
+        .Y(n51897) );
+  sky130_fd_sc_hd__a22oi_1 U71582 ( .A1(n82339), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[21]), 
+        .B1(n82309), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[21]), 
+        .Y(n51896) );
+  sky130_fd_sc_hd__a22oi_1 U71583 ( .A1(n82284), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[21]), 
+        .B1(n38401), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[21]), 
+        .Y(n51895) );
+  sky130_fd_sc_hd__a22oi_1 U71584 ( .A1(n38396), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[21]), 
+        .B1(n82327), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[21]), 
+        .Y(n51894) );
+  sky130_fd_sc_hd__nand4_1 U71585 ( .A(n51897), .B(n51896), .C(n51895), .D(
+        n51894), .Y(n51898) );
+  sky130_fd_sc_hd__a211oi_1 U71586 ( .A1(n57586), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[21]), 
+        .B1(n51899), .C1(n51898), .Y(n51912) );
+  sky130_fd_sc_hd__nand2_1 U71587 ( .A(n57571), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[53]), .Y(n51911) );
+  sky130_fd_sc_hd__a21oi_1 U71588 ( .A1(n51901), .A2(n57280), .B1(n51900), .Y(
+        n51902) );
+  sky130_fd_sc_hd__nor2_1 U71589 ( .A(n51903), .B(n51902), .Y(n51909) );
+  sky130_fd_sc_hd__a22oi_1 U71590 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[52]), 
+        .A2(n57564), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[52]), 
+        .B2(n57563), .Y(n51907) );
+  sky130_fd_sc_hd__a22oi_1 U71591 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[5]), .A2(n57588), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[5]), .B2(n57570), .Y(
+        n51906) );
+  sky130_fd_sc_hd__a22oi_1 U71592 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[20]), 
+        .A2(n57560), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[20]), 
+        .B2(n57559), .Y(n51905) );
+  sky130_fd_sc_hd__a22oi_1 U71593 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[52]), 
+        .A2(n57562), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[6]), .B2(n57561), .Y(n51904) );
+  sky130_fd_sc_hd__nand4_1 U71594 ( .A(n51907), .B(n51906), .C(n51905), .D(
+        n51904), .Y(n51908) );
+  sky130_fd_sc_hd__a211oi_1 U71595 ( .A1(n38443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[21]), .B1(n51909), .C1(n51908), .Y(n51910) );
+  sky130_fd_sc_hd__o211ai_1 U71596 ( .A1(n43381), .A2(n51912), .B1(n51911), 
+        .C1(n51910), .Y(n51913) );
+  sky130_fd_sc_hd__a21oi_1 U71597 ( .A1(n37065), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[53]), .B1(n51913), .Y(n51914) );
+  sky130_fd_sc_hd__nand4b_1 U71598 ( .A_N(n51917), .B(n51916), .C(n51915), .D(
+        n51914), .Y(n84500) );
+  sky130_fd_sc_hd__mux2_2 U71599 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[53]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[21]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .X(n84564) );
+  sky130_fd_sc_hd__nand2_1 U71600 ( .A(n57367), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_21[0]), .Y(
+        n51921) );
+  sky130_fd_sc_hd__nand2_1 U71601 ( .A(n57600), .B(n84564), .Y(n51920) );
+  sky130_fd_sc_hd__o221ai_1 U71602 ( .A1(n83297), .A2(n85222), .B1(n51918), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_2[5]), .C1(n57100), .Y(n51919) );
+  sky130_fd_sc_hd__nand3_1 U71603 ( .A(n51921), .B(n51920), .C(n51919), .Y(
+        n84545) );
+  sky130_fd_sc_hd__nor2_1 U71604 ( .A(n78427), .B(n56044), .Y(n84387) );
+  sky130_fd_sc_hd__nand2_1 U71605 ( .A(n54312), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[53]), .Y(n51923) );
+  sky130_fd_sc_hd__nand2_1 U71606 ( .A(n54795), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[21]), .Y(n51922) );
+  sky130_fd_sc_hd__nand2_1 U71607 ( .A(n51923), .B(n51922), .Y(n84751) );
+  sky130_fd_sc_hd__nor2_1 U71608 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_21[0]), .B(
+        n54216), .Y(n51924) );
+  sky130_fd_sc_hd__o21ai_1 U71609 ( .A1(n51924), .A2(n36791), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_21[1]), .Y(
+        n51940) );
+  sky130_fd_sc_hd__nand2_1 U71610 ( .A(n54218), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_21[1]), .Y(
+        n51925) );
+  sky130_fd_sc_hd__o21ai_1 U71611 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_21[1]), .A2(
+        n54220), .B1(n51925), .Y(n51927) );
+  sky130_fd_sc_hd__o21ai_1 U71612 ( .A1(n51927), .A2(n36790), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_21[0]), .Y(
+        n51939) );
+  sky130_fd_sc_hd__a21oi_1 U71613 ( .A1(n51931), .A2(n51930), .B1(n51929), .Y(
+        n51936) );
+  sky130_fd_sc_hd__nand2_1 U71614 ( .A(n51934), .B(n51933), .Y(n51935) );
+  sky130_fd_sc_hd__xor2_1 U71615 ( .A(n51936), .B(n51935), .X(n51937) );
+  sky130_fd_sc_hd__a22oi_1 U71616 ( .A1(n52494), .A2(n84751), .B1(n54228), 
+        .B2(n51937), .Y(n51938) );
+  sky130_fd_sc_hd__nand3_1 U71617 ( .A(n51940), .B(n51939), .C(n51938), .Y(
+        n84363) );
+  sky130_fd_sc_hd__nand2_1 U71618 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[53]), .Y(n51942) );
+  sky130_fd_sc_hd__nand2_1 U71619 ( .A(n54996), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[21]), .Y(n51941) );
+  sky130_fd_sc_hd__nand2_1 U71620 ( .A(n51942), .B(n51941), .Y(n85874) );
+  sky130_fd_sc_hd__mux2_2 U71621 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[53]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[21]), .S(n55502), .X(n85098) );
+  sky130_fd_sc_hd__nand2_1 U71622 ( .A(n56146), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_21[0]), .Y(
+        n51943) );
+  sky130_fd_sc_hd__nor2_1 U71625 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_21[1]), .B(
+        n56144), .Y(n51945) );
+  sky130_fd_sc_hd__o21ai_1 U71626 ( .A1(n51945), .A2(n52974), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_21[0]), .Y(
+        n51958) );
+  sky130_fd_sc_hd__a21oi_1 U71628 ( .A1(n53094), .A2(n53093), .B1(n51950), .Y(
+        n51955) );
+  sky130_fd_sc_hd__nand2_1 U71629 ( .A(n51953), .B(n51952), .Y(n51954) );
+  sky130_fd_sc_hd__xor2_1 U71630 ( .A(n51955), .B(n51954), .X(n51956) );
+  sky130_fd_sc_hd__a22oi_1 U71631 ( .A1(n56151), .A2(n85098), .B1(n51956), 
+        .B2(n56149), .Y(n51957) );
+  sky130_fd_sc_hd__nand3_1 U71632 ( .A(n51959), .B(n51958), .C(n51957), .Y(
+        n84893) );
+  sky130_fd_sc_hd__o22ai_1 U71633 ( .A1(n67048), .A2(n56320), .B1(n67155), 
+        .B2(n56319), .Y(n51964) );
+  sky130_fd_sc_hd__nand2_1 U71634 ( .A(n56314), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[19]), .Y(n51962) );
+  sky130_fd_sc_hd__nand2_1 U71635 ( .A(n56313), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[18]), .Y(n51961) );
+  sky130_fd_sc_hd__nand2_1 U71636 ( .A(n51962), .B(n51961), .Y(n51963) );
+  sky130_fd_sc_hd__nor2_1 U71637 ( .A(n51964), .B(n51963), .Y(n52349) );
+  sky130_fd_sc_hd__mux2_2 U71638 ( .A0(n51965), .A1(n52349), .S(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__countReset_feed_T_1[2]), .X(n56877) );
+  sky130_fd_sc_hd__nand2_1 U71639 ( .A(n56311), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[24]), .Y(n51969) );
+  sky130_fd_sc_hd__nand2_1 U71640 ( .A(n56312), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[25]), .Y(n51968) );
+  sky130_fd_sc_hd__nand2_1 U71641 ( .A(n56313), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[22]), .Y(n51967) );
+  sky130_fd_sc_hd__nand2_1 U71642 ( .A(n56314), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[23]), .Y(n51966) );
+  sky130_fd_sc_hd__nand4_1 U71643 ( .A(n51969), .B(n51968), .C(n51967), .D(
+        n51966), .Y(n52351) );
+  sky130_fd_sc_hd__o22ai_1 U71644 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[29]), .A2(n56320), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[28]), .B2(n56319), .Y(n51973) );
+  sky130_fd_sc_hd__nand2_1 U71645 ( .A(n56314), .B(n63644), .Y(n51971) );
+  sky130_fd_sc_hd__nand2_1 U71646 ( .A(n56313), .B(n63632), .Y(n51970) );
+  sky130_fd_sc_hd__nand2_1 U71647 ( .A(n51971), .B(n51970), .Y(n51972) );
+  sky130_fd_sc_hd__o21ai_1 U71648 ( .A1(n51973), .A2(n51972), .B1(n56323), .Y(
+        n51974) );
+  sky130_fd_sc_hd__o21ai_1 U71649 ( .A1(n56664), .A2(n52351), .B1(n51974), .Y(
+        n51975) );
+  sky130_fd_sc_hd__a21oi_1 U71650 ( .A1(n56877), .A2(n56328), .B1(n51975), .Y(
+        n73376) );
+  sky130_fd_sc_hd__a22oi_1 U71651 ( .A1(n49103), .A2(n73376), .B1(n49121), 
+        .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[14]), .Y(n51978) );
+  sky130_fd_sc_hd__a22oi_1 U71652 ( .A1(n49008), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[14]), .B1(n56932), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[14]), .Y(n51977) );
+  sky130_fd_sc_hd__a22oi_1 U71653 ( .A1(n49086), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[14]), .B1(n49101), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[14]), .Y(n51976) );
+  sky130_fd_sc_hd__nand3_1 U71654 ( .A(n51978), .B(n51977), .C(n51976), .Y(
+        n52037) );
+  sky130_fd_sc_hd__o22ai_1 U71655 ( .A1(n73495), .A2(n56253), .B1(n67162), 
+        .B2(n56252), .Y(n51980) );
+  sky130_fd_sc_hd__o22ai_1 U71656 ( .A1(n67147), .A2(n56255), .B1(n67144), 
+        .B2(n56254), .Y(n51979) );
+  sky130_fd_sc_hd__nor2_1 U71657 ( .A(n51980), .B(n51979), .Y(n52316) );
+  sky130_fd_sc_hd__nand2_1 U71658 ( .A(n52316), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[2]), .Y(n51981) );
+  sky130_fd_sc_hd__o21ai_1 U71659 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[2]), .A2(n51982), .B1(n51981), .Y(n56928) );
+  sky130_fd_sc_hd__o22ai_1 U71660 ( .A1(n61599), .A2(n56253), .B1(n67103), 
+        .B2(n56252), .Y(n51984) );
+  sky130_fd_sc_hd__o22ai_1 U71661 ( .A1(n67093), .A2(n56255), .B1(n73369), 
+        .B2(n56254), .Y(n51983) );
+  sky130_fd_sc_hd__nor2_1 U71662 ( .A(n51984), .B(n51983), .Y(n52315) );
+  sky130_fd_sc_hd__o22ai_1 U71663 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[29]), .A2(n56253), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[28]), .B2(n56252), .Y(n51986) );
+  sky130_fd_sc_hd__o22ai_1 U71664 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[27]), .A2(n56255), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[26]), .B2(n56254), .Y(n51985) );
+  sky130_fd_sc_hd__o21ai_1 U71665 ( .A1(n51986), .A2(n51985), .B1(n56669), .Y(
+        n51987) );
+  sky130_fd_sc_hd__a21oi_1 U71667 ( .A1(n56928), .A2(n56261), .B1(n51989), .Y(
+        n67906) );
+  sky130_fd_sc_hd__a22oi_1 U71668 ( .A1(n56713), .A2(n85307), .B1(n56712), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_1[6]), .Y(n51990) );
+  sky130_fd_sc_hd__o21ai_1 U71669 ( .A1(n51992), .A2(n51991), .B1(n51990), .Y(
+        n51993) );
+  sky130_fd_sc_hd__a21oi_1 U71670 ( .A1(n48818), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[14]), .B1(n51993), .Y(n51997) );
+  sky130_fd_sc_hd__a22oi_1 U71671 ( .A1(n56842), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[14]), .B1(n56843), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[14]), 
+        .Y(n51996) );
+  sky130_fd_sc_hd__a22oi_1 U71672 ( .A1(n56841), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[14]), 
+        .B1(n56919), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[14]), .Y(n51995) );
+  sky130_fd_sc_hd__nand2_1 U71673 ( .A(n36990), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[14]), 
+        .Y(n51994) );
+  sky130_fd_sc_hd__nand4_1 U71674 ( .A(n51997), .B(n51996), .C(n51995), .D(
+        n51994), .Y(n51998) );
+  sky130_fd_sc_hd__a21oi_1 U71675 ( .A1(n56930), .A2(n67906), .B1(n51998), .Y(
+        n52001) );
+  sky130_fd_sc_hd__a22oi_1 U71676 ( .A1(n56876), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[14]), .B1(n56875), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[14]), .Y(n52000) );
+  sky130_fd_sc_hd__nand2_1 U71677 ( .A(n49014), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[14]), .Y(n51999) );
+  sky130_fd_sc_hd__nand3_1 U71678 ( .A(n52001), .B(n52000), .C(n51999), .Y(
+        n52036) );
+  sky130_fd_sc_hd__a22oi_1 U71679 ( .A1(n56816), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[14]), 
+        .B1(n48974), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[14]), 
+        .Y(n52019) );
+  sky130_fd_sc_hd__o22ai_1 U71680 ( .A1(n52002), .A2(n51099), .B1(n53053), 
+        .B2(n37001), .Y(n52005) );
+  sky130_fd_sc_hd__nor2_1 U71681 ( .A(n52005), .B(n52004), .Y(n52339) );
+  sky130_fd_sc_hd__mux2_2 U71682 ( .A0(n52006), .A1(n52339), .S(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[2]), .X(n56782) );
+  sky130_fd_sc_hd__nand2_1 U71683 ( .A(n61716), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[24]), 
+        .Y(n52011) );
+  sky130_fd_sc_hd__nand2_1 U71684 ( .A(n56277), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[25]), 
+        .Y(n52010) );
+  sky130_fd_sc_hd__nand2_1 U71685 ( .A(n56279), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[22]), 
+        .Y(n52009) );
+  sky130_fd_sc_hd__nand2_1 U71686 ( .A(n56278), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[23]), 
+        .Y(n52008) );
+  sky130_fd_sc_hd__nand4_1 U71687 ( .A(n52011), .B(n52010), .C(n52009), .D(
+        n52008), .Y(n52338) );
+  sky130_fd_sc_hd__o22ai_1 U71688 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[29]), 
+        .A2(n36849), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[28]), 
+        .B2(n37001), .Y(n52013) );
+  sky130_fd_sc_hd__o22ai_1 U71689 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[27]), 
+        .A2(n56284), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[26]), 
+        .B2(n56283), .Y(n52012) );
+  sky130_fd_sc_hd__o21ai_1 U71691 ( .A1(n61720), .A2(n52338), .B1(n52014), .Y(
+        n52015) );
+  sky130_fd_sc_hd__a21oi_1 U71692 ( .A1(n56782), .A2(n56726), .B1(n52015), .Y(
+        n63072) );
+  sky130_fd_sc_hd__a2bb2oi_1 U71693 ( .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[14]), 
+        .B2(n56817), .A1_N(n68084), .A2_N(n56786), .Y(n52018) );
+  sky130_fd_sc_hd__a22oi_1 U71694 ( .A1(n50877), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[14]), .B1(n48976), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[14]), 
+        .Y(n52017) );
+  sky130_fd_sc_hd__a2bb2oi_1 U71695 ( .B1(n49002), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[14]), 
+        .A1_N(n67206), .A2_N(n53026), .Y(n52016) );
+  sky130_fd_sc_hd__nand4_1 U71696 ( .A(n52019), .B(n52018), .C(n52017), .D(
+        n52016), .Y(n52035) );
+  sky130_fd_sc_hd__a22o_1 U71697 ( .A1(n48762), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[14]), .B1(n48789), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[14]), .X(n52020) );
+  sky130_fd_sc_hd__a21oi_1 U71698 ( .A1(n48944), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[14]), .B1(n52020), .Y(n52021) );
+  sky130_fd_sc_hd__a21oi_1 U71700 ( .A1(n48880), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[14]), .B1(n52022), .Y(n52033) );
+  sky130_fd_sc_hd__a22oi_1 U71701 ( .A1(n56802), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[14]), 
+        .B1(n86736), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[14]), 
+        .Y(n52032) );
+  sky130_fd_sc_hd__a22oi_1 U71702 ( .A1(n56803), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[14]), 
+        .B1(n56372), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[14]), 
+        .Y(n52031) );
+  sky130_fd_sc_hd__a22oi_1 U71703 ( .A1(n63514), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[14]), .B1(n61081), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[14]), 
+        .Y(n52025) );
+  sky130_fd_sc_hd__a22oi_1 U71704 ( .A1(n63517), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[14]), .B1(n60000), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[14]), 
+        .Y(n52024) );
+  sky130_fd_sc_hd__a22oi_1 U71705 ( .A1(n79921), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[14]), 
+        .B1(n61078), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[14]), 
+        .Y(n52023) );
+  sky130_fd_sc_hd__a31oi_1 U71706 ( .A1(n52025), .A2(n52024), .A3(n52023), 
+        .B1(n56765), .Y(n52029) );
+  sky130_fd_sc_hd__o22ai_1 U71707 ( .A1(n60116), .A2(n52027), .B1(n50050), 
+        .B2(n52026), .Y(n52028) );
+  sky130_fd_sc_hd__a211oi_1 U71708 ( .A1(n36789), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[14]), 
+        .B1(n52029), .C1(n52028), .Y(n52030) );
+  sky130_fd_sc_hd__nand4_1 U71709 ( .A(n52033), .B(n52032), .C(n52031), .D(
+        n52030), .Y(n52034) );
+  sky130_fd_sc_hd__or4_1 U71710 ( .A(n52037), .B(n52036), .C(n52035), .D(
+        n52034), .X(n84418) );
+  sky130_fd_sc_hd__mux2_2 U71711 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[46]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[14]), .S(n36973), .X(n85260) );
+  sky130_fd_sc_hd__mux2_2 U71712 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[46]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[14]), .S(n55502), .X(n85105) );
+  sky130_fd_sc_hd__nand2_1 U71713 ( .A(n56146), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_14[0]), .Y(
+        n52038) );
+  sky130_fd_sc_hd__o21ai_1 U71715 ( .A1(n52039), .A2(n36818), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_14[1]), .Y(
+        n52051) );
+  sky130_fd_sc_hd__nor2_1 U71716 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_14[1]), .B(
+        n56144), .Y(n52040) );
+  sky130_fd_sc_hd__a21oi_1 U71718 ( .A1(n52045), .A2(n52044), .B1(n52043), .Y(
+        n52978) );
+  sky130_fd_sc_hd__nand2_1 U71719 ( .A(n52046), .B(n52977), .Y(n52047) );
+  sky130_fd_sc_hd__xor2_1 U71720 ( .A(n52978), .B(n52047), .X(n52048) );
+  sky130_fd_sc_hd__a22oi_1 U71721 ( .A1(n55472), .A2(n85105), .B1(n52048), 
+        .B2(n56149), .Y(n52049) );
+  sky130_fd_sc_hd__nand3_1 U71722 ( .A(n52051), .B(n52050), .C(n52049), .Y(
+        n84886) );
+  sky130_fd_sc_hd__a22oi_1 U71723 ( .A1(n57571), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[57]), .B1(n57587), .B2(MarmotCaravelChip_dut_sys_clint_oldBytes__3[1]), .Y(n52288)
+         );
+  sky130_fd_sc_hd__a22oi_1 U71724 ( .A1(n52811), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[25]), 
+        .B1(n56952), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[25]), 
+        .Y(n52055) );
+  sky130_fd_sc_hd__a22oi_1 U71725 ( .A1(n52812), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[25]), 
+        .B1(n52810), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[25]), 
+        .Y(n52054) );
+  sky130_fd_sc_hd__a22oi_1 U71726 ( .A1(n52808), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[25]), 
+        .B1(n52814), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[25]), 
+        .Y(n52053) );
+  sky130_fd_sc_hd__a22oi_1 U71727 ( .A1(n52809), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[25]), 
+        .B1(n52813), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[25]), 
+        .Y(n52052) );
+  sky130_fd_sc_hd__nand4_1 U71728 ( .A(n52055), .B(n52054), .C(n52053), .D(
+        n52052), .Y(n52061) );
+  sky130_fd_sc_hd__a22oi_1 U71729 ( .A1(n52812), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[25]), 
+        .B1(n52811), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[25]), 
+        .Y(n52059) );
+  sky130_fd_sc_hd__a22oi_1 U71730 ( .A1(n52809), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[25]), 
+        .B1(n52810), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[25]), 
+        .Y(n52058) );
+  sky130_fd_sc_hd__a22oi_1 U71731 ( .A1(n52814), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[25]), 
+        .B1(n56952), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[25]), 
+        .Y(n52057) );
+  sky130_fd_sc_hd__a22oi_1 U71732 ( .A1(n52808), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[25]), 
+        .B1(n52813), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[25]), 
+        .Y(n52056) );
+  sky130_fd_sc_hd__nand4_1 U71733 ( .A(n52059), .B(n52058), .C(n52057), .D(
+        n52056), .Y(n52060) );
+  sky130_fd_sc_hd__a22oi_1 U71734 ( .A1(n52821), .A2(n52061), .B1(n52806), 
+        .B2(n52060), .Y(n52062) );
+  sky130_fd_sc_hd__nand2_1 U71735 ( .A(n52063), .B(n52062), .Y(n52064) );
+  sky130_fd_sc_hd__a21oi_1 U71736 ( .A1(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[25]), 
+        .A2(n52065), .B1(n52064), .Y(n52066) );
+  sky130_fd_sc_hd__a31oi_1 U71737 ( .A1(n52069), .A2(n52068), .A3(n52067), 
+        .B1(n52066), .Y(n52070) );
+  sky130_fd_sc_hd__a22oi_1 U71738 ( .A1(n57588), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[1]), .B1(n52070), .B2(
+        n56967), .Y(n52287) );
+  sky130_fd_sc_hd__a21oi_1 U71739 ( .A1(n52072), .A2(n57407), .B1(n52071), .Y(
+        n52082) );
+  sky130_fd_sc_hd__o2bb2ai_1 U71740 ( .B1(n52073), .B2(n36838), .A1_N(n41625), 
+        .A2_N(n56985), .Y(n52078) );
+  sky130_fd_sc_hd__o22ai_1 U71741 ( .A1(n56428), .A2(n57188), .B1(n57334), 
+        .B2(n52227), .Y(n52077) );
+  sky130_fd_sc_hd__a22oi_1 U71742 ( .A1(n56412), .A2(n57335), .B1(n56494), 
+        .B2(n52710), .Y(n52075) );
+  sky130_fd_sc_hd__nand3_1 U71743 ( .A(n57163), .B(n52710), .C(n57441), .Y(
+        n52204) );
+  sky130_fd_sc_hd__nand4_1 U71744 ( .A(n52075), .B(n52185), .C(n52204), .D(
+        n52074), .Y(n52076) );
+  sky130_fd_sc_hd__nor4_1 U71745 ( .A(n52079), .B(n52078), .C(n52077), .D(
+        n52076), .Y(n52081) );
+  sky130_fd_sc_hd__a31oi_1 U71746 ( .A1(n52082), .A2(n52081), .A3(n52080), 
+        .B1(n57079), .Y(n52142) );
+  sky130_fd_sc_hd__nand4_1 U71747 ( .A(n52086), .B(n52085), .C(n52084), .D(
+        n52083), .Y(n52087) );
+  sky130_fd_sc_hd__a21oi_1 U71748 ( .A1(n41625), .A2(n57450), .B1(n52087), .Y(
+        n52099) );
+  sky130_fd_sc_hd__nor2_1 U71749 ( .A(n52402), .B(n56499), .Y(n52235) );
+  sky130_fd_sc_hd__nor2_1 U71750 ( .A(n52199), .B(n57188), .Y(n52091) );
+  sky130_fd_sc_hd__o22ai_1 U71751 ( .A1(n52088), .A2(n52126), .B1(n52403), 
+        .B2(n52262), .Y(n52089) );
+  sky130_fd_sc_hd__nor4_1 U71752 ( .A(n52091), .B(n52090), .C(n56427), .D(
+        n52089), .Y(n52095) );
+  sky130_fd_sc_hd__o21ai_0 U71753 ( .A1(n52210), .A2(n57139), .B1(n57168), .Y(
+        n52092) );
+  sky130_fd_sc_hd__nand4_1 U71754 ( .A(n52095), .B(n52094), .C(n52093), .D(
+        n52092), .Y(n52096) );
+  sky130_fd_sc_hd__nor3_1 U71755 ( .A(n56547), .B(n52235), .C(n52096), .Y(
+        n52098) );
+  sky130_fd_sc_hd__a31oi_1 U71756 ( .A1(n52099), .A2(n52098), .A3(n52097), 
+        .B1(n57325), .Y(n52141) );
+  sky130_fd_sc_hd__nor2_1 U71757 ( .A(n57192), .B(n52402), .Y(n52119) );
+  sky130_fd_sc_hd__nand2_1 U71758 ( .A(n41772), .B(n52100), .Y(n57166) );
+  sky130_fd_sc_hd__o21ai_1 U71759 ( .A1(n41624), .A2(n52101), .B1(n57166), .Y(
+        n52117) );
+  sky130_fd_sc_hd__nand2_1 U71760 ( .A(n41780), .B(n57508), .Y(n52105) );
+  sky130_fd_sc_hd__nand2_1 U71761 ( .A(n52129), .B(n52102), .Y(n52103) );
+  sky130_fd_sc_hd__nand4b_1 U71762 ( .A_N(n52147), .B(n52105), .C(n52104), .D(
+        n52103), .Y(n52106) );
+  sky130_fd_sc_hd__a21oi_1 U71763 ( .A1(n52210), .A2(n52107), .B1(n52106), .Y(
+        n52115) );
+  sky130_fd_sc_hd__nor3_1 U71764 ( .A(n56981), .B(n57196), .C(n52108), .Y(
+        n52112) );
+  sky130_fd_sc_hd__a21oi_1 U71765 ( .A1(n57407), .A2(n52412), .B1(n52109), .Y(
+        n52110) );
+  sky130_fd_sc_hd__o22ai_1 U71766 ( .A1(n57525), .A2(n52110), .B1(n57436), 
+        .B2(n56570), .Y(n52111) );
+  sky130_fd_sc_hd__nor4_1 U71767 ( .A(n52113), .B(n56433), .C(n52112), .D(
+        n52111), .Y(n52114) );
+  sky130_fd_sc_hd__nand4_1 U71768 ( .A(n52115), .B(n52114), .C(n52430), .D(
+        n57190), .Y(n52116) );
+  sky130_fd_sc_hd__nor4_1 U71769 ( .A(n52119), .B(n52118), .C(n52117), .D(
+        n52116), .Y(n52139) );
+  sky130_fd_sc_hd__o22ai_1 U71770 ( .A1(n52122), .A2(n56498), .B1(n52121), 
+        .B2(n52120), .Y(n52135) );
+  sky130_fd_sc_hd__a21oi_1 U71771 ( .A1(n52183), .A2(n57165), .B1(n52123), .Y(
+        n52127) );
+  sky130_fd_sc_hd__nand2_1 U71772 ( .A(n52219), .B(n57139), .Y(n52124) );
+  sky130_fd_sc_hd__nand4_1 U71773 ( .A(n52127), .B(n52126), .C(n52125), .D(
+        n52124), .Y(n52132) );
+  sky130_fd_sc_hd__a21oi_1 U71774 ( .A1(n52129), .A2(n37029), .B1(n52128), .Y(
+        n56465) );
+  sky130_fd_sc_hd__nand2_1 U71775 ( .A(n52149), .B(n45408), .Y(n57062) );
+  sky130_fd_sc_hd__nand4_1 U71776 ( .A(n56465), .B(n52430), .C(n52130), .D(
+        n57062), .Y(n52131) );
+  sky130_fd_sc_hd__a211oi_1 U71777 ( .A1(n57473), .A2(n57481), .B1(n52132), 
+        .C1(n52131), .Y(n52133) );
+  sky130_fd_sc_hd__o21ai_1 U71778 ( .A1(n52170), .A2(n52402), .B1(n52133), .Y(
+        n52134) );
+  sky130_fd_sc_hd__nor4_1 U71779 ( .A(n52137), .B(n52136), .C(n52135), .D(
+        n52134), .Y(n52138) );
+  sky130_fd_sc_hd__o22ai_1 U71780 ( .A1(n52139), .A2(n57155), .B1(n52138), 
+        .B2(n52747), .Y(n52140) );
+  sky130_fd_sc_hd__nor3_1 U71781 ( .A(n52142), .B(n52141), .C(n52140), .Y(
+        n52250) );
+  sky130_fd_sc_hd__a21oi_1 U71782 ( .A1(n52145), .A2(n52144), .B1(n52143), .Y(
+        n52159) );
+  sky130_fd_sc_hd__nor4_1 U71783 ( .A(n52149), .B(n52148), .C(n52147), .D(
+        n52146), .Y(n52158) );
+  sky130_fd_sc_hd__o22ai_1 U71784 ( .A1(n57014), .A2(n56458), .B1(n56498), 
+        .B2(n57165), .Y(n52154) );
+  sky130_fd_sc_hd__o22ai_1 U71785 ( .A1(n57021), .A2(n57140), .B1(n57334), 
+        .B2(n52150), .Y(n52153) );
+  sky130_fd_sc_hd__o22ai_1 U71786 ( .A1(n56475), .A2(n56444), .B1(n52151), 
+        .B2(n56993), .Y(n52152) );
+  sky130_fd_sc_hd__nor4_1 U71787 ( .A(n52155), .B(n52154), .C(n52153), .D(
+        n52152), .Y(n52157) );
+  sky130_fd_sc_hd__nand4_1 U71788 ( .A(n52159), .B(n52158), .C(n52157), .D(
+        n52156), .Y(n52197) );
+  sky130_fd_sc_hd__nor4b_1 U71789 ( .D_N(n52163), .A(n52162), .B(n52161), .C(
+        n52160), .Y(n52178) );
+  sky130_fd_sc_hd__o21ai_0 U71790 ( .A1(n52165), .A2(n52164), .B1(n57062), .Y(
+        n57486) );
+  sky130_fd_sc_hd__a31oi_1 U71791 ( .A1(n41624), .A2(n52167), .A3(n52166), 
+        .B1(n57486), .Y(n52177) );
+  sky130_fd_sc_hd__o22ai_1 U71792 ( .A1(n52170), .A2(n57196), .B1(n52169), 
+        .B2(n52168), .Y(n52175) );
+  sky130_fd_sc_hd__nand2_1 U71793 ( .A(n52269), .B(n57163), .Y(n56413) );
+  sky130_fd_sc_hd__nand2_1 U71794 ( .A(n57525), .B(n56588), .Y(n52172) );
+  sky130_fd_sc_hd__nand4_1 U71795 ( .A(n52430), .B(n56413), .C(n52172), .D(
+        n52171), .Y(n52174) );
+  sky130_fd_sc_hd__a21oi_1 U71796 ( .A1(n56458), .A2(n52402), .B1(n56457), .Y(
+        n52173) );
+  sky130_fd_sc_hd__nor3_1 U71797 ( .A(n52175), .B(n52174), .C(n52173), .Y(
+        n52176) );
+  sky130_fd_sc_hd__a31oi_1 U71798 ( .A1(n52178), .A2(n52177), .A3(n52176), 
+        .B1(n57325), .Y(n52196) );
+  sky130_fd_sc_hd__nand2_1 U71799 ( .A(n57184), .B(n41625), .Y(n52193) );
+  sky130_fd_sc_hd__o22ai_1 U71800 ( .A1(n52269), .A2(n57196), .B1(n52179), 
+        .B2(n56498), .Y(n52189) );
+  sky130_fd_sc_hd__a21oi_1 U71801 ( .A1(n52181), .A2(n56467), .B1(n52180), .Y(
+        n52187) );
+  sky130_fd_sc_hd__a21oi_1 U71802 ( .A1(n41772), .A2(n57481), .B1(n57405), .Y(
+        n52186) );
+  sky130_fd_sc_hd__nand2_1 U71803 ( .A(n52183), .B(n52182), .Y(n52184) );
+  sky130_fd_sc_hd__nand4_1 U71804 ( .A(n52187), .B(n52186), .C(n52185), .D(
+        n52184), .Y(n52188) );
+  sky130_fd_sc_hd__nor4_1 U71805 ( .A(n52191), .B(n52190), .C(n52189), .D(
+        n52188), .Y(n52192) );
+  sky130_fd_sc_hd__o22ai_1 U71806 ( .A1(n52194), .A2(n52193), .B1(n52192), 
+        .B2(n57155), .Y(n52195) );
+  sky130_fd_sc_hd__a211oi_1 U71807 ( .A1(n52198), .A2(n52197), .B1(n52196), 
+        .C1(n52195), .Y(n52249) );
+  sky130_fd_sc_hd__nor2_1 U71808 ( .A(n52199), .B(n56498), .Y(n56544) );
+  sky130_fd_sc_hd__a21oi_1 U71809 ( .A1(n57473), .A2(n52201), .B1(n52200), .Y(
+        n52205) );
+  sky130_fd_sc_hd__o21ai_0 U71810 ( .A1(n57407), .A2(n52202), .B1(n57177), .Y(
+        n52203) );
+  sky130_fd_sc_hd__nand4_1 U71811 ( .A(n52206), .B(n52205), .C(n52204), .D(
+        n52203), .Y(n52207) );
+  sky130_fd_sc_hd__nor4_1 U71812 ( .A(n52209), .B(n56544), .C(n52208), .D(
+        n52207), .Y(n52212) );
+  sky130_fd_sc_hd__nand2_1 U71813 ( .A(n52210), .B(n52267), .Y(n56501) );
+  sky130_fd_sc_hd__a31oi_1 U71814 ( .A1(n52212), .A2(n52211), .A3(n56501), 
+        .B1(n57427), .Y(n52247) );
+  sky130_fd_sc_hd__a21oi_1 U71815 ( .A1(n52214), .A2(n52213), .B1(n57419), .Y(
+        n52226) );
+  sky130_fd_sc_hd__o22ai_1 U71816 ( .A1(n41626), .A2(n52216), .B1(n56558), 
+        .B2(n52215), .Y(n52224) );
+  sky130_fd_sc_hd__o22ai_1 U71817 ( .A1(n52219), .A2(n52218), .B1(n56474), 
+        .B2(n52217), .Y(n52223) );
+  sky130_fd_sc_hd__o22ai_1 U71818 ( .A1(n57413), .A2(n57070), .B1(n52221), 
+        .B2(n52220), .Y(n52222) );
+  sky130_fd_sc_hd__nor3_1 U71819 ( .A(n52224), .B(n52223), .C(n52222), .Y(
+        n52225) );
+  sky130_fd_sc_hd__a21oi_1 U71820 ( .A1(n52226), .A2(n52225), .B1(n57429), .Y(
+        n52246) );
+  sky130_fd_sc_hd__o22ai_1 U71821 ( .A1(n57408), .A2(n57457), .B1(n56996), 
+        .B2(n52227), .Y(n52245) );
+  sky130_fd_sc_hd__o22ai_1 U71822 ( .A1(n52231), .A2(n52230), .B1(n52229), 
+        .B2(n52228), .Y(n52232) );
+  sky130_fd_sc_hd__a21oi_1 U71823 ( .A1(n41780), .A2(n56470), .B1(n52232), .Y(
+        n52243) );
+  sky130_fd_sc_hd__o22ai_1 U71824 ( .A1(n52403), .A2(n57437), .B1(n57446), 
+        .B2(n57448), .Y(n52233) );
+  sky130_fd_sc_hd__a21oi_1 U71825 ( .A1(n52234), .A2(n57441), .B1(n52233), .Y(
+        n52242) );
+  sky130_fd_sc_hd__o21ai_1 U71826 ( .A1(n52236), .A2(n52235), .B1(n56999), .Y(
+        n52241) );
+  sky130_fd_sc_hd__o21ai_1 U71827 ( .A1(n52239), .A2(n52238), .B1(n52237), .Y(
+        n52240) );
+  sky130_fd_sc_hd__nand4_1 U71828 ( .A(n52243), .B(n52242), .C(n52241), .D(
+        n52240), .Y(n52244) );
+  sky130_fd_sc_hd__nor4_1 U71829 ( .A(n52247), .B(n52246), .C(n52245), .D(
+        n52244), .Y(n52248) );
+  sky130_fd_sc_hd__o221ai_1 U71830 ( .A1(n57549), .A2(n52250), .B1(n52744), 
+        .B2(n52249), .C1(n52248), .Y(n52285) );
+  sky130_fd_sc_hd__o22ai_1 U71831 ( .A1(n57014), .A2(n52253), .B1(n52252), 
+        .B2(n52251), .Y(n52254) );
+  sky130_fd_sc_hd__nor4b_1 U71832 ( .D_N(n52256), .A(n52470), .B(n52255), .C(
+        n52254), .Y(n52277) );
+  sky130_fd_sc_hd__o22ai_1 U71833 ( .A1(n52259), .A2(n37012), .B1(n52258), 
+        .B2(n52257), .Y(n52260) );
+  sky130_fd_sc_hd__a21oi_1 U71834 ( .A1(n52261), .A2(n57436), .B1(n52260), .Y(
+        n52276) );
+  sky130_fd_sc_hd__o22ai_1 U71835 ( .A1(n52465), .A2(n57334), .B1(n52263), 
+        .B2(n52262), .Y(n52274) );
+  sky130_fd_sc_hd__o22ai_1 U71836 ( .A1(n52267), .A2(n52266), .B1(n52265), 
+        .B2(n52264), .Y(n52273) );
+  sky130_fd_sc_hd__o22ai_1 U71837 ( .A1(n52271), .A2(n52270), .B1(n52269), 
+        .B2(n52268), .Y(n52272) );
+  sky130_fd_sc_hd__a211oi_1 U71838 ( .A1(n57496), .A2(n52274), .B1(n52273), 
+        .C1(n52272), .Y(n52275) );
+  sky130_fd_sc_hd__a31oi_1 U71839 ( .A1(n52277), .A2(n52276), .A3(n52275), 
+        .B1(n57267), .Y(n52284) );
+  sky130_fd_sc_hd__a22oi_1 U71840 ( .A1(n41794), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[25]), .B1(n37065), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[57]), .Y(n52282) );
+  sky130_fd_sc_hd__a22oi_1 U71841 ( .A1(n56525), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__7[1]), .B1(n38443), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[25]), .Y(n52281) );
+  sky130_fd_sc_hd__a22o_1 U71842 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[24]), 
+        .A2(n57561), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[24]), 
+        .B2(n57559), .X(n52278) );
+  sky130_fd_sc_hd__a21oi_1 U71843 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[24]), 
+        .A2(n57560), .B1(n52278), .Y(n52280) );
+  sky130_fd_sc_hd__nand2_1 U71844 ( .A(n57570), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[1]), .Y(n52279) );
+  sky130_fd_sc_hd__nand4_1 U71845 ( .A(n52282), .B(n52281), .C(n52280), .D(
+        n52279), .Y(n52283) );
+  sky130_fd_sc_hd__a211oi_1 U71846 ( .A1(n57096), .A2(n52285), .B1(n52284), 
+        .C1(n52283), .Y(n52286) );
+  sky130_fd_sc_hd__nand4_1 U71847 ( .A(n52289), .B(n52288), .C(n52287), .D(
+        n52286), .Y(n84504) );
+  sky130_fd_sc_hd__mux2_2 U71848 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[57]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[25]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .X(n85257) );
+  sky130_fd_sc_hd__nand2_1 U71849 ( .A(n54312), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[57]), .Y(n52291) );
+  sky130_fd_sc_hd__nand2_1 U71850 ( .A(n54795), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[25]), .Y(n52290) );
+  sky130_fd_sc_hd__nand2_1 U71851 ( .A(n52291), .B(n52290), .Y(n84747) );
+  sky130_fd_sc_hd__nand2_1 U71852 ( .A(n54218), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_25[0]), .Y(
+        n52292) );
+  sky130_fd_sc_hd__o21ai_1 U71854 ( .A1(n52293), .A2(n36791), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_25[1]), .Y(
+        n52302) );
+  sky130_fd_sc_hd__nor2_1 U71855 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_25[1]), .B(
+        n54220), .Y(n52294) );
+  sky130_fd_sc_hd__nand2_1 U71857 ( .A(n52296), .B(n52295), .Y(n52298) );
+  sky130_fd_sc_hd__xnor2_1 U71858 ( .A(n52298), .B(n52297), .Y(n52299) );
+  sky130_fd_sc_hd__a22oi_1 U71859 ( .A1(n52299), .A2(n54228), .B1(n52494), 
+        .B2(n84747), .Y(n52300) );
+  sky130_fd_sc_hd__nand3_1 U71860 ( .A(n52302), .B(n52301), .C(n52300), .Y(
+        n84366) );
+  sky130_fd_sc_hd__nand2_1 U71861 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[57]), .Y(n52304) );
+  sky130_fd_sc_hd__nand2_1 U71862 ( .A(n55037), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[25]), .Y(n52303) );
+  sky130_fd_sc_hd__nand2_1 U71863 ( .A(n52304), .B(n52303), .Y(n85862) );
+  sky130_fd_sc_hd__mux2_2 U71864 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[57]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[25]), .S(n55502), .X(n85095) );
+  sky130_fd_sc_hd__nor2_1 U71865 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_25[1]), .B(
+        n56144), .Y(n52305) );
+  sky130_fd_sc_hd__a211oi_1 U71866 ( .A1(n56146), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_25[1]), .B1(
+        n52305), .C1(n51388), .Y(n52314) );
+  sky130_fd_sc_hd__nand2_1 U71867 ( .A(n52307), .B(n52306), .Y(n52309) );
+  sky130_fd_sc_hd__xnor2_1 U71868 ( .A(n52309), .B(n52308), .Y(n52310) );
+  sky130_fd_sc_hd__a22oi_1 U71869 ( .A1(n55472), .A2(n85095), .B1(n52310), 
+        .B2(n56149), .Y(n52313) );
+  sky130_fd_sc_hd__nor2_1 U71870 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_25[0]), .B(
+        n56152), .Y(n52311) );
+  sky130_fd_sc_hd__o21ai_1 U71871 ( .A1(n52311), .A2(n48421), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_25[1]), .Y(
+        n52312) );
+  sky130_fd_sc_hd__o211ai_1 U71872 ( .A1(n56230), .A2(n52314), .B1(n52313), 
+        .C1(n52312), .Y(n84896) );
+  sky130_fd_sc_hd__a22oi_1 U71873 ( .A1(n52316), .A2(n61610), .B1(n56669), 
+        .B2(n52315), .Y(n52317) );
+  sky130_fd_sc_hd__a22oi_1 U71875 ( .A1(n51688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[10]), .B1(n36990), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[10]), 
+        .Y(n52320) );
+  sky130_fd_sc_hd__a22oi_1 U71876 ( .A1(n36814), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[10]), 
+        .B1(n56393), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[10]), 
+        .Y(n52319) );
+  sky130_fd_sc_hd__o211ai_1 U71877 ( .A1(n67961), .A2(n56680), .B1(n52320), 
+        .C1(n52319), .Y(n52347) );
+  sky130_fd_sc_hd__a22oi_1 U71878 ( .A1(n63514), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[10]), .B1(n61081), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[10]), 
+        .Y(n52324) );
+  sky130_fd_sc_hd__o2bb2ai_1 U71879 ( .B1(n56700), .B2(n79942), .A1_N(n79921), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[10]), 
+        .Y(n52321) );
+  sky130_fd_sc_hd__a21oi_1 U71880 ( .A1(n63517), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[10]), .B1(n52321), .Y(n52323) );
+  sky130_fd_sc_hd__a22oi_1 U71881 ( .A1(n61078), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[10]), 
+        .B1(n60000), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[10]), 
+        .Y(n52322) );
+  sky130_fd_sc_hd__a31oi_1 U71882 ( .A1(n52324), .A2(n52323), .A3(n52322), 
+        .B1(n56765), .Y(n52325) );
+  sky130_fd_sc_hd__a21oi_1 U71883 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[10]), 
+        .A2(n36789), .B1(n52325), .Y(n52329) );
+  sky130_fd_sc_hd__a22oi_1 U71884 ( .A1(n86736), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[10]), 
+        .B1(n48207), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[10]), 
+        .Y(n52328) );
+  sky130_fd_sc_hd__a22oi_1 U71885 ( .A1(n56372), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[10]), 
+        .B1(n56371), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[10]), 
+        .Y(n52327) );
+  sky130_fd_sc_hd__a22oi_1 U71886 ( .A1(n56707), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[10]), .B1(n56706), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[10]), .Y(n52326) );
+  sky130_fd_sc_hd__nand4_1 U71887 ( .A(n52329), .B(n52328), .C(n52327), .D(
+        n52326), .Y(n52346) );
+  sky130_fd_sc_hd__nand2_1 U71888 ( .A(n48818), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[10]), .Y(n52333) );
+  sky130_fd_sc_hd__a22oi_1 U71889 ( .A1(n56713), .A2(n85009), .B1(n56712), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_1[2]), .Y(n52332) );
+  sky130_fd_sc_hd__nand2_1 U71890 ( .A(n56746), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[10]), .Y(n52331) );
+  sky130_fd_sc_hd__nand2_1 U71891 ( .A(n56749), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[10]), .Y(n52330) );
+  sky130_fd_sc_hd__nand4_1 U71892 ( .A(n52333), .B(n52332), .C(n52331), .D(
+        n52330), .Y(n52334) );
+  sky130_fd_sc_hd__a21oi_1 U71893 ( .A1(n56919), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[10]), .B1(n52334), .Y(n52336) );
+  sky130_fd_sc_hd__a22oi_1 U71894 ( .A1(n48762), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[10]), .B1(n48789), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[10]), .Y(n52335) );
+  sky130_fd_sc_hd__o211ai_1 U71895 ( .A1(n56787), .A2(n67327), .B1(n52336), 
+        .C1(n52335), .Y(n52337) );
+  sky130_fd_sc_hd__a21oi_1 U71896 ( .A1(n48880), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[10]), .B1(n52337), .Y(n52344) );
+  sky130_fd_sc_hd__a2bb2oi_1 U71897 ( .B1(n61754), .B2(n52339), .A1_N(n56724), 
+        .A2_N(n52338), .Y(n52340) );
+  sky130_fd_sc_hd__o21a_1 U71898 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[3]), .A2(n52341), .B1(n52340), .X(n68136) );
+  sky130_fd_sc_hd__a22oi_1 U71899 ( .A1(n56729), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_7[10]), .B1(n56728), .B2(n68136), .Y(n52343) );
+  sky130_fd_sc_hd__a22oi_1 U71900 ( .A1(n56819), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[10]), .B1(n56820), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[10]), .Y(n52342) );
+  sky130_fd_sc_hd__nand3_1 U71901 ( .A(n52344), .B(n52343), .C(n52342), .Y(
+        n52345) );
+  sky130_fd_sc_hd__nor3_1 U71902 ( .A(n52347), .B(n52346), .C(n52345), .Y(
+        n52371) );
+  sky130_fd_sc_hd__o22ai_1 U71903 ( .A1(n56662), .A2(n52351), .B1(n56664), 
+        .B2(n52350), .Y(n52352) );
+  sky130_fd_sc_hd__a21oi_1 U71904 ( .A1(n52353), .A2(n56328), .B1(n52352), .Y(
+        n73430) );
+  sky130_fd_sc_hd__a22oi_1 U71905 ( .A1(n56667), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_7[10]), .B1(n49103), .B2(n73430), .Y(n52356) );
+  sky130_fd_sc_hd__a22oi_1 U71906 ( .A1(n56932), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[10]), .B1(n49086), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[10]), .Y(n52355) );
+  sky130_fd_sc_hd__a22oi_1 U71907 ( .A1(n56695), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_7[10]), .B1(n49101), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[10]), .Y(n52354) );
+  sky130_fd_sc_hd__nand3_1 U71908 ( .A(n52356), .B(n52355), .C(n52354), .Y(
+        n52367) );
+  sky130_fd_sc_hd__a22oi_1 U71909 ( .A1(n56760), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[10]), .B1(n56840), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[10]), .Y(n52360) );
+  sky130_fd_sc_hd__a22oi_1 U71910 ( .A1(n56842), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[10]), .B1(n56681), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[10]), 
+        .Y(n52359) );
+  sky130_fd_sc_hd__nand2_1 U71911 ( .A(n48944), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[10]), .Y(n52358) );
+  sky130_fd_sc_hd__nand2_1 U71912 ( .A(n56843), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[10]), 
+        .Y(n52357) );
+  sky130_fd_sc_hd__nand4_1 U71913 ( .A(n52360), .B(n52359), .C(n52358), .D(
+        n52357), .Y(n52361) );
+  sky130_fd_sc_hd__a21oi_1 U71914 ( .A1(n36788), .A2(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_10_o_oe), .B1(n52361), .Y(
+        n52365) );
+  sky130_fd_sc_hd__a22oi_1 U71915 ( .A1(n56817), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[10]), 
+        .B1(n56816), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[10]), 
+        .Y(n52364) );
+  sky130_fd_sc_hd__a22oi_1 U71916 ( .A1(n48974), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[10]), 
+        .B1(n48976), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[10]), 
+        .Y(n52363) );
+  sky130_fd_sc_hd__a22oi_1 U71917 ( .A1(n49008), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[10]), .B1(n56929), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[10]), .Y(n52362) );
+  sky130_fd_sc_hd__nand4_1 U71918 ( .A(n52365), .B(n52364), .C(n52363), .D(
+        n52362), .Y(n52366) );
+  sky130_fd_sc_hd__nor2_1 U71919 ( .A(n52367), .B(n52366), .Y(n52370) );
+  sky130_fd_sc_hd__a22oi_1 U71920 ( .A1(n49121), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[10]), .B1(n56876), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[10]), .Y(n52369) );
+  sky130_fd_sc_hd__a22oi_1 U71921 ( .A1(n56875), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[10]), .B1(n49014), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[10]), .Y(n52368) );
+  sky130_fd_sc_hd__nand4_1 U71922 ( .A(n52371), .B(n52370), .C(n52369), .D(
+        n52368), .Y(n84415) );
+  sky130_fd_sc_hd__mux2_2 U71923 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[42]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[10]), .S(n36973), .X(n84303) );
+  sky130_fd_sc_hd__nand2_1 U71924 ( .A(n84303), .B(n56950), .Y(n52372) );
+  sky130_fd_sc_hd__o21ai_1 U71925 ( .A1(n56950), .A2(n52373), .B1(n52372), .Y(
+        n84833) );
+  sky130_fd_sc_hd__nor2_1 U71926 ( .A(n52375), .B(n52374), .Y(n57231) );
+  sky130_fd_sc_hd__a21oi_1 U71927 ( .A1(n52378), .A2(n52377), .B1(n52376), .Y(
+        n52379) );
+  sky130_fd_sc_hd__a31oi_1 U71928 ( .A1(n52380), .A2(n57231), .A3(n52379), 
+        .B1(n57267), .Y(n52396) );
+  sky130_fd_sc_hd__nand2_1 U71929 ( .A(n52382), .B(n52381), .Y(n57065) );
+  sky130_fd_sc_hd__a211oi_1 U71930 ( .A1(n41624), .A2(n52384), .B1(n52383), 
+        .C1(n57065), .Y(n57197) );
+  sky130_fd_sc_hd__o22ai_1 U71931 ( .A1(n57197), .A2(n56509), .B1(n52387), 
+        .B2(n52386), .Y(n52394) );
+  sky130_fd_sc_hd__nand2_1 U71932 ( .A(n57570), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[2]), .Y(n52391) );
+  sky130_fd_sc_hd__a22oi_1 U71933 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[9]), .A2(
+        n57560), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[9]), .B2(
+        n57559), .Y(n52390) );
+  sky130_fd_sc_hd__a22oi_1 U71934 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[13]), .A2(n57562), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[41]), 
+        .B2(n57564), .Y(n52389) );
+  sky130_fd_sc_hd__a22oi_1 U71935 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[41]), 
+        .A2(n57563), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[9]), 
+        .B2(n57561), .Y(n52388) );
+  sky130_fd_sc_hd__nand4_1 U71936 ( .A(n52391), .B(n52390), .C(n52389), .D(
+        n52388), .Y(n52392) );
+  sky130_fd_sc_hd__a21oi_1 U71937 ( .A1(n57588), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[2]), .B1(n52392), .Y(
+        n52393) );
+  sky130_fd_sc_hd__nand2b_1 U71938 ( .A_N(n52394), .B(n52393), .Y(n52395) );
+  sky130_fd_sc_hd__nor2_1 U71939 ( .A(n52396), .B(n52395), .Y(n52484) );
+  sky130_fd_sc_hd__nor4_1 U71940 ( .A(n52400), .B(n52399), .C(n52398), .D(
+        n52397), .Y(n52410) );
+  sky130_fd_sc_hd__nor2_1 U71941 ( .A(n52402), .B(n52401), .Y(n56582) );
+  sky130_fd_sc_hd__o22ai_1 U71942 ( .A1(n57339), .A2(n57334), .B1(n56993), 
+        .B2(n56994), .Y(n52406) );
+  sky130_fd_sc_hd__o22ai_1 U71943 ( .A1(n57193), .A2(n52404), .B1(n52403), 
+        .B2(n57300), .Y(n52405) );
+  sky130_fd_sc_hd__nor4b_1 U71944 ( .D_N(n52407), .A(n56582), .B(n52406), .C(
+        n52405), .Y(n52409) );
+  sky130_fd_sc_hd__nand4_1 U71945 ( .A(n52411), .B(n52410), .C(n52409), .D(
+        n52408), .Y(n52422) );
+  sky130_fd_sc_hd__a21oi_1 U71946 ( .A1(n52413), .A2(n52412), .B1(n57356), .Y(
+        n52420) );
+  sky130_fd_sc_hd__a211oi_1 U71947 ( .A1(n52417), .A2(n52744), .B1(n52416), 
+        .C1(n52415), .Y(n52418) );
+  sky130_fd_sc_hd__a31oi_1 U71948 ( .A1(n52420), .A2(n52419), .A3(n52418), 
+        .B1(n57155), .Y(n52421) );
+  sky130_fd_sc_hd__a21oi_1 U71949 ( .A1(n56999), .A2(n52422), .B1(n52421), .Y(
+        n52445) );
+  sky130_fd_sc_hd__nor2_1 U71950 ( .A(n52423), .B(n57313), .Y(n57049) );
+  sky130_fd_sc_hd__o21ai_0 U71951 ( .A1(n52752), .A2(n52424), .B1(n57464), .Y(
+        n52429) );
+  sky130_fd_sc_hd__o22ai_1 U71952 ( .A1(n52729), .A2(n57453), .B1(n57437), 
+        .B2(n56597), .Y(n52428) );
+  sky130_fd_sc_hd__o21ai_0 U71953 ( .A1(n56994), .A2(n52426), .B1(n52425), .Y(
+        n52427) );
+  sky130_fd_sc_hd__nor4_1 U71954 ( .A(n57049), .B(n52429), .C(n52428), .D(
+        n52427), .Y(n52444) );
+  sky130_fd_sc_hd__a31oi_1 U71955 ( .A1(n52432), .A2(n52431), .A3(n52430), 
+        .B1(n57079), .Y(n52442) );
+  sky130_fd_sc_hd__a21oi_1 U71956 ( .A1(n52433), .A2(n56413), .B1(n57186), .Y(
+        n52441) );
+  sky130_fd_sc_hd__o22ai_1 U71957 ( .A1(n57192), .A2(n57017), .B1(n57155), 
+        .B2(n57318), .Y(n52440) );
+  sky130_fd_sc_hd__nor2_1 U71958 ( .A(n57196), .B(n56457), .Y(n56410) );
+  sky130_fd_sc_hd__nor4_1 U71959 ( .A(n52436), .B(n56410), .C(n52435), .D(
+        n52434), .Y(n52438) );
+  sky130_fd_sc_hd__o22ai_1 U71960 ( .A1(n52438), .A2(n57427), .B1(n52437), 
+        .B2(n56986), .Y(n52439) );
+  sky130_fd_sc_hd__nor4_1 U71961 ( .A(n52442), .B(n52441), .C(n52440), .D(
+        n52439), .Y(n52443) );
+  sky130_fd_sc_hd__a31oi_1 U71962 ( .A1(n52445), .A2(n52444), .A3(n52443), 
+        .B1(n57517), .Y(n52482) );
+  sky130_fd_sc_hd__a22oi_1 U71963 ( .A1(n57571), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[42]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[10]), .B2(n57558), .Y(n52464) );
+  sky130_fd_sc_hd__a22oi_1 U71964 ( .A1(n56525), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__5[2]), .B1(n57587), .B2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__1[2]), .Y(n52463) );
+  sky130_fd_sc_hd__a22oi_1 U71965 ( .A1(n41794), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[10]), .B1(n37065), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[42]), .Y(n52462) );
+  sky130_fd_sc_hd__a21oi_1 U71966 ( .A1(n82296), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[10]), 
+        .B1(n52446), .Y(n52459) );
+  sky130_fd_sc_hd__a22oi_1 U71967 ( .A1(n82339), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[10]), 
+        .B1(n82315), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[10]), 
+        .Y(n52458) );
+  sky130_fd_sc_hd__a22oi_1 U71968 ( .A1(n56953), .A2(n52809), .B1(n38397), 
+        .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[10]), 
+        .Y(n52449) );
+  sky130_fd_sc_hd__a22oi_1 U71969 ( .A1(n82309), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[10]), 
+        .B1(n38396), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[10]), 
+        .Y(n52448) );
+  sky130_fd_sc_hd__a22oi_1 U71970 ( .A1(n82284), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[10]), 
+        .B1(n82278), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[10]), 
+        .Y(n52447) );
+  sky130_fd_sc_hd__nand4_1 U71971 ( .A(n52450), .B(n52449), .C(n52448), .D(
+        n52447), .Y(n52456) );
+  sky130_fd_sc_hd__a22oi_1 U71972 ( .A1(n82350), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[10]), 
+        .B1(n82333), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[10]), 
+        .Y(n52454) );
+  sky130_fd_sc_hd__a22oi_1 U71973 ( .A1(n82290), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[10]), 
+        .B1(n38401), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[10]), 
+        .Y(n52453) );
+  sky130_fd_sc_hd__a22oi_1 U71974 ( .A1(n82303), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[10]), 
+        .B1(n82327), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[10]), 
+        .Y(n52452) );
+  sky130_fd_sc_hd__a22oi_1 U71975 ( .A1(n82321), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[10]), 
+        .B1(n82361), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[10]), 
+        .Y(n52451) );
+  sky130_fd_sc_hd__nand4_1 U71976 ( .A(n52454), .B(n52453), .C(n52452), .D(
+        n52451), .Y(n52455) );
+  sky130_fd_sc_hd__a211oi_1 U71977 ( .A1(n57586), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[10]), 
+        .B1(n52456), .C1(n52455), .Y(n52457) );
+  sky130_fd_sc_hd__a31oi_1 U71978 ( .A1(n52459), .A2(n52458), .A3(n52457), 
+        .B1(n43381), .Y(n52460) );
+  sky130_fd_sc_hd__a21oi_1 U71979 ( .A1(n38443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[10]), .B1(n52460), .Y(n52461) );
+  sky130_fd_sc_hd__nand4_1 U71980 ( .A(n52464), .B(n52463), .C(n52462), .D(
+        n52461), .Y(n52481) );
+  sky130_fd_sc_hd__nor2_1 U71981 ( .A(n52465), .B(n57169), .Y(n57471) );
+  sky130_fd_sc_hd__nor2_1 U71982 ( .A(n52466), .B(n57181), .Y(n56978) );
+  sky130_fd_sc_hd__o21ai_0 U71983 ( .A1(n52710), .A2(n52468), .B1(n52467), .Y(
+        n52469) );
+  sky130_fd_sc_hd__nor4_1 U71984 ( .A(n56978), .B(n52470), .C(n57355), .D(
+        n52469), .Y(n52471) );
+  sky130_fd_sc_hd__o21ai_0 U71985 ( .A1(n57496), .A2(n57205), .B1(n52471), .Y(
+        n52472) );
+  sky130_fd_sc_hd__nor4_1 U71986 ( .A(n57173), .B(n57471), .C(n52473), .D(
+        n52472), .Y(n52474) );
+  sky130_fd_sc_hd__o22ai_1 U71987 ( .A1(n52475), .A2(n57240), .B1(n52474), 
+        .B2(n56606), .Y(n52480) );
+  sky130_fd_sc_hd__o22ai_1 U71988 ( .A1(n52478), .A2(n57239), .B1(n52477), 
+        .B2(n52476), .Y(n52479) );
+  sky130_fd_sc_hd__or4_1 U71989 ( .A(n52482), .B(n52481), .C(n52480), .D(
+        n52479), .X(n52483) );
+  sky130_fd_sc_hd__nor2b_1 U71990 ( .B_N(n52484), .A(n52483), .Y(n52485) );
+  sky130_fd_sc_hd__inv_1 U71991 ( .A(n52485), .Y(n84494) );
+  sky130_fd_sc_hd__mux2_2 U71992 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[42]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[10]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .X(n85313) );
+  sky130_fd_sc_hd__nand2_1 U71993 ( .A(n54312), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[42]), .Y(n52487) );
+  sky130_fd_sc_hd__nand2_1 U71994 ( .A(n54307), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[10]), .Y(n52486) );
+  sky130_fd_sc_hd__nand2_1 U71995 ( .A(n52487), .B(n52486), .Y(n85209) );
+  sky130_fd_sc_hd__nand2_1 U71996 ( .A(n54218), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_10[0]), .Y(
+        n52488) );
+  sky130_fd_sc_hd__o21ai_1 U71997 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_10[0]), .A2(
+        n54216), .B1(n52488), .Y(n52489) );
+  sky130_fd_sc_hd__o21ai_1 U71998 ( .A1(n52489), .A2(n36791), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_10[1]), .Y(
+        n52498) );
+  sky130_fd_sc_hd__nor2_1 U71999 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_10[1]), .B(
+        n54220), .Y(n52490) );
+  sky130_fd_sc_hd__nand2_1 U72001 ( .A(n38456), .B(n52491), .Y(n52493) );
+  sky130_fd_sc_hd__xnor2_1 U72002 ( .A(n52493), .B(n52492), .Y(n52495) );
+  sky130_fd_sc_hd__a22oi_1 U72003 ( .A1(n54228), .A2(n52495), .B1(n52494), 
+        .B2(n85209), .Y(n52496) );
+  sky130_fd_sc_hd__nand3_1 U72004 ( .A(n52498), .B(n52497), .C(n52496), .Y(
+        n84352) );
+  sky130_fd_sc_hd__nand2_1 U72005 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[42]), .Y(n52500) );
+  sky130_fd_sc_hd__nand2_1 U72006 ( .A(n54996), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[10]), .Y(n52499) );
+  sky130_fd_sc_hd__nand2_1 U72007 ( .A(n52500), .B(n52499), .Y(n85578) );
+  sky130_fd_sc_hd__mux2_2 U72008 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[42]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[10]), .S(n55502), .X(n85109) );
+  sky130_fd_sc_hd__nand2_1 U72009 ( .A(n56146), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_10[0]), .Y(
+        n52501) );
+  sky130_fd_sc_hd__o21ai_1 U72011 ( .A1(n52502), .A2(n36818), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_10[1]), .Y(
+        n52510) );
+  sky130_fd_sc_hd__nor2_1 U72012 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_10[1]), .B(
+        n56144), .Y(n52503) );
+  sky130_fd_sc_hd__o21ai_0 U72013 ( .A1(n52503), .A2(n51388), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_10[0]), .Y(
+        n52509) );
+  sky130_fd_sc_hd__nand2_1 U72014 ( .A(n38450), .B(n52504), .Y(n52506) );
+  sky130_fd_sc_hd__xnor2_1 U72015 ( .A(n52506), .B(n52505), .Y(n52507) );
+  sky130_fd_sc_hd__a22oi_1 U72016 ( .A1(n55472), .A2(n85109), .B1(n52507), 
+        .B2(n56149), .Y(n52508) );
+  sky130_fd_sc_hd__nand3_1 U72017 ( .A(n52510), .B(n52509), .C(n52508), .Y(
+        n84882) );
+  sky130_fd_sc_hd__a22oi_1 U72018 ( .A1(n86736), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[17]), 
+        .B1(n48207), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[17]), 
+        .Y(n52512) );
+  sky130_fd_sc_hd__a22oi_1 U72019 ( .A1(n56372), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[17]), 
+        .B1(n56205), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[17]), 
+        .Y(n52511) );
+  sky130_fd_sc_hd__nand2_1 U72020 ( .A(n52512), .B(n52511), .Y(n52539) );
+  sky130_fd_sc_hd__a22oi_1 U72021 ( .A1(n61078), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[17]), 
+        .B1(n63514), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[17]), .Y(n52516) );
+  sky130_fd_sc_hd__a22oi_1 U72022 ( .A1(n56116), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[17]), 
+        .B1(n63517), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[17]), .Y(n52515) );
+  sky130_fd_sc_hd__a22oi_1 U72023 ( .A1(n61081), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[17]), 
+        .B1(n60000), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[17]), 
+        .Y(n52514) );
+  sky130_fd_sc_hd__a22oi_1 U72024 ( .A1(n79921), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[17]), 
+        .B1(n63490), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[17]), .Y(n52513) );
+  sky130_fd_sc_hd__nand4_1 U72025 ( .A(n52516), .B(n52515), .C(n52514), .D(
+        n52513), .Y(n52517) );
+  sky130_fd_sc_hd__a22o_1 U72026 ( .A1(n36789), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[17]), 
+        .B1(n56122), .B2(n52517), .X(n52538) );
+  sky130_fd_sc_hd__nand2_1 U72027 ( .A(n56386), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_7[17]), .Y(n52525) );
+  sky130_fd_sc_hd__clkinv_1 U72028 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[17]), .Y(n52519) );
+  sky130_fd_sc_hd__a222oi_1 U72029 ( .A1(n63494), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_9[17]), .B1(n59967), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_1[17]), .C1(n59966), .C2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_10[17]), .Y(n52518) );
+  sky130_fd_sc_hd__o22ai_1 U72030 ( .A1(n52519), .A2(n56388), .B1(n52518), 
+        .B2(n56914), .Y(n52520) );
+  sky130_fd_sc_hd__a21oi_1 U72031 ( .A1(n51688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[17]), .B1(n52520), .Y(n52524) );
+  sky130_fd_sc_hd__a222oi_1 U72032 ( .A1(n63183), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend[17]), .B1(n63182), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_16[17]), .C1(n80737), .C2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_15[17]), .Y(n52521) );
+  sky130_fd_sc_hd__a2bb2oi_1 U72033 ( .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[17]), 
+        .B2(n36990), .A1_N(n52521), .A2_N(n56391), .Y(n52523) );
+  sky130_fd_sc_hd__a22oi_1 U72034 ( .A1(n36814), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[17]), 
+        .B1(n56393), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[17]), 
+        .Y(n52522) );
+  sky130_fd_sc_hd__nand4_1 U72035 ( .A(n52525), .B(n52524), .C(n52523), .D(
+        n52522), .Y(n52537) );
+  sky130_fd_sc_hd__a22oi_1 U72036 ( .A1(n56355), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_6[17]), .B1(n56354), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_6[17]), .Y(n52535) );
+  sky130_fd_sc_hd__a22oi_1 U72037 ( .A1(n56357), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_6[17]), .B1(n56356), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_7[17]), .Y(n52534) );
+  sky130_fd_sc_hd__clkinv_1 U72038 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[17]), .Y(n52528) );
+  sky130_fd_sc_hd__a22oi_1 U72039 ( .A1(n56359), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_2[1]), .B1(n56215), .B2(n60154), .Y(n52527) );
+  sky130_fd_sc_hd__o21ai_0 U72040 ( .A1(n52528), .A2(n56361), .B1(n52527), .Y(
+        n52529) );
+  sky130_fd_sc_hd__a21oi_1 U72041 ( .A1(n56754), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend[17]), .B1(n52529), .Y(n52533) );
+  sky130_fd_sc_hd__nor2_1 U72042 ( .A(n52531), .B(n52530), .Y(n56364) );
+  sky130_fd_sc_hd__a22oi_1 U72043 ( .A1(n56755), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_16[17]), .B1(n56364), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_15[17]), .Y(n52532) );
+  sky130_fd_sc_hd__nand4_1 U72044 ( .A(n52535), .B(n52534), .C(n52533), .D(
+        n52532), .Y(n52536) );
+  sky130_fd_sc_hd__nor4_1 U72045 ( .A(n52539), .B(n52538), .C(n52537), .D(
+        n52536), .Y(n52551) );
+  sky130_fd_sc_hd__a22oi_1 U72046 ( .A1(n56345), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_7[17]), .B1(n56344), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_7[17]), .Y(n52540) );
+  sky130_fd_sc_hd__o21ai_1 U72047 ( .A1(n52918), .A2(n73846), .B1(n52540), .Y(
+        n52541) );
+  sky130_fd_sc_hd__a21oi_1 U72048 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[17]), .A2(n56729), .B1(n52541), .Y(n52550) );
+  sky130_fd_sc_hd__a22oi_1 U72049 ( .A1(n56379), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_7[17]), .B1(n37077), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_6[17]), .Y(n52545) );
+  sky130_fd_sc_hd__a22oi_1 U72050 ( .A1(n56842), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[17]), .B1(n56681), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[17]), 
+        .Y(n52544) );
+  sky130_fd_sc_hd__nand2_1 U72051 ( .A(n56380), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_6[17]), .Y(n52543) );
+  sky130_fd_sc_hd__nand2_1 U72052 ( .A(n56843), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[17]), 
+        .Y(n52542) );
+  sky130_fd_sc_hd__nand4_1 U72053 ( .A(n52545), .B(n52544), .C(n52543), .D(
+        n52542), .Y(n52546) );
+  sky130_fd_sc_hd__a21oi_1 U72054 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[17]), .A2(n56275), .B1(n52546), .Y(n52549) );
+  sky130_fd_sc_hd__o22ai_1 U72055 ( .A1(n63679), .A2(n53026), .B1(n67986), 
+        .B2(n52871), .Y(n52547) );
+  sky130_fd_sc_hd__a21oi_1 U72056 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[17]), .A2(n56667), .B1(n52547), .Y(n52548) );
+  sky130_fd_sc_hd__nand4_1 U72057 ( .A(n52551), .B(n52550), .C(n52549), .D(
+        n52548), .Y(n84420) );
+  sky130_fd_sc_hd__mux2_2 U72058 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[49]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[17]), .S(n36973), .X(n85252) );
+  sky130_fd_sc_hd__mux2_2 U72059 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[49]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[17]), .S(n55502), .X(n85102) );
+  sky130_fd_sc_hd__nand2_1 U72060 ( .A(n56146), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_17[0]), .Y(
+        n52552) );
+  sky130_fd_sc_hd__o21ai_1 U72061 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_17[0]), .A2(
+        n56152), .B1(n52552), .Y(n52553) );
+  sky130_fd_sc_hd__o21ai_1 U72062 ( .A1(n52553), .A2(n48421), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_17[1]), .Y(
+        n52566) );
+  sky130_fd_sc_hd__nor2_1 U72063 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_17[1]), .B(
+        n56144), .Y(n52554) );
+  sky130_fd_sc_hd__o21ai_1 U72064 ( .A1(n52554), .A2(n52974), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_17[0]), .Y(
+        n52565) );
+  sky130_fd_sc_hd__nand2_1 U72065 ( .A(n52557), .B(n52556), .Y(n52562) );
+  sky130_fd_sc_hd__xnor2_1 U72067 ( .A(n52562), .B(n52561), .Y(n52563) );
+  sky130_fd_sc_hd__a22oi_1 U72068 ( .A1(n55472), .A2(n85102), .B1(n52563), 
+        .B2(n56149), .Y(n52564) );
+  sky130_fd_sc_hd__nand3_1 U72069 ( .A(n52566), .B(n52565), .C(n52564), .Y(
+        n84889) );
+  sky130_fd_sc_hd__a22oi_1 U72070 ( .A1(n56830), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[13]), .B1(n80692), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[5]), .Y(n52568) );
+  sky130_fd_sc_hd__a22oi_1 U72071 ( .A1(n80688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[29]), .B1(n56829), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[21]), .Y(n52567) );
+  sky130_fd_sc_hd__a21oi_1 U72072 ( .A1(n52568), .A2(n52567), .B1(n56831), .Y(
+        n52569) );
+  sky130_fd_sc_hd__a21oi_1 U72073 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[5]), 
+        .A2(n48976), .B1(n52569), .Y(n52573) );
+  sky130_fd_sc_hd__a22oi_1 U72074 ( .A1(n56819), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[5]), .B1(n56821), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T_16[5]), .Y(n52572) );
+  sky130_fd_sc_hd__a22oi_1 U72075 ( .A1(n56820), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[5]), .B1(n56817), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[5]), 
+        .Y(n52571) );
+  sky130_fd_sc_hd__a22oi_1 U72076 ( .A1(n56816), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[5]), 
+        .B1(n48974), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[5]), 
+        .Y(n52570) );
+  sky130_fd_sc_hd__nand4_1 U72077 ( .A(n52573), .B(n52572), .C(n52571), .D(
+        n52570), .Y(n52624) );
+  sky130_fd_sc_hd__a22oi_1 U72078 ( .A1(n81367), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[37]), 
+        .B1(n81364), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[61]), 
+        .Y(n52575) );
+  sky130_fd_sc_hd__a22oi_1 U72079 ( .A1(n56894), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[53]), 
+        .B1(n56893), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[45]), 
+        .Y(n52574) );
+  sky130_fd_sc_hd__a21oi_1 U72080 ( .A1(n52575), .A2(n52574), .B1(n56884), .Y(
+        n52585) );
+  sky130_fd_sc_hd__a22oi_1 U72081 ( .A1(n81126), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[61]), 
+        .B1(n56888), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[53]), 
+        .Y(n52577) );
+  sky130_fd_sc_hd__a22oi_1 U72082 ( .A1(n56889), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[45]), 
+        .B1(n81129), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[37]), 
+        .Y(n52576) );
+  sky130_fd_sc_hd__a21oi_1 U72083 ( .A1(n52577), .A2(n52576), .B1(n56862), .Y(
+        n52584) );
+  sky130_fd_sc_hd__a22oi_1 U72084 ( .A1(n81129), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[5]), 
+        .B1(n81126), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[29]), 
+        .Y(n52579) );
+  sky130_fd_sc_hd__a22oi_1 U72085 ( .A1(n56889), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[13]), 
+        .B1(n56888), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[21]), 
+        .Y(n52578) );
+  sky130_fd_sc_hd__a21oi_1 U72086 ( .A1(n52579), .A2(n52578), .B1(n56890), .Y(
+        n52583) );
+  sky130_fd_sc_hd__a22oi_1 U72087 ( .A1(n56894), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[21]), 
+        .B1(n81364), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[29]), 
+        .Y(n52581) );
+  sky130_fd_sc_hd__a22oi_1 U72088 ( .A1(n81367), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[5]), 
+        .B1(n56893), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[13]), 
+        .Y(n52580) );
+  sky130_fd_sc_hd__a21oi_1 U72089 ( .A1(n52581), .A2(n52580), .B1(n56895), .Y(
+        n52582) );
+  sky130_fd_sc_hd__nor4_1 U72090 ( .A(n52585), .B(n52584), .C(n52583), .D(
+        n52582), .Y(n52599) );
+  sky130_fd_sc_hd__a22oi_1 U72091 ( .A1(n56830), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[45]), .B1(n80692), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[37]), .Y(n52587) );
+  sky130_fd_sc_hd__a22oi_1 U72092 ( .A1(n80688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[61]), .B1(n56829), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[53]), .Y(n52586) );
+  sky130_fd_sc_hd__a21oi_1 U72093 ( .A1(n52587), .A2(n52586), .B1(n56826), .Y(
+        n52595) );
+  sky130_fd_sc_hd__a22oi_1 U72094 ( .A1(n56835), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[53]), .B1(n56834), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[45]), .Y(n52589) );
+  sky130_fd_sc_hd__a22oi_1 U72095 ( .A1(n80993), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[37]), .B1(n80990), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[61]), .Y(n52588) );
+  sky130_fd_sc_hd__a21oi_1 U72096 ( .A1(n52589), .A2(n52588), .B1(n56836), .Y(
+        n52590) );
+  sky130_fd_sc_hd__a21oi_1 U72097 ( .A1(n56840), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[5]), .B1(n52590), .Y(n52593) );
+  sky130_fd_sc_hd__nand2_1 U72098 ( .A(n56842), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[5]), .Y(n52591) );
+  sky130_fd_sc_hd__nand3_1 U72099 ( .A(n52593), .B(n52592), .C(n52591), .Y(
+        n52594) );
+  sky130_fd_sc_hd__a211oi_1 U72100 ( .A1(n48944), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[5]), .B1(n52595), .C1(n52594), .Y(n52598) );
+  sky130_fd_sc_hd__a22oi_1 U72101 ( .A1(n36788), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146_5), 
+        .B1(n56870), .B2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__receivedData_T[6]), .Y(n52597) );
+  sky130_fd_sc_hd__a22oi_1 U72102 ( .A1(n49008), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[5]), 
+        .B1(n56929), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[5]), 
+        .Y(n52596) );
+  sky130_fd_sc_hd__a22oi_1 U72103 ( .A1(n56940), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[45]), 
+        .B1(n81043), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[61]), 
+        .Y(n52601) );
+  sky130_fd_sc_hd__a22oi_1 U72104 ( .A1(n81046), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[37]), 
+        .B1(n56941), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[53]), 
+        .Y(n52600) );
+  sky130_fd_sc_hd__nand2_1 U72105 ( .A(n52601), .B(n52600), .Y(n52602) );
+  sky130_fd_sc_hd__a22o_1 U72106 ( .A1(n56841), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[5]), 
+        .B1(n52603), .B2(n52602), .X(n52604) );
+  sky130_fd_sc_hd__a21oi_1 U72107 ( .A1(n51688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[5]), .B1(n52604), .Y(n52609) );
+  sky130_fd_sc_hd__a22oi_1 U72108 ( .A1(n56696), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[5]), 
+        .B1(n36990), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[5]), 
+        .Y(n52608) );
+  sky130_fd_sc_hd__a22oi_1 U72109 ( .A1(n86736), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[5]), 
+        .B1(n56393), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[5]), 
+        .Y(n52607) );
+  sky130_fd_sc_hd__a22oi_1 U72110 ( .A1(n52605), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[5]), 
+        .B1(n48207), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[5]), 
+        .Y(n52606) );
+  sky130_fd_sc_hd__nand4_1 U72111 ( .A(n52609), .B(n52608), .C(n52607), .D(
+        n52606), .Y(n52611) );
+  sky130_fd_sc_hd__a211oi_1 U72112 ( .A1(n49014), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[5]), 
+        .B1(n52611), .C1(n52610), .Y(n52623) );
+  sky130_fd_sc_hd__a22oi_1 U72113 ( .A1(n56932), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[5]), 
+        .B1(n49086), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[5]), 
+        .Y(n52622) );
+  sky130_fd_sc_hd__a222oi_1 U72114 ( .A1(n52614), .A2(n67466), .B1(n67464), 
+        .B2(n52613), .C1(n52612), .C2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[3]), .Y(n67912) );
+  sky130_fd_sc_hd__a22oi_1 U72115 ( .A1(n52616), .A2(n63652), .B1(n56323), 
+        .B2(n52615), .Y(n52620) );
+  sky130_fd_sc_hd__a22oi_1 U72116 ( .A1(n52618), .A2(n56878), .B1(n56881), 
+        .B2(n52617), .Y(n52619) );
+  sky130_fd_sc_hd__nand2_1 U72117 ( .A(n52620), .B(n52619), .Y(n73408) );
+  sky130_fd_sc_hd__a22oi_1 U72118 ( .A1(n49103), .A2(n73408), .B1(n49121), 
+        .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[5]), 
+        .Y(n52621) );
+  sky130_fd_sc_hd__a22oi_1 U72119 ( .A1(n80386), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[5]), 
+        .B1(n56908), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[21]), .Y(n52627) );
+  sky130_fd_sc_hd__a22oi_1 U72120 ( .A1(n80383), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[29]), .B1(n56909), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[13]), .Y(n52626) );
+  sky130_fd_sc_hd__a21oi_1 U72121 ( .A1(n52627), .A2(n52626), .B1(n52625), .Y(
+        n52628) );
+  sky130_fd_sc_hd__a21oi_1 U72122 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_10[5]), .A2(n53066), .B1(n52628), .Y(n52637) );
+  sky130_fd_sc_hd__a22oi_1 U72123 ( .A1(n56371), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[5]), 
+        .B1(n36789), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[5]), 
+        .Y(n52636) );
+  sky130_fd_sc_hd__a22oi_1 U72124 ( .A1(n63517), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[5]), 
+        .B1(n61081), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[5]), 
+        .Y(n52632) );
+  sky130_fd_sc_hd__o2bb2ai_1 U72125 ( .B1(n56700), .B2(n79931), .A1_N(n79921), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[5]), 
+        .Y(n52629) );
+  sky130_fd_sc_hd__a21oi_1 U72126 ( .A1(n63514), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[5]), 
+        .B1(n52629), .Y(n52631) );
+  sky130_fd_sc_hd__a22oi_1 U72127 ( .A1(n61078), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[5]), 
+        .B1(n60000), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[5]), 
+        .Y(n52630) );
+  sky130_fd_sc_hd__a31oi_1 U72128 ( .A1(n52632), .A2(n52631), .A3(n52630), 
+        .B1(n56765), .Y(n52633) );
+  sky130_fd_sc_hd__a21oi_1 U72129 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[5]), .A2(n56706), .B1(n52633), .Y(n52635) );
+  sky130_fd_sc_hd__a22oi_1 U72130 ( .A1(n56707), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[5]), .B1(n53065), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_1[5]), .Y(n52634) );
+  sky130_fd_sc_hd__nand4_1 U72131 ( .A(n52637), .B(n52636), .C(n52635), .D(
+        n52634), .Y(n52638) );
+  sky130_fd_sc_hd__a21oi_1 U72132 ( .A1(n56811), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[5]), 
+        .B1(n52638), .Y(n52677) );
+  sky130_fd_sc_hd__a22oi_1 U72133 ( .A1(n56810), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_out_f_data[5]), 
+        .B1(n56781), .B2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[13]), .Y(n52676) );
+  sky130_fd_sc_hd__a22oi_1 U72134 ( .A1(n81193), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[61]), 
+        .B1(n56857), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[53]), 
+        .Y(n52641) );
+  sky130_fd_sc_hd__a22oi_1 U72135 ( .A1(n81194), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[37]), 
+        .B1(n56858), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[45]), 
+        .Y(n52640) );
+  sky130_fd_sc_hd__a21oi_1 U72136 ( .A1(n52641), .A2(n52640), .B1(n52639), .Y(
+        n52675) );
+  sky130_fd_sc_hd__a22oi_1 U72137 ( .A1(n81193), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[29]), 
+        .B1(n56857), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[21]), 
+        .Y(n52644) );
+  sky130_fd_sc_hd__a22oi_1 U72138 ( .A1(n81194), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[5]), 
+        .B1(n56858), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[13]), 
+        .Y(n52643) );
+  sky130_fd_sc_hd__a21oi_1 U72139 ( .A1(n52644), .A2(n52643), .B1(n52642), .Y(
+        n52674) );
+  sky130_fd_sc_hd__a22oi_1 U72140 ( .A1(n48762), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[5]), .B1(n48789), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[5]), .Y(n52656) );
+  sky130_fd_sc_hd__nand2_1 U72141 ( .A(n56746), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[5]), .Y(n52648) );
+  sky130_fd_sc_hd__a22oi_1 U72142 ( .A1(n52645), .A2(n60151), .B1(n56748), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_0[5]), .Y(n52647) );
+  sky130_fd_sc_hd__nand2_1 U72143 ( .A(n56749), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[5]), .Y(n52646) );
+  sky130_fd_sc_hd__nand3_1 U72144 ( .A(n52648), .B(n52647), .C(n52646), .Y(
+        n52649) );
+  sky130_fd_sc_hd__a21oi_1 U72145 ( .A1(n48818), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[5]), .B1(n52649), .Y(n52655) );
+  sky130_fd_sc_hd__a22oi_1 U72146 ( .A1(n56755), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T_16[5]), .B1(n56754), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T[5]), .Y(n52654) );
+  sky130_fd_sc_hd__a22oi_1 U72147 ( .A1(n56835), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[21]), .B1(n56834), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[13]), .Y(n52651) );
+  sky130_fd_sc_hd__a22oi_1 U72148 ( .A1(n80993), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[5]), .B1(n80990), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[29]), .Y(n52650) );
+  sky130_fd_sc_hd__a21oi_1 U72149 ( .A1(n52651), .A2(n52650), .B1(n56756), .Y(
+        n52652) );
+  sky130_fd_sc_hd__a21oi_1 U72150 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[5]), .A2(n56760), .B1(n52652), .Y(n52653) );
+  sky130_fd_sc_hd__nand4_1 U72151 ( .A(n52656), .B(n52655), .C(n52654), .D(
+        n52653), .Y(n52658) );
+  sky130_fd_sc_hd__a22o_1 U72152 ( .A1(n56770), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_out_f_data[5]), 
+        .B1(n56769), .B2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[5]), .X(n52657) );
+  sky130_fd_sc_hd__a22oi_1 U72153 ( .A1(n56792), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[53]), 
+        .B1(n81274), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[61]), 
+        .Y(n52660) );
+  sky130_fd_sc_hd__a22oi_1 U72154 ( .A1(n81273), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[37]), 
+        .B1(n56791), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[45]), 
+        .Y(n52659) );
+  sky130_fd_sc_hd__a21oi_1 U72155 ( .A1(n52660), .A2(n52659), .B1(n56793), .Y(
+        n52665) );
+  sky130_fd_sc_hd__a22oi_1 U72156 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[5]), 
+        .A2(n81273), .B1(n81274), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[29]), 
+        .Y(n52663) );
+  sky130_fd_sc_hd__nand2_1 U72157 ( .A(n56792), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[21]), 
+        .Y(n52662) );
+  sky130_fd_sc_hd__nand2_1 U72158 ( .A(n56791), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[13]), 
+        .Y(n52661) );
+  sky130_fd_sc_hd__a31oi_1 U72159 ( .A1(n52663), .A2(n52662), .A3(n52661), 
+        .B1(n56788), .Y(n52664) );
+  sky130_fd_sc_hd__nor2_1 U72160 ( .A(n52665), .B(n52664), .Y(n52672) );
+  sky130_fd_sc_hd__a22oi_1 U72161 ( .A1(n56772), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[13]), .B1(n56771), .B2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__receivedData_T[6]), .Y(n52671) );
+  sky130_fd_sc_hd__a222oi_1 U72162 ( .A1(n52668), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[3]), .B1(n63064), .B2(n52667), .C1(n52666), .C2(n63062), .Y(n68108) );
+  sky130_fd_sc_hd__a22oi_1 U72163 ( .A1(n56818), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T[5]), .B1(n56728), .B2(n68108), .Y(n52670) );
+  sky130_fd_sc_hd__nand2_1 U72164 ( .A(n56275), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[5]), 
+        .Y(n52669) );
+  sky130_fd_sc_hd__nand4_1 U72165 ( .A(n52672), .B(n52671), .C(n52670), .D(
+        n52669), .Y(n52673) );
+  sky130_fd_sc_hd__a22oi_1 U72166 ( .A1(n81043), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[29]), 
+        .B1(n56941), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[21]), 
+        .Y(n52679) );
+  sky130_fd_sc_hd__a22oi_1 U72167 ( .A1(n56940), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[13]), 
+        .B1(n81046), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[5]), 
+        .Y(n52678) );
+  sky130_fd_sc_hd__a21oi_1 U72168 ( .A1(n52679), .A2(n52678), .B1(n56942), .Y(
+        n52680) );
+  sky130_fd_sc_hd__a22oi_1 U72169 ( .A1(n80386), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[37]), .B1(n56908), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[53]), .Y(n52683) );
+  sky130_fd_sc_hd__a22oi_1 U72170 ( .A1(n80383), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[61]), .B1(n56909), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[45]), .Y(n52682) );
+  sky130_fd_sc_hd__a21oi_1 U72171 ( .A1(n52683), .A2(n52682), .B1(n52681), .Y(
+        n52684) );
+  sky130_fd_sc_hd__mux2_2 U72172 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[37]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[5]), .S(n36973), .X(n84304) );
+  sky130_fd_sc_hd__nand2_1 U72173 ( .A(n84304), .B(n56950), .Y(n52687) );
+  sky130_fd_sc_hd__a31oi_1 U72175 ( .A1(n57193), .A2(n52690), .A3(n57435), 
+        .B1(n52689), .Y(n52832) );
+  sky130_fd_sc_hd__o22ai_1 U72176 ( .A1(n52693), .A2(n52692), .B1(n57438), 
+        .B2(n52691), .Y(n52696) );
+  sky130_fd_sc_hd__o22ai_1 U72177 ( .A1(n57320), .A2(n56986), .B1(n52747), 
+        .B2(n52694), .Y(n52695) );
+  sky130_fd_sc_hd__nor4_1 U72178 ( .A(n52698), .B(n52697), .C(n52696), .D(
+        n52695), .Y(n52768) );
+  sky130_fd_sc_hd__nand2_1 U72179 ( .A(n52700), .B(n52699), .Y(n57333) );
+  sky130_fd_sc_hd__a21oi_1 U72180 ( .A1(n52702), .A2(n57333), .B1(n52701), .Y(
+        n52722) );
+  sky130_fd_sc_hd__o22ai_1 U72181 ( .A1(n57158), .A2(n52705), .B1(n52704), 
+        .B2(n52703), .Y(n52721) );
+  sky130_fd_sc_hd__nor4_1 U72182 ( .A(n52709), .B(n52708), .C(n52707), .D(
+        n52706), .Y(n52719) );
+  sky130_fd_sc_hd__o21ai_0 U72183 ( .A1(n52712), .A2(n52711), .B1(n52710), .Y(
+        n52713) );
+  sky130_fd_sc_hd__nor4_1 U72185 ( .A(n52717), .B(n52716), .C(n56461), .D(
+        n52715), .Y(n52718) );
+  sky130_fd_sc_hd__o22ai_1 U72186 ( .A1(n52719), .A2(n57153), .B1(n52718), 
+        .B2(n57427), .Y(n52720) );
+  sky130_fd_sc_hd__nor4_1 U72187 ( .A(n52723), .B(n52722), .C(n52721), .D(
+        n52720), .Y(n52767) );
+  sky130_fd_sc_hd__o22ai_1 U72188 ( .A1(n52725), .A2(n57169), .B1(n52724), 
+        .B2(n57053), .Y(n52731) );
+  sky130_fd_sc_hd__o22ai_1 U72189 ( .A1(n52729), .A2(n52728), .B1(n52727), 
+        .B2(n52726), .Y(n52730) );
+  sky130_fd_sc_hd__nor4b_1 U72190 ( .D_N(n52733), .A(n52732), .B(n52731), .C(
+        n52730), .Y(n52738) );
+  sky130_fd_sc_hd__o21ai_0 U72191 ( .A1(n57177), .A2(n57300), .B1(n52734), .Y(
+        n52735) );
+  sky130_fd_sc_hd__a31oi_1 U72193 ( .A1(n52739), .A2(n52738), .A3(n52737), 
+        .B1(n57325), .Y(n52762) );
+  sky130_fd_sc_hd__a31oi_1 U72194 ( .A1(n57125), .A2(n52741), .A3(n56468), 
+        .B1(n52740), .Y(n52750) );
+  sky130_fd_sc_hd__o21ai_0 U72195 ( .A1(n57188), .A2(n52743), .B1(n52742), .Y(
+        n52745) );
+  sky130_fd_sc_hd__o21ai_0 U72196 ( .A1(n52746), .A2(n52745), .B1(n52744), .Y(
+        n52748) );
+  sky130_fd_sc_hd__a31oi_1 U72197 ( .A1(n52750), .A2(n52749), .A3(n52748), 
+        .B1(n52747), .Y(n52761) );
+  sky130_fd_sc_hd__nor2_1 U72198 ( .A(n57296), .B(n52751), .Y(n52755) );
+  sky130_fd_sc_hd__nor2_1 U72199 ( .A(n57316), .B(n52752), .Y(n52754) );
+  sky130_fd_sc_hd__nor4_1 U72200 ( .A(n52756), .B(n52755), .C(n52754), .D(
+        n52753), .Y(n52759) );
+  sky130_fd_sc_hd__a31oi_1 U72201 ( .A1(n52759), .A2(n52758), .A3(n52757), 
+        .B1(n57155), .Y(n52760) );
+  sky130_fd_sc_hd__nor3_1 U72202 ( .A(n52762), .B(n52761), .C(n52760), .Y(
+        n52766) );
+  sky130_fd_sc_hd__nand3_1 U72203 ( .A(n52764), .B(n52763), .C(n57181), .Y(
+        n52765) );
+  sky130_fd_sc_hd__nand4_1 U72204 ( .A(n52768), .B(n52767), .C(n52766), .D(
+        n52765), .Y(n52786) );
+  sky130_fd_sc_hd__a22oi_1 U72205 ( .A1(n66956), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1327[5]), .B1(
+        n66945), .B2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_610[5]), .Y(
+        n67743) );
+  sky130_fd_sc_hd__a22oi_1 U72206 ( .A1(n52770), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[36]), 
+        .B1(n52769), .B2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[4]), .Y(n52778) );
+  sky130_fd_sc_hd__a22o_1 U72207 ( .A1(n52772), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[4]), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[4]), .B2(
+        n52771), .X(n52773) );
+  sky130_fd_sc_hd__a21oi_1 U72208 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[8]), 
+        .A2(n52774), .B1(n52773), .Y(n52777) );
+  sky130_fd_sc_hd__nand2_1 U72209 ( .A(n52775), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[36]), .Y(
+        n52776) );
+  sky130_fd_sc_hd__nand4_1 U72210 ( .A(n67743), .B(n52778), .C(n52777), .D(
+        n52776), .Y(n52779) );
+  sky130_fd_sc_hd__a22oi_1 U72211 ( .A1(n52780), .A2(n52779), .B1(n37065), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[37]), .Y(n52784) );
+  sky130_fd_sc_hd__a22oi_1 U72212 ( .A1(n57571), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[37]), .B1(n57587), .B2(MarmotCaravelChip_dut_sys_clint_oldBytes__0[5]), .Y(n52783)
+         );
+  sky130_fd_sc_hd__a22oi_1 U72213 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[5]), .A2(n41794), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[5]), .B2(n57558), .Y(n52782) );
+  sky130_fd_sc_hd__a22oi_1 U72214 ( .A1(n56525), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__4[5]), .B1(n38443), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[5]), .Y(n52781) );
+  sky130_fd_sc_hd__nand4_1 U72215 ( .A(n52784), .B(n52783), .C(n52782), .D(
+        n52781), .Y(n52785) );
+  sky130_fd_sc_hd__a21oi_1 U72216 ( .A1(n57096), .A2(n52786), .B1(n52785), .Y(
+        n52831) );
+  sky130_fd_sc_hd__nor3_1 U72217 ( .A(n50528), .B(n52788), .C(n52787), .Y(
+        n52789) );
+  sky130_fd_sc_hd__a31oi_1 U72218 ( .A1(n52792), .A2(n52791), .A3(n52790), 
+        .B1(n52789), .Y(n52800) );
+  sky130_fd_sc_hd__nand2_1 U72219 ( .A(n52794), .B(n52793), .Y(n52799) );
+  sky130_fd_sc_hd__nand2_1 U72220 ( .A(n52796), .B(n52795), .Y(n52798) );
+  sky130_fd_sc_hd__a31oi_1 U72221 ( .A1(n52800), .A2(n52799), .A3(n52798), 
+        .B1(n52797), .Y(n52826) );
+  sky130_fd_sc_hd__a22oi_1 U72222 ( .A1(n56952), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[5]), 
+        .B1(n52810), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[5]), 
+        .Y(n52804) );
+  sky130_fd_sc_hd__a22oi_1 U72223 ( .A1(n52808), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[5]), 
+        .B1(n52813), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[5]), 
+        .Y(n52803) );
+  sky130_fd_sc_hd__a22oi_1 U72224 ( .A1(n52809), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[5]), 
+        .B1(n52811), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[5]), 
+        .Y(n52802) );
+  sky130_fd_sc_hd__a22oi_1 U72225 ( .A1(n52812), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[5]), 
+        .B1(n52814), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[5]), 
+        .Y(n52801) );
+  sky130_fd_sc_hd__nand4_1 U72226 ( .A(n52804), .B(n52803), .C(n52802), .D(
+        n52801), .Y(n52805) );
+  sky130_fd_sc_hd__a22oi_1 U72227 ( .A1(n52807), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[5]), 
+        .B1(n52806), .B2(n52805), .Y(n52824) );
+  sky130_fd_sc_hd__a22oi_1 U72228 ( .A1(n52809), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[5]), 
+        .B1(n52808), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[5]), 
+        .Y(n52818) );
+  sky130_fd_sc_hd__a22oi_1 U72229 ( .A1(n52811), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[5]), 
+        .B1(n52810), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[5]), 
+        .Y(n52817) );
+  sky130_fd_sc_hd__a22oi_1 U72230 ( .A1(n52812), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[5]), 
+        .B1(n56952), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[5]), 
+        .Y(n52816) );
+  sky130_fd_sc_hd__a22oi_1 U72231 ( .A1(n52814), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[5]), 
+        .B1(n52813), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[5]), 
+        .Y(n52815) );
+  sky130_fd_sc_hd__nand4_1 U72232 ( .A(n52818), .B(n52817), .C(n52816), .D(
+        n52815), .Y(n52820) );
+  sky130_fd_sc_hd__a21oi_1 U72233 ( .A1(n52821), .A2(n52820), .B1(n52819), .Y(
+        n52823) );
+  sky130_fd_sc_hd__a21oi_1 U72234 ( .A1(n52824), .A2(n52823), .B1(n52822), .Y(
+        n52825) );
+  sky130_fd_sc_hd__a31oi_1 U72235 ( .A1(n52828), .A2(n52827), .A3(n52826), 
+        .B1(n52825), .Y(n52830) );
+  sky130_fd_sc_hd__a22oi_1 U72236 ( .A1(n57570), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[5]), .B1(n57588), .B2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[5]), .Y(n52829) );
+  sky130_fd_sc_hd__nand4_1 U72237 ( .A(n52832), .B(n52831), .C(n52830), .D(
+        n52829), .Y(n84489) );
+  sky130_fd_sc_hd__nand2_1 U72238 ( .A(n54312), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[37]), .Y(n52834) );
+  sky130_fd_sc_hd__nand2_1 U72239 ( .A(n54307), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[5]), .Y(n52833) );
+  sky130_fd_sc_hd__nand2_1 U72240 ( .A(n52834), .B(n52833), .Y(n84764) );
+  sky130_fd_sc_hd__nand2_1 U72241 ( .A(n54218), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_5[0]), .Y(
+        n52835) );
+  sky130_fd_sc_hd__o21ai_1 U72242 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_5[0]), .A2(
+        n54216), .B1(n52835), .Y(n52836) );
+  sky130_fd_sc_hd__o21ai_1 U72243 ( .A1(n52836), .A2(n36791), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_5[1]), .Y(
+        n52850) );
+  sky130_fd_sc_hd__nor2_1 U72244 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_5[1]), .B(
+        n54220), .Y(n52837) );
+  sky130_fd_sc_hd__a21oi_1 U72246 ( .A1(n52841), .A2(n52840), .B1(n52839), .Y(
+        n52846) );
+  sky130_fd_sc_hd__nand2_1 U72247 ( .A(n52844), .B(n52843), .Y(n52845) );
+  sky130_fd_sc_hd__xor2_1 U72248 ( .A(n52846), .B(n52845), .X(n52847) );
+  sky130_fd_sc_hd__a22oi_1 U72249 ( .A1(n54228), .A2(n52847), .B1(n52494), 
+        .B2(n84764), .Y(n52848) );
+  sky130_fd_sc_hd__nand3_1 U72250 ( .A(n52850), .B(n52849), .C(n52848), .Y(
+        n84348) );
+  sky130_fd_sc_hd__nand2_1 U72251 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[37]), .Y(n52852) );
+  sky130_fd_sc_hd__nand2_1 U72252 ( .A(n54996), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[5]), .Y(n52851) );
+  sky130_fd_sc_hd__nand2_1 U72253 ( .A(n52852), .B(n52851), .Y(n85570) );
+  sky130_fd_sc_hd__mux2_2 U72254 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[37]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[5]), .S(n55502), .X(n85114) );
+  sky130_fd_sc_hd__nand2_1 U72255 ( .A(n56146), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_5[0]), .Y(
+        n52853) );
+  sky130_fd_sc_hd__o21ai_1 U72257 ( .A1(n52854), .A2(n36818), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_5[1]), .Y(
+        n52868) );
+  sky130_fd_sc_hd__nor2_1 U72258 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_5[1]), .B(
+        n56144), .Y(n52855) );
+  sky130_fd_sc_hd__o21ai_1 U72259 ( .A1(n52855), .A2(n52974), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_5[0]), .Y(
+        n52867) );
+  sky130_fd_sc_hd__a21oi_1 U72260 ( .A1(n52859), .A2(n52858), .B1(n52857), .Y(
+        n52864) );
+  sky130_fd_sc_hd__nand2_1 U72261 ( .A(n52862), .B(n52861), .Y(n52863) );
+  sky130_fd_sc_hd__xor2_1 U72262 ( .A(n52864), .B(n52863), .X(n52865) );
+  sky130_fd_sc_hd__a22oi_1 U72263 ( .A1(n55472), .A2(n85114), .B1(n52865), 
+        .B2(n56149), .Y(n52866) );
+  sky130_fd_sc_hd__nand3_1 U72264 ( .A(n52868), .B(n52867), .C(n52866), .Y(
+        n84877) );
+  sky130_fd_sc_hd__mux2_2 U72265 ( .A0(n52870), .A1(n52869), .S(n67148), .X(
+        n76427) );
+  sky130_fd_sc_hd__o22ai_1 U72266 ( .A1(n66786), .A2(n53026), .B1(n52872), 
+        .B2(n52871), .Y(n52873) );
+  sky130_fd_sc_hd__a21oi_1 U72267 ( .A1(n56667), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_23[26]), .B1(n52873), .Y(n52893) );
+  sky130_fd_sc_hd__a22o_1 U72268 ( .A1(n56843), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[26]), 
+        .B1(n56919), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[26]), .X(n52874) );
+  sky130_fd_sc_hd__a21oi_1 U72269 ( .A1(n51688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[26]), .B1(n52874), .Y(n52878) );
+  sky130_fd_sc_hd__a22oi_1 U72270 ( .A1(n36814), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[26]), 
+        .B1(n36990), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[26]), 
+        .Y(n52877) );
+  sky130_fd_sc_hd__a22oi_1 U72271 ( .A1(n86736), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[26]), 
+        .B1(n56803), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[26]), 
+        .Y(n52876) );
+  sky130_fd_sc_hd__a22oi_1 U72272 ( .A1(n56804), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[26]), 
+        .B1(n48207), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[26]), 
+        .Y(n52875) );
+  sky130_fd_sc_hd__and4_1 U72273 ( .A(n52878), .B(n52877), .C(n52876), .D(
+        n52875), .X(n52892) );
+  sky130_fd_sc_hd__a22o_1 U72274 ( .A1(n56205), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[26]), 
+        .B1(n36789), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[26]), 
+        .X(n52879) );
+  sky130_fd_sc_hd__a21oi_1 U72275 ( .A1(n56729), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_23[26]), .B1(n52879), .Y(n52891) );
+  sky130_fd_sc_hd__a22oi_1 U72276 ( .A1(n61078), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[26]), 
+        .B1(n63514), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[26]), .Y(n52883) );
+  sky130_fd_sc_hd__a22oi_1 U72277 ( .A1(n56116), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[26]), 
+        .B1(n63517), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[26]), .Y(n52882) );
+  sky130_fd_sc_hd__a22oi_1 U72278 ( .A1(n61081), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[26]), 
+        .B1(n60000), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[26]), 
+        .Y(n52881) );
+  sky130_fd_sc_hd__a22oi_1 U72279 ( .A1(n79921), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[26]), 
+        .B1(n63490), .B2(MarmotCaravelChip_dut_io_pins_gpio_pins_26_o_oe), .Y(
+        n52880) );
+  sky130_fd_sc_hd__nand4_1 U72280 ( .A(n52883), .B(n52882), .C(n52881), .D(
+        n52880), .Y(n52884) );
+  sky130_fd_sc_hd__nand2_1 U72281 ( .A(n56122), .B(n52884), .Y(n52888) );
+  sky130_fd_sc_hd__a22oi_1 U72282 ( .A1(n85009), .A2(n55319), .B1(n56749), 
+        .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[26]), .Y(n52887) );
+  sky130_fd_sc_hd__nand2_1 U72283 ( .A(n56681), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[26]), 
+        .Y(n52886) );
+  sky130_fd_sc_hd__nand2_1 U72284 ( .A(n56842), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[26]), .Y(n52885) );
+  sky130_fd_sc_hd__nand4_1 U72285 ( .A(n52888), .B(n52887), .C(n52886), .D(
+        n52885), .Y(n52889) );
+  sky130_fd_sc_hd__a21oi_1 U72286 ( .A1(n56275), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[26]), .B1(n52889), .Y(n52890) );
+  sky130_fd_sc_hd__nand4_1 U72287 ( .A(n52893), .B(n52892), .C(n52891), .D(
+        n52890), .Y(n84427) );
+  sky130_fd_sc_hd__mux2_2 U72288 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[58]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[26]), .S(n55502), .X(n85094) );
+  sky130_fd_sc_hd__nand2_1 U72289 ( .A(n56146), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_26[0]), .Y(
+        n52894) );
+  sky130_fd_sc_hd__o21ai_1 U72291 ( .A1(n52895), .A2(n51594), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_26[1]), .Y(
+        n52905) );
+  sky130_fd_sc_hd__nor2_1 U72292 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_26[1]), .B(
+        n56144), .Y(n52896) );
+  sky130_fd_sc_hd__o21ai_1 U72293 ( .A1(n52896), .A2(n52974), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_26[0]), .Y(
+        n52904) );
+  sky130_fd_sc_hd__nand2_1 U72294 ( .A(n52899), .B(n52898), .Y(n52900) );
+  sky130_fd_sc_hd__xor2_1 U72295 ( .A(n52901), .B(n52900), .X(n52902) );
+  sky130_fd_sc_hd__a22oi_1 U72296 ( .A1(n55472), .A2(n85094), .B1(n52902), 
+        .B2(n56149), .Y(n52903) );
+  sky130_fd_sc_hd__nand3_1 U72297 ( .A(n52905), .B(n52904), .C(n52903), .Y(
+        n84897) );
+  sky130_fd_sc_hd__nand2_1 U72298 ( .A(n56840), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[15]), .Y(n52910) );
+  sky130_fd_sc_hd__a22oi_1 U72299 ( .A1(n56713), .A2(n85012), .B1(n56712), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_1[7]), .Y(n52909) );
+  sky130_fd_sc_hd__a2bb2oi_1 U72300 ( .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[15]), 
+        .B2(n56681), .A1_N(n53027), .A2_N(n52906), .Y(n52908) );
+  sky130_fd_sc_hd__nand2_1 U72301 ( .A(n48818), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[15]), .Y(n52907) );
+  sky130_fd_sc_hd__nand4_1 U72302 ( .A(n52910), .B(n52909), .C(n52908), .D(
+        n52907), .Y(n52916) );
+  sky130_fd_sc_hd__clkinv_1 U72303 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[15]), .Y(n52911) );
+  sky130_fd_sc_hd__o2bb2ai_1 U72304 ( .B1(n52911), .B2(n56388), .A1_N(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[15]), .A2_N(n56842), .Y(n52912) );
+  sky130_fd_sc_hd__a21oi_1 U72305 ( .A1(n36990), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[15]), 
+        .B1(n52912), .Y(n52915) );
+  sky130_fd_sc_hd__a22oi_1 U72306 ( .A1(n56802), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[15]), 
+        .B1(n56803), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[15]), 
+        .Y(n52914) );
+  sky130_fd_sc_hd__a22oi_1 U72307 ( .A1(n86736), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[15]), 
+        .B1(n48207), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[15]), 
+        .Y(n52913) );
+  sky130_fd_sc_hd__nand4b_1 U72308 ( .A_N(n52916), .B(n52915), .C(n52914), .D(
+        n52913), .Y(n52943) );
+  sky130_fd_sc_hd__a22oi_1 U72309 ( .A1(n48762), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[15]), .B1(n48789), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[15]), .Y(n52917) );
+  sky130_fd_sc_hd__a21oi_1 U72311 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[15]), .A2(n56275), .B1(n52919), .Y(n52932) );
+  sky130_fd_sc_hd__nand2_1 U72312 ( .A(n61716), .B(n61708), .Y(n52924) );
+  sky130_fd_sc_hd__nand2_1 U72313 ( .A(n56278), .B(n61714), .Y(n52923) );
+  sky130_fd_sc_hd__nand2_1 U72314 ( .A(n56277), .B(n61702), .Y(n52922) );
+  sky130_fd_sc_hd__nand2_1 U72315 ( .A(n56279), .B(n52920), .Y(n52921) );
+  sky130_fd_sc_hd__nand4_1 U72316 ( .A(n52924), .B(n52923), .C(n52922), .D(
+        n52921), .Y(n52925) );
+  sky130_fd_sc_hd__nand2_1 U72317 ( .A(n52925), .B(n56285), .Y(n63066) );
+  sky130_fd_sc_hd__nand2_1 U72318 ( .A(n52926), .B(n56726), .Y(n52928) );
+  sky130_fd_sc_hd__nand2_1 U72319 ( .A(n52927), .B(n61754), .Y(n63069) );
+  sky130_fd_sc_hd__nand3_1 U72320 ( .A(n63066), .B(n52928), .C(n63069), .Y(
+        n63122) );
+  sky130_fd_sc_hd__a22oi_1 U72321 ( .A1(n56728), .A2(n68083), .B1(n56817), 
+        .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[15]), 
+        .Y(n52931) );
+  sky130_fd_sc_hd__a22oi_1 U72322 ( .A1(n56816), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[15]), 
+        .B1(n48974), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[15]), 
+        .Y(n52930) );
+  sky130_fd_sc_hd__a22oi_1 U72323 ( .A1(n36788), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[15]), .B1(n48976), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[15]), 
+        .Y(n52929) );
+  sky130_fd_sc_hd__nand4_1 U72324 ( .A(n52932), .B(n52931), .C(n52930), .D(
+        n52929), .Y(n52942) );
+  sky130_fd_sc_hd__nand2_1 U72325 ( .A(n48880), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[15]), .Y(n52940) );
+  sky130_fd_sc_hd__a22oi_1 U72326 ( .A1(n56372), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[15]), 
+        .B1(n56205), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[15]), 
+        .Y(n52939) );
+  sky130_fd_sc_hd__nand2_1 U72327 ( .A(n36789), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[15]), 
+        .Y(n52938) );
+  sky130_fd_sc_hd__a22oi_1 U72328 ( .A1(n63514), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[15]), .B1(n60000), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[15]), 
+        .Y(n52936) );
+  sky130_fd_sc_hd__o2bb2ai_1 U72329 ( .B1(n56700), .B2(n78022), .A1_N(n79921), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[15]), 
+        .Y(n52933) );
+  sky130_fd_sc_hd__a21oi_1 U72330 ( .A1(n61081), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[15]), 
+        .B1(n52933), .Y(n52935) );
+  sky130_fd_sc_hd__a22oi_1 U72331 ( .A1(n63517), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[15]), .B1(n61078), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[15]), 
+        .Y(n52934) );
+  sky130_fd_sc_hd__a31o_1 U72332 ( .A1(n52936), .A2(n52935), .A3(n52934), .B1(
+        n56765), .X(n52937) );
+  sky130_fd_sc_hd__nand4_1 U72333 ( .A(n52940), .B(n52939), .C(n52938), .D(
+        n52937), .Y(n52941) );
+  sky130_fd_sc_hd__nor3_1 U72334 ( .A(n52943), .B(n52942), .C(n52941), .Y(
+        n52971) );
+  sky130_fd_sc_hd__nand2_1 U72335 ( .A(n52944), .B(n63652), .Y(n52953) );
+  sky130_fd_sc_hd__a2bb2oi_1 U72336 ( .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[30]), .B2(n56312), .A1_N(n68254), .A2_N(n56319), .Y(n52945) );
+  sky130_fd_sc_hd__nand2_1 U72338 ( .A(n52947), .B(n56323), .Y(n52952) );
+  sky130_fd_sc_hd__nand2_1 U72339 ( .A(n52949), .B(n56881), .Y(n52950) );
+  sky130_fd_sc_hd__nand2_1 U72340 ( .A(n52954), .B(n56261), .Y(n52962) );
+  sky130_fd_sc_hd__nand2_1 U72341 ( .A(n52955), .B(n61610), .Y(n67472) );
+  sky130_fd_sc_hd__nand2_1 U72342 ( .A(n52956), .B(n61494), .Y(n52960) );
+  sky130_fd_sc_hd__nand2_1 U72343 ( .A(n56248), .B(n61594), .Y(n52959) );
+  sky130_fd_sc_hd__nand2_1 U72344 ( .A(n56246), .B(n61486), .Y(n52958) );
+  sky130_fd_sc_hd__nand2_1 U72345 ( .A(n56247), .B(n61597), .Y(n52957) );
+  sky130_fd_sc_hd__nand4_1 U72346 ( .A(n52960), .B(n52959), .C(n52958), .D(
+        n52957), .Y(n52961) );
+  sky130_fd_sc_hd__nand2_1 U72347 ( .A(n52961), .B(n56669), .Y(n67468) );
+  sky130_fd_sc_hd__nand3_1 U72348 ( .A(n52962), .B(n67472), .C(n67468), .Y(
+        n61562) );
+  sky130_fd_sc_hd__o22ai_1 U72349 ( .A1(n61562), .A2(n56680), .B1(n56679), 
+        .B2(n52963), .Y(n52964) );
+  sky130_fd_sc_hd__a21oi_1 U72350 ( .A1(n49103), .A2(n73373), .B1(n52964), .Y(
+        n52967) );
+  sky130_fd_sc_hd__a22oi_1 U72351 ( .A1(n49008), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[15]), .B1(n56929), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[15]), .Y(n52966) );
+  sky130_fd_sc_hd__a22oi_1 U72352 ( .A1(n56932), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[15]), .B1(n49086), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[15]), .Y(n52965) );
+  sky130_fd_sc_hd__and3_1 U72353 ( .A(n52967), .B(n52966), .C(n52965), .X(
+        n52970) );
+  sky130_fd_sc_hd__a22oi_1 U72354 ( .A1(n49121), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[15]), .B1(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[15]), 
+        .B2(n56875), .Y(n52969) );
+  sky130_fd_sc_hd__a22oi_1 U72355 ( .A1(n56876), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[15]), .B1(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[15]), 
+        .B2(n49014), .Y(n52968) );
+  sky130_fd_sc_hd__nand4_1 U72356 ( .A(n52971), .B(n52970), .C(n52969), .D(
+        n52968), .Y(n84419) );
+  sky130_fd_sc_hd__mux2_2 U72357 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[47]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[15]), .S(n36973), .X(n85243) );
+  sky130_fd_sc_hd__mux2_2 U72358 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[47]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[15]), .S(n55502), .X(n85104) );
+  sky130_fd_sc_hd__nand2_1 U72359 ( .A(n56146), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_15[0]), .Y(
+        n52972) );
+  sky130_fd_sc_hd__o21ai_1 U72360 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_15[0]), .A2(
+        n56152), .B1(n52972), .Y(n52973) );
+  sky130_fd_sc_hd__o21ai_1 U72361 ( .A1(n52973), .A2(n36818), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_15[1]), .Y(
+        n52985) );
+  sky130_fd_sc_hd__nor2_1 U72362 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_15[1]), .B(
+        n56144), .Y(n52975) );
+  sky130_fd_sc_hd__o21ai_1 U72363 ( .A1(n52975), .A2(n52974), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_15[0]), .Y(
+        n52984) );
+  sky130_fd_sc_hd__nand2_1 U72364 ( .A(n38452), .B(n52976), .Y(n52981) );
+  sky130_fd_sc_hd__o21ai_1 U72365 ( .A1(n52979), .A2(n52978), .B1(n52977), .Y(
+        n52980) );
+  sky130_fd_sc_hd__xnor2_1 U72366 ( .A(n52981), .B(n52980), .Y(n52982) );
+  sky130_fd_sc_hd__a22oi_1 U72367 ( .A1(n56151), .A2(n85104), .B1(n52982), 
+        .B2(n56149), .Y(n52983) );
+  sky130_fd_sc_hd__nand3_1 U72368 ( .A(n52985), .B(n52984), .C(n52983), .Y(
+        n84887) );
+  sky130_fd_sc_hd__nor2b_1 U72369 ( .B_N(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_out_prepend_1[7]), .A(n83631), .Y(n79711) );
+  sky130_fd_sc_hd__nor3_1 U72370 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[0]), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[2]), .C(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[1]), .Y(
+        n79637) );
+  sky130_fd_sc_hd__nor2_1 U72371 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[3]), .B(
+        n79641), .Y(n79640) );
+  sky130_fd_sc_hd__nand2_1 U72372 ( .A(n79640), .B(n60435), .Y(n79644) );
+  sky130_fd_sc_hd__nor2_1 U72373 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[5]), .B(
+        n79644), .Y(n79643) );
+  sky130_fd_sc_hd__nand2_1 U72374 ( .A(n79643), .B(n60433), .Y(n79647) );
+  sky130_fd_sc_hd__nor2_1 U72375 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[7]), .B(
+        n79647), .Y(n79646) );
+  sky130_fd_sc_hd__nand2_1 U72376 ( .A(n79646), .B(n60441), .Y(n79650) );
+  sky130_fd_sc_hd__nor2_1 U72377 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[9]), .B(
+        n79650), .Y(n79649) );
+  sky130_fd_sc_hd__nand2_1 U72378 ( .A(n79649), .B(n60431), .Y(n79653) );
+  sky130_fd_sc_hd__nor2_1 U72379 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[11]), .B(
+        n79653), .Y(n79652) );
+  sky130_fd_sc_hd__nand2_1 U72380 ( .A(n79652), .B(n60437), .Y(n79656) );
+  sky130_fd_sc_hd__nor2_1 U72381 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[13]), .B(
+        n79656), .Y(n79655) );
+  sky130_fd_sc_hd__nand2_1 U72382 ( .A(n79655), .B(n60439), .Y(n79659) );
+  sky130_fd_sc_hd__nor2_1 U72383 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[15]), .B(
+        n79659), .Y(n52986) );
+  sky130_fd_sc_hd__a31oi_1 U72384 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_dSCL), .A2(
+        n79498), .A3(n85792), .B1(n52986), .Y(n60430) );
+  sky130_fd_sc_hd__nand2_1 U72385 ( .A(n79711), .B(n60430), .Y(n85523) );
+  sky130_fd_sc_hd__a222oi_1 U72386 ( .A1(n63494), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_9[18]), .B1(n59967), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_1[18]), .C1(n59966), .C2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_10[18]), .Y(n52991) );
+  sky130_fd_sc_hd__a22oi_1 U72387 ( .A1(n56754), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend[18]), .B1(n56364), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_15[18]), .Y(n52988) );
+  sky130_fd_sc_hd__a22oi_1 U72388 ( .A1(n56749), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[18]), .B1(n56755), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_16[18]), .Y(n52987) );
+  sky130_fd_sc_hd__nand2_1 U72389 ( .A(n52988), .B(n52987), .Y(n52989) );
+  sky130_fd_sc_hd__a21oi_1 U72390 ( .A1(n51688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[18]), .B1(n52989), .Y(n52990) );
+  sky130_fd_sc_hd__a21oi_1 U72392 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_6[18]), .A2(n37077), .B1(n52992), .Y(n53041) );
+  sky130_fd_sc_hd__o22ai_1 U72393 ( .A1(n52995), .A2(n52994), .B1(n52993), 
+        .B2(n53075), .Y(n52996) );
+  sky130_fd_sc_hd__a21oi_1 U72394 ( .A1(n56359), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_2[2]), .B1(n52996), .Y(n53039) );
+  sky130_fd_sc_hd__nand2_1 U72395 ( .A(n56372), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[18]), 
+        .Y(n53000) );
+  sky130_fd_sc_hd__nand2_1 U72396 ( .A(n48207), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[18]), 
+        .Y(n52999) );
+  sky130_fd_sc_hd__nand2_1 U72397 ( .A(n56205), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[18]), 
+        .Y(n52998) );
+  sky130_fd_sc_hd__nand2_1 U72398 ( .A(n36789), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[18]), 
+        .Y(n52997) );
+  sky130_fd_sc_hd__nand4_1 U72399 ( .A(n53000), .B(n52999), .C(n52998), .D(
+        n52997), .Y(n53016) );
+  sky130_fd_sc_hd__nand2_1 U72400 ( .A(n56344), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_7[18]), .Y(n53003) );
+  sky130_fd_sc_hd__nand2_1 U72401 ( .A(n56355), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_6[18]), .Y(n53002) );
+  sky130_fd_sc_hd__nand2_1 U72402 ( .A(n48944), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[18]), .Y(n53001) );
+  sky130_fd_sc_hd__nand3_1 U72403 ( .A(n53003), .B(n53002), .C(n53001), .Y(
+        n53015) );
+  sky130_fd_sc_hd__a22oi_1 U72404 ( .A1(n61078), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[18]), 
+        .B1(n60000), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[18]), 
+        .Y(n53009) );
+  sky130_fd_sc_hd__a22oi_1 U72405 ( .A1(n63517), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[18]), .B1(n61081), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[18]), 
+        .Y(n53008) );
+  sky130_fd_sc_hd__o22ai_1 U72406 ( .A1(n56700), .A2(n79960), .B1(n53005), 
+        .B2(n53004), .Y(n53006) );
+  sky130_fd_sc_hd__a21oi_1 U72407 ( .A1(n79921), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[18]), 
+        .B1(n53006), .Y(n53007) );
+  sky130_fd_sc_hd__a31oi_1 U72408 ( .A1(n53009), .A2(n53008), .A3(n53007), 
+        .B1(n56765), .Y(n53013) );
+  sky130_fd_sc_hd__o2bb2ai_1 U72409 ( .B1(n53011), .B2(n53010), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_6[18]), .A2_N(n56357), .Y(n53012) );
+  sky130_fd_sc_hd__a211o_1 U72410 ( .A1(n56354), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_6[18]), .B1(n53013), .C1(n53012), .X(n53014) );
+  sky130_fd_sc_hd__nor3_1 U72411 ( .A(n53016), .B(n53015), .C(n53014), .Y(
+        n53038) );
+  sky130_fd_sc_hd__a222oi_1 U72412 ( .A1(n63183), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend[18]), .B1(n63182), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_16[18]), .C1(n80737), .C2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_15[18]), .Y(n53019) );
+  sky130_fd_sc_hd__clkinv_1 U72413 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[18]), .Y(n53017) );
+  sky130_fd_sc_hd__a2bb2oi_1 U72414 ( .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[18]), .B2(n56842), .A1_N(n53017), .A2_N(n56388), .Y(n53018) );
+  sky130_fd_sc_hd__nand2_1 U72416 ( .A(n36990), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[18]), 
+        .Y(n53023) );
+  sky130_fd_sc_hd__nand2_1 U72417 ( .A(n36814), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[18]), 
+        .Y(n53022) );
+  sky130_fd_sc_hd__nand2_1 U72418 ( .A(n86736), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[18]), 
+        .Y(n53021) );
+  sky130_fd_sc_hd__nand2_1 U72419 ( .A(n56803), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[18]), 
+        .Y(n53020) );
+  sky130_fd_sc_hd__nand4_1 U72420 ( .A(n53023), .B(n53022), .C(n53021), .D(
+        n53020), .Y(n53024) );
+  sky130_fd_sc_hd__a211o_1 U72421 ( .A1(n56386), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_7[18]), .B1(n53025), .C1(n53024), .X(n53037) );
+  sky130_fd_sc_hd__o2bb2ai_1 U72422 ( .B1(n67144), .B2(n53026), .A1_N(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_16[18]), .A2_N(n56695), .Y(n53035) );
+  sky130_fd_sc_hd__nand2_1 U72423 ( .A(n56380), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_6[18]), .Y(n53033) );
+  sky130_fd_sc_hd__o2bb2ai_1 U72424 ( .B1(n53028), .B2(n53027), .A1_N(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[18]), 
+        .A2_N(n56681), .Y(n53029) );
+  sky130_fd_sc_hd__a21oi_1 U72425 ( .A1(n56345), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_7[18]), .B1(n53029), .Y(n53032) );
+  sky130_fd_sc_hd__o22a_1 U72426 ( .A1(n67117), .A2(n56787), .B1(n68082), .B2(
+        n56349), .X(n53031) );
+  sky130_fd_sc_hd__nand2_1 U72427 ( .A(n50877), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[18]), .Y(n53030) );
+  sky130_fd_sc_hd__nand4_1 U72428 ( .A(n53033), .B(n53032), .C(n53031), .D(
+        n53030), .Y(n53034) );
+  sky130_fd_sc_hd__a211o_1 U72429 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[18]), .A2(n56667), .B1(n53035), .C1(n53034), .X(n53036) );
+  sky130_fd_sc_hd__nor4bb_1 U72430 ( .C_N(n53039), .D_N(n53038), .A(n53037), 
+        .B(n53036), .Y(n53040) );
+  sky130_fd_sc_hd__nand2_1 U72431 ( .A(n53041), .B(n53040), .Y(n84421) );
+  sky130_fd_sc_hd__mux2_2 U72432 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[50]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[18]), .S(n36973), .X(n85239) );
+  sky130_fd_sc_hd__mux2_2 U72433 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[50]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[18]), .S(n55502), .X(n85101) );
+  sky130_fd_sc_hd__nand2_1 U72434 ( .A(n56146), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_18[0]), .Y(
+        n53042) );
+  sky130_fd_sc_hd__o21ai_1 U72436 ( .A1(n53043), .A2(n36818), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_18[1]), .Y(
+        n53050) );
+  sky130_fd_sc_hd__nor2_1 U72437 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_18[1]), .B(
+        n56144), .Y(n53044) );
+  sky130_fd_sc_hd__nand2_1 U72439 ( .A(n53045), .B(n53160), .Y(n53046) );
+  sky130_fd_sc_hd__xor2_1 U72440 ( .A(n53161), .B(n53046), .X(n53047) );
+  sky130_fd_sc_hd__a22oi_1 U72441 ( .A1(n56151), .A2(n85101), .B1(n53047), 
+        .B2(n56149), .Y(n53048) );
+  sky130_fd_sc_hd__nand3_1 U72442 ( .A(n53050), .B(n53049), .C(n53048), .Y(
+        n84890) );
+  sky130_fd_sc_hd__o22ai_1 U72443 ( .A1(n53055), .A2(n53054), .B1(n53053), 
+        .B2(n53052), .Y(n53073) );
+  sky130_fd_sc_hd__clkinv_1 U72444 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[20]), 
+        .Y(n53057) );
+  sky130_fd_sc_hd__o22ai_1 U72445 ( .A1(n53057), .A2(n53056), .B1(n52027), 
+        .B2(n60233), .Y(n53072) );
+  sky130_fd_sc_hd__a22oi_1 U72446 ( .A1(n56116), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[20]), 
+        .B1(n61081), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[20]), 
+        .Y(n53060) );
+  sky130_fd_sc_hd__a22oi_1 U72447 ( .A1(n63517), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[20]), .B1(n79921), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[20]), 
+        .Y(n53059) );
+  sky130_fd_sc_hd__a22oi_1 U72448 ( .A1(n61078), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[20]), 
+        .B1(n60000), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[20]), 
+        .Y(n53058) );
+  sky130_fd_sc_hd__nand3_1 U72449 ( .A(n53060), .B(n53059), .C(n53058), .Y(
+        n53061) );
+  sky130_fd_sc_hd__a21oi_1 U72450 ( .A1(n63514), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[20]), .B1(n53061), .Y(n53064) );
+  sky130_fd_sc_hd__nand2_1 U72451 ( .A(n56205), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[20]), 
+        .Y(n53063) );
+  sky130_fd_sc_hd__a22oi_1 U72452 ( .A1(n86736), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[20]), 
+        .B1(n56372), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[20]), 
+        .Y(n53062) );
+  sky130_fd_sc_hd__o211ai_1 U72453 ( .A1(n53064), .A2(n56765), .B1(n53063), 
+        .C1(n53062), .Y(n53071) );
+  sky130_fd_sc_hd__a22oi_1 U72454 ( .A1(n56275), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[20]), .B1(n56729), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[20]), .Y(n53069) );
+  sky130_fd_sc_hd__a22oi_1 U72455 ( .A1(n36789), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[20]), 
+        .B1(n53065), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_1[20]), .Y(n53068) );
+  sky130_fd_sc_hd__a22oi_1 U72456 ( .A1(n48944), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[20]), .B1(n53066), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_10[20]), .Y(n53067) );
+  sky130_fd_sc_hd__nand3_1 U72457 ( .A(n53069), .B(n53068), .C(n53067), .Y(
+        n53070) );
+  sky130_fd_sc_hd__nor4_1 U72458 ( .A(n53073), .B(n53072), .C(n53071), .D(
+        n53070), .Y(n53088) );
+  sky130_fd_sc_hd__a22oi_1 U72459 ( .A1(n56818), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend[20]), .B1(n56821), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_16[20]), .Y(n53087) );
+  sky130_fd_sc_hd__a22oi_1 U72460 ( .A1(n36788), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[20]), .B1(n56929), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[20]), .Y(n53086) );
+  sky130_fd_sc_hd__nand2_1 U72461 ( .A(n56695), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_16[20]), .Y(n53083) );
+  sky130_fd_sc_hd__a22o_1 U72462 ( .A1(n56681), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[20]), 
+        .B1(n56919), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[20]), .X(n53074) );
+  sky130_fd_sc_hd__a21oi_1 U72463 ( .A1(n51688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[20]), .B1(n53074), .Y(n53082) );
+  sky130_fd_sc_hd__nand2_1 U72464 ( .A(n56755), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_16[20]), .Y(n53078) );
+  sky130_fd_sc_hd__a22oi_1 U72465 ( .A1(n56359), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_2[4]), .B1(n56358), .B2(n85270), .Y(n53077) );
+  sky130_fd_sc_hd__nand2_1 U72466 ( .A(n56749), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[20]), .Y(n53076) );
+  sky130_fd_sc_hd__nand3_1 U72467 ( .A(n53078), .B(n53077), .C(n53076), .Y(
+        n53079) );
+  sky130_fd_sc_hd__a21oi_1 U72468 ( .A1(n56754), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend[20]), .B1(n53079), .Y(n53081) );
+  sky130_fd_sc_hd__a22oi_1 U72469 ( .A1(n56842), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[20]), .B1(n56843), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[20]), 
+        .Y(n53080) );
+  sky130_fd_sc_hd__nand4_1 U72470 ( .A(n53083), .B(n53082), .C(n53081), .D(
+        n53080), .Y(n53084) );
+  sky130_fd_sc_hd__a21oi_1 U72471 ( .A1(n56667), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[20]), .B1(n53084), .Y(n53085) );
+  sky130_fd_sc_hd__nand4_1 U72472 ( .A(n53088), .B(n53087), .C(n53086), .D(
+        n53085), .Y(n84423) );
+  sky130_fd_sc_hd__mux2_2 U72473 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[52]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[20]), .S(n36973), .X(n85237) );
+  sky130_fd_sc_hd__mux2_2 U72474 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[52]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[20]), .S(n55502), .X(n85099) );
+  sky130_fd_sc_hd__nand2_1 U72475 ( .A(n56146), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_20[0]), .Y(
+        n53089) );
+  sky130_fd_sc_hd__o21ai_1 U72477 ( .A1(n53090), .A2(n36818), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_20[1]), .Y(
+        n53099) );
+  sky130_fd_sc_hd__nor2_1 U72478 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_20[1]), .B(
+        n56144), .Y(n53091) );
+  sky130_fd_sc_hd__o21ai_1 U72479 ( .A1(n53091), .A2(n52974), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_20[0]), .Y(
+        n53098) );
+  sky130_fd_sc_hd__nand2_1 U72480 ( .A(n53093), .B(n53092), .Y(n53095) );
+  sky130_fd_sc_hd__xnor2_1 U72481 ( .A(n53095), .B(n53094), .Y(n53096) );
+  sky130_fd_sc_hd__a22oi_1 U72482 ( .A1(n56151), .A2(n85099), .B1(n53096), 
+        .B2(n56149), .Y(n53097) );
+  sky130_fd_sc_hd__nand3_1 U72483 ( .A(n53099), .B(n53098), .C(n53097), .Y(
+        n84892) );
+  sky130_fd_sc_hd__nand3_1 U72484 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_cs_mode[0]), .B(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_cs_mode[1]), 
+        .C(n77824), .Y(n85254) );
+  sky130_fd_sc_hd__nor2_1 U72485 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_state[0]), 
+        .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_state[1]), 
+        .Y(n80981) );
+  sky130_fd_sc_hd__nand2_1 U72486 ( .A(n80981), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_io_link_active), .Y(n77836) );
+  sky130_fd_sc_hd__nand2b_1 U72487 ( .A_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_4_0_), .B(n85254), .Y(n53101) );
+  sky130_fd_sc_hd__nand2_1 U72488 ( .A(n53101), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_5_0_), .Y(n53100) );
+  sky130_fd_sc_hd__xnor2_1 U72490 ( .A(io_out[8]), .B(n80983), .Y(n53102) );
+  sky130_fd_sc_hd__nand2b_1 U72491 ( .A_N(n53102), .B(n77824), .Y(n53103) );
+  sky130_fd_sc_hd__nand2_1 U72492 ( .A(n53103), .B(n75830), .Y(n80977) );
+  sky130_fd_sc_hd__nor2_1 U72493 ( .A(n77836), .B(n80977), .Y(n85471) );
+  sky130_fd_sc_hd__nor2_1 U72494 ( .A(n53104), .B(n77865), .Y(n61154) );
+  sky130_fd_sc_hd__nor2_1 U72495 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_cnt[1]), 
+        .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_cnt[2]), 
+        .Y(n80740) );
+  sky130_fd_sc_hd__a31oi_1 U72496 ( .A1(n80739), .A2(n80740), .A3(n73721), 
+        .B1(n73734), .Y(n53106) );
+  sky130_fd_sc_hd__nand2_1 U72497 ( .A(n53106), .B(n53105), .Y(n53107) );
+  sky130_fd_sc_hd__nand2b_1 U72498 ( .A_N(n61154), .B(n53107), .Y(n80982) );
+  sky130_fd_sc_hd__nand2_1 U72499 ( .A(n80987), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_state[0]), 
+        .Y(n79356) );
+  sky130_fd_sc_hd__nor4_1 U72500 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_16[16]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_16[17]), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_16[18]), .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_16[19]), .Y(n53108) );
+  sky130_fd_sc_hd__nand4_1 U72501 ( .A(n77869), .B(n53108), .C(n79340), .D(
+        n79357), .Y(n53109) );
+  sky130_fd_sc_hd__nor3_1 U72502 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_16[20]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_16[22]), .C(n53109), .Y(n76486) );
+  sky130_fd_sc_hd__nor4_1 U72503 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[8]), .B(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[10]), 
+        .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[9]), .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[11]), 
+        .Y(n53112) );
+  sky130_fd_sc_hd__nor4_1 U72504 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[0]), .B(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[7]), 
+        .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[4]), .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[6]), 
+        .Y(n53111) );
+  sky130_fd_sc_hd__nor4_1 U72505 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[5]), .B(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[1]), 
+        .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[3]), .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[2]), 
+        .Y(n53110) );
+  sky130_fd_sc_hd__nand3_1 U72506 ( .A(n53112), .B(n53111), .C(n53110), .Y(
+        n80955) );
+  sky130_fd_sc_hd__nor2_1 U72507 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_cref), 
+        .B(n80955), .Y(n79317) );
+  sky130_fd_sc_hd__nor2_1 U72508 ( .A(n79317), .B(n79320), .Y(n79315) );
+  sky130_fd_sc_hd__or4_1 U72509 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt[5]), .B(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt[4]), 
+        .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt[1]), .D(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt[3]), 
+        .X(n53113) );
+  sky130_fd_sc_hd__nor2_1 U72510 ( .A(n79315), .B(n79316), .Y(n80882) );
+  sky130_fd_sc_hd__nand2_1 U72511 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_io_link_rx_valid), .B(n80882), .Y(n80978) );
+  sky130_fd_sc_hd__nand3_1 U72512 ( .A(n79316), .B(n79317), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_xfr), 
+        .Y(n53114) );
+  sky130_fd_sc_hd__nand2_1 U72513 ( .A(n85471), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N170), 
+        .Y(n80890) );
+  sky130_fd_sc_hd__nand2_1 U72514 ( .A(n53114), .B(n80890), .Y(n85848) );
+  sky130_fd_sc_hd__o21ai_1 U72515 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_arb_io_outer_cs_hold), .A2(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_arb__T_1_1_), 
+        .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_link_fmt_endian), .Y(n80840) );
+  sky130_fd_sc_hd__a22oi_1 U72516 ( .A1(n36788), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[19]), .B1(n56729), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[19]), .Y(n53117) );
+  sky130_fd_sc_hd__a22o_1 U72517 ( .A1(n56345), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_7[19]), .B1(n56344), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_7[19]), .X(n53115) );
+  sky130_fd_sc_hd__a21oi_1 U72518 ( .A1(n48944), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[19]), .B1(n53115), .Y(n53116) );
+  sky130_fd_sc_hd__o211ai_1 U72519 ( .A1(n67239), .A2(n56787), .B1(n53117), 
+        .C1(n53116), .Y(n53135) );
+  sky130_fd_sc_hd__a22oi_1 U72520 ( .A1(n63514), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[19]), .B1(n61078), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[19]), 
+        .Y(n53121) );
+  sky130_fd_sc_hd__a22o_1 U72521 ( .A1(n79921), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[19]), 
+        .B1(n60000), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[19]), 
+        .X(n53118) );
+  sky130_fd_sc_hd__a21oi_1 U72522 ( .A1(n61081), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[19]), 
+        .B1(n53118), .Y(n53120) );
+  sky130_fd_sc_hd__nand2_1 U72523 ( .A(n63517), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[19]), .Y(n53119) );
+  sky130_fd_sc_hd__a31oi_1 U72524 ( .A1(n53121), .A2(n53120), .A3(n53119), 
+        .B1(n56765), .Y(n53130) );
+  sky130_fd_sc_hd__a22oi_1 U72525 ( .A1(n56355), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_6[19]), .B1(n56354), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_6[19]), .Y(n53128) );
+  sky130_fd_sc_hd__a22oi_1 U72526 ( .A1(n56357), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_6[19]), .B1(n56356), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_7[19]), .Y(n53127) );
+  sky130_fd_sc_hd__clkinv_1 U72527 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[19]), .Y(n53123) );
+  sky130_fd_sc_hd__a22oi_1 U72528 ( .A1(n56359), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_2[3]), .B1(n56358), .B2(n85010), .Y(n53122) );
+  sky130_fd_sc_hd__o21ai_0 U72529 ( .A1(n53123), .A2(n56361), .B1(n53122), .Y(
+        n53124) );
+  sky130_fd_sc_hd__a21oi_1 U72530 ( .A1(n56754), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend[19]), .B1(n53124), .Y(n53126) );
+  sky130_fd_sc_hd__a22oi_1 U72531 ( .A1(n56755), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_16[19]), .B1(n56364), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_15[19]), .Y(n53125) );
+  sky130_fd_sc_hd__nand4_1 U72532 ( .A(n53128), .B(n53127), .C(n53126), .D(
+        n53125), .Y(n53129) );
+  sky130_fd_sc_hd__a211oi_1 U72533 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[19]), 
+        .A2(n36789), .B1(n53130), .C1(n53129), .Y(n53133) );
+  sky130_fd_sc_hd__a22oi_1 U72534 ( .A1(n86736), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[19]), 
+        .B1(n48207), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[19]), 
+        .Y(n53132) );
+  sky130_fd_sc_hd__a22oi_1 U72535 ( .A1(n56804), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[19]), 
+        .B1(n56205), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[19]), 
+        .Y(n53131) );
+  sky130_fd_sc_hd__nand3_1 U72536 ( .A(n53133), .B(n53132), .C(n53131), .Y(
+        n53134) );
+  sky130_fd_sc_hd__nor2_1 U72537 ( .A(n53135), .B(n53134), .Y(n53153) );
+  sky130_fd_sc_hd__a22oi_1 U72538 ( .A1(n56379), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_7[19]), .B1(n37077), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_6[19]), .Y(n53139) );
+  sky130_fd_sc_hd__a22oi_1 U72539 ( .A1(n56296), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[19]), .B1(n56681), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[19]), 
+        .Y(n53138) );
+  sky130_fd_sc_hd__nand2_1 U72540 ( .A(n56380), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_6[19]), .Y(n53137) );
+  sky130_fd_sc_hd__nand2_1 U72541 ( .A(n56843), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[19]), 
+        .Y(n53136) );
+  sky130_fd_sc_hd__nand4_1 U72542 ( .A(n53139), .B(n53138), .C(n53137), .D(
+        n53136), .Y(n53140) );
+  sky130_fd_sc_hd__a21oi_1 U72543 ( .A1(n49002), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[19]), 
+        .B1(n53140), .Y(n53152) );
+  sky130_fd_sc_hd__a22oi_1 U72544 ( .A1(n56695), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_16[19]), .B1(n56929), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[19]), .Y(n53151) );
+  sky130_fd_sc_hd__nand2_1 U72545 ( .A(n56386), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_7[19]), .Y(n53148) );
+  sky130_fd_sc_hd__clkinv_1 U72546 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[19]), .Y(n53142) );
+  sky130_fd_sc_hd__a222oi_1 U72547 ( .A1(n63494), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_9[19]), .B1(n59967), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_1[19]), .C1(n59966), .C2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_10[19]), .Y(n53141) );
+  sky130_fd_sc_hd__o22ai_1 U72548 ( .A1(n53142), .A2(n56388), .B1(n53141), 
+        .B2(n56914), .Y(n53143) );
+  sky130_fd_sc_hd__a21oi_1 U72549 ( .A1(n51688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[19]), .B1(n53143), .Y(n53147) );
+  sky130_fd_sc_hd__a222oi_1 U72550 ( .A1(n63183), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend[19]), .B1(n63182), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_16[19]), .C1(n80737), .C2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_15[19]), .Y(n53144) );
+  sky130_fd_sc_hd__a2bb2oi_1 U72551 ( .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[19]), 
+        .B2(n36990), .A1_N(n53144), .A2_N(n56391), .Y(n53146) );
+  sky130_fd_sc_hd__a22oi_1 U72552 ( .A1(n56802), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[19]), 
+        .B1(n56393), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[19]), 
+        .Y(n53145) );
+  sky130_fd_sc_hd__nand4_1 U72553 ( .A(n53148), .B(n53147), .C(n53146), .D(
+        n53145), .Y(n53149) );
+  sky130_fd_sc_hd__a21oi_1 U72554 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[19]), .A2(n56667), .B1(n53149), .Y(n53150) );
+  sky130_fd_sc_hd__nand4_1 U72555 ( .A(n53153), .B(n53152), .C(n53151), .D(
+        n53150), .Y(n84422) );
+  sky130_fd_sc_hd__mux2_2 U72556 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[51]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[19]), .S(n36973), .X(n85224) );
+  sky130_fd_sc_hd__mux2_2 U72557 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[51]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[19]), .S(n55502), .X(n85100) );
+  sky130_fd_sc_hd__nand2_1 U72558 ( .A(n56146), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_19[0]), .Y(
+        n53154) );
+  sky130_fd_sc_hd__o21ai_1 U72560 ( .A1(n53155), .A2(n48421), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_19[1]), .Y(
+        n53168) );
+  sky130_fd_sc_hd__nor2_1 U72561 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_19[1]), .B(
+        n56144), .Y(n53156) );
+  sky130_fd_sc_hd__o21ai_0 U72562 ( .A1(n53156), .A2(n51388), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_19[0]), .Y(
+        n53167) );
+  sky130_fd_sc_hd__nand2_1 U72563 ( .A(n53159), .B(n53158), .Y(n53164) );
+  sky130_fd_sc_hd__xnor2_1 U72565 ( .A(n53164), .B(n53163), .Y(n53165) );
+  sky130_fd_sc_hd__a22oi_1 U72566 ( .A1(n56151), .A2(n85100), .B1(n53165), 
+        .B2(n56149), .Y(n53166) );
+  sky130_fd_sc_hd__nand3_1 U72567 ( .A(n53168), .B(n53167), .C(n53166), .Y(
+        n84891) );
+  sky130_fd_sc_hd__a22oi_1 U72568 ( .A1(n54782), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_size[2]), .B1(n55985), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_size[2]), .Y(n53171) );
+  sky130_fd_sc_hd__o22ai_1 U72569 ( .A1(n82459), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_size[3]), .B1(n59705), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_size[1]), .Y(n84071) );
+  sky130_fd_sc_hd__o22ai_1 U72570 ( .A1(n54358), .A2(n84106), .B1(n84071), 
+        .B2(n54747), .Y(n53169) );
+  sky130_fd_sc_hd__a21oi_1 U72571 ( .A1(n55986), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_size[2]), .B1(n53169), .Y(n53170) );
+  sky130_fd_sc_hd__nand2_1 U72572 ( .A(n53171), .B(n53170), .Y(n84398) );
+  sky130_fd_sc_hd__a22o_1 U72573 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_size[0]), .B1(n59705), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_size[2]), .X(n84098) );
+  sky130_fd_sc_hd__a22oi_1 U72574 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_size[1]), .A2(n37033), .B1(n53987), .B2(n84098), .Y(n53174) );
+  sky130_fd_sc_hd__a22oi_1 U72575 ( .A1(n54782), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_size[1]), .B1(n55985), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_size[1]), .Y(n53173) );
+  sky130_fd_sc_hd__nand2_1 U72576 ( .A(n55986), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_size[1]), .Y(n53172) );
+  sky130_fd_sc_hd__nand3_1 U72577 ( .A(n53174), .B(n53173), .C(n53172), .Y(
+        n84312) );
+  sky130_fd_sc_hd__a22o_1 U72578 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_size[1]), .B1(n55990), .B2(n84312), .X(n85462) );
+  sky130_fd_sc_hd__nand2_1 U72579 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[0]), .Y(n83317) );
+  sky130_fd_sc_hd__nor3_1 U72580 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[0]), .C(n83318), .Y(n83857) );
+  sky130_fd_sc_hd__a22oi_1 U72581 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[13]), .B1(n83857), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[10]), .Y(n53178) );
+  sky130_fd_sc_hd__nor3_1 U72582 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[0]), .C(n83314), .Y(n55965) );
+  sky130_fd_sc_hd__a22oi_1 U72583 ( .A1(n55965), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[16]), .B1(n83865), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[22]), .Y(n53177) );
+  sky130_fd_sc_hd__nor3_2 U72584 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[0]), .B(n83314), .C(n83318), .Y(n54709) );
+  sky130_fd_sc_hd__a22oi_1 U72585 ( .A1(n83868), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[4]), .B1(n83870), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[1]), .Y(n53176) );
+  sky130_fd_sc_hd__nor3_2 U72586 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[1]), .C(n83315), .Y(n55974) );
+  sky130_fd_sc_hd__clkbuf_1 U72587 ( .A(n55974), .X(n83863) );
+  sky130_fd_sc_hd__a22oi_1 U72588 ( .A1(n83863), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[19]), .B1(n83858), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[7]), .Y(n53175) );
+  sky130_fd_sc_hd__nand4_1 U72589 ( .A(n53178), .B(n53177), .C(n53176), .D(
+        n53175), .Y(n84314) );
+  sky130_fd_sc_hd__a22oi_1 U72590 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[14]), .B1(n83857), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[11]), .Y(n53182) );
+  sky130_fd_sc_hd__a22oi_1 U72591 ( .A1(n55965), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[17]), .B1(n83870), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[2]), .Y(n53181) );
+  sky130_fd_sc_hd__a22oi_1 U72592 ( .A1(n83868), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[5]), .B1(n83865), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[23]), .Y(n53180) );
+  sky130_fd_sc_hd__a22oi_1 U72593 ( .A1(n83863), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[20]), .B1(n83858), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[8]), .Y(n53179) );
+  sky130_fd_sc_hd__nand4_1 U72594 ( .A(n53182), .B(n53181), .C(n53180), .D(
+        n53179), .Y(n84315) );
+  sky130_fd_sc_hd__or3_1 U72595 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[1]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[0]), .X(n53205) );
+  sky130_fd_sc_hd__nor2_1 U72596 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[3]), .B(n53205), .Y(n53202) );
+  sky130_fd_sc_hd__a21oi_1 U72597 ( .A1(n84314), .A2(n78346), .B1(n53183), .Y(
+        n56071) );
+  sky130_fd_sc_hd__nand2_1 U72598 ( .A(n53199), .B(n53187), .Y(n53206) );
+  sky130_fd_sc_hd__nand2_1 U72599 ( .A(n84315), .B(n78346), .Y(n53185) );
+  sky130_fd_sc_hd__nand2_1 U72600 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_size[2]), .Y(n53184) );
+  sky130_fd_sc_hd__nand2_1 U72601 ( .A(n53185), .B(n53184), .Y(n53198) );
+  sky130_fd_sc_hd__or3_1 U72602 ( .A(n56071), .B(n53206), .C(n56070), .X(
+        n53186) );
+  sky130_fd_sc_hd__o21ai_1 U72603 ( .A1(n53199), .A2(n53187), .B1(n53186), .Y(
+        n85446) );
+  sky130_fd_sc_hd__nor4_1 U72604 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter[2]), 
+        .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter[3]), 
+        .C(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter[1]), 
+        .D(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter[0]), 
+        .Y(n36473) );
+  sky130_fd_sc_hd__o22ai_1 U72605 ( .A1(n54390), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_source[1]), .B1(n55359), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_source[0]), .Y(n53188) );
+  sky130_fd_sc_hd__a221o_1 U72606 ( .A1(n54390), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_source[1]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_source[0]), .B2(n55359), .C1(n53188), .X(n53189) );
+  sky130_fd_sc_hd__nor2_1 U72607 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_sent_d), .B(n53189), .Y(n84656) );
+  sky130_fd_sc_hd__o22ai_1 U72608 ( .A1(n54390), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_source[1]), .B1(n55359), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_source[0]), .Y(n53190) );
+  sky130_fd_sc_hd__a221o_1 U72609 ( .A1(n54390), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_source[1]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_source[0]), .B2(n55359), .C1(n53190), .X(n53191) );
+  sky130_fd_sc_hd__nor2_1 U72610 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_sent_d), .B(n53191), .Y(n84657) );
+  sky130_fd_sc_hd__a22oi_1 U72611 ( .A1(n36473), .A2(n84656), .B1(n83283), 
+        .B2(MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_r[3]), 
+        .Y(n83097) );
+  sky130_fd_sc_hd__o22ai_1 U72612 ( .A1(n36473), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_r[2]), .B1(
+        n83283), .B2(n84657), .Y(n83138) );
+  sky130_fd_sc_hd__a21oi_1 U72613 ( .A1(n83097), .A2(n83138), .B1(n56658), .Y(
+        n84554) );
+  sky130_fd_sc_hd__a22o_1 U72614 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_sink[1]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_sink[3]), .X(n84374) );
+  sky130_fd_sc_hd__a22oi_1 U72615 ( .A1(n55965), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[15]), .B1(n83865), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[21]), .Y(n53195) );
+  sky130_fd_sc_hd__a22oi_1 U72616 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[12]), .B1(n83863), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[18]), .Y(n53194) );
+  sky130_fd_sc_hd__a22oi_1 U72617 ( .A1(n83870), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[0]), .B1(n83858), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[6]), .Y(n53193) );
+  sky130_fd_sc_hd__a22oi_1 U72618 ( .A1(n83868), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[3]), .B1(n83857), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_size[9]), .Y(n53192) );
+  sky130_fd_sc_hd__nand4_1 U72619 ( .A(n53195), .B(n53194), .C(n53193), .D(
+        n53192), .Y(n85186) );
+  sky130_fd_sc_hd__nand2_1 U72620 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_size[0]), .Y(n53196) );
+  sky130_fd_sc_hd__nand2_1 U72621 ( .A(n56070), .B(n56071), .Y(n55980) );
+  sky130_fd_sc_hd__nor2_1 U72622 ( .A(n53206), .B(n55980), .Y(n53197) );
+  sky130_fd_sc_hd__a21oi_1 U72623 ( .A1(n55979), .A2(n53197), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[0]), .Y(n85445) );
+  sky130_fd_sc_hd__nor2_1 U72624 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[0]), .Y(n53203) );
+  sky130_fd_sc_hd__a21oi_1 U72625 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[0]), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[1]), .B1(n53203), .Y(n55970) );
+  sky130_fd_sc_hd__nor2_1 U72626 ( .A(n53197), .B(n55970), .Y(n85443) );
+  sky130_fd_sc_hd__a21o_1 U72627 ( .A1(n53205), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[3]), .B1(n53202), .X(n54780) );
+  sky130_fd_sc_hd__o21ai_1 U72628 ( .A1(n53206), .A2(n53198), .B1(n54780), .Y(
+        n83325) );
+  sky130_fd_sc_hd__a21oi_1 U72629 ( .A1(n55979), .A2(n56071), .B1(n56070), .Y(
+        n54770) );
+  sky130_fd_sc_hd__o21ai_1 U72631 ( .A1(n53202), .A2(n53201), .B1(n53200), .Y(
+        n85444) );
+  sky130_fd_sc_hd__o21ai_1 U72632 ( .A1(n56071), .A2(n55979), .B1(n56070), .Y(
+        n56065) );
+  sky130_fd_sc_hd__nand2b_1 U72633 ( .A_N(n53203), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[2]), .Y(n53204) );
+  sky130_fd_sc_hd__nand2_1 U72634 ( .A(n53205), .B(n53204), .Y(n56068) );
+  sky130_fd_sc_hd__o21ai_1 U72635 ( .A1(n53206), .A2(n56065), .B1(n56068), .Y(
+        n83324) );
+  sky130_fd_sc_hd__o22ai_1 U72636 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_size[3]), .B1(n41810), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_size[0]), .Y(n83515) );
+  sky130_fd_sc_hd__o22ai_1 U72637 ( .A1(n83515), .A2(n55660), .B1(n84047), 
+        .B2(n56658), .Y(n84529) );
+  sky130_fd_sc_hd__nor2_1 U72638 ( .A(n84128), .B(n54132), .Y(n53208) );
+  sky130_fd_sc_hd__o22ai_1 U72639 ( .A1(n82625), .A2(n54318), .B1(n83026), 
+        .B2(n54316), .Y(n84376) );
+  sky130_fd_sc_hd__a22o_1 U72640 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[5]), .B1(n82522), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[17]), .X(n84782) );
+  sky130_fd_sc_hd__inv_2 U72641 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_io_full), .Y(n83823) );
+  sky130_fd_sc_hd__nand2_1 U72642 ( .A(n84782), .B(n83823), .Y(n53211) );
+  sky130_fd_sc_hd__nand2_1 U72643 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address[7]), .Y(n53210) );
+  sky130_fd_sc_hd__nand2_1 U72644 ( .A(n53211), .B(n53210), .Y(n85417) );
+  sky130_fd_sc_hd__o22ai_1 U72645 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(n60063), .B1(n82522), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N43), .Y(n59930) );
+  sky130_fd_sc_hd__nor2_1 U72646 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_maybe_full), .B(n59930), .Y(n82447) );
+  sky130_fd_sc_hd__o22ai_1 U72647 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_source[2]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_source[0]), .Y(n78240) );
+  sky130_fd_sc_hd__nand2_1 U72648 ( .A(n53229), .B(n53228), .Y(n53216) );
+  sky130_fd_sc_hd__nor2_1 U72649 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_slave_in_d_valid), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_slaveValid), .Y(n53215) );
+  sky130_fd_sc_hd__nor2_1 U72650 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_slaveValid), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .Y(n53214) );
+  sky130_fd_sc_hd__a22o_1 U72651 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[10]), .B1(n82522), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[22]), .X(n84786) );
+  sky130_fd_sc_hd__nand2_1 U72652 ( .A(n84786), .B(n83823), .Y(n53218) );
+  sky130_fd_sc_hd__nand2_1 U72653 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address[12]), .Y(n53217) );
+  sky130_fd_sc_hd__nand2_1 U72654 ( .A(n82522), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[21]), .Y(n53220) );
+  sky130_fd_sc_hd__nand2_1 U72655 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[9]), .Y(n53219) );
+  sky130_fd_sc_hd__nand2_1 U72656 ( .A(n53220), .B(n53219), .Y(n84785) );
+  sky130_fd_sc_hd__nand2_1 U72657 ( .A(n53221), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_io_full), .Y(n53222) );
+  sky130_fd_sc_hd__o21a_1 U72658 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_io_full), .A2(n84785), .B1(n53222), .X(n85431) );
+  sky130_fd_sc_hd__nand3_1 U72659 ( .A(n53223), .B(n73157), .C(n72746), .Y(
+        n53226) );
+  sky130_fd_sc_hd__nand2_1 U72660 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_valid), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_valid), .Y(n53224) );
+  sky130_fd_sc_hd__nand2_1 U72661 ( .A(n53224), .B(n72747), .Y(n53225) );
+  sky130_fd_sc_hd__nand3_1 U72662 ( .A(n53226), .B(n53225), .C(n76241), .Y(
+        n73155) );
+  sky130_fd_sc_hd__nand2_1 U72663 ( .A(n72712), .B(n53227), .Y(n84319) );
+  sky130_fd_sc_hd__nand2_1 U72664 ( .A(n72504), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[12]), .Y(n53233) );
+  sky130_fd_sc_hd__nand2_1 U72665 ( .A(n73326), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26[11]), .Y(n53232) );
+  sky130_fd_sc_hd__nand2_1 U72666 ( .A(n58462), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[12]), .Y(n53231) );
+  sky130_fd_sc_hd__nand2_1 U72667 ( .A(n72357), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[12]), .Y(n53230) );
+  sky130_fd_sc_hd__a22oi_1 U72668 ( .A1(n73283), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13[11]), .B1(n73282), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10[11]), .Y(n53237) );
+  sky130_fd_sc_hd__a22oi_1 U72669 ( .A1(n73285), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12[11]), .B1(n73284), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23[11]), .Y(n53236) );
+  sky130_fd_sc_hd__a22oi_1 U72670 ( .A1(n73287), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22[11]), .B1(n73286), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21[11]), .Y(n53235) );
+  sky130_fd_sc_hd__a22oi_1 U72671 ( .A1(n73288), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19[11]), .B1(n73289), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17[11]), .Y(n53234) );
+  sky130_fd_sc_hd__nand4_1 U72672 ( .A(n53237), .B(n53236), .C(n53235), .D(
+        n53234), .Y(n53249) );
+  sky130_fd_sc_hd__a22oi_1 U72673 ( .A1(n73271), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8[11]), .B1(n73270), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11[11]), .Y(n53241) );
+  sky130_fd_sc_hd__a22oi_1 U72674 ( .A1(n73272), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20[11]), .B1(n73273), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1[11]), .Y(n53240) );
+  sky130_fd_sc_hd__a22oi_1 U72675 ( .A1(n73276), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0[11]), .B1(n73277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2[11]), .Y(n53239) );
+  sky130_fd_sc_hd__a22oi_1 U72676 ( .A1(n73274), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16[11]), .B1(n73275), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3[11]), .Y(n53238) );
+  sky130_fd_sc_hd__nand4_1 U72677 ( .A(n53241), .B(n53240), .C(n53239), .D(
+        n53238), .Y(n53248) );
+  sky130_fd_sc_hd__a22oi_1 U72678 ( .A1(n73295), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18[11]), .B1(n73294), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4[11]), .Y(n53245) );
+  sky130_fd_sc_hd__a22oi_1 U72679 ( .A1(n73297), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5[11]), .B1(n73296), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6[11]), .Y(n53244) );
+  sky130_fd_sc_hd__a22oi_1 U72680 ( .A1(n73299), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14[11]), .B1(n73298), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7[11]), .Y(n53243) );
+  sky130_fd_sc_hd__a22oi_1 U72681 ( .A1(n73301), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15[11]), .B1(n73300), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9[11]), .Y(n53242) );
+  sky130_fd_sc_hd__nand4_1 U72682 ( .A(n53245), .B(n53244), .C(n53243), .D(
+        n53242), .Y(n53247) );
+  sky130_fd_sc_hd__o31ai_1 U72683 ( .A1(n53249), .A2(n53248), .A3(n53247), 
+        .B1(n61936), .Y(n53263) );
+  sky130_fd_sc_hd__nand2_1 U72684 ( .A(n73192), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[12]), .Y(n53255) );
+  sky130_fd_sc_hd__a22oi_1 U72685 ( .A1(n78262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[12]), .B1(n73261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[12]), .Y(n53254) );
+  sky130_fd_sc_hd__nand2_1 U72686 ( .A(n73187), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[12]), .Y(n53253) );
+  sky130_fd_sc_hd__nand2_1 U72687 ( .A(n78265), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[12]), .Y(n53252) );
+  sky130_fd_sc_hd__nand4_1 U72688 ( .A(n53255), .B(n53254), .C(n53253), .D(
+        n53252), .Y(n53256) );
+  sky130_fd_sc_hd__a21oi_1 U72689 ( .A1(n73097), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[12]), .B1(n53256), .Y(n53262) );
+  sky130_fd_sc_hd__inv_2 U72690 ( .A(n43065), .Y(n72499) );
+  sky130_fd_sc_hd__nand2_1 U72691 ( .A(n72499), .B(n53259), .Y(n53261) );
+  sky130_fd_sc_hd__nand2_1 U72692 ( .A(n73267), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[12]), .Y(n53260) );
+  sky130_fd_sc_hd__nand4_1 U72693 ( .A(n53263), .B(n53262), .C(n53261), .D(
+        n53260), .Y(n53264) );
+  sky130_fd_sc_hd__nand2_1 U72694 ( .A(n42989), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25[11]), .Y(n53268) );
+  sky130_fd_sc_hd__nand2_1 U72695 ( .A(n36816), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[12]), .Y(n53267) );
+  sky130_fd_sc_hd__inv_2 U72696 ( .A(n69029), .Y(n72202) );
+  sky130_fd_sc_hd__nand2_1 U72697 ( .A(n72202), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[12]), .Y(n53266) );
+  sky130_fd_sc_hd__nand2_1 U72698 ( .A(n43129), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[12]), .Y(n53265) );
+  sky130_fd_sc_hd__nand3_1 U72699 ( .A(n76545), .B(n60141), .C(n62952), .Y(
+        n62971) );
+  sky130_fd_sc_hd__o22ai_1 U72700 ( .A1(n60141), .A2(n53269), .B1(n82652), 
+        .B2(n62952), .Y(n53270) );
+  sky130_fd_sc_hd__a21oi_1 U72701 ( .A1(n86596), .A2(n85432), .B1(n53270), .Y(
+        n53346) );
+  sky130_fd_sc_hd__a22oi_1 U72702 ( .A1(n59779), .A2(n85753), .B1(n53271), 
+        .B2(n85512), .Y(n53272) );
+  sky130_fd_sc_hd__o21ai_1 U72703 ( .A1(n76224), .A2(n53273), .B1(n53272), .Y(
+        n53288) );
+  sky130_fd_sc_hd__nor2_1 U72704 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[9]), .B(n53288), .Y(n61142) );
+  sky130_fd_sc_hd__o22ai_1 U72705 ( .A1(n76232), .A2(n53298), .B1(n75780), 
+        .B2(n53273), .Y(n53289) );
+  sky130_fd_sc_hd__nand2_1 U72706 ( .A(n58331), .B(n38472), .Y(n53393) );
+  sky130_fd_sc_hd__nand2_1 U72707 ( .A(n53274), .B(n75778), .Y(n53275) );
+  sky130_fd_sc_hd__nor2_1 U72708 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[11]), .B(n53292), .Y(n53397) );
+  sky130_fd_sc_hd__nor2_1 U72709 ( .A(n53393), .B(n53397), .Y(n53294) );
+  sky130_fd_sc_hd__mux2i_1 U72710 ( .A0(n76228), .A1(n76222), .S(n53278), .Y(
+        n53283) );
+  sky130_fd_sc_hd__mux2i_1 U72711 ( .A0(n76220), .A1(n76226), .S(n59779), .Y(
+        n53282) );
+  sky130_fd_sc_hd__nor2_1 U72712 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[7]), .B(n53282), .Y(n61956) );
+  sky130_fd_sc_hd__nand2_1 U72713 ( .A(n38473), .B(n58505), .Y(n53287) );
+  sky130_fd_sc_hd__nand2_1 U72714 ( .A(n38410), .B(n58402), .Y(n58500) );
+  sky130_fd_sc_hd__nor2_1 U72715 ( .A(n53287), .B(n58500), .Y(n53392) );
+  sky130_fd_sc_hd__nand2_1 U72716 ( .A(n53294), .B(n53392), .Y(n53297) );
+  sky130_fd_sc_hd__nand2_1 U72717 ( .A(n53282), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[7]), .Y(n61954) );
+  sky130_fd_sc_hd__nand2_1 U72718 ( .A(n53283), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[8]), .Y(n61953) );
+  sky130_fd_sc_hd__a21oi_1 U72719 ( .A1(n38473), .A2(n53285), .B1(n53284), .Y(
+        n53286) );
+  sky130_fd_sc_hd__nand2_1 U72721 ( .A(n53288), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[9]), .Y(n61140) );
+  sky130_fd_sc_hd__nand2_1 U72722 ( .A(n53289), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[10]), .Y(n61139) );
+  sky130_fd_sc_hd__a21oi_1 U72723 ( .A1(n38472), .A2(n53291), .B1(n53290), .Y(
+        n53394) );
+  sky130_fd_sc_hd__nand2_1 U72724 ( .A(n53292), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[11]), .Y(n53398) );
+  sky130_fd_sc_hd__o21ai_1 U72725 ( .A1(n53397), .A2(n53394), .B1(n53398), .Y(
+        n53293) );
+  sky130_fd_sc_hd__a21oi_1 U72726 ( .A1(n53391), .A2(n53294), .B1(n53293), .Y(
+        n53295) );
+  sky130_fd_sc_hd__a21oi_1 U72728 ( .A1(n76237), .A2(n59779), .B1(n53298), .Y(
+        n58637) );
+  sky130_fd_sc_hd__nand2_1 U72729 ( .A(n58637), .B(n58641), .Y(n58640) );
+  sky130_fd_sc_hd__o21ai_0 U72730 ( .A1(n76204), .A2(n58641), .B1(n58640), .Y(
+        n53299) );
+  sky130_fd_sc_hd__nor2_1 U72731 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[12]), .B(n53299), .Y(n73224) );
+  sky130_fd_sc_hd__nand2_1 U72732 ( .A(n53299), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[12]), .Y(n73222) );
+  sky130_fd_sc_hd__nand2_1 U72733 ( .A(n53300), .B(n73222), .Y(n53301) );
+  sky130_fd_sc_hd__xor2_1 U72734 ( .A(n73223), .B(n53301), .X(n53302) );
+  sky130_fd_sc_hd__nand2_1 U72735 ( .A(n53302), .B(n73312), .Y(n53344) );
+  sky130_fd_sc_hd__nand2_1 U72736 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .B(n85366), .Y(n53303) );
+  sky130_fd_sc_hd__nor2_1 U72737 ( .A(n53303), .B(n58335), .Y(n53305) );
+  sky130_fd_sc_hd__nand2_1 U72738 ( .A(n53305), .B(n53304), .Y(n58634) );
+  sky130_fd_sc_hd__nand2_1 U72739 ( .A(n72273), .B(n61403), .Y(n73217) );
+  sky130_fd_sc_hd__xor2_1 U72740 ( .A(n73217), .B(n71652), .X(n53342) );
+  sky130_fd_sc_hd__mux2i_1 U72741 ( .A0(n76190), .A1(n59772), .S(n58688), .Y(
+        n53306) );
+  sky130_fd_sc_hd__mux2_2 U72742 ( .A0(n85492), .A1(n53306), .S(n73315), .X(
+        n53312) );
+  sky130_fd_sc_hd__nand2_1 U72743 ( .A(n85509), .B(n58688), .Y(n58687) );
+  sky130_fd_sc_hd__nand2_1 U72744 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[12]), .Y(n53307) );
+  sky130_fd_sc_hd__nand2_1 U72745 ( .A(n58687), .B(n53307), .Y(n53308) );
+  sky130_fd_sc_hd__mux2_2 U72746 ( .A0(n85492), .A1(n53308), .S(n73315), .X(
+        n58679) );
+  sky130_fd_sc_hd__nor2_1 U72747 ( .A(n53309), .B(n53310), .Y(n58694) );
+  sky130_fd_sc_hd__nand2_1 U72748 ( .A(n53310), .B(n53309), .Y(n58693) );
+  sky130_fd_sc_hd__nand2_1 U72749 ( .A(n53311), .B(n58693), .Y(n53340) );
+  sky130_fd_sc_hd__fa_1 U72750 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[11]), .B(n73315), .CIN(n53312), .COUT(n53309), .SUM(n53338) );
+  sky130_fd_sc_hd__nor2_1 U72751 ( .A(n53337), .B(n53338), .Y(n58681) );
+  sky130_fd_sc_hd__nor2_1 U72752 ( .A(n58394), .B(n53314), .Y(n53317) );
+  sky130_fd_sc_hd__a21oi_1 U72754 ( .A1(n53317), .A2(n53316), .B1(n53315), .Y(
+        n58338) );
+  sky130_fd_sc_hd__fa_1 U72755 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[10]), .B(n73315), .CIN(n53319), .COUT(n53337), .SUM(n53332) );
+  sky130_fd_sc_hd__nor2_1 U72756 ( .A(n53331), .B(n53332), .Y(n61131) );
+  sky130_fd_sc_hd__mux2_2 U72757 ( .A0(n85492), .A1(n53320), .S(n59770), .X(
+        n53324) );
+  sky130_fd_sc_hd__fa_1 U72758 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[9]), .B(n73315), .CIN(n53321), .COUT(n53331), .SUM(n53330) );
+  sky130_fd_sc_hd__nor2_1 U72759 ( .A(n53329), .B(n53330), .Y(n61136) );
+  sky130_fd_sc_hd__nor2_1 U72760 ( .A(n61131), .B(n61136), .Y(n53334) );
+  sky130_fd_sc_hd__mux2_2 U72761 ( .A0(n85515), .A1(n85513), .S(n73315), .X(
+        n53323) );
+  sky130_fd_sc_hd__fa_1 U72762 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[6]), .B(n73315), .CIN(n53322), .COUT(n53326), .SUM(n43037) );
+  sky130_fd_sc_hd__nor2_1 U72763 ( .A(n53325), .B(n53326), .Y(n58495) );
+  sky130_fd_sc_hd__fa_1 U72764 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[7]), .B(n73315), .CIN(n53323), .COUT(n53327), .SUM(n53325) );
+  sky130_fd_sc_hd__fa_1 U72765 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[8]), .B(n73315), .CIN(n53324), .COUT(n53329), .SUM(n53328) );
+  sky130_fd_sc_hd__nor2_1 U72766 ( .A(n53327), .B(n53328), .Y(n61947) );
+  sky130_fd_sc_hd__nor2_1 U72767 ( .A(n58495), .B(n61947), .Y(n58340) );
+  sky130_fd_sc_hd__nand2_1 U72768 ( .A(n53334), .B(n58340), .Y(n53336) );
+  sky130_fd_sc_hd__nand2_1 U72769 ( .A(n53326), .B(n53325), .Y(n61943) );
+  sky130_fd_sc_hd__nand2_1 U72770 ( .A(n53328), .B(n53327), .Y(n61948) );
+  sky130_fd_sc_hd__o21ai_1 U72771 ( .A1(n61943), .A2(n61947), .B1(n61948), .Y(
+        n58339) );
+  sky130_fd_sc_hd__nand2_1 U72772 ( .A(n53330), .B(n53329), .Y(n61134) );
+  sky130_fd_sc_hd__nand2_1 U72773 ( .A(n53332), .B(n53331), .Y(n61132) );
+  sky130_fd_sc_hd__a21oi_1 U72775 ( .A1(n53334), .A2(n58339), .B1(n53333), .Y(
+        n53335) );
+  sky130_fd_sc_hd__nand2_1 U72777 ( .A(n53338), .B(n53337), .Y(n58695) );
+  sky130_fd_sc_hd__xnor2_1 U72779 ( .A(n53340), .B(n53339), .Y(n53341) );
+  sky130_fd_sc_hd__a22oi_1 U72780 ( .A1(n73229), .A2(n53342), .B1(n53341), 
+        .B2(n73319), .Y(n53343) );
+  sky130_fd_sc_hd__nand2_1 U72781 ( .A(n53344), .B(n53343), .Y(n61896) );
+  sky130_fd_sc_hd__nand3_1 U72782 ( .A(n69216), .B(n36843), .C(n61896), .Y(
+        n53345) );
+  sky130_fd_sc_hd__nand2_1 U72783 ( .A(n58462), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[11]), .Y(n53352) );
+  sky130_fd_sc_hd__nand2_1 U72784 ( .A(n72252), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[11]), .Y(n53351) );
+  sky130_fd_sc_hd__nand2_1 U72785 ( .A(n53347), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[11]), .Y(n53350) );
+  sky130_fd_sc_hd__nand2_1 U72786 ( .A(n53348), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[11]), .Y(n53349) );
+  sky130_fd_sc_hd__nand2_1 U72787 ( .A(n73325), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24[10]), .Y(n53387) );
+  sky130_fd_sc_hd__nand2_1 U72788 ( .A(n61937), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[11]), .Y(n53386) );
+  sky130_fd_sc_hd__a22oi_1 U72789 ( .A1(n73273), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1[10]), .B1(n73277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2[10]), .Y(n53355) );
+  sky130_fd_sc_hd__a22oi_1 U72790 ( .A1(n73283), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13[10]), .B1(n73282), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10[10]), .Y(n53354) );
+  sky130_fd_sc_hd__nand2_1 U72791 ( .A(n73276), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0[10]), .Y(n53353) );
+  sky130_fd_sc_hd__nand3_1 U72792 ( .A(n53355), .B(n53354), .C(n53353), .Y(
+        n53369) );
+  sky130_fd_sc_hd__a22oi_1 U72793 ( .A1(n73285), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12[10]), .B1(n72721), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26[10]), .Y(n53359) );
+  sky130_fd_sc_hd__a22oi_1 U72794 ( .A1(n73287), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22[10]), .B1(n73284), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23[10]), .Y(n53358) );
+  sky130_fd_sc_hd__a22oi_1 U72795 ( .A1(n73286), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21[10]), .B1(n73295), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18[10]), .Y(n53357) );
+  sky130_fd_sc_hd__a22oi_1 U72796 ( .A1(n73288), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19[10]), .B1(n73289), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17[10]), .Y(n53356) );
+  sky130_fd_sc_hd__nand4_1 U72797 ( .A(n53359), .B(n53358), .C(n53357), .D(
+        n53356), .Y(n53365) );
+  sky130_fd_sc_hd__a22oi_1 U72798 ( .A1(n73297), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5[10]), .B1(n73294), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4[10]), .Y(n53363) );
+  sky130_fd_sc_hd__a22oi_1 U72799 ( .A1(n73296), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6[10]), .B1(n73301), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15[10]), .Y(n53362) );
+  sky130_fd_sc_hd__a22oi_1 U72800 ( .A1(n73299), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14[10]), .B1(n73298), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7[10]), .Y(n53361) );
+  sky130_fd_sc_hd__a22oi_1 U72801 ( .A1(n73300), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9[10]), .B1(n73270), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11[10]), .Y(n53360) );
+  sky130_fd_sc_hd__nand4_1 U72802 ( .A(n53363), .B(n53362), .C(n53361), .D(
+        n53360), .Y(n53364) );
+  sky130_fd_sc_hd__nor2_1 U72803 ( .A(n53365), .B(n53364), .Y(n53368) );
+  sky130_fd_sc_hd__a22oi_1 U72804 ( .A1(n73274), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16[10]), .B1(n73271), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8[10]), .Y(n53367) );
+  sky130_fd_sc_hd__a22oi_1 U72805 ( .A1(n73272), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20[10]), .B1(n73275), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3[10]), .Y(n53366) );
+  sky130_fd_sc_hd__nand4b_1 U72806 ( .A_N(n53369), .B(n53368), .C(n53367), .D(
+        n53366), .Y(n53383) );
+  sky130_fd_sc_hd__nand2_1 U72807 ( .A(n65638), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[11]), .Y(n53370) );
+  sky130_fd_sc_hd__o211ai_1 U72808 ( .A1(n53371), .A2(n65638), .B1(n78252), 
+        .C1(n53370), .Y(n53373) );
+  sky130_fd_sc_hd__nand2_1 U72809 ( .A(n53373), .B(n53372), .Y(n53376) );
+  sky130_fd_sc_hd__a22oi_1 U72810 ( .A1(n78262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[11]), .B1(n73261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[11]), .Y(n53375) );
+  sky130_fd_sc_hd__nand2_1 U72811 ( .A(n78265), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[11]), .Y(n53374) );
+  sky130_fd_sc_hd__nand3_1 U72812 ( .A(n53376), .B(n53375), .C(n53374), .Y(
+        n53377) );
+  sky130_fd_sc_hd__a21oi_1 U72813 ( .A1(n73097), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[11]), .B1(n53377), .Y(n53381) );
+  sky130_fd_sc_hd__nand2_1 U72814 ( .A(n53257), .B(n53379), .Y(n53380) );
+  sky130_fd_sc_hd__o211ai_1 U72815 ( .A1(n65363), .A2(n73154), .B1(n53381), 
+        .C1(n53380), .Y(n53382) );
+  sky130_fd_sc_hd__a21oi_1 U72816 ( .A1(n61936), .A2(n53383), .B1(n53382), .Y(
+        n53385) );
+  sky130_fd_sc_hd__nand2_1 U72817 ( .A(n42989), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25[10]), .Y(n53384) );
+  sky130_fd_sc_hd__a22oi_1 U72818 ( .A1(n43127), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27[10]), .B1(n72510), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[11]), .Y(n53388) );
+  sky130_fd_sc_hd__o22ai_1 U72819 ( .A1(n60141), .A2(n53389), .B1(n82789), 
+        .B2(n62952), .Y(n59434) );
+  sky130_fd_sc_hd__nor2_1 U72820 ( .A(n53390), .B(n76545), .Y(n59431) );
+  sky130_fd_sc_hd__nand2_1 U72821 ( .A(n69216), .B(n36843), .Y(n59437) );
+  sky130_fd_sc_hd__a21oi_1 U72822 ( .A1(n58504), .A2(n53392), .B1(n53391), .Y(
+        n61141) );
+  sky130_fd_sc_hd__a21oi_1 U72823 ( .A1(n58332), .A2(n53396), .B1(n53395), .Y(
+        n53401) );
+  sky130_fd_sc_hd__nand2_1 U72824 ( .A(n53399), .B(n53398), .Y(n53400) );
+  sky130_fd_sc_hd__xor2_1 U72825 ( .A(n53401), .B(n53400), .X(n53402) );
+  sky130_fd_sc_hd__nand2_1 U72826 ( .A(n53402), .B(n73312), .Y(n53409) );
+  sky130_fd_sc_hd__nand2_1 U72827 ( .A(n72273), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n53403) );
+  sky130_fd_sc_hd__xor2_1 U72828 ( .A(n53403), .B(n71575), .X(n53407) );
+  sky130_fd_sc_hd__nand2_1 U72829 ( .A(n53404), .B(n58695), .Y(n53405) );
+  sky130_fd_sc_hd__xor2_1 U72830 ( .A(n72385), .B(n53405), .X(n53406) );
+  sky130_fd_sc_hd__a22oi_1 U72831 ( .A1(n73229), .A2(n53407), .B1(n53406), 
+        .B2(n73319), .Y(n53408) );
+  sky130_fd_sc_hd__nand2_1 U72832 ( .A(n53409), .B(n53408), .Y(n61893) );
+  sky130_fd_sc_hd__nand2_1 U72833 ( .A(n84319), .B(n36843), .Y(n63718) );
+  sky130_fd_sc_hd__nand3_1 U72834 ( .A(n63718), .B(n86594), .C(n76545), .Y(
+        n59433) );
+  sky130_fd_sc_hd__xnor2_1 U72835 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[14]), .Y(n53411) );
+  sky130_fd_sc_hd__xnor2_1 U72836 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[27]), .Y(n53410) );
+  sky130_fd_sc_hd__xnor2_1 U72837 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[26]), .B(n53412), .Y(n53414) );
+  sky130_fd_sc_hd__xor2_1 U72838 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[21]), .X(n53413) );
+  sky130_fd_sc_hd__nor2_1 U72839 ( .A(n53414), .B(n53413), .Y(n53442) );
+  sky130_fd_sc_hd__xnor2_1 U72840 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[23]), .Y(n53416) );
+  sky130_fd_sc_hd__xnor2_1 U72841 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[13]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[25]), .Y(n53415) );
+  sky130_fd_sc_hd__nand2_1 U72842 ( .A(n53416), .B(n53415), .Y(n53440) );
+  sky130_fd_sc_hd__xnor2_1 U72843 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[18]), .Y(n53418) );
+  sky130_fd_sc_hd__xnor2_1 U72844 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[28]), .Y(n53417) );
+  sky130_fd_sc_hd__nand2_1 U72845 ( .A(n53418), .B(n53417), .Y(n53439) );
+  sky130_fd_sc_hd__mux2_2 U72846 ( .A0(n53419), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[5]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[17]), .X(n53422) );
+  sky130_fd_sc_hd__xnor2_1 U72847 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[19]), .Y(n53421) );
+  sky130_fd_sc_hd__xnor2_1 U72848 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[15]), .Y(n53420) );
+  sky130_fd_sc_hd__nand3_1 U72849 ( .A(n53422), .B(n53421), .C(n53420), .Y(
+        n53438) );
+  sky130_fd_sc_hd__xnor2_1 U72850 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[22]), .Y(n53424) );
+  sky130_fd_sc_hd__xnor2_1 U72851 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[12]), .Y(n53423) );
+  sky130_fd_sc_hd__xnor2_1 U72852 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[30]), .B(n53425), .Y(n53427) );
+  sky130_fd_sc_hd__xor2_1 U72853 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[17]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[29]), .X(n53426) );
+  sky130_fd_sc_hd__nor2_1 U72854 ( .A(n53427), .B(n53426), .Y(n53435) );
+  sky130_fd_sc_hd__xnor2_1 U72855 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[16]), .Y(n53429) );
+  sky130_fd_sc_hd__xnor2_1 U72856 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[13]), .Y(n53428) );
+  sky130_fd_sc_hd__xnor2_1 U72857 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[20]), .B(n53430), .Y(n53432) );
+  sky130_fd_sc_hd__xor2_1 U72858 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[24]), .X(n53431) );
+  sky130_fd_sc_hd__nor2_1 U72859 ( .A(n53432), .B(n53431), .Y(n53433) );
+  sky130_fd_sc_hd__nand4_1 U72860 ( .A(n53436), .B(n53435), .C(n53434), .D(
+        n53433), .Y(n53437) );
+  sky130_fd_sc_hd__nand3_1 U72861 ( .A(n53443), .B(n53442), .C(n53441), .Y(
+        n56027) );
+  sky130_fd_sc_hd__a22o_1 U72862 ( .A1(n53445), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[19]), .B1(n53444), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[19]), .X(n84317) );
+  sky130_fd_sc_hd__a22o_1 U72863 ( .A1(n53445), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_3[20]), .B1(n53444), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[20]), .X(n84318) );
+  sky130_fd_sc_hd__nor2_1 U72864 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[29]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[30]), .Y(n74183) );
+  sky130_fd_sc_hd__nand3_1 U72865 ( .A(n75899), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[27]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[26]), .Y(n74185) );
+  sky130_fd_sc_hd__nand2_1 U72866 ( .A(n74183), .B(n74185), .Y(n53473) );
+  sky130_fd_sc_hd__a21oi_1 U72867 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[30]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[29]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_vaddr_31_), .Y(n53472) );
+  sky130_fd_sc_hd__nand2_1 U72868 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[13]), .Y(n53453) );
+  sky130_fd_sc_hd__nand3_1 U72869 ( .A(n75798), .B(n75796), .C(n75905), .Y(
+        n53466) );
+  sky130_fd_sc_hd__nand3_1 U72870 ( .A(n53456), .B(n75788), .C(n75786), .Y(
+        n53446) );
+  sky130_fd_sc_hd__nor2_1 U72871 ( .A(n53453), .B(n53446), .Y(n53448) );
+  sky130_fd_sc_hd__nand3_1 U72872 ( .A(n75784), .B(n75800), .C(n75782), .Y(
+        n53447) );
+  sky130_fd_sc_hd__nor2_1 U72873 ( .A(n53447), .B(n53446), .Y(n74194) );
+  sky130_fd_sc_hd__nor2_1 U72874 ( .A(n53448), .B(n74194), .Y(n53465) );
+  sky130_fd_sc_hd__nand2_1 U72875 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[13]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[12]), .Y(n53455) );
+  sky130_fd_sc_hd__nor2_1 U72876 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[14]), .B(n53455), .Y(n53460) );
+  sky130_fd_sc_hd__nand2_1 U72877 ( .A(n53460), .B(n75798), .Y(n53449) );
+  sky130_fd_sc_hd__nand3_1 U72878 ( .A(n75788), .B(n75784), .C(n75899), .Y(
+        n74178) );
+  sky130_fd_sc_hd__a21o_1 U72879 ( .A1(n53449), .A2(n75905), .B1(n74178), .X(
+        n53451) );
+  sky130_fd_sc_hd__nand4_1 U72880 ( .A(n75905), .B(n75788), .C(n75899), .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[16]), .Y(n53450) );
+  sky130_fd_sc_hd__nand2_1 U72881 ( .A(n53451), .B(n53450), .Y(n53452) );
+  sky130_fd_sc_hd__nand2_1 U72882 ( .A(n53452), .B(n75796), .Y(n53464) );
+  sky130_fd_sc_hd__nand2_1 U72883 ( .A(n53453), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[28]), .Y(n53454) );
+  sky130_fd_sc_hd__mux2i_1 U72884 ( .A0(n53454), .A1(n75784), .S(n75788), .Y(
+        n53457) );
+  sky130_fd_sc_hd__nand4_1 U72885 ( .A(n53457), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[14]), .C(n53456), .D(n53455), .Y(n53463) );
+  sky130_fd_sc_hd__nand2_1 U72886 ( .A(n75788), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[18]), .Y(n53458) );
+  sky130_fd_sc_hd__nand2_1 U72887 ( .A(n75905), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[28]), .Y(n74177) );
+  sky130_fd_sc_hd__nor2_1 U72888 ( .A(n53458), .B(n74177), .Y(n74180) );
+  sky130_fd_sc_hd__nand2_1 U72889 ( .A(n74180), .B(n75798), .Y(n53459) );
+  sky130_fd_sc_hd__o31ai_1 U72890 ( .A1(n75788), .A2(n75899), .A3(n53466), 
+        .B1(n53459), .Y(n53461) );
+  sky130_fd_sc_hd__nand2_1 U72891 ( .A(n53461), .B(n53460), .Y(n53462) );
+  sky130_fd_sc_hd__and4_1 U72892 ( .A(n53465), .B(n53464), .C(n53463), .D(
+        n53462), .X(n53470) );
+  sky130_fd_sc_hd__or4_1 U72893 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[14]), .B(n53466), .C(n75901), .D(n74178), .X(n53469) );
+  sky130_fd_sc_hd__nand2_1 U72894 ( .A(n75901), .B(n75889), .Y(n74190) );
+  sky130_fd_sc_hd__nor4_1 U72895 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[23]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_vaddr_31_), .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[21]), .Y(n53468) );
+  sky130_fd_sc_hd__nor4_1 U72896 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[29]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[22]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[20]), .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[19]), .Y(n53467) );
+  sky130_fd_sc_hd__nand2_1 U72897 ( .A(n53468), .B(n53467), .Y(n74189) );
+  sky130_fd_sc_hd__a221oi_1 U72898 ( .A1(n53470), .A2(n53469), .B1(n74190), 
+        .B2(n53469), .C1(n74189), .Y(n53471) );
+  sky130_fd_sc_hd__a21oi_1 U72899 ( .A1(n53473), .A2(n53472), .B1(n53471), .Y(
+        n74188) );
+  sky130_fd_sc_hd__nor2_1 U72900 ( .A(n75897), .B(n74188), .Y(n85736) );
+  sky130_fd_sc_hd__nand3_1 U72901 ( .A(n53474), .B(n54098), .C(n54789), .Y(
+        n54178) );
+  sky130_fd_sc_hd__nor2b_1 U72902 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[5]), .A(n54178), .Y(n84397) );
+  sky130_fd_sc_hd__a22oi_1 U72903 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[5]), .B1(n54277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[36]), .Y(n83222) );
+  sky130_fd_sc_hd__clkinv_1 U72904 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[0]), .Y(n81488) );
+  sky130_fd_sc_hd__nor2_1 U72905 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_reset), .B(n81488), .Y(
+        n84854) );
+  sky130_fd_sc_hd__nand2_1 U72906 ( .A(n86402), .B(n79498), .Y(n85827) );
+  sky130_fd_sc_hd__nand2_1 U72907 ( .A(n40808), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[10]), .Y(n53475) );
+  sky130_fd_sc_hd__o21ai_1 U72908 ( .A1(n72209), .A2(n40808), .B1(n53475), .Y(
+        n85349) );
+  sky130_fd_sc_hd__nand2_1 U72909 ( .A(n36798), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[10]), .Y(n53476) );
+  sky130_fd_sc_hd__o21ai_1 U72910 ( .A1(n72209), .A2(n36798), .B1(n53476), .Y(
+        n84333) );
+  sky130_fd_sc_hd__nand2_1 U72911 ( .A(n40808), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[14]), .Y(n53477) );
+  sky130_fd_sc_hd__o21ai_1 U72912 ( .A1(n72472), .A2(n40808), .B1(n53477), .Y(
+        n85353) );
+  sky130_fd_sc_hd__nand2_1 U72913 ( .A(n36798), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[14]), .Y(n53478) );
+  sky130_fd_sc_hd__o21ai_1 U72914 ( .A1(n72472), .A2(n36798), .B1(n53478), .Y(
+        n84335) );
+  sky130_fd_sc_hd__nand2_1 U72915 ( .A(n40808), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[15]), .Y(n53479) );
+  sky130_fd_sc_hd__o21ai_1 U72916 ( .A1(n71702), .A2(n40808), .B1(n53479), .Y(
+        n85354) );
+  sky130_fd_sc_hd__nand2_1 U72917 ( .A(n36798), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[15]), .Y(n53480) );
+  sky130_fd_sc_hd__o21ai_1 U72918 ( .A1(n71702), .A2(n36798), .B1(n53480), .Y(
+        n84337) );
+  sky130_fd_sc_hd__nand2_1 U72919 ( .A(n40808), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[16]), .Y(n53481) );
+  sky130_fd_sc_hd__o21ai_1 U72920 ( .A1(n73166), .A2(n40808), .B1(n53481), .Y(
+        n85355) );
+  sky130_fd_sc_hd__nand2_1 U72921 ( .A(n36798), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[16]), .Y(n53482) );
+  sky130_fd_sc_hd__o21ai_1 U72922 ( .A1(n73166), .A2(n36798), .B1(n53482), .Y(
+        n84339) );
+  sky130_fd_sc_hd__nand2_1 U72923 ( .A(n40808), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_updatePageHit_p[17]), .Y(n53483) );
+  sky130_fd_sc_hd__o21ai_1 U72924 ( .A1(n70810), .A2(n40808), .B1(n53483), .Y(
+        n85356) );
+  sky130_fd_sc_hd__nor2_1 U72925 ( .A(n36848), .B(n83064), .Y(n85908) );
+  sky130_fd_sc_hd__nor2_1 U72926 ( .A(n53484), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_hit_way[1]), .Y(n53485) );
+  sky130_fd_sc_hd__nor2_1 U72927 ( .A(n56028), .B(n53485), .Y(n85819) );
+  sky130_fd_sc_hd__nor2_1 U72928 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_io_full), .B(n59326), .Y(n60933) );
+  sky130_fd_sc_hd__nand2_1 U72929 ( .A(n53487), .B(n60079), .Y(n53490) );
+  sky130_fd_sc_hd__nand2_1 U72930 ( .A(n73564), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_5), .Y(n53488)
+         );
+  sky130_fd_sc_hd__nand3_1 U72931 ( .A(n53490), .B(n53489), .C(n53488), .Y(
+        n73776) );
+  sky130_fd_sc_hd__nor2_1 U72932 ( .A(n53492), .B(n84171), .Y(n60935) );
+  sky130_fd_sc_hd__nor4b_4 U72934 ( .D_N(n81038), .A(n53496), .B(n53495), .C(
+        n53494), .Y(n61155) );
+  sky130_fd_sc_hd__nand2_1 U72935 ( .A(n86753), .B(n61155), .Y(n81004) );
+  sky130_fd_sc_hd__nor2_1 U72936 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_MPORT_addr[0]), .B(n81004), .Y(n85851) );
+  sky130_fd_sc_hd__nor3_1 U72937 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_MPORT_addr[0]), .B(n36802), .C(n81213), .Y(n85857) );
+  sky130_fd_sc_hd__nand2_1 U72938 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_io_out_valid), .B(n53497), .Y(n81373) );
+  sky130_fd_sc_hd__nor3_1 U72939 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_MPORT_addr[0]), .B(n60015), .C(n81373), .Y(n85860) );
+  sky130_fd_sc_hd__nand2_1 U72940 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_pma_cacheable), .B(n54789), .Y(n54127) );
+  sky130_fd_sc_hd__nand2_1 U72941 ( .A(n54318), .B(n54127), .Y(n84476) );
+  sky130_fd_sc_hd__nand2_1 U72942 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_size[2]), 
+        .B(n54319), .Y(n53498) );
+  sky130_fd_sc_hd__a22o_1 U72944 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_source[1]), .B1(n54277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_source[3]), .X(n85884) );
+  sky130_fd_sc_hd__a22o_1 U72945 ( .A1(n85884), .A2(n52494), .B1(n54319), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_source[1]), .X(n84396) );
+  sky130_fd_sc_hd__a22oi_1 U72946 ( .A1(n83113), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state_1_3), 
+        .B1(n84077), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state_1_0), 
+        .Y(n53503) );
+  sky130_fd_sc_hd__a22oi_1 U72947 ( .A1(n53501), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state_1_2), 
+        .B1(n83193), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state_1_1), 
+        .Y(n53502) );
+  sky130_fd_sc_hd__nand3_1 U72948 ( .A(n53503), .B(n53502), .C(n83153), .Y(
+        n53504) );
+  sky130_fd_sc_hd__a21oi_1 U72949 ( .A1(n83070), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state_1_4), 
+        .B1(n53504), .Y(n53505) );
+  sky130_fd_sc_hd__a21oi_1 U72950 ( .A1(n53506), .A2(n83066), .B1(n53505), .Y(
+        n60993) );
+  sky130_fd_sc_hd__a222oi_1 U72951 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[0]), .A2(n60346), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[2]), .B2(n83318), .C1(n83315), .C2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[0]), .Y(n53507) );
+  sky130_fd_sc_hd__o21ai_1 U72952 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[2]), .A2(n83318), .B1(n53507), .Y(n53508) );
+  sky130_fd_sc_hd__a221oi_1 U72953 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[1]), .A2(n60345), .B1(n83314), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[1]), .C1(n53508), .Y(n59701) );
+  sky130_fd_sc_hd__nand2_1 U72954 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_maybe_full), .B(n59701), .Y(n53509) );
+  sky130_fd_sc_hd__nor3_1 U72955 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[0]), .B(n60015), .C(n83320), .Y(n85887) );
+  sky130_fd_sc_hd__a22o_1 U72956 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_size[2]), .B1(n55990), .B2(n84398), .X(n85463) );
+  sky130_fd_sc_hd__nand2_1 U72957 ( .A(n53510), .B(n60079), .Y(n53513) );
+  sky130_fd_sc_hd__nand2_1 U72958 ( .A(n73564), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_3), .Y(n53511)
+         );
+  sky130_fd_sc_hd__nand3_1 U72959 ( .A(n53513), .B(n53512), .C(n53511), .Y(
+        n73788) );
+  sky130_fd_sc_hd__nand2_1 U72960 ( .A(n73788), .B(n53515), .Y(n61170) );
+  sky130_fd_sc_hd__nand2_1 U72961 ( .A(n73788), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_io_full), .Y(n53516) );
+  sky130_fd_sc_hd__nand2_1 U72962 ( .A(n61170), .B(n53516), .Y(n63459) );
+  sky130_fd_sc_hd__nor2_1 U72963 ( .A(n53517), .B(n76309), .Y(n85759) );
+  sky130_fd_sc_hd__nor2_1 U72964 ( .A(n55255), .B(n76309), .Y(n85758) );
+  sky130_fd_sc_hd__nand2b_1 U72965 ( .A_N(n73567), .B(n37037), .Y(n63457) );
+  sky130_fd_sc_hd__nor2_1 U72966 ( .A(n60267), .B(n53519), .Y(n60287) );
+  sky130_fd_sc_hd__nand2_1 U72967 ( .A(n63310), .B(n60079), .Y(n53520) );
+  sky130_fd_sc_hd__nand2_1 U72968 ( .A(n53520), .B(n49230), .Y(n84989) );
+  sky130_fd_sc_hd__nand2b_1 U72969 ( .A_N(n63290), .B(n73753), .Y(n53521) );
+  sky130_fd_sc_hd__nand2_1 U72970 ( .A(n53522), .B(n53521), .Y(n53528) );
+  sky130_fd_sc_hd__nand2_1 U72971 ( .A(n63365), .B(n73754), .Y(n53523) );
+  sky130_fd_sc_hd__nand2_1 U72972 ( .A(n73753), .B(n53523), .Y(n53524) );
+  sky130_fd_sc_hd__nand2_1 U72973 ( .A(n73753), .B(n53525), .Y(n53526) );
+  sky130_fd_sc_hd__nand2_1 U72974 ( .A(n59505), .B(n53526), .Y(n85005) );
+  sky130_fd_sc_hd__nand2_1 U72975 ( .A(n73750), .B(n63492), .Y(n53527) );
+  sky130_fd_sc_hd__nand2_1 U72976 ( .A(n53529), .B(n60079), .Y(n53531) );
+  sky130_fd_sc_hd__nand2_1 U72977 ( .A(n73564), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_11), .Y(n53530) );
+  sky130_fd_sc_hd__nand3_1 U72978 ( .A(n53532), .B(n53531), .C(n53530), .Y(
+        n60904) );
+  sky130_fd_sc_hd__nor2_1 U72979 ( .A(n53555), .B(n83591), .Y(n85456) );
+  sky130_fd_sc_hd__nor2_1 U72980 ( .A(n55281), .B(n83591), .Y(n85455) );
+  sky130_fd_sc_hd__nand4b_1 U72981 ( .A_N(n63298), .B(n63290), .C(n73754), .D(
+        n78314), .Y(n53534) );
+  sky130_fd_sc_hd__nand4_1 U72982 ( .A(n63492), .B(n78312), .C(n63489), .D(
+        n63365), .Y(n53535) );
+  sky130_fd_sc_hd__o22ai_1 U72983 ( .A1(n55060), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[1]), .B1(n83692), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[2]), .Y(n53536) );
+  sky130_fd_sc_hd__a221oi_1 U72984 ( .A1(n55060), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[1]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[2]), .B2(n83692), .C1(n53536), .Y(n53537) );
+  sky130_fd_sc_hd__o21ai_1 U72985 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[0]), .A2(n55061), .B1(n53537), .Y(n53538) );
+  sky130_fd_sc_hd__a21oi_1 U72986 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[0]), .A2(n55061), .B1(n53538), .Y(n59974) );
+  sky130_fd_sc_hd__a21oi_1 U72987 ( .A1(n59974), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_maybe_full), .B1(n53539), .Y(n73783) );
+  sky130_fd_sc_hd__nand2_1 U72988 ( .A(n73812), .B(n73783), .Y(n83681) );
+  sky130_fd_sc_hd__nand2b_1 U72989 ( .A_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[0]), .B(n60427), .Y(n60027) );
+  sky130_fd_sc_hd__nor2_1 U72990 ( .A(n36848), .B(n60027), .Y(n85893) );
+  sky130_fd_sc_hd__nand2_1 U72991 ( .A(n53541), .B(n53540), .Y(n55556) );
+  sky130_fd_sc_hd__mux2i_1 U72992 ( .A0(n83550), .A1(n55556), .S(n55552), .Y(
+        n53542) );
+  sky130_fd_sc_hd__nand2_1 U72993 ( .A(n53542), .B(n55555), .Y(n85134) );
+  sky130_fd_sc_hd__mux2i_1 U72994 ( .A0(n55361), .A1(n53543), .S(n55366), .Y(
+        n53544) );
+  sky130_fd_sc_hd__nand2_1 U72995 ( .A(n53544), .B(n75720), .Y(n85022) );
+  sky130_fd_sc_hd__nand2_1 U72996 ( .A(n83557), .B(n83562), .Y(n55286) );
+  sky130_fd_sc_hd__o211ai_1 U72997 ( .A1(n55284), .A2(n83557), .B1(n83577), 
+        .C1(n55286), .Y(n85000) );
+  sky130_fd_sc_hd__mux2i_1 U72998 ( .A0(n61052), .A1(n61054), .S(n55053), .Y(
+        n53545) );
+  sky130_fd_sc_hd__nand2_1 U72999 ( .A(n53545), .B(n55052), .Y(n84909) );
+  sky130_fd_sc_hd__nand2_1 U73000 ( .A(n53548), .B(n53547), .Y(n83654) );
+  sky130_fd_sc_hd__o22ai_1 U73001 ( .A1(n53549), .A2(n83654), .B1(n83658), 
+        .B2(n83659), .Y(n53550) );
+  sky130_fd_sc_hd__nand2_1 U73002 ( .A(n55305), .B(n53550), .Y(n85003) );
+  sky130_fd_sc_hd__o221ai_1 U73003 ( .A1(n60778), .A2(n83634), .B1(n83637), 
+        .B2(n83640), .C1(n83652), .Y(n84996) );
+  sky130_fd_sc_hd__nand2_1 U73004 ( .A(n83615), .B(n83620), .Y(n55297) );
+  sky130_fd_sc_hd__o211ai_1 U73005 ( .A1(n83613), .A2(n83615), .B1(n55293), 
+        .C1(n55297), .Y(n85001) );
+  sky130_fd_sc_hd__nand2_1 U73006 ( .A(n83739), .B(n83745), .Y(n55253) );
+  sky130_fd_sc_hd__o211ai_1 U73007 ( .A1(n55251), .A2(n83739), .B1(n83760), 
+        .C1(n55253), .Y(n84991) );
+  sky130_fd_sc_hd__a221o_1 U73008 ( .A1(n60909), .A2(n83721), .B1(n47792), 
+        .B2(n83715), .C1(n83730), .X(n84997) );
+  sky130_fd_sc_hd__nand2b_1 U73009 ( .A_N(n53551), .B(n76292), .Y(n53552) );
+  sky130_fd_sc_hd__nand2_1 U73010 ( .A(n53551), .B(n76299), .Y(n55257) );
+  sky130_fd_sc_hd__nand3_1 U73011 ( .A(n53552), .B(n55254), .C(n55257), .Y(
+        n84992) );
+  sky130_fd_sc_hd__nor2_1 U73012 ( .A(n53554), .B(n53553), .Y(n83578) );
+  sky130_fd_sc_hd__nand2_1 U73013 ( .A(n53556), .B(n83583), .Y(n55279) );
+  sky130_fd_sc_hd__o211ai_1 U73014 ( .A1(n83578), .A2(n53556), .B1(n53555), 
+        .C1(n55279), .Y(n84999) );
+  sky130_fd_sc_hd__a221o_1 U73015 ( .A1(n55235), .A2(n83600), .B1(n83595), 
+        .B2(n60877), .C1(n55231), .X(n84987) );
+  sky130_fd_sc_hd__mux2i_1 U73016 ( .A0(n55263), .A1(n75731), .S(n83763), .Y(
+        n53557) );
+  sky130_fd_sc_hd__nand2_1 U73017 ( .A(n53557), .B(n55259), .Y(n84993) );
+  sky130_fd_sc_hd__mux2i_1 U73018 ( .A0(n76323), .A1(n76315), .S(n55243), .Y(
+        n53558) );
+  sky130_fd_sc_hd__nand2_1 U73019 ( .A(n53558), .B(n55242), .Y(n84988) );
+  sky130_fd_sc_hd__nor4_1 U73020 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_acknum[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_acknum[0]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_acknum[3]), .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_acknum[2]), .Y(n83546) );
+  sky130_fd_sc_hd__nor2b_1 U73021 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_dOrig[1]), .A(n83546), .Y(n53559) );
+  sky130_fd_sc_hd__a21oi_1 U73022 ( .A1(n85134), .A2(n83546), .B1(n53559), .Y(
+        n63422) );
+  sky130_fd_sc_hd__nor3_1 U73023 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_acknum[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_acknum[1]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_acknum[0]), .Y(n75721) );
+  sky130_fd_sc_hd__nor2b_1 U73024 ( .B_N(n75721), .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_acknum[3]), .Y(n75730) );
+  sky130_fd_sc_hd__nand2_1 U73025 ( .A(n85022), .B(n75730), .Y(n53561) );
+  sky130_fd_sc_hd__nand2_1 U73026 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_dOrig[1]), .B(n55562), .Y(n53560) );
+  sky130_fd_sc_hd__nand2_1 U73027 ( .A(n53561), .B(n53560), .Y(n63318) );
+  sky130_fd_sc_hd__nor4_1 U73028 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_acknum[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_acknum[0]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_acknum[3]), .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_acknum[2]), .Y(n83573) );
+  sky130_fd_sc_hd__o22ai_1 U73029 ( .A1(n83573), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_dOrig[1]), .B1(n83568), .B2(n85000), .Y(n63394) );
+  sky130_fd_sc_hd__a2bb2oi_1 U73030 ( .B1(n63318), .B2(n55007), .A1_N(n55564), 
+        .A2_N(n63394), .Y(n53581) );
+  sky130_fd_sc_hd__nor2_1 U73031 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_acknum[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_acknum[3]), .Y(n62015) );
+  sky130_fd_sc_hd__nand3_1 U73032 ( .A(n62015), .B(n62014), .C(n53562), .Y(
+        n83775) );
+  sky130_fd_sc_hd__mux2i_1 U73033 ( .A0(n84909), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_dOrig[1]), .S(n83775), .Y(n63401) );
+  sky130_fd_sc_hd__nor2_1 U73034 ( .A(n63401), .B(n55513), .Y(n53579) );
+  sky130_fd_sc_hd__nor4_1 U73035 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[3]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[2]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[1]), .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[0]), .Y(n83669) );
+  sky130_fd_sc_hd__a22oi_1 U73036 ( .A1(n83669), .A2(n85003), .B1(n83656), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_dOrig[1]), .Y(n63386) );
+  sky130_fd_sc_hd__nor4_1 U73037 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_acknum[3]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_acknum[2]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_acknum[1]), .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_acknum[0]), .Y(n83648) );
+  sky130_fd_sc_hd__o22ai_1 U73038 ( .A1(n83648), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_dOrig[1]), .B1(n83643), .B2(n84996), .Y(n63391) );
+  sky130_fd_sc_hd__o22ai_1 U73039 ( .A1(n63386), .A2(n55573), .B1(n63391), 
+        .B2(n55572), .Y(n53578) );
+  sky130_fd_sc_hd__inv_1 U73040 ( .A(n55511), .Y(n55582) );
+  sky130_fd_sc_hd__or3_1 U73041 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_acknum[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_acknum[1]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_acknum[3]), .X(n83622) );
+  sky130_fd_sc_hd__nor2_1 U73042 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_acknum[0]), .B(n83622), .Y(n83626) );
+  sky130_fd_sc_hd__o22ai_1 U73043 ( .A1(n83626), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_dOrig[1]), .B1(n83627), .B2(n85001), .Y(n63427) );
+  sky130_fd_sc_hd__inv_1 U73044 ( .A(n55577), .Y(n55506) );
+  sky130_fd_sc_hd__nor4_1 U73045 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_acknum[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_acknum[0]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_acknum[3]), .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_acknum[2]), .Y(n83756) );
+  sky130_fd_sc_hd__a22o_1 U73046 ( .A1(n83756), .A2(n84991), .B1(n83751), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_dOrig[1]), .X(n63277) );
+  sky130_fd_sc_hd__nor4_1 U73047 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_acknum[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_acknum[3]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_acknum[2]), .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_acknum[0]), .Y(n83731) );
+  sky130_fd_sc_hd__o22ai_1 U73048 ( .A1(n83731), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_dOrig[1]), .B1(n83722), .B2(n84997), .Y(n63424) );
+  sky130_fd_sc_hd__o22ai_1 U73049 ( .A1(n63432), .A2(n56132), .B1(n63424), 
+        .B2(n55576), .Y(n53568) );
+  sky130_fd_sc_hd__nor2_1 U73050 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_acknum[3]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_acknum[1]), .Y(n53565) );
+  sky130_fd_sc_hd__nand3_1 U73051 ( .A(n53565), .B(n53564), .C(n53563), .Y(
+        n76298) );
+  sky130_fd_sc_hd__nand2_1 U73052 ( .A(n76298), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_dOrig[1]), .Y(n53566) );
+  sky130_fd_sc_hd__nor2_1 U73053 ( .A(n63292), .B(n55508), .Y(n53567) );
+  sky130_fd_sc_hd__a211oi_1 U73054 ( .A1(n55506), .A2(n63277), .B1(n53568), 
+        .C1(n53567), .Y(n53569) );
+  sky130_fd_sc_hd__o21ai_1 U73055 ( .A1(n55582), .A2(n63427), .B1(n53569), .Y(
+        n53577) );
+  sky130_fd_sc_hd__nor4_1 U73056 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_acknum[3]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_acknum[2]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_acknum[1]), .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_acknum[0]), .Y(n83589) );
+  sky130_fd_sc_hd__o22ai_1 U73057 ( .A1(n83589), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_dOrig[1]), .B1(n83580), .B2(n84999), .Y(n63302) );
+  sky130_fd_sc_hd__nor4_1 U73058 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_acknum[3]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_acknum[0]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_acknum[1]), .D(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_acknum[2]), .Y(n83601) );
+  sky130_fd_sc_hd__o22ai_1 U73059 ( .A1(n83601), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_dOrig[1]), .B1(n83606), .B2(n84987), .Y(n63315) );
+  sky130_fd_sc_hd__or3_1 U73060 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_acknum[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_acknum[1]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_acknum[2]), .X(n83768) );
+  sky130_fd_sc_hd__nor2_1 U73061 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_acknum[3]), .B(n83768), .Y(n83762) );
+  sky130_fd_sc_hd__nand2_1 U73062 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_dOrig[1]), .B(n83764), .Y(n53570) );
+  sky130_fd_sc_hd__nor2_1 U73063 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_acknum[3]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_acknum[0]), .Y(n53572) );
+  sky130_fd_sc_hd__nand3_1 U73064 ( .A(n53572), .B(n76331), .C(n53571), .Y(
+        n76325) );
+  sky130_fd_sc_hd__nand2_1 U73065 ( .A(n76325), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_dOrig[1]), .Y(n53573) );
+  sky130_fd_sc_hd__o22ai_1 U73066 ( .A1(n63413), .A2(n55568), .B1(n55567), 
+        .B2(n63404), .Y(n53574) );
+  sky130_fd_sc_hd__a21oi_1 U73067 ( .A1(n55570), .A2(n63406), .B1(n53574), .Y(
+        n53575) );
+  sky130_fd_sc_hd__o21ai_1 U73068 ( .A1(n55588), .A2(n63302), .B1(n53575), .Y(
+        n53576) );
+  sky130_fd_sc_hd__nor4_1 U73069 ( .A(n53579), .B(n53578), .C(n53577), .D(
+        n53576), .Y(n53580) );
+  sky130_fd_sc_hd__o211ai_1 U73070 ( .A1(n55504), .A2(n63422), .B1(n53581), 
+        .C1(n53580), .Y(n84436) );
+  sky130_fd_sc_hd__nand3_1 U73071 ( .A(n53583), .B(n83778), .C(n53582), .Y(
+        n59821) );
+  sky130_fd_sc_hd__o22ai_1 U73072 ( .A1(n54850), .A2(n59821), .B1(n53586), 
+        .B2(n53585), .Y(n83923) );
+  sky130_fd_sc_hd__a22oi_1 U73073 ( .A1(n78319), .A2(n83923), .B1(n83989), 
+        .B2(MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_0), .Y(
+        n55999) );
+  sky130_fd_sc_hd__nor4_1 U73074 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_acknum[3]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_acknum[0]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_acknum[2]), .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_acknum[1]), .Y(n55991) );
+  sky130_fd_sc_hd__nand2_1 U73075 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_dOrig[2]), .B(n83375), .Y(n53588) );
+  sky130_fd_sc_hd__nor4_1 U73077 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_acknum[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_acknum[0]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_acknum[3]), .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_acknum[2]), .Y(n83407) );
+  sky130_fd_sc_hd__o22ai_1 U73078 ( .A1(n83407), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_dOrig[2]), .B1(n83408), .B2(n85525), .Y(n83910) );
+  sky130_fd_sc_hd__a2bb2oi_1 U73079 ( .B1(n83909), .B2(n57558), .A1_N(n55994), 
+        .A2_N(n83910), .Y(n53596) );
+  sky130_fd_sc_hd__a22o_1 U73080 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_size[2]), .B1(n83468), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_size[5]), .X(n83904) );
+  sky130_fd_sc_hd__nor4_1 U73081 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_acknum[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_acknum[0]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_acknum[3]), .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_acknum[2]), .Y(n83431) );
+  sky130_fd_sc_hd__o22ai_1 U73082 ( .A1(n83431), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_dOrig[2]), .B1(n83426), .B2(n84645), .Y(n83939) );
+  sky130_fd_sc_hd__o22ai_1 U73083 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_size[5]), .B1(n55996), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_size[2]), .Y(n83937) );
+  sky130_fd_sc_hd__o22ai_1 U73084 ( .A1(n55998), .A2(n83939), .B1(n83937), 
+        .B2(n83777), .Y(n53589) );
+  sky130_fd_sc_hd__a21oi_1 U73085 ( .A1(n83904), .A2(n85162), .B1(n53589), .Y(
+        n53595) );
+  sky130_fd_sc_hd__or3_1 U73086 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_acknum[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_acknum[1]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_acknum[0]), .X(n83369) );
+  sky130_fd_sc_hd__nor2_1 U73087 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_acknum[3]), .B(n83369), .Y(n83368) );
+  sky130_fd_sc_hd__nor2_1 U73088 ( .A(n54342), .B(n83356), .Y(n83359) );
+  sky130_fd_sc_hd__nand2_1 U73089 ( .A(n53591), .B(n53590), .Y(n83366) );
+  sky130_fd_sc_hd__nor2b_1 U73090 ( .B_N(n83366), .A(n83367), .Y(n54333) );
+  sky130_fd_sc_hd__a2bb2oi_1 U73091 ( .B1(n83359), .B2(n54333), .A1_N(n83368), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_dOrig[2]), .Y(n83901) );
+  sky130_fd_sc_hd__a22oi_1 U73092 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_size[2]), .B1(n55995), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_size[5]), .Y(n83908) );
+  sky130_fd_sc_hd__nor4_1 U73093 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_acknum[3]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_acknum[0]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_acknum[1]), .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_acknum[2]), .Y(n83449) );
+  sky130_fd_sc_hd__o22ai_1 U73094 ( .A1(n83449), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_dOrig[2]), .B1(n83446), .B2(n84781), .Y(n83898) );
+  sky130_fd_sc_hd__o22ai_1 U73095 ( .A1(n55997), .A2(n83908), .B1(n55993), 
+        .B2(n83898), .Y(n53592) );
+  sky130_fd_sc_hd__a21oi_1 U73096 ( .A1(n83901), .A2(n53593), .B1(n53592), .Y(
+        n53594) );
+  sky130_fd_sc_hd__nand3_1 U73097 ( .A(n53596), .B(n53595), .C(n53594), .Y(
+        n84484) );
+  sky130_fd_sc_hd__o22ai_1 U73098 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_size[5]), .B1(n41810), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_size[2]), .Y(n83507) );
+  sky130_fd_sc_hd__a21oi_1 U73099 ( .A1(n54186), .A2(n78349), .B1(n61471), .Y(
+        n55637) );
+  sky130_fd_sc_hd__o22ai_1 U73100 ( .A1(n83507), .A2(n55660), .B1(n84043), 
+        .B2(n55639), .Y(n84528) );
+  sky130_fd_sc_hd__nand2_1 U73101 ( .A(n53921), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[0]), .Y(n53603) );
+  sky130_fd_sc_hd__nand2_1 U73102 ( .A(n86737), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[0]), .Y(n53602) );
+  sky130_fd_sc_hd__nand2_1 U73103 ( .A(n53610), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[0]), .Y(n53599) );
+  sky130_fd_sc_hd__nand2_1 U73104 ( .A(n53599), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_bypass_0), .Y(n53600) );
+  sky130_fd_sc_hd__nand2_1 U73105 ( .A(n53600), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[0]), .Y(n53601) );
+  sky130_fd_sc_hd__nand3_1 U73106 ( .A(n53603), .B(n53602), .C(n53601), .Y(
+        n66193) );
+  sky130_fd_sc_hd__nand2_1 U73107 ( .A(n66193), .B(n53941), .Y(n69836) );
+  sky130_fd_sc_hd__nor2_1 U73108 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[3]), .B(n69837), .Y(n53646) );
+  sky130_fd_sc_hd__nand2_1 U73109 ( .A(n69837), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[3]), .Y(n53644) );
+  sky130_fd_sc_hd__nand2_1 U73110 ( .A(n53604), .B(n53644), .Y(n53609) );
+  sky130_fd_sc_hd__nand2_1 U73111 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_alu2[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_alu2[0]), .Y(n53605) );
+  sky130_fd_sc_hd__nor2_1 U73112 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_imm[1]), .B(n53605), .Y(n53625) );
+  sky130_fd_sc_hd__nand3_1 U73113 ( .A(n53625), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_imm[2]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_imm[0]), .Y(n53664) );
+  sky130_fd_sc_hd__nand2_1 U73114 ( .A(n53750), .B(n53620), .Y(n53705) );
+  sky130_fd_sc_hd__nand2_1 U73115 ( .A(n53775), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_imm[2]), .Y(n53618) );
+  sky130_fd_sc_hd__nor2_1 U73116 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_imm[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_imm[1]), .Y(n53622) );
+  sky130_fd_sc_hd__nand2_1 U73117 ( .A(n53622), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_tag[1]), .Y(n53768) );
+  sky130_fd_sc_hd__o2bb2ai_1 U73119 ( .B1(n53870), .B2(n53664), .A1_N(n53607), 
+        .A2_N(n53606), .Y(n53608) );
+  sky130_fd_sc_hd__a21oi_1 U73120 ( .A1(n85547), .A2(n53954), .B1(n53608), .Y(
+        n64835) );
+  sky130_fd_sc_hd__xnor2_1 U73121 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[3]), .B(n64835), .Y(n69835) );
+  sky130_fd_sc_hd__xnor2_1 U73122 ( .A(n53609), .B(n69835), .Y(n85619) );
+  sky130_fd_sc_hd__nand3_1 U73123 ( .A(n53610), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[0]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_bypass_0), .Y(n53936) );
+  sky130_fd_sc_hd__nand2_1 U73124 ( .A(n53611), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[1]), .Y(n53613) );
+  sky130_fd_sc_hd__nand2_1 U73125 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[0]), .Y(n53612) );
+  sky130_fd_sc_hd__nand3_1 U73126 ( .A(n53613), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_bypass_0), .C(n53612), .Y(n53614) );
+  sky130_fd_sc_hd__o2bb2ai_1 U73127 ( .B1(n62863), .B2(n53936), .A1_N(n53614), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[1]), .Y(n66200) );
+  sky130_fd_sc_hd__nand2_1 U73128 ( .A(n66200), .B(n53941), .Y(n53617) );
+  sky130_fd_sc_hd__nand2_1 U73129 ( .A(n53615), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_alu1[1]), .Y(n53942) );
+  sky130_fd_sc_hd__nand2_1 U73130 ( .A(n53924), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[1]), .Y(n53616) );
+  sky130_fd_sc_hd__nand2_1 U73131 ( .A(n53617), .B(n53616), .Y(n72139) );
+  sky130_fd_sc_hd__nand2_1 U73132 ( .A(n53750), .B(n53618), .Y(n53619) );
+  sky130_fd_sc_hd__nand2_1 U73133 ( .A(n53705), .B(n53619), .Y(n53951) );
+  sky130_fd_sc_hd__nor2_1 U73134 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_imm[2]), .B(n53775), .Y(n53751) );
+  sky130_fd_sc_hd__nand2_1 U73135 ( .A(n53751), .B(n53620), .Y(n53621) );
+  sky130_fd_sc_hd__nand2_1 U73136 ( .A(n53951), .B(n53621), .Y(n53675) );
+  sky130_fd_sc_hd__nor2_1 U73137 ( .A(n53622), .B(n53675), .Y(n53663) );
+  sky130_fd_sc_hd__nand2_1 U73138 ( .A(n53663), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[1]), .Y(n53631) );
+  sky130_fd_sc_hd__nand2_1 U73139 ( .A(n53623), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_alu2[0]), .Y(n53638) );
+  sky130_fd_sc_hd__nand2_1 U73140 ( .A(n53625), .B(n53624), .Y(n53665) );
+  sky130_fd_sc_hd__o22ai_1 U73141 ( .A1(n53627), .A2(n53638), .B1(n53626), 
+        .B2(n53665), .Y(n53628) );
+  sky130_fd_sc_hd__a21oi_1 U73142 ( .A1(n53640), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b19_12_T_4[4]), .B1(n53628), .Y(n53630) );
+  sky130_fd_sc_hd__nand2_1 U73143 ( .A(n85617), .B(n53954), .Y(n53629) );
+  sky130_fd_sc_hd__nand3_1 U73144 ( .A(n53631), .B(n53630), .C(n53629), .Y(
+        n65584) );
+  sky130_fd_sc_hd__xor2_1 U73145 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[3]), .B(n65584), .X(n72138) );
+  sky130_fd_sc_hd__nor2_1 U73146 ( .A(n72139), .B(n72138), .Y(n53695) );
+  sky130_fd_sc_hd__nand2_1 U73147 ( .A(n86737), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[2]), .Y(n53633) );
+  sky130_fd_sc_hd__nand2_1 U73148 ( .A(n53921), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[2]), .Y(n53632) );
+  sky130_fd_sc_hd__o211ai_1 U73149 ( .A1(n53936), .A2(n55807), .B1(n53633), 
+        .C1(n53632), .Y(n66206) );
+  sky130_fd_sc_hd__nand2_1 U73150 ( .A(n66206), .B(n53941), .Y(n53636) );
+  sky130_fd_sc_hd__nand2_1 U73151 ( .A(n53941), .B(n65663), .Y(n53925) );
+  sky130_fd_sc_hd__nand2b_1 U73152 ( .A_N(n53925), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[2]), .Y(n53635) );
+  sky130_fd_sc_hd__nand2_1 U73153 ( .A(n53924), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[2]), .Y(n53634) );
+  sky130_fd_sc_hd__nand3_1 U73154 ( .A(n53636), .B(n53635), .C(n53634), .Y(
+        n70281) );
+  sky130_fd_sc_hd__nand2_1 U73155 ( .A(n53663), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[2]), .Y(n53643) );
+  sky130_fd_sc_hd__o22ai_1 U73156 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rvc), .A2(n53638), .B1(n53637), .B2(n53665), .Y(n53639) );
+  sky130_fd_sc_hd__a21oi_1 U73157 ( .A1(n53640), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b19_12_T_4[5]), .B1(n53639), .Y(n53642) );
+  sky130_fd_sc_hd__nand2_1 U73158 ( .A(n85616), .B(n53954), .Y(n53641) );
+  sky130_fd_sc_hd__nand3_1 U73159 ( .A(n53643), .B(n53642), .C(n53641), .Y(
+        n66037) );
+  sky130_fd_sc_hd__xor2_1 U73160 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[3]), .B(n66037), .X(n69849) );
+  sky130_fd_sc_hd__nor2_1 U73161 ( .A(n70281), .B(n69849), .Y(n53689) );
+  sky130_fd_sc_hd__nor2_1 U73162 ( .A(n53695), .B(n53689), .Y(n53648) );
+  sky130_fd_sc_hd__nand2_1 U73164 ( .A(n72138), .B(n72139), .Y(n53696) );
+  sky130_fd_sc_hd__nand2_1 U73165 ( .A(n69849), .B(n70281), .Y(n53690) );
+  sky130_fd_sc_hd__o21ai_1 U73166 ( .A1(n53696), .A2(n53689), .B1(n53690), .Y(
+        n53647) );
+  sky130_fd_sc_hd__a21oi_1 U73167 ( .A1(n53648), .A2(n53692), .B1(n53647), .Y(
+        n53741) );
+  sky130_fd_sc_hd__inv_1 U73168 ( .A(n53741), .Y(n53687) );
+  sky130_fd_sc_hd__nand2_1 U73169 ( .A(n53921), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[4]), .Y(n53650) );
+  sky130_fd_sc_hd__nand2_1 U73170 ( .A(n86737), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[4]), .Y(n53649) );
+  sky130_fd_sc_hd__o211ai_1 U73171 ( .A1(n55814), .A2(n53936), .B1(n53650), 
+        .C1(n53649), .Y(n69933) );
+  sky130_fd_sc_hd__nand2_1 U73172 ( .A(n69933), .B(n53941), .Y(n53653) );
+  sky130_fd_sc_hd__nand2b_1 U73173 ( .A_N(n53925), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[4]), .Y(n53652) );
+  sky130_fd_sc_hd__nand2_1 U73174 ( .A(n53924), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[4]), .Y(n53651) );
+  sky130_fd_sc_hd__nand3_1 U73175 ( .A(n53653), .B(n53652), .C(n53651), .Y(
+        n69964) );
+  sky130_fd_sc_hd__inv_2 U73176 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[3]), .Y(n72547) );
+  sky130_fd_sc_hd__nand2_1 U73177 ( .A(n53663), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[4]), .Y(n53657) );
+  sky130_fd_sc_hd__o22a_1 U73178 ( .A1(n53654), .A2(n53665), .B1(n53664), .B2(
+        n53822), .X(n53656) );
+  sky130_fd_sc_hd__nand2_1 U73179 ( .A(n85614), .B(n53954), .Y(n53655) );
+  sky130_fd_sc_hd__nand3_2 U73180 ( .A(n53657), .B(n53656), .C(n53655), .Y(
+        n70270) );
+  sky130_fd_sc_hd__nand2_1 U73181 ( .A(n70270), .B(n72547), .Y(n70088) );
+  sky130_fd_sc_hd__o21ai_1 U73182 ( .A1(n72547), .A2(n70270), .B1(n70088), .Y(
+        n69963) );
+  sky130_fd_sc_hd__nor2_1 U73183 ( .A(n69964), .B(n69963), .Y(n53680) );
+  sky130_fd_sc_hd__nand2_1 U73184 ( .A(n86737), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[3]), .Y(n53659) );
+  sky130_fd_sc_hd__nand2_1 U73185 ( .A(n53921), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[3]), .Y(n53658) );
+  sky130_fd_sc_hd__o211ai_1 U73186 ( .A1(n53936), .A2(n55677), .B1(n53659), 
+        .C1(n53658), .Y(n69922) );
+  sky130_fd_sc_hd__nand2_1 U73187 ( .A(n69922), .B(n53941), .Y(n53662) );
+  sky130_fd_sc_hd__nand2b_1 U73188 ( .A_N(n53925), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[3]), .Y(n53661) );
+  sky130_fd_sc_hd__nand2_1 U73189 ( .A(n53924), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[3]), .Y(n53660) );
+  sky130_fd_sc_hd__nand3_1 U73190 ( .A(n53662), .B(n53661), .C(n53660), .Y(
+        n69882) );
+  sky130_fd_sc_hd__nand2_1 U73191 ( .A(n53663), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[3]), .Y(n53669) );
+  sky130_fd_sc_hd__o22a_1 U73192 ( .A1(n53666), .A2(n53665), .B1(n53664), .B2(
+        n53812), .X(n53668) );
+  sky130_fd_sc_hd__nand2_1 U73193 ( .A(n85615), .B(n53954), .Y(n53667) );
+  sky130_fd_sc_hd__nand3_1 U73194 ( .A(n53669), .B(n53668), .C(n53667), .Y(
+        n69434) );
+  sky130_fd_sc_hd__xor2_1 U73195 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[3]), .B(n69434), .X(n69881) );
+  sky130_fd_sc_hd__nor2_1 U73196 ( .A(n69882), .B(n69881), .Y(n53678) );
+  sky130_fd_sc_hd__nor2_1 U73197 ( .A(n53680), .B(n53678), .Y(n53736) );
+  sky130_fd_sc_hd__nand2_1 U73198 ( .A(n69881), .B(n69882), .Y(n53685) );
+  sky130_fd_sc_hd__nand2_1 U73199 ( .A(n69963), .B(n69964), .Y(n53681) );
+  sky130_fd_sc_hd__o21ai_1 U73200 ( .A1(n53685), .A2(n53680), .B1(n53681), .Y(
+        n53739) );
+  sky130_fd_sc_hd__a21oi_1 U73201 ( .A1(n53687), .A2(n53736), .B1(n53739), .Y(
+        n54248) );
+  sky130_fd_sc_hd__a2bb2oi_1 U73202 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[5]), .B2(n65663), .A1_N(n55815), .A2_N(n53936), .Y(n53672) );
+  sky130_fd_sc_hd__nand2_1 U73203 ( .A(n86737), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[5]), .Y(n53671) );
+  sky130_fd_sc_hd__nand2_1 U73204 ( .A(n53921), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[5]), .Y(n53670) );
+  sky130_fd_sc_hd__nand3_1 U73205 ( .A(n53672), .B(n53671), .C(n53670), .Y(
+        n66651) );
+  sky130_fd_sc_hd__nand2_1 U73206 ( .A(n66651), .B(n53941), .Y(n53674) );
+  sky130_fd_sc_hd__nand2_1 U73207 ( .A(n53924), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[5]), .Y(n53673) );
+  sky130_fd_sc_hd__nand2_1 U73208 ( .A(n53674), .B(n53673), .Y(n66614) );
+  sky130_fd_sc_hd__a22oi_1 U73209 ( .A1(n53803), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[5]), .B1(n85613), .B2(n53954), .Y(n66615) );
+  sky130_fd_sc_hd__xnor2_1 U73210 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[3]), .B(n66615), .Y(n66613) );
+  sky130_fd_sc_hd__nor2_1 U73211 ( .A(n66614), .B(n66613), .Y(n54249) );
+  sky130_fd_sc_hd__nand2_1 U73212 ( .A(n66613), .B(n66614), .Y(n54247) );
+  sky130_fd_sc_hd__nand2_1 U73213 ( .A(n53676), .B(n54247), .Y(n53677) );
+  sky130_fd_sc_hd__xor2_1 U73214 ( .A(n54248), .B(n53677), .X(n85777) );
+  sky130_fd_sc_hd__a21oi_1 U73215 ( .A1(n53687), .A2(n53686), .B1(n53679), .Y(
+        n53684) );
+  sky130_fd_sc_hd__nand2_1 U73216 ( .A(n53682), .B(n53681), .Y(n53683) );
+  sky130_fd_sc_hd__xor2_1 U73217 ( .A(n53684), .B(n53683), .X(n85776) );
+  sky130_fd_sc_hd__nand2_1 U73218 ( .A(n53686), .B(n53685), .Y(n53688) );
+  sky130_fd_sc_hd__xnor2_1 U73219 ( .A(n53688), .B(n53687), .Y(n85775) );
+  sky130_fd_sc_hd__nand2_1 U73220 ( .A(n53691), .B(n53690), .Y(n53694) );
+  sky130_fd_sc_hd__o21ai_1 U73221 ( .A1(n53698), .A2(n53695), .B1(n53696), .Y(
+        n53693) );
+  sky130_fd_sc_hd__xnor2_1 U73222 ( .A(n53694), .B(n53693), .Y(n85774) );
+  sky130_fd_sc_hd__nand2_1 U73223 ( .A(n53697), .B(n53696), .Y(n53699) );
+  sky130_fd_sc_hd__xor2_1 U73224 ( .A(n53699), .B(n53698), .X(n85640) );
+  sky130_fd_sc_hd__nand2_1 U73225 ( .A(n86737), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[29]), .Y(n53701) );
+  sky130_fd_sc_hd__nand2_1 U73226 ( .A(n53921), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[29]), .Y(n53700) );
+  sky130_fd_sc_hd__o211ai_1 U73227 ( .A1(n53936), .A2(n55788), .B1(n53701), 
+        .C1(n53700), .Y(n65683) );
+  sky130_fd_sc_hd__nand2_1 U73228 ( .A(n65683), .B(n53941), .Y(n53704) );
+  sky130_fd_sc_hd__nand2_1 U73229 ( .A(n53924), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[29]), .Y(n53703) );
+  sky130_fd_sc_hd__nand2b_1 U73230 ( .A_N(n53925), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[29]), .Y(n53702) );
+  sky130_fd_sc_hd__nand3_1 U73231 ( .A(n53704), .B(n53703), .C(n53702), .Y(
+        n70283) );
+  sky130_fd_sc_hd__nand2_1 U73232 ( .A(n53954), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_bypass_1), .Y(n53934) );
+  sky130_fd_sc_hd__a222oi_1 U73233 ( .A1(n53929), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[29]), .B1(n53944), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[29]), .C1(n37071), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[29]), .Y(n69621) );
+  sky130_fd_sc_hd__nand2_1 U73234 ( .A(n53954), .B(n69617), .Y(n53868) );
+  sky130_fd_sc_hd__inv_2 U73235 ( .A(n53868), .Y(n53931) );
+  sky130_fd_sc_hd__nor2_1 U73236 ( .A(n53769), .B(n53705), .Y(n53930) );
+  sky130_fd_sc_hd__a22oi_1 U73237 ( .A1(n53931), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[29]), .B1(n53930), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[9]), .Y(n53706) );
+  sky130_fd_sc_hd__nand2_1 U73238 ( .A(n53803), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_inst_31_), .Y(n53932) );
+  sky130_fd_sc_hd__o211ai_1 U73239 ( .A1(n53934), .A2(n69621), .B1(n53706), 
+        .C1(n53932), .Y(n69272) );
+  sky130_fd_sc_hd__xor2_1 U73240 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[3]), .B(n69272), .X(n69271) );
+  sky130_fd_sc_hd__nor2_1 U73241 ( .A(n70283), .B(n69271), .Y(n69275) );
+  sky130_fd_sc_hd__nand2_1 U73242 ( .A(n86737), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[27]), .Y(n53708) );
+  sky130_fd_sc_hd__nand2_1 U73243 ( .A(n53921), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[27]), .Y(n53707) );
+  sky130_fd_sc_hd__o211ai_1 U73244 ( .A1(n53936), .A2(n55792), .B1(n53708), 
+        .C1(n53707), .Y(n69517) );
+  sky130_fd_sc_hd__nand2_1 U73245 ( .A(n69517), .B(n53941), .Y(n53711) );
+  sky130_fd_sc_hd__nand2_1 U73246 ( .A(n53924), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[27]), .Y(n53710) );
+  sky130_fd_sc_hd__nand2b_1 U73247 ( .A_N(n53925), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[27]), .Y(n53709) );
+  sky130_fd_sc_hd__nand3_1 U73248 ( .A(n53711), .B(n53710), .C(n53709), .Y(
+        n69499) );
+  sky130_fd_sc_hd__a222oi_1 U73249 ( .A1(n53929), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[27]), .B1(n53944), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[27]), .C1(n37071), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[27]), .Y(n69629) );
+  sky130_fd_sc_hd__a22oi_1 U73250 ( .A1(n53931), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[27]), .B1(n53930), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[7]), .Y(n53712) );
+  sky130_fd_sc_hd__o211ai_1 U73251 ( .A1(n53934), .A2(n69629), .B1(n53712), 
+        .C1(n53932), .Y(n69500) );
+  sky130_fd_sc_hd__xor2_1 U73252 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[3]), .B(n69500), .X(n69498) );
+  sky130_fd_sc_hd__nand2_1 U73253 ( .A(n86737), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[25]), .Y(n53714) );
+  sky130_fd_sc_hd__nand2_1 U73254 ( .A(n53921), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[25]), .Y(n53713) );
+  sky130_fd_sc_hd__o211ai_1 U73255 ( .A1(n53936), .A2(n55793), .B1(n53714), 
+        .C1(n53713), .Y(n66148) );
+  sky130_fd_sc_hd__nand2_1 U73256 ( .A(n66148), .B(n53941), .Y(n53717) );
+  sky130_fd_sc_hd__nand2_1 U73257 ( .A(n53924), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[25]), .Y(n53716) );
+  sky130_fd_sc_hd__nand2b_1 U73258 ( .A_N(n53925), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[25]), .Y(n53715) );
+  sky130_fd_sc_hd__nand3_1 U73259 ( .A(n53717), .B(n53716), .C(n53715), .Y(
+        n69154) );
+  sky130_fd_sc_hd__a222oi_1 U73260 ( .A1(n53929), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[25]), .B1(n53944), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[25]), .C1(n37071), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[25]), .Y(n69637) );
+  sky130_fd_sc_hd__a22oi_1 U73261 ( .A1(n53931), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[25]), .B1(n53930), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[5]), .Y(n53718) );
+  sky130_fd_sc_hd__o211ai_1 U73262 ( .A1(n53934), .A2(n69637), .B1(n53718), 
+        .C1(n53932), .Y(n69155) );
+  sky130_fd_sc_hd__xor2_1 U73263 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[3]), .B(n69155), .X(n69153) );
+  sky130_fd_sc_hd__nor2_1 U73264 ( .A(n69154), .B(n69153), .Y(n69159) );
+  sky130_fd_sc_hd__nand2_1 U73265 ( .A(n86737), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[22]), .Y(n53720) );
+  sky130_fd_sc_hd__nand2_1 U73266 ( .A(n53921), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[22]), .Y(n53719) );
+  sky130_fd_sc_hd__o211ai_1 U73267 ( .A1(n53936), .A2(n55797), .B1(n53720), 
+        .C1(n53719), .Y(n68756) );
+  sky130_fd_sc_hd__nand2_1 U73268 ( .A(n68756), .B(n53941), .Y(n53723) );
+  sky130_fd_sc_hd__nand2_1 U73269 ( .A(n53924), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[22]), .Y(n53722) );
+  sky130_fd_sc_hd__nand2b_1 U73270 ( .A_N(n53925), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[22]), .Y(n53721) );
+  sky130_fd_sc_hd__nand3_1 U73271 ( .A(n53723), .B(n53722), .C(n53721), .Y(
+        n68719) );
+  sky130_fd_sc_hd__a222oi_1 U73272 ( .A1(n53929), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[22]), .B1(n53944), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[22]), .C1(n37071), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[22]), .Y(n69649) );
+  sky130_fd_sc_hd__a22oi_1 U73273 ( .A1(n53931), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[22]), .B1(n53930), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[2]), .Y(n53724) );
+  sky130_fd_sc_hd__o211ai_1 U73274 ( .A1(n53934), .A2(n69649), .B1(n53724), 
+        .C1(n53932), .Y(n68720) );
+  sky130_fd_sc_hd__xor2_1 U73275 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[3]), .B(n68720), .X(n68718) );
+  sky130_fd_sc_hd__nand2_1 U73276 ( .A(n86737), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[23]), .Y(n53726) );
+  sky130_fd_sc_hd__nand2_1 U73277 ( .A(n53921), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[23]), .Y(n53725) );
+  sky130_fd_sc_hd__o211ai_1 U73278 ( .A1(n53936), .A2(n55794), .B1(n53726), 
+        .C1(n53725), .Y(n68764) );
+  sky130_fd_sc_hd__nand2_1 U73279 ( .A(n68764), .B(n53941), .Y(n53729) );
+  sky130_fd_sc_hd__nand2_1 U73280 ( .A(n53924), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[23]), .Y(n53728) );
+  sky130_fd_sc_hd__nand2b_1 U73281 ( .A_N(n53925), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[23]), .Y(n53727) );
+  sky130_fd_sc_hd__nand3_1 U73282 ( .A(n53729), .B(n53728), .C(n53727), .Y(
+        n68793) );
+  sky130_fd_sc_hd__a222oi_1 U73283 ( .A1(n53929), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[23]), .B1(n53944), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[23]), .C1(n37071), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[23]), .Y(n69645) );
+  sky130_fd_sc_hd__a22oi_1 U73284 ( .A1(n53931), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[23]), .B1(n53930), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[3]), .Y(n53730) );
+  sky130_fd_sc_hd__o211ai_1 U73285 ( .A1(n53934), .A2(n69645), .B1(n53730), 
+        .C1(n53932), .Y(n68794) );
+  sky130_fd_sc_hd__xor2_1 U73286 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[3]), .B(n68794), .X(n68792) );
+  sky130_fd_sc_hd__a2bb2oi_1 U73287 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[6]), .B2(n65663), .A1_N(n55819), .A2_N(n53936), .Y(n53733) );
+  sky130_fd_sc_hd__nand2_1 U73288 ( .A(n86737), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[6]), .Y(n53732) );
+  sky130_fd_sc_hd__nand2_1 U73289 ( .A(n53921), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[6]), .Y(n53731) );
+  sky130_fd_sc_hd__nand3_1 U73290 ( .A(n53733), .B(n53732), .C(n53731), .Y(
+        n66657) );
+  sky130_fd_sc_hd__nand2_1 U73291 ( .A(n66657), .B(n53941), .Y(n53735) );
+  sky130_fd_sc_hd__nand2_1 U73292 ( .A(n53924), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[6]), .Y(n53734) );
+  sky130_fd_sc_hd__nand2_1 U73293 ( .A(n53735), .B(n53734), .Y(n65219) );
+  sky130_fd_sc_hd__a22oi_1 U73294 ( .A1(n85612), .A2(n53954), .B1(n53803), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[6]), .Y(n65220) );
+  sky130_fd_sc_hd__xnor2_1 U73295 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[3]), .B(n65220), .Y(n65218) );
+  sky130_fd_sc_hd__nor2_1 U73296 ( .A(n65219), .B(n65218), .Y(n54244) );
+  sky130_fd_sc_hd__nor2_1 U73297 ( .A(n54244), .B(n54249), .Y(n53738) );
+  sky130_fd_sc_hd__nand2_1 U73298 ( .A(n53736), .B(n53738), .Y(n53742) );
+  sky130_fd_sc_hd__nand2_1 U73299 ( .A(n65218), .B(n65219), .Y(n54245) );
+  sky130_fd_sc_hd__o21ai_1 U73300 ( .A1(n54247), .A2(n54244), .B1(n54245), .Y(
+        n53737) );
+  sky130_fd_sc_hd__a21oi_1 U73301 ( .A1(n53739), .A2(n53738), .B1(n53737), .Y(
+        n53740) );
+  sky130_fd_sc_hd__o21ai_1 U73302 ( .A1(n53742), .A2(n53741), .B1(n53740), .Y(
+        n54255) );
+  sky130_fd_sc_hd__nand2_1 U73303 ( .A(n86737), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[12]), .Y(n53744) );
+  sky130_fd_sc_hd__nand2_1 U73304 ( .A(n53921), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[12]), .Y(n53743) );
+  sky130_fd_sc_hd__o211ai_1 U73305 ( .A1(n53936), .A2(n55839), .B1(n53744), 
+        .C1(n53743), .Y(n66553) );
+  sky130_fd_sc_hd__nand2_1 U73306 ( .A(n66553), .B(n53941), .Y(n53747) );
+  sky130_fd_sc_hd__nand2b_1 U73307 ( .A_N(n53925), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[12]), .Y(n53746) );
+  sky130_fd_sc_hd__nand2_1 U73308 ( .A(n53924), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[12]), .Y(n53745) );
+  sky130_fd_sc_hd__nand3_1 U73309 ( .A(n53747), .B(n53746), .C(n53745), .Y(
+        n70178) );
+  sky130_fd_sc_hd__nand2_1 U73310 ( .A(n37071), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[12]), .Y(n53749) );
+  sky130_fd_sc_hd__nand2_1 U73311 ( .A(n53944), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[12]), .Y(n53748) );
+  sky130_fd_sc_hd__o211ai_1 U73312 ( .A1(n76665), .A2(n53947), .B1(n53749), 
+        .C1(n53748), .Y(n69684) );
+  sky130_fd_sc_hd__nand2_1 U73313 ( .A(n53751), .B(n53750), .Y(n53869) );
+  sky130_fd_sc_hd__a22o_1 U73314 ( .A1(n53851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b19_12_T_4[0]), .B1(n53931), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[12]), .X(n53752) );
+  sky130_fd_sc_hd__nand3_1 U73315 ( .A(n53951), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_inst_31_), .C(n53769), .Y(n53774) );
+  sky130_fd_sc_hd__a211oi_1 U73316 ( .A1(n53873), .A2(n69684), .B1(n53752), 
+        .C1(n53871), .Y(n70179) );
+  sky130_fd_sc_hd__xor2_1 U73317 ( .A(n72547), .B(n70179), .X(n70177) );
+  sky130_fd_sc_hd__nand2_1 U73318 ( .A(n86737), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[13]), .Y(n53754) );
+  sky130_fd_sc_hd__nand2_1 U73319 ( .A(n53921), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[13]), .Y(n53753) );
+  sky130_fd_sc_hd__o211ai_1 U73320 ( .A1(n53936), .A2(n55840), .B1(n53754), 
+        .C1(n53753), .Y(n66188) );
+  sky130_fd_sc_hd__nand2_1 U73321 ( .A(n66188), .B(n53941), .Y(n53757) );
+  sky130_fd_sc_hd__nand2_1 U73322 ( .A(n53924), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[13]), .Y(n53756) );
+  sky130_fd_sc_hd__nand2b_1 U73323 ( .A_N(n53925), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[13]), .Y(n53755) );
+  sky130_fd_sc_hd__nand3_1 U73324 ( .A(n53757), .B(n53756), .C(n53755), .Y(
+        n69084) );
+  sky130_fd_sc_hd__nand2_1 U73325 ( .A(n37071), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[13]), .Y(n53759) );
+  sky130_fd_sc_hd__nand2_1 U73326 ( .A(n53944), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[13]), .Y(n53758) );
+  sky130_fd_sc_hd__o211ai_1 U73327 ( .A1(n76362), .A2(n53947), .B1(n53759), 
+        .C1(n53758), .Y(n69681) );
+  sky130_fd_sc_hd__a22o_1 U73328 ( .A1(n53851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b19_12_T_4[1]), .B1(n53931), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[13]), .X(n53760) );
+  sky130_fd_sc_hd__a211oi_1 U73329 ( .A1(n53873), .A2(n69681), .B1(n53760), 
+        .C1(n53871), .Y(n69085) );
+  sky130_fd_sc_hd__xor2_1 U73330 ( .A(n72547), .B(n69085), .X(n69083) );
+  sky130_fd_sc_hd__nand2_1 U73331 ( .A(n37086), .B(n37051), .Y(n53880) );
+  sky130_fd_sc_hd__nand2_1 U73332 ( .A(n86737), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[11]), .Y(n53762) );
+  sky130_fd_sc_hd__nand2_1 U73333 ( .A(n53921), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[11]), .Y(n53761) );
+  sky130_fd_sc_hd__o211ai_1 U73334 ( .A1(n53936), .A2(n55831), .B1(n53762), 
+        .C1(n53761), .Y(n66545) );
+  sky130_fd_sc_hd__nand2_1 U73335 ( .A(n66545), .B(n53941), .Y(n53765) );
+  sky130_fd_sc_hd__nand2_1 U73336 ( .A(n53924), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[11]), .Y(n53764) );
+  sky130_fd_sc_hd__nand2b_1 U73337 ( .A_N(n53925), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[11]), .Y(n53763) );
+  sky130_fd_sc_hd__nand3_1 U73338 ( .A(n53765), .B(n53764), .C(n53763), .Y(
+        n66499) );
+  sky130_fd_sc_hd__nand2_1 U73339 ( .A(n37071), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[11]), .Y(n53767) );
+  sky130_fd_sc_hd__nand2_1 U73340 ( .A(n53944), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[11]), .Y(n53766) );
+  sky130_fd_sc_hd__o211ai_1 U73341 ( .A1(n76652), .A2(n53947), .B1(n53767), 
+        .C1(n53766), .Y(n69687) );
+  sky130_fd_sc_hd__o21ai_1 U73342 ( .A1(n53770), .A2(n53769), .B1(n53768), .Y(
+        n53771) );
+  sky130_fd_sc_hd__nand3_1 U73343 ( .A(n53771), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_imm[0]), .C(n53951), .Y(n53772) );
+  sky130_fd_sc_hd__o21ai_1 U73344 ( .A1(n53773), .A2(n53868), .B1(n53772), .Y(
+        n53777) );
+  sky130_fd_sc_hd__a21oi_1 U73345 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_sel_imm[0]), .A2(n53775), .B1(n53774), .Y(n53776) );
+  sky130_fd_sc_hd__a211oi_1 U73346 ( .A1(n53873), .A2(n69687), .B1(n53777), 
+        .C1(n53776), .Y(n66500) );
+  sky130_fd_sc_hd__xor2_1 U73347 ( .A(n72547), .B(n66500), .X(n66498) );
+  sky130_fd_sc_hd__nand2_1 U73348 ( .A(n86737), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[10]), .Y(n53779) );
+  sky130_fd_sc_hd__nand2_1 U73349 ( .A(n53921), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[10]), .Y(n53778) );
+  sky130_fd_sc_hd__o211ai_1 U73350 ( .A1(n53936), .A2(n55828), .B1(n53779), 
+        .C1(n53778), .Y(n66005) );
+  sky130_fd_sc_hd__nand2_1 U73351 ( .A(n66005), .B(n53941), .Y(n53782) );
+  sky130_fd_sc_hd__nand2_1 U73352 ( .A(n53924), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[10]), .Y(n53781) );
+  sky130_fd_sc_hd__nand2b_1 U73353 ( .A_N(n53925), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[10]), .Y(n53780) );
+  sky130_fd_sc_hd__nand3_1 U73354 ( .A(n53782), .B(n53781), .C(n53780), .Y(
+        n65953) );
+  sky130_fd_sc_hd__nand2_1 U73355 ( .A(n37071), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[10]), .Y(n53784) );
+  sky130_fd_sc_hd__nand2_1 U73356 ( .A(n53944), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[10]), .Y(n53783) );
+  sky130_fd_sc_hd__o211ai_1 U73357 ( .A1(n76635), .A2(n53947), .B1(n53784), 
+        .C1(n53783), .Y(n69690) );
+  sky130_fd_sc_hd__a222oi_1 U73358 ( .A1(n69690), .A2(n53873), .B1(n53931), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[10]), .C1(n53803), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[10]), .Y(n65954) );
+  sky130_fd_sc_hd__xor2_1 U73359 ( .A(n72547), .B(n65954), .X(n65952) );
+  sky130_fd_sc_hd__nand2_1 U73360 ( .A(n38503), .B(n38498), .Y(n69092) );
+  sky130_fd_sc_hd__nor2_1 U73361 ( .A(n53880), .B(n69092), .Y(n53882) );
+  sky130_fd_sc_hd__nand2_1 U73362 ( .A(n86737), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[8]), .Y(n53786) );
+  sky130_fd_sc_hd__nand2_1 U73363 ( .A(n53921), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[8]), .Y(n53785) );
+  sky130_fd_sc_hd__nand2_1 U73364 ( .A(n53924), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[8]), .Y(n53788) );
+  sky130_fd_sc_hd__nand2b_1 U73365 ( .A_N(n53925), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[8]), .Y(n53787) );
+  sky130_fd_sc_hd__nand3_1 U73366 ( .A(n53789), .B(n53788), .C(n53787), .Y(
+        n68529) );
+  sky130_fd_sc_hd__nand2_1 U73367 ( .A(n37071), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[8]), .Y(n53791) );
+  sky130_fd_sc_hd__nand2_1 U73368 ( .A(n53944), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[8]), .Y(n53790) );
+  sky130_fd_sc_hd__o211ai_1 U73369 ( .A1(n76809), .A2(n53947), .B1(n53791), 
+        .C1(n53790), .Y(n69698) );
+  sky130_fd_sc_hd__a222oi_1 U73370 ( .A1(n69698), .A2(n53873), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[8]), .B2(n53931), .C1(n53803), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[8]), .Y(n68531) );
+  sky130_fd_sc_hd__xnor2_1 U73371 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[3]), .B(n68531), .Y(n68528) );
+  sky130_fd_sc_hd__nand2_1 U73372 ( .A(n86737), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[9]), .Y(n53793) );
+  sky130_fd_sc_hd__nand2_1 U73373 ( .A(n53921), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[9]), .Y(n53792) );
+  sky130_fd_sc_hd__o211ai_1 U73374 ( .A1(n53936), .A2(n55824), .B1(n53793), 
+        .C1(n53792), .Y(n65997) );
+  sky130_fd_sc_hd__nand2_1 U73375 ( .A(n53924), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[9]), .Y(n53795) );
+  sky130_fd_sc_hd__nand2b_1 U73376 ( .A_N(n53925), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[9]), .Y(n53794) );
+  sky130_fd_sc_hd__nand2_1 U73377 ( .A(n37071), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[9]), .Y(n53797) );
+  sky130_fd_sc_hd__nand2_1 U73378 ( .A(n53944), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[9]), .Y(n53796) );
+  sky130_fd_sc_hd__o211ai_1 U73379 ( .A1(n76829), .A2(n53947), .B1(n53797), 
+        .C1(n53796), .Y(n69693) );
+  sky130_fd_sc_hd__a222oi_1 U73380 ( .A1(n69693), .A2(n53873), .B1(n53931), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[9]), .C1(n53803), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[9]), .Y(n68605) );
+  sky130_fd_sc_hd__xor2_1 U73381 ( .A(n72547), .B(n68605), .X(n68602) );
+  sky130_fd_sc_hd__nand2_1 U73382 ( .A(n37049), .B(n37083), .Y(n53876) );
+  sky130_fd_sc_hd__nand2_1 U73383 ( .A(n86737), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[7]), .Y(n53799) );
+  sky130_fd_sc_hd__nand2_1 U73384 ( .A(n53921), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[7]), .Y(n53798) );
+  sky130_fd_sc_hd__o211ai_1 U73385 ( .A1(n53936), .A2(n55689), .B1(n53799), 
+        .C1(n53798), .Y(n69597) );
+  sky130_fd_sc_hd__nand2_1 U73386 ( .A(n69597), .B(n53941), .Y(n53802) );
+  sky130_fd_sc_hd__nand2_1 U73387 ( .A(n53924), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[7]), .Y(n53801) );
+  sky130_fd_sc_hd__nand2b_1 U73388 ( .A_N(n53925), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[7]), .Y(n53800) );
+  sky130_fd_sc_hd__nand3_1 U73389 ( .A(n53802), .B(n53801), .C(n53800), .Y(
+        n69552) );
+  sky130_fd_sc_hd__a22oi_1 U73390 ( .A1(n53803), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[7]), .B1(n85611), .B2(n53954), .Y(n69554) );
+  sky130_fd_sc_hd__xnor2_1 U73391 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[3]), .B(n69554), .Y(n69551) );
+  sky130_fd_sc_hd__nor2_1 U73392 ( .A(n69552), .B(n69551), .Y(n54264) );
+  sky130_fd_sc_hd__nor2_1 U73393 ( .A(n53876), .B(n54264), .Y(n54280) );
+  sky130_fd_sc_hd__nand2_1 U73394 ( .A(n53882), .B(n54280), .Y(n64883) );
+  sky130_fd_sc_hd__nand2_1 U73395 ( .A(n86737), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[18]), .Y(n53805) );
+  sky130_fd_sc_hd__nand2_1 U73396 ( .A(n53921), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[18]), .Y(n53804) );
+  sky130_fd_sc_hd__o211ai_1 U73397 ( .A1(n53936), .A2(n55672), .B1(n53805), 
+        .C1(n53804), .Y(n66214) );
+  sky130_fd_sc_hd__nand2_1 U73398 ( .A(n66214), .B(n53941), .Y(n53808) );
+  sky130_fd_sc_hd__nand2_1 U73399 ( .A(n53924), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[18]), .Y(n53807) );
+  sky130_fd_sc_hd__nand2b_1 U73400 ( .A_N(n53925), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[18]), .Y(n53806) );
+  sky130_fd_sc_hd__nand3_1 U73401 ( .A(n53808), .B(n53807), .C(n53806), .Y(
+        n64874) );
+  sky130_fd_sc_hd__nand2_1 U73402 ( .A(n37071), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[18]), .Y(n53810) );
+  sky130_fd_sc_hd__nand2_1 U73403 ( .A(n53944), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[18]), .Y(n53809) );
+  sky130_fd_sc_hd__o211ai_1 U73404 ( .A1(n76023), .A2(n53947), .B1(n53810), 
+        .C1(n53809), .Y(n69666) );
+  sky130_fd_sc_hd__o22ai_1 U73405 ( .A1(n53812), .A2(n53869), .B1(n53868), 
+        .B2(n53811), .Y(n53813) );
+  sky130_fd_sc_hd__a211oi_1 U73406 ( .A1(n53873), .A2(n69666), .B1(n53813), 
+        .C1(n53871), .Y(n64877) );
+  sky130_fd_sc_hd__xor2_1 U73407 ( .A(n72547), .B(n64877), .X(n64873) );
+  sky130_fd_sc_hd__nand2_1 U73408 ( .A(n86737), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[19]), .Y(n53815) );
+  sky130_fd_sc_hd__nand2_1 U73409 ( .A(n53921), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[19]), .Y(n53814) );
+  sky130_fd_sc_hd__o211ai_1 U73410 ( .A1(n53936), .A2(n55848), .B1(n53815), 
+        .C1(n53814), .Y(n66222) );
+  sky130_fd_sc_hd__nand2_1 U73411 ( .A(n66222), .B(n53941), .Y(n53818) );
+  sky130_fd_sc_hd__nand2b_1 U73412 ( .A_N(n53925), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[19]), .Y(n53817) );
+  sky130_fd_sc_hd__nand2_1 U73413 ( .A(n53924), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[19]), .Y(n53816) );
+  sky130_fd_sc_hd__nand3_1 U73414 ( .A(n53818), .B(n53817), .C(n53816), .Y(
+        n65589) );
+  sky130_fd_sc_hd__nand2_1 U73415 ( .A(n37071), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[19]), .Y(n53820) );
+  sky130_fd_sc_hd__nand2_1 U73416 ( .A(n53944), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[19]), .Y(n53819) );
+  sky130_fd_sc_hd__o211ai_1 U73417 ( .A1(n75962), .A2(n53947), .B1(n53820), 
+        .C1(n53819), .Y(n69663) );
+  sky130_fd_sc_hd__o22ai_1 U73418 ( .A1(n53822), .A2(n53869), .B1(n53868), 
+        .B2(n53821), .Y(n53823) );
+  sky130_fd_sc_hd__a211oi_1 U73419 ( .A1(n53873), .A2(n69663), .B1(n53823), 
+        .C1(n53871), .Y(n65590) );
+  sky130_fd_sc_hd__xor2_1 U73420 ( .A(n72547), .B(n65590), .X(n65588) );
+  sky130_fd_sc_hd__nand2_1 U73421 ( .A(n65594), .B(n65597), .Y(n66484) );
+  sky130_fd_sc_hd__nand2_1 U73422 ( .A(n86737), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[20]), .Y(n53825) );
+  sky130_fd_sc_hd__nand2_1 U73423 ( .A(n53921), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[20]), .Y(n53824) );
+  sky130_fd_sc_hd__o211ai_1 U73424 ( .A1(n53936), .A2(n55851), .B1(n53825), 
+        .C1(n53824), .Y(n66169) );
+  sky130_fd_sc_hd__nand2_1 U73425 ( .A(n66169), .B(n53941), .Y(n53828) );
+  sky130_fd_sc_hd__nand2b_1 U73426 ( .A_N(n53925), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[20]), .Y(n53827) );
+  sky130_fd_sc_hd__nand2_1 U73427 ( .A(n53924), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[20]), .Y(n53826) );
+  sky130_fd_sc_hd__nand3_1 U73428 ( .A(n53828), .B(n53827), .C(n53826), .Y(
+        n68981) );
+  sky130_fd_sc_hd__a222oi_1 U73429 ( .A1(n53929), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[20]), .B1(n53944), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[20]), .C1(n37071), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[20]), .Y(n66750) );
+  sky130_fd_sc_hd__a22oi_1 U73430 ( .A1(n53931), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[20]), .B1(n53930), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[0]), .Y(n53829) );
+  sky130_fd_sc_hd__o211ai_1 U73431 ( .A1(n53934), .A2(n66750), .B1(n53829), 
+        .C1(n53932), .Y(n68982) );
+  sky130_fd_sc_hd__xor2_1 U73432 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[3]), .B(n68982), .X(n68980) );
+  sky130_fd_sc_hd__nand2_1 U73433 ( .A(n86737), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[21]), .Y(n53831) );
+  sky130_fd_sc_hd__nand2_1 U73434 ( .A(n53921), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[21]), .Y(n53830) );
+  sky130_fd_sc_hd__o211ai_1 U73435 ( .A1(n53936), .A2(n55795), .B1(n53831), 
+        .C1(n53830), .Y(n66177) );
+  sky130_fd_sc_hd__nand2_1 U73436 ( .A(n66177), .B(n53941), .Y(n53834) );
+  sky130_fd_sc_hd__nand2_1 U73437 ( .A(n53924), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[21]), .Y(n53833) );
+  sky130_fd_sc_hd__nand2b_1 U73438 ( .A_N(n53925), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[21]), .Y(n53832) );
+  sky130_fd_sc_hd__nand3_1 U73439 ( .A(n53834), .B(n53833), .C(n53832), .Y(
+        n66477) );
+  sky130_fd_sc_hd__a222oi_1 U73440 ( .A1(n53929), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[21]), .B1(n53944), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[21]), .C1(n37071), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[21]), .Y(n69653) );
+  sky130_fd_sc_hd__a22oi_1 U73441 ( .A1(n53931), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[21]), .B1(n53930), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[1]), .Y(n53835) );
+  sky130_fd_sc_hd__o211ai_1 U73442 ( .A1(n53934), .A2(n69653), .B1(n53835), 
+        .C1(n53932), .Y(n66478) );
+  sky130_fd_sc_hd__xor2_1 U73443 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[3]), .B(n66478), .X(n66476) );
+  sky130_fd_sc_hd__nand2_1 U73444 ( .A(n37084), .B(n37048), .Y(n53890) );
+  sky130_fd_sc_hd__nor2_1 U73445 ( .A(n66484), .B(n53890), .Y(n53892) );
+  sky130_fd_sc_hd__nand2_1 U73446 ( .A(n86737), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[16]), .Y(n53837) );
+  sky130_fd_sc_hd__nand2_1 U73447 ( .A(n53921), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[16]), .Y(n53836) );
+  sky130_fd_sc_hd__o211ai_1 U73448 ( .A1(n53936), .A2(n55801), .B1(n53837), 
+        .C1(n53836), .Y(n66324) );
+  sky130_fd_sc_hd__nand2_1 U73449 ( .A(n66324), .B(n53941), .Y(n53840) );
+  sky130_fd_sc_hd__nand2_1 U73450 ( .A(n53924), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[16]), .Y(n53839) );
+  sky130_fd_sc_hd__nand2b_1 U73451 ( .A_N(n53925), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[16]), .Y(n53838) );
+  sky130_fd_sc_hd__nand3_1 U73452 ( .A(n53840), .B(n53839), .C(n53838), .Y(
+        n68464) );
+  sky130_fd_sc_hd__nand2_1 U73453 ( .A(n37071), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[16]), .Y(n53842) );
+  sky130_fd_sc_hd__nand2_1 U73454 ( .A(n53944), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[16]), .Y(n53841) );
+  sky130_fd_sc_hd__o211ai_1 U73455 ( .A1(n76708), .A2(n53947), .B1(n53842), 
+        .C1(n53841), .Y(n69672) );
+  sky130_fd_sc_hd__a22o_1 U73456 ( .A1(n53851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b19_12_T_4[4]), .B1(n53931), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[16]), .X(n53843) );
+  sky130_fd_sc_hd__a211oi_1 U73457 ( .A1(n53873), .A2(n69672), .B1(n53843), 
+        .C1(n53871), .Y(n68465) );
+  sky130_fd_sc_hd__xor2_1 U73458 ( .A(n72547), .B(n68465), .X(n68463) );
+  sky130_fd_sc_hd__a2bb2oi_1 U73459 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[17]), .B2(n65663), .A1_N(n55846), .A2_N(n53936), .Y(n53846) );
+  sky130_fd_sc_hd__nand2_1 U73460 ( .A(n86737), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[17]), .Y(n53845) );
+  sky130_fd_sc_hd__nand2_1 U73461 ( .A(n53921), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[17]), .Y(n53844) );
+  sky130_fd_sc_hd__nand3_1 U73462 ( .A(n53846), .B(n53845), .C(n53844), .Y(
+        n66334) );
+  sky130_fd_sc_hd__nand2_1 U73463 ( .A(n66334), .B(n53941), .Y(n53848) );
+  sky130_fd_sc_hd__nand2_1 U73464 ( .A(n53924), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[17]), .Y(n53847) );
+  sky130_fd_sc_hd__nand2_1 U73465 ( .A(n53848), .B(n53847), .Y(n66380) );
+  sky130_fd_sc_hd__nand2_1 U73466 ( .A(n37071), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[17]), .Y(n53850) );
+  sky130_fd_sc_hd__nand2_1 U73467 ( .A(n53944), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[17]), .Y(n53849) );
+  sky130_fd_sc_hd__o211ai_1 U73468 ( .A1(n76721), .A2(n53947), .B1(n53850), 
+        .C1(n53849), .Y(n69669) );
+  sky130_fd_sc_hd__a22o_1 U73469 ( .A1(n53851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b19_12_T_4[5]), .B1(n53931), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[17]), .X(n53852) );
+  sky130_fd_sc_hd__a211oi_1 U73470 ( .A1(n53873), .A2(n69669), .B1(n53852), 
+        .C1(n53871), .Y(n66381) );
+  sky130_fd_sc_hd__xor2_1 U73471 ( .A(n72547), .B(n66381), .X(n66379) );
+  sky130_fd_sc_hd__nand2_1 U73472 ( .A(n37085), .B(n37050), .Y(n53886) );
+  sky130_fd_sc_hd__a2bb2oi_1 U73473 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[14]), .B2(n65663), .A1_N(n55836), .A2_N(n53936), .Y(n53855) );
+  sky130_fd_sc_hd__nand2_1 U73474 ( .A(n86737), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[14]), .Y(n53854) );
+  sky130_fd_sc_hd__nand2_1 U73475 ( .A(n53921), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[14]), .Y(n53853) );
+  sky130_fd_sc_hd__nand3_1 U73476 ( .A(n53855), .B(n53854), .C(n53853), .Y(
+        n66306) );
+  sky130_fd_sc_hd__nand2_1 U73477 ( .A(n66306), .B(n53941), .Y(n53857) );
+  sky130_fd_sc_hd__nand2_1 U73478 ( .A(n53924), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[14]), .Y(n53856) );
+  sky130_fd_sc_hd__nand2_1 U73479 ( .A(n53857), .B(n53856), .Y(n66267) );
+  sky130_fd_sc_hd__nand2_1 U73480 ( .A(n37071), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[14]), .Y(n53859) );
+  sky130_fd_sc_hd__nand2_1 U73481 ( .A(n53944), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[14]), .Y(n53858) );
+  sky130_fd_sc_hd__o211ai_1 U73482 ( .A1(n76679), .A2(n53947), .B1(n53859), 
+        .C1(n53858), .Y(n69678) );
+  sky130_fd_sc_hd__o22ai_1 U73483 ( .A1(n84792), .A2(n53869), .B1(n53868), 
+        .B2(n53860), .Y(n53861) );
+  sky130_fd_sc_hd__a211oi_1 U73484 ( .A1(n53873), .A2(n69678), .B1(n53861), 
+        .C1(n53871), .Y(n66269) );
+  sky130_fd_sc_hd__xor2_1 U73485 ( .A(n72547), .B(n66269), .X(n66266) );
+  sky130_fd_sc_hd__nand2_1 U73486 ( .A(n86737), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[15]), .Y(n53863) );
+  sky130_fd_sc_hd__nand2_1 U73487 ( .A(n53921), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[15]), .Y(n53862) );
+  sky130_fd_sc_hd__o211ai_1 U73488 ( .A1(n53936), .A2(n55837), .B1(n53863), 
+        .C1(n53862), .Y(n66316) );
+  sky130_fd_sc_hd__nand2_1 U73489 ( .A(n66316), .B(n53941), .Y(n53866) );
+  sky130_fd_sc_hd__nand2_1 U73490 ( .A(n53924), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[15]), .Y(n53865) );
+  sky130_fd_sc_hd__nand2b_1 U73491 ( .A_N(n53925), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[15]), .Y(n53864) );
+  sky130_fd_sc_hd__nand3_1 U73492 ( .A(n53866), .B(n53865), .C(n53864), .Y(
+        n68679) );
+  sky130_fd_sc_hd__o22ai_1 U73493 ( .A1(n53870), .A2(n53869), .B1(n53868), 
+        .B2(n53867), .Y(n53872) );
+  sky130_fd_sc_hd__a211oi_1 U73494 ( .A1(n53873), .A2(n69675), .B1(n53872), 
+        .C1(n53871), .Y(n68680) );
+  sky130_fd_sc_hd__xor2_1 U73495 ( .A(n72547), .B(n68680), .X(n68678) );
+  sky130_fd_sc_hd__nand2_1 U73496 ( .A(n68672), .B(n68675), .Y(n66388) );
+  sky130_fd_sc_hd__nor2_1 U73497 ( .A(n53886), .B(n66388), .Y(n64880) );
+  sky130_fd_sc_hd__nand2_1 U73498 ( .A(n53892), .B(n64880), .Y(n53894) );
+  sky130_fd_sc_hd__nor2_1 U73499 ( .A(n64883), .B(n53894), .Y(n53896) );
+  sky130_fd_sc_hd__nand2_1 U73500 ( .A(n69551), .B(n69552), .Y(n54263) );
+  sky130_fd_sc_hd__nand2_1 U73501 ( .A(n68528), .B(n68529), .Y(n54262) );
+  sky130_fd_sc_hd__nand2_1 U73502 ( .A(n68602), .B(n68603), .Y(n54272) );
+  sky130_fd_sc_hd__a21oi_1 U73503 ( .A1(n37083), .A2(n54270), .B1(n53874), .Y(
+        n53875) );
+  sky130_fd_sc_hd__nand2_1 U73505 ( .A(n65952), .B(n65953), .Y(n54284) );
+  sky130_fd_sc_hd__nand2_1 U73506 ( .A(n66498), .B(n66499), .Y(n54293) );
+  sky130_fd_sc_hd__a21oi_1 U73507 ( .A1(n38503), .A2(n54291), .B1(n53877), .Y(
+        n69090) );
+  sky130_fd_sc_hd__nand2_1 U73508 ( .A(n70177), .B(n70178), .Y(n70184) );
+  sky130_fd_sc_hd__nand2_1 U73509 ( .A(n69083), .B(n69084), .Y(n69094) );
+  sky130_fd_sc_hd__a21oi_1 U73510 ( .A1(n37051), .A2(n69093), .B1(n53878), .Y(
+        n53879) );
+  sky130_fd_sc_hd__o21ai_1 U73511 ( .A1(n53880), .A2(n69090), .B1(n53879), .Y(
+        n53881) );
+  sky130_fd_sc_hd__a21oi_1 U73512 ( .A1(n53882), .A2(n54281), .B1(n53881), .Y(
+        n64881) );
+  sky130_fd_sc_hd__nand2_1 U73513 ( .A(n66266), .B(n66267), .Y(n66272) );
+  sky130_fd_sc_hd__nand2_1 U73514 ( .A(n68678), .B(n68679), .Y(n68674) );
+  sky130_fd_sc_hd__a21oi_1 U73515 ( .A1(n68675), .A2(n68671), .B1(n53883), .Y(
+        n66386) );
+  sky130_fd_sc_hd__nand2_1 U73516 ( .A(n68463), .B(n68464), .Y(n68447) );
+  sky130_fd_sc_hd__nand2_1 U73517 ( .A(n66379), .B(n66380), .Y(n66390) );
+  sky130_fd_sc_hd__a21oi_1 U73518 ( .A1(n37050), .A2(n66389), .B1(n53884), .Y(
+        n53885) );
+  sky130_fd_sc_hd__o21ai_1 U73519 ( .A1(n53886), .A2(n66386), .B1(n53885), .Y(
+        n64884) );
+  sky130_fd_sc_hd__nand2_1 U73520 ( .A(n64873), .B(n64874), .Y(n64887) );
+  sky130_fd_sc_hd__nand2_1 U73521 ( .A(n65588), .B(n65589), .Y(n65596) );
+  sky130_fd_sc_hd__a21oi_1 U73522 ( .A1(n65597), .A2(n65593), .B1(n53887), .Y(
+        n66482) );
+  sky130_fd_sc_hd__nand2_1 U73523 ( .A(n68980), .B(n68981), .Y(n68986) );
+  sky130_fd_sc_hd__nand2_1 U73524 ( .A(n66476), .B(n66477), .Y(n66486) );
+  sky130_fd_sc_hd__a21oi_1 U73525 ( .A1(n37048), .A2(n66485), .B1(n53888), .Y(
+        n53889) );
+  sky130_fd_sc_hd__o21ai_1 U73526 ( .A1(n66482), .A2(n53890), .B1(n53889), .Y(
+        n53891) );
+  sky130_fd_sc_hd__a21oi_1 U73527 ( .A1(n53892), .A2(n64884), .B1(n53891), .Y(
+        n53893) );
+  sky130_fd_sc_hd__o21ai_1 U73528 ( .A1(n53894), .A2(n64881), .B1(n53893), .Y(
+        n53895) );
+  sky130_fd_sc_hd__nand2_1 U73529 ( .A(n68718), .B(n68719), .Y(n68724) );
+  sky130_fd_sc_hd__nand2_1 U73530 ( .A(n68792), .B(n68793), .Y(n68801) );
+  sky130_fd_sc_hd__a21oi_1 U73531 ( .A1(n68802), .A2(n68798), .B1(n53897), .Y(
+        n53898) );
+  sky130_fd_sc_hd__nand2_1 U73532 ( .A(n86737), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[24]), .Y(n53900) );
+  sky130_fd_sc_hd__nand2_1 U73533 ( .A(n53921), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[24]), .Y(n53899) );
+  sky130_fd_sc_hd__o211ai_1 U73534 ( .A1(n53936), .A2(n55855), .B1(n53900), 
+        .C1(n53899), .Y(n66135) );
+  sky130_fd_sc_hd__nand2_1 U73535 ( .A(n66135), .B(n53941), .Y(n53903) );
+  sky130_fd_sc_hd__nand2_1 U73536 ( .A(n53924), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[24]), .Y(n53902) );
+  sky130_fd_sc_hd__nand2b_1 U73537 ( .A_N(n53925), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[24]), .Y(n53901) );
+  sky130_fd_sc_hd__nand3_1 U73538 ( .A(n53903), .B(n53902), .C(n53901), .Y(
+        n66073) );
+  sky130_fd_sc_hd__a222oi_1 U73539 ( .A1(n53929), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[24]), .B1(n53944), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[24]), .C1(n37071), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[24]), .Y(n69641) );
+  sky130_fd_sc_hd__a22oi_1 U73540 ( .A1(n53931), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[24]), .B1(n53930), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[4]), .Y(n53904) );
+  sky130_fd_sc_hd__o211ai_1 U73541 ( .A1(n53934), .A2(n69641), .B1(n53904), 
+        .C1(n53932), .Y(n66074) );
+  sky130_fd_sc_hd__xor2_1 U73542 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[3]), .B(n66074), .X(n66072) );
+  sky130_fd_sc_hd__nand2_1 U73543 ( .A(n66072), .B(n66073), .Y(n66078) );
+  sky130_fd_sc_hd__nand2_1 U73544 ( .A(n69153), .B(n69154), .Y(n69160) );
+  sky130_fd_sc_hd__nand2_1 U73545 ( .A(n86737), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[26]), .Y(n53907) );
+  sky130_fd_sc_hd__nand2_1 U73546 ( .A(n53921), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[26]), .Y(n53906) );
+  sky130_fd_sc_hd__o211ai_1 U73547 ( .A1(n53936), .A2(n55859), .B1(n53907), 
+        .C1(n53906), .Y(n66161) );
+  sky130_fd_sc_hd__nand2_1 U73548 ( .A(n66161), .B(n53941), .Y(n53910) );
+  sky130_fd_sc_hd__nand2_1 U73549 ( .A(n53924), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[26]), .Y(n53909) );
+  sky130_fd_sc_hd__nand2b_1 U73550 ( .A_N(n53925), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[26]), .Y(n53908) );
+  sky130_fd_sc_hd__nand3_1 U73551 ( .A(n53910), .B(n53909), .C(n53908), .Y(
+        n69325) );
+  sky130_fd_sc_hd__a222oi_1 U73552 ( .A1(n53929), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[26]), .B1(n53944), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[26]), .C1(n37071), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[26]), .Y(n69633) );
+  sky130_fd_sc_hd__a22oi_1 U73553 ( .A1(n53931), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[26]), .B1(n53930), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[6]), .Y(n53911) );
+  sky130_fd_sc_hd__o211ai_1 U73554 ( .A1(n53934), .A2(n69633), .B1(n53911), 
+        .C1(n53932), .Y(n69326) );
+  sky130_fd_sc_hd__xor2_1 U73555 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[3]), .B(n69326), .X(n69324) );
+  sky130_fd_sc_hd__nand2_1 U73556 ( .A(n69324), .B(n69325), .Y(n69330) );
+  sky130_fd_sc_hd__nand2_1 U73557 ( .A(n69498), .B(n69499), .Y(n69503) );
+  sky130_fd_sc_hd__nand2_1 U73558 ( .A(n86737), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[28]), .Y(n53914) );
+  sky130_fd_sc_hd__nand2_1 U73559 ( .A(n53921), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[28]), .Y(n53913) );
+  sky130_fd_sc_hd__o211ai_1 U73560 ( .A1(n53936), .A2(n55791), .B1(n53914), 
+        .C1(n53913), .Y(n65670) );
+  sky130_fd_sc_hd__nand2_1 U73561 ( .A(n65670), .B(n53941), .Y(n53917) );
+  sky130_fd_sc_hd__nand2_1 U73562 ( .A(n53924), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[28]), .Y(n53916) );
+  sky130_fd_sc_hd__nand2b_1 U73563 ( .A_N(n53925), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[28]), .Y(n53915) );
+  sky130_fd_sc_hd__nand3_1 U73564 ( .A(n53917), .B(n53916), .C(n53915), .Y(
+        n69441) );
+  sky130_fd_sc_hd__a222oi_1 U73565 ( .A1(n53929), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[28]), .B1(n53944), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[28]), .C1(n37071), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[28]), .Y(n69625) );
+  sky130_fd_sc_hd__a22oi_1 U73566 ( .A1(n53931), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[28]), .B1(n53930), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[8]), .Y(n53918) );
+  sky130_fd_sc_hd__o211ai_1 U73567 ( .A1(n53934), .A2(n69625), .B1(n53918), 
+        .C1(n53932), .Y(n69442) );
+  sky130_fd_sc_hd__xor2_1 U73568 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[3]), .B(n69442), .X(n69440) );
+  sky130_fd_sc_hd__nand2_1 U73569 ( .A(n69440), .B(n69441), .Y(n69445) );
+  sky130_fd_sc_hd__nand2_1 U73570 ( .A(n69271), .B(n70283), .Y(n69276) );
+  sky130_fd_sc_hd__nand2_1 U73571 ( .A(n86737), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[30]), .Y(n53923) );
+  sky130_fd_sc_hd__nand2_1 U73572 ( .A(n53921), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[30]), .Y(n53922) );
+  sky130_fd_sc_hd__o211ai_1 U73573 ( .A1(n53936), .A2(n55789), .B1(n53923), 
+        .C1(n53922), .Y(n69781) );
+  sky130_fd_sc_hd__nand2_1 U73574 ( .A(n69781), .B(n53941), .Y(n53928) );
+  sky130_fd_sc_hd__nand2_1 U73575 ( .A(n53924), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_pc[30]), .Y(n53927) );
+  sky130_fd_sc_hd__nand2b_1 U73576 ( .A_N(n53925), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[30]), .Y(n53926) );
+  sky130_fd_sc_hd__nand3_1 U73577 ( .A(n53928), .B(n53927), .C(n53926), .Y(
+        n70304) );
+  sky130_fd_sc_hd__a222oi_1 U73578 ( .A1(n53929), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[30]), .B1(n53944), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[30]), .C1(n37071), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[30]), .Y(n69618) );
+  sky130_fd_sc_hd__a22oi_1 U73579 ( .A1(n53931), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[30]), .B1(n53930), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_imm_b30_20_T_2[10]), .Y(n53933) );
+  sky130_fd_sc_hd__o211ai_1 U73580 ( .A1(n53934), .A2(n69618), .B1(n53933), 
+        .C1(n53932), .Y(n70303) );
+  sky130_fd_sc_hd__xor2_1 U73581 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[3]), .B(n70303), .X(n70300) );
+  sky130_fd_sc_hd__nand2_1 U73582 ( .A(n70300), .B(n70304), .Y(n70264) );
+  sky130_fd_sc_hd__o22a_1 U73583 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_bypass_0), .A2(n53937), .B1(n55786), .B2(n53936), .X(n53940) );
+  sky130_fd_sc_hd__nand2_1 U73584 ( .A(n86737), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[31]), .Y(n53939) );
+  sky130_fd_sc_hd__nand2_1 U73585 ( .A(n53921), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[31]), .Y(n53938) );
+  sky130_fd_sc_hd__nand3_1 U73586 ( .A(n53940), .B(n53939), .C(n53938), .Y(
+        n70126) );
+  sky130_fd_sc_hd__nand2_1 U73587 ( .A(n37071), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[31]), .Y(n53946) );
+  sky130_fd_sc_hd__nand2_1 U73588 ( .A(n53944), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[31]), .Y(n53945) );
+  sky130_fd_sc_hd__o211ai_1 U73589 ( .A1(n75990), .A2(n53947), .B1(n53946), 
+        .C1(n53945), .Y(n53948) );
+  sky130_fd_sc_hd__nand2_1 U73590 ( .A(n53948), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_bypass_1), .Y(n53950) );
+  sky130_fd_sc_hd__nand2_1 U73591 ( .A(n69617), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[31]), .Y(n53949) );
+  sky130_fd_sc_hd__nand2_1 U73592 ( .A(n53950), .B(n53949), .Y(n70125) );
+  sky130_fd_sc_hd__a2bb2oi_1 U73593 ( .B1(n53954), .B2(n70125), .A1_N(n53953), 
+        .A2_N(n53952), .Y(n69844) );
+  sky130_fd_sc_hd__nand2_1 U73594 ( .A(n69844), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[3]), .Y(n69842) );
+  sky130_fd_sc_hd__nand2_1 U73596 ( .A(n70083), .B(n70084), .Y(n53955) );
+  sky130_fd_sc_hd__o22ai_1 U73597 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[37]), .B1(n59705), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[12]), .Y(n54625) );
+  sky130_fd_sc_hd__o22ai_1 U73598 ( .A1(n82459), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[45]), .B1(n59705), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[20]), .Y(n54545) );
+  sky130_fd_sc_hd__o22ai_1 U73599 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[18]), .A2(n54625), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[26]), .B2(n54545), .Y(n53958) );
+  sky130_fd_sc_hd__a221oi_1 U73600 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[18]), .A2(n54625), .B1(n54545), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[26]), .C1(n53958), .Y(n53965) );
+  sky130_fd_sc_hd__o22ai_1 U73601 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[41]), .B1(n59705), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[16]), .Y(n54585) );
+  sky130_fd_sc_hd__o22ai_1 U73602 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[34]), .B1(n59705), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[9]), .Y(n54655) );
+  sky130_fd_sc_hd__o22ai_1 U73603 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[22]), .A2(n54585), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[15]), .B2(n54655), .Y(n53959) );
+  sky130_fd_sc_hd__a221oi_1 U73604 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[22]), .A2(n54585), .B1(n54655), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[15]), .C1(n53959), .Y(n53964) );
+  sky130_fd_sc_hd__o22ai_1 U73605 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[25]), .B1(n59705), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[0]), .Y(n54748) );
+  sky130_fd_sc_hd__o22ai_1 U73606 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[28]), .B1(n59705), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[3]), .Y(n54716) );
+  sky130_fd_sc_hd__o22ai_1 U73607 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[6]), .A2(n54748), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[9]), .B2(n54716), .Y(n53960) );
+  sky130_fd_sc_hd__a221oi_1 U73608 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[6]), .A2(n54748), .B1(n54716), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[9]), .C1(n53960), .Y(n53963) );
+  sky130_fd_sc_hd__o22ai_1 U73609 ( .A1(n82459), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[27]), .B1(n59705), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[2]), .Y(n54726) );
+  sky130_fd_sc_hd__o22ai_1 U73610 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[31]), .B1(n59705), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[6]), .Y(n54685) );
+  sky130_fd_sc_hd__o22ai_1 U73611 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[8]), .A2(n54726), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[12]), .B2(n54685), .Y(n53961) );
+  sky130_fd_sc_hd__a221oi_1 U73612 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[8]), .A2(n54726), .B1(n54685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[12]), .C1(n53961), .Y(n53962) );
+  sky130_fd_sc_hd__nand4_1 U73613 ( .A(n53965), .B(n53964), .C(n53963), .D(
+        n53962), .Y(n53986) );
+  sky130_fd_sc_hd__o22ai_1 U73614 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[33]), .B1(n59705), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[8]), .Y(n54665) );
+  sky130_fd_sc_hd__o22ai_1 U73615 ( .A1(n82459), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[38]), .B1(n59705), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[13]), .Y(n54615) );
+  sky130_fd_sc_hd__o22ai_1 U73616 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[14]), .A2(n54665), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[19]), .B2(n54615), .Y(n53966) );
+  sky130_fd_sc_hd__a221oi_1 U73617 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[14]), .A2(n54665), .B1(n54615), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[19]), .C1(n53966), .Y(n53973) );
+  sky130_fd_sc_hd__o22ai_1 U73618 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[35]), .B1(n59705), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[10]), .Y(n54645) );
+  sky130_fd_sc_hd__o22ai_1 U73619 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[32]), .B1(n59705), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[7]), .Y(n54675) );
+  sky130_fd_sc_hd__o22ai_1 U73620 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[16]), .A2(n54645), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[13]), .B2(n54675), .Y(n53967) );
+  sky130_fd_sc_hd__a221oi_1 U73621 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[16]), .A2(n54645), .B1(n54675), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[13]), .C1(n53967), .Y(n53972) );
+  sky130_fd_sc_hd__o22ai_1 U73622 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[48]), .B1(n59705), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[23]), .Y(n54055) );
+  sky130_fd_sc_hd__o22ai_1 U73623 ( .A1(n82459), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[47]), .B1(n59705), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[22]), .Y(n54525) );
+  sky130_fd_sc_hd__o22ai_1 U73624 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[29]), .A2(n54055), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[28]), .B2(n54525), .Y(n53968) );
+  sky130_fd_sc_hd__a221oi_1 U73625 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[29]), .A2(n54055), .B1(n54525), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[28]), .C1(n53968), .Y(n53971) );
+  sky130_fd_sc_hd__o22ai_1 U73626 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[29]), .B1(n59705), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[4]), .Y(n54705) );
+  sky130_fd_sc_hd__o22ai_1 U73627 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[26]), .B1(n59705), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[1]), .Y(n54736) );
+  sky130_fd_sc_hd__o22ai_1 U73628 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[10]), .A2(n54705), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[7]), .B2(n54736), .Y(n53969) );
+  sky130_fd_sc_hd__a221oi_1 U73629 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[10]), .A2(n54705), .B1(n54736), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[7]), .C1(n53969), .Y(n53970) );
+  sky130_fd_sc_hd__nand4_1 U73630 ( .A(n53973), .B(n53972), .C(n53971), .D(
+        n53970), .Y(n53985) );
+  sky130_fd_sc_hd__o22ai_1 U73631 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[49]), .B1(n59705), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[24]), .Y(n54049) );
+  sky130_fd_sc_hd__o22ai_1 U73632 ( .A1(n82459), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[39]), .B1(n59705), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[14]), .Y(n54605) );
+  sky130_fd_sc_hd__o22ai_1 U73633 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[30]), .A2(n54049), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[20]), .B2(n54605), .Y(n53974) );
+  sky130_fd_sc_hd__a221oi_1 U73634 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[30]), .A2(n54049), .B1(n54605), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[20]), .C1(n53974), .Y(n53983) );
+  sky130_fd_sc_hd__o22ai_1 U73635 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[46]), .B1(n59705), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[21]), .Y(n54535) );
+  sky130_fd_sc_hd__o22ai_1 U73636 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[40]), .B1(n59705), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[15]), .Y(n54595) );
+  sky130_fd_sc_hd__o22ai_1 U73637 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[27]), .A2(n54535), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[21]), .B2(n54595), .Y(n53975) );
+  sky130_fd_sc_hd__a221oi_1 U73638 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[27]), .A2(n54535), .B1(n54595), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[21]), .C1(n53975), .Y(n53982) );
+  sky130_fd_sc_hd__o22ai_1 U73639 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[36]), .B1(n59705), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[11]), .Y(n54635) );
+  sky130_fd_sc_hd__o22ai_1 U73640 ( .A1(n82459), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[43]), .B1(n59705), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[18]), .Y(n54565) );
+  sky130_fd_sc_hd__o22ai_1 U73641 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[17]), .A2(n54635), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[24]), .B2(n54565), .Y(n53976) );
+  sky130_fd_sc_hd__a221oi_1 U73642 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[17]), .A2(n54635), .B1(n54565), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[24]), .C1(n53976), .Y(n53981) );
+  sky130_fd_sc_hd__o22ai_1 U73643 ( .A1(n82459), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[42]), .B1(n59705), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[17]), .Y(n54575) );
+  sky130_fd_sc_hd__o22ai_1 U73644 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[30]), .B1(n59705), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[5]), .Y(n54695) );
+  sky130_fd_sc_hd__o22ai_1 U73645 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[44]), .B1(n59705), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_address[19]), .Y(n54555) );
+  sky130_fd_sc_hd__o22ai_1 U73646 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[11]), .A2(n54695), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[25]), .B2(n54555), .Y(n53977) );
+  sky130_fd_sc_hd__a221oi_1 U73647 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[11]), .A2(n54695), .B1(n54555), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[25]), .C1(n53977), .Y(n53978) );
+  sky130_fd_sc_hd__a21oi_1 U73649 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[23]), .A2(n54575), .B1(n53979), .Y(n53980) );
+  sky130_fd_sc_hd__nand4_1 U73650 ( .A(n53983), .B(n53982), .C(n53981), .D(
+        n53980), .Y(n53984) );
+  sky130_fd_sc_hd__or3_1 U73651 ( .A(n53986), .B(n53985), .C(n53984), .X(
+        n83101) );
+  sky130_fd_sc_hd__o21ai_1 U73652 ( .A1(n83098), .A2(n83099), .B1(n53987), .Y(
+        n54396) );
+  sky130_fd_sc_hd__o21ai_1 U73653 ( .A1(n83101), .A2(n54396), .B1(n54359), .Y(
+        n54380) );
+  sky130_fd_sc_hd__o22ai_1 U73654 ( .A1(n54575), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[23]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[15]), .B2(n54655), .Y(n53988) );
+  sky130_fd_sc_hd__a221oi_1 U73655 ( .A1(n54575), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[23]), .B1(n54655), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[15]), .C1(n53988), .Y(n54016) );
+  sky130_fd_sc_hd__o22ai_1 U73656 ( .A1(n54625), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[18]), .B1(n54726), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[8]), .Y(n53989) );
+  sky130_fd_sc_hd__a221oi_1 U73657 ( .A1(n54625), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[18]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[8]), .B2(n54726), .C1(n53989), .Y(n54015) );
+  sky130_fd_sc_hd__o22ai_1 U73658 ( .A1(n54748), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[6]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[28]), .B2(n54525), .Y(n53990) );
+  sky130_fd_sc_hd__a221oi_1 U73659 ( .A1(n54748), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[6]), .B1(n54525), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[28]), .C1(n53990), .Y(n53994) );
+  sky130_fd_sc_hd__nand2_1 U73660 ( .A(n54055), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[29]), .Y(n53993) );
+  sky130_fd_sc_hd__o22ai_1 U73661 ( .A1(n54645), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[16]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[10]), .B2(n54705), .Y(n53991) );
+  sky130_fd_sc_hd__a221oi_1 U73662 ( .A1(n54645), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[16]), .B1(n54705), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[10]), .C1(n53991), .Y(n53992) );
+  sky130_fd_sc_hd__o2111ai_1 U73663 ( .A1(n54055), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[29]), .B1(n53994), .C1(n53993), .D1(n53992), .Y(n54013) );
+  sky130_fd_sc_hd__o22ai_1 U73664 ( .A1(n54565), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[24]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[9]), .B2(n54716), .Y(n53995) );
+  sky130_fd_sc_hd__a221oi_1 U73665 ( .A1(n54565), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[24]), .B1(n54716), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[9]), .C1(n53995), .Y(n54002) );
+  sky130_fd_sc_hd__o22ai_1 U73666 ( .A1(n54049), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[30]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[14]), .B2(n54665), .Y(n53996) );
+  sky130_fd_sc_hd__a221oi_1 U73667 ( .A1(n54049), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[30]), .B1(n54665), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[14]), .C1(n53996), .Y(n54001) );
+  sky130_fd_sc_hd__o22ai_1 U73668 ( .A1(n54595), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[21]), .B1(n54585), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[22]), .Y(n53997) );
+  sky130_fd_sc_hd__a221oi_1 U73669 ( .A1(n54595), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[21]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[22]), .B2(n54585), .C1(n53997), .Y(n54000) );
+  sky130_fd_sc_hd__o22ai_1 U73670 ( .A1(n54635), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[17]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[12]), .B2(n54685), .Y(n53998) );
+  sky130_fd_sc_hd__a221oi_1 U73671 ( .A1(n54635), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[17]), .B1(n54685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[12]), .C1(n53998), .Y(n53999) );
+  sky130_fd_sc_hd__nand4_1 U73672 ( .A(n54002), .B(n54001), .C(n54000), .D(
+        n53999), .Y(n54012) );
+  sky130_fd_sc_hd__o22ai_1 U73673 ( .A1(n54535), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[27]), .B1(n54695), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[11]), .Y(n54003) );
+  sky130_fd_sc_hd__a221oi_1 U73674 ( .A1(n54535), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[27]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[11]), .B2(n54695), .C1(n54003), .Y(n54010) );
+  sky130_fd_sc_hd__o22ai_1 U73675 ( .A1(n54615), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[19]), .B1(n54675), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[13]), .Y(n54004) );
+  sky130_fd_sc_hd__a221oi_1 U73676 ( .A1(n54615), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[19]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[13]), .B2(n54675), .C1(n54004), .Y(n54009) );
+  sky130_fd_sc_hd__o22ai_1 U73677 ( .A1(n54605), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[20]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[26]), .B2(n54545), .Y(n54005) );
+  sky130_fd_sc_hd__a221oi_1 U73678 ( .A1(n54605), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[20]), .B1(n54545), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[26]), .C1(n54005), .Y(n54008) );
+  sky130_fd_sc_hd__o22ai_1 U73679 ( .A1(n54555), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[25]), .B1(n54736), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[7]), .Y(n54006) );
+  sky130_fd_sc_hd__a221oi_1 U73680 ( .A1(n54555), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[25]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[7]), .B2(n54736), .C1(n54006), .Y(n54007) );
+  sky130_fd_sc_hd__nand4_1 U73681 ( .A(n54010), .B(n54009), .C(n54008), .D(
+        n54007), .Y(n54011) );
+  sky130_fd_sc_hd__nor3_1 U73682 ( .A(n54013), .B(n54012), .C(n54011), .Y(
+        n54014) );
+  sky130_fd_sc_hd__nand3_1 U73683 ( .A(n54016), .B(n54015), .C(n54014), .Y(
+        n83139) );
+  sky130_fd_sc_hd__o21ai_1 U73684 ( .A1(n83139), .A2(n54396), .B1(n54349), .Y(
+        n54379) );
+  sky130_fd_sc_hd__a22oi_1 U73685 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_source[1]), .A2(n54380), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_source[1]), .B2(n54379), .Y(n54078) );
+  sky130_fd_sc_hd__o22ai_1 U73686 ( .A1(n54535), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[27]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[26]), .B2(n54545), .Y(n54017) );
+  sky130_fd_sc_hd__a221oi_1 U73687 ( .A1(n54535), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[27]), .B1(n54545), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[26]), .C1(n54017), .Y(n54045) );
+  sky130_fd_sc_hd__o22ai_1 U73688 ( .A1(n54716), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[9]), .B1(n54675), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[13]), .Y(n54018) );
+  sky130_fd_sc_hd__a221oi_1 U73689 ( .A1(n54716), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[9]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[13]), .B2(n54675), .C1(n54018), .Y(n54044) );
+  sky130_fd_sc_hd__o22ai_1 U73690 ( .A1(n54575), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[23]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[24]), .B2(n54565), .Y(n54019) );
+  sky130_fd_sc_hd__a221oi_1 U73691 ( .A1(n54575), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[23]), .B1(n54565), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[24]), .C1(n54019), .Y(n54023) );
+  sky130_fd_sc_hd__nand2_1 U73692 ( .A(n54705), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[10]), .Y(n54022) );
+  sky130_fd_sc_hd__o22ai_1 U73693 ( .A1(n54685), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[12]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[28]), .B2(n54525), .Y(n54020) );
+  sky130_fd_sc_hd__a221oi_1 U73694 ( .A1(n54685), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[12]), .B1(n54525), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[28]), .C1(n54020), .Y(n54021) );
+  sky130_fd_sc_hd__o2111ai_1 U73695 ( .A1(n54705), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[10]), .B1(n54023), .C1(n54022), .D1(n54021), .Y(n54042) );
+  sky130_fd_sc_hd__o22ai_1 U73696 ( .A1(n54726), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[8]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[7]), .B2(n54736), .Y(n54024) );
+  sky130_fd_sc_hd__a221oi_1 U73697 ( .A1(n54726), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[8]), .B1(n54736), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[7]), .C1(n54024), .Y(n54031) );
+  sky130_fd_sc_hd__o22ai_1 U73698 ( .A1(n54049), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[30]), .B1(n54655), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[15]), .Y(n54025) );
+  sky130_fd_sc_hd__a221oi_1 U73699 ( .A1(n54049), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[30]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[15]), .B2(n54655), .C1(n54025), .Y(n54030) );
+  sky130_fd_sc_hd__o22ai_1 U73700 ( .A1(n54555), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[25]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[22]), .B2(n54585), .Y(n54026) );
+  sky130_fd_sc_hd__a221oi_1 U73701 ( .A1(n54555), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[25]), .B1(n54585), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[22]), .C1(n54026), .Y(n54029) );
+  sky130_fd_sc_hd__o22ai_1 U73702 ( .A1(n54595), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[21]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[20]), .B2(n54605), .Y(n54027) );
+  sky130_fd_sc_hd__a221oi_1 U73703 ( .A1(n54595), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[21]), .B1(n54605), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[20]), .C1(n54027), .Y(n54028) );
+  sky130_fd_sc_hd__nand4_1 U73704 ( .A(n54031), .B(n54030), .C(n54029), .D(
+        n54028), .Y(n54041) );
+  sky130_fd_sc_hd__o22ai_1 U73705 ( .A1(n54748), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[6]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[19]), .B2(n54615), .Y(n54032) );
+  sky130_fd_sc_hd__a221oi_1 U73706 ( .A1(n54748), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[6]), .B1(n54615), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[19]), .C1(n54032), .Y(n54039) );
+  sky130_fd_sc_hd__o22ai_1 U73707 ( .A1(n54635), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[17]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[29]), .B2(n54055), .Y(n54033) );
+  sky130_fd_sc_hd__a221oi_1 U73708 ( .A1(n54635), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[17]), .B1(n54055), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[29]), .C1(n54033), .Y(n54038) );
+  sky130_fd_sc_hd__o22ai_1 U73709 ( .A1(n54695), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[11]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[14]), .B2(n54665), .Y(n54034) );
+  sky130_fd_sc_hd__a221oi_1 U73710 ( .A1(n54695), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[11]), .B1(n54665), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[14]), .C1(n54034), .Y(n54037) );
+  sky130_fd_sc_hd__o22ai_1 U73711 ( .A1(n54625), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[18]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[16]), .B2(n54645), .Y(n54035) );
+  sky130_fd_sc_hd__a221oi_1 U73712 ( .A1(n54625), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[18]), .B1(n54645), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[16]), .C1(n54035), .Y(n54036) );
+  sky130_fd_sc_hd__nand4_1 U73713 ( .A(n54039), .B(n54038), .C(n54037), .D(
+        n54036), .Y(n54040) );
+  sky130_fd_sc_hd__nor3_1 U73714 ( .A(n54042), .B(n54041), .C(n54040), .Y(
+        n54043) );
+  sky130_fd_sc_hd__nand3_1 U73715 ( .A(n54045), .B(n54044), .C(n54043), .Y(
+        n83176) );
+  sky130_fd_sc_hd__o21ai_1 U73716 ( .A1(n83176), .A2(n54396), .B1(n54357), .Y(
+        n54382) );
+  sky130_fd_sc_hd__o22ai_1 U73717 ( .A1(n54716), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[9]), .B1(n54525), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[28]), .Y(n54046) );
+  sky130_fd_sc_hd__a221oi_1 U73718 ( .A1(n54716), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[9]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[28]), .B2(n54525), .C1(n54046), .Y(n54076) );
+  sky130_fd_sc_hd__o22ai_1 U73719 ( .A1(n54726), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[8]), .B1(n54615), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[19]), .Y(n54047) );
+  sky130_fd_sc_hd__a221oi_1 U73720 ( .A1(n54726), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[8]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[19]), .B2(n54615), .C1(n54047), .Y(n54075) );
+  sky130_fd_sc_hd__o22ai_1 U73721 ( .A1(n54049), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[30]), .B1(n54695), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[11]), .Y(n54048) );
+  sky130_fd_sc_hd__a221oi_1 U73722 ( .A1(n54049), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[30]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[11]), .B2(n54695), .C1(n54048), .Y(n54053) );
+  sky130_fd_sc_hd__nand2_1 U73723 ( .A(n54605), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[20]), .Y(n54052) );
+  sky130_fd_sc_hd__o22ai_1 U73724 ( .A1(n54625), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[18]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[22]), .B2(n54585), .Y(n54050) );
+  sky130_fd_sc_hd__a221oi_1 U73725 ( .A1(n54625), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[18]), .B1(n54585), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[22]), .C1(n54050), .Y(n54051) );
+  sky130_fd_sc_hd__o2111ai_1 U73726 ( .A1(n54605), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[20]), .B1(n54053), .C1(n54052), .D1(n54051), .Y(n54073) );
+  sky130_fd_sc_hd__o22ai_1 U73727 ( .A1(n54645), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[16]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[29]), .B2(n54055), .Y(n54054) );
+  sky130_fd_sc_hd__a221oi_1 U73728 ( .A1(n54645), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[16]), .B1(n54055), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[29]), .C1(n54054), .Y(n54062) );
+  sky130_fd_sc_hd__o22ai_1 U73729 ( .A1(n54575), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[23]), .B1(n54655), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[15]), .Y(n54056) );
+  sky130_fd_sc_hd__a221oi_1 U73730 ( .A1(n54575), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[23]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[15]), .B2(n54655), .C1(n54056), .Y(n54061) );
+  sky130_fd_sc_hd__o22ai_1 U73731 ( .A1(n54565), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[24]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[7]), .B2(n54736), .Y(n54057) );
+  sky130_fd_sc_hd__a221oi_1 U73732 ( .A1(n54565), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[24]), .B1(n54736), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[7]), .C1(n54057), .Y(n54060) );
+  sky130_fd_sc_hd__o22ai_1 U73733 ( .A1(n54555), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[25]), .B1(n54675), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[13]), .Y(n54058) );
+  sky130_fd_sc_hd__a221oi_1 U73734 ( .A1(n54555), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[25]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[13]), .B2(n54675), .C1(n54058), .Y(n54059) );
+  sky130_fd_sc_hd__nand4_1 U73735 ( .A(n54062), .B(n54061), .C(n54060), .D(
+        n54059), .Y(n54072) );
+  sky130_fd_sc_hd__o22ai_1 U73736 ( .A1(n54535), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[27]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[12]), .B2(n54685), .Y(n54063) );
+  sky130_fd_sc_hd__a221oi_1 U73737 ( .A1(n54535), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[27]), .B1(n54685), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[12]), .C1(n54063), .Y(n54070) );
+  sky130_fd_sc_hd__o22ai_1 U73738 ( .A1(n54665), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[14]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[10]), .B2(n54705), .Y(n54064) );
+  sky130_fd_sc_hd__a221oi_1 U73739 ( .A1(n54665), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[14]), .B1(n54705), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[10]), .C1(n54064), .Y(n54069) );
+  sky130_fd_sc_hd__o22ai_1 U73740 ( .A1(n54595), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[21]), .B1(n54635), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[17]), .Y(n54065) );
+  sky130_fd_sc_hd__a221oi_1 U73741 ( .A1(n54595), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[21]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[17]), .B2(n54635), .C1(n54065), .Y(n54068) );
+  sky130_fd_sc_hd__o22ai_1 U73742 ( .A1(n54545), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[26]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[6]), .B2(n54748), .Y(n54066) );
+  sky130_fd_sc_hd__a221oi_1 U73743 ( .A1(n54545), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[26]), .B1(n54748), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[6]), .C1(n54066), .Y(n54067) );
+  sky130_fd_sc_hd__nand4_1 U73744 ( .A(n54070), .B(n54069), .C(n54068), .D(
+        n54067), .Y(n54071) );
+  sky130_fd_sc_hd__nor3_1 U73745 ( .A(n54073), .B(n54072), .C(n54071), .Y(
+        n54074) );
+  sky130_fd_sc_hd__nand3_1 U73746 ( .A(n54076), .B(n54075), .C(n54074), .Y(
+        n83252) );
+  sky130_fd_sc_hd__o21ai_1 U73747 ( .A1(n83252), .A2(n54396), .B1(n54358), .Y(
+        n54381) );
+  sky130_fd_sc_hd__a22oi_1 U73748 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_source[1]), .A2(n54382), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_source[1]), .B2(n54381), .Y(n54077) );
+  sky130_fd_sc_hd__nand2_1 U73749 ( .A(n54078), .B(n54077), .Y(n84440) );
+  sky130_fd_sc_hd__a22o_1 U73750 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_source[1]), .B1(n55990), .B2(n84440), .X(n84441) );
+  sky130_fd_sc_hd__a22oi_1 U73751 ( .A1(n83867), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[21]), .B1(n83869), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[9]), .Y(n54082) );
+  sky130_fd_sc_hd__a22oi_1 U73752 ( .A1(n54709), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[5]), .B1(n83866), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[17]), .Y(n54081) );
+  sky130_fd_sc_hd__a22oi_1 U73753 ( .A1(n83864), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[13]), .B1(n83863), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[25]), .Y(n54080) );
+  sky130_fd_sc_hd__a22oi_1 U73754 ( .A1(n83870), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[1]), .B1(n83844), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[29]), .Y(n54079) );
+  sky130_fd_sc_hd__nand4_1 U73755 ( .A(n54082), .B(n54081), .C(n54080), .D(
+        n54079), .Y(n85813) );
+  sky130_fd_sc_hd__o22ai_1 U73756 ( .A1(n54390), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_source[1]), .B1(n55359), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_source[0]), .Y(n54083) );
+  sky130_fd_sc_hd__a221o_1 U73757 ( .A1(n54390), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_source[1]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_source[0]), .B2(n55359), .C1(n54083), .X(n54084) );
+  sky130_fd_sc_hd__nor2_1 U73758 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_sent_d), .B(n54084), .Y(n85785) );
+  sky130_fd_sc_hd__a22oi_1 U73759 ( .A1(n54782), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_opcode[2]), .B1(n55986), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_opcode[2]), .Y(n54086) );
+  sky130_fd_sc_hd__nand2_1 U73760 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_opcode[2]), .B(n55987), .Y(n54085) );
+  sky130_fd_sc_hd__o211ai_1 U73761 ( .A1(n54359), .A2(n54087), .B1(n54086), 
+        .C1(n54085), .Y(n84442) );
+  sky130_fd_sc_hd__a22o_1 U73762 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_opcode[2]), .B1(n55990), .B2(n84442), .X(n85465) );
+  sky130_fd_sc_hd__a22oi_1 U73763 ( .A1(n54709), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[5]), .B1(n83867), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[17]), .Y(n54091) );
+  sky130_fd_sc_hd__a22oi_1 U73764 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[14]), .B1(n83870), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[2]), .Y(n54090) );
+  sky130_fd_sc_hd__a22oi_1 U73765 ( .A1(n83864), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[11]), .B1(n83844), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[23]), .Y(n54089) );
+  sky130_fd_sc_hd__a22oi_1 U73766 ( .A1(n83863), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[20]), .B1(n83869), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[8]), .Y(n54088) );
+  sky130_fd_sc_hd__nand4_1 U73767 ( .A(n54091), .B(n54090), .C(n54089), .D(
+        n54088), .Y(n84443) );
+  sky130_fd_sc_hd__nand2_1 U73768 ( .A(n78347), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_opcode[2]), .Y(n54092) );
+  sky130_fd_sc_hd__o21ai_1 U73769 ( .A1(n78347), .A2(n54093), .B1(n54092), .Y(
+        n85734) );
+  sky130_fd_sc_hd__nand2_1 U73770 ( .A(n83510), .B(n59765), .Y(n60943) );
+  sky130_fd_sc_hd__o22ai_1 U73771 ( .A1(n54094), .A2(n55660), .B1(n77928), 
+        .B2(n56658), .Y(n84527) );
+  sky130_fd_sc_hd__nor2_1 U73772 ( .A(n78237), .B(n54096), .Y(n60047) );
+  sky130_fd_sc_hd__nor2_1 U73773 ( .A(n78238), .B(n60047), .Y(n82463) );
+  sky130_fd_sc_hd__nor2_1 U73774 ( .A(n78364), .B(n54178), .Y(n84444) );
+  sky130_fd_sc_hd__a22oi_1 U73775 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[0]), .B1(n54277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[31]), .Y(n83247) );
+  sky130_fd_sc_hd__o22ai_1 U73776 ( .A1(n83247), .A2(n38491), .B1(n59959), 
+        .B2(n54179), .Y(n84445) );
+  sky130_fd_sc_hd__nor2_1 U73777 ( .A(n78366), .B(n54178), .Y(n84446) );
+  sky130_fd_sc_hd__a22oi_1 U73778 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[1]), .B1(n54277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[32]), .Y(n83248) );
+  sky130_fd_sc_hd__nand2_1 U73779 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[1]), .B(n54319), .Y(n54798) );
+  sky130_fd_sc_hd__o21ai_1 U73780 ( .A1(n83248), .A2(n38491), .B1(n54798), .Y(
+        n84447) );
+  sky130_fd_sc_hd__nor2_1 U73781 ( .A(n75881), .B(n54178), .Y(n84448) );
+  sky130_fd_sc_hd__a22oi_1 U73782 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[2]), .B1(n54312), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[33]), .Y(n83219) );
+  sky130_fd_sc_hd__nor2_1 U73783 ( .A(n75883), .B(n54178), .Y(n84450) );
+  sky130_fd_sc_hd__a22oi_1 U73784 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[3]), .B1(n54277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[34]), .Y(n83220) );
+  sky130_fd_sc_hd__nor2_1 U73785 ( .A(n75885), .B(n54178), .Y(n84452) );
+  sky130_fd_sc_hd__a22oi_1 U73786 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[4]), .B1(n54277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[35]), .Y(n83221) );
+  sky130_fd_sc_hd__a21oi_1 U73787 ( .A1(n54099), .A2(n54098), .B1(n54132), .Y(
+        n84454) );
+  sky130_fd_sc_hd__nor2_1 U73788 ( .A(n54100), .B(n56044), .Y(n84455) );
+  sky130_fd_sc_hd__nor2_1 U73789 ( .A(n75924), .B(n56044), .Y(n84456) );
+  sky130_fd_sc_hd__nor2_1 U73790 ( .A(n75927), .B(n56044), .Y(n84457) );
+  sky130_fd_sc_hd__nand2_1 U73791 ( .A(n54312), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[34]), .Y(n54102) );
+  sky130_fd_sc_hd__nand2_1 U73792 ( .A(n54307), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[2]), .Y(n54101) );
+  sky130_fd_sc_hd__nand2_1 U73793 ( .A(n54102), .B(n54101), .Y(n84767) );
+  sky130_fd_sc_hd__nor2_1 U73794 ( .A(n75926), .B(n56044), .Y(n84458) );
+  sky130_fd_sc_hd__nor2_1 U73795 ( .A(n75934), .B(n56044), .Y(n84459) );
+  sky130_fd_sc_hd__nor2_1 U73796 ( .A(n75937), .B(n56044), .Y(n84460) );
+  sky130_fd_sc_hd__nor2_1 U73797 ( .A(n75940), .B(n56044), .Y(n84461) );
+  sky130_fd_sc_hd__nor2_1 U73798 ( .A(n54103), .B(n56044), .Y(n84462) );
+  sky130_fd_sc_hd__nand2_1 U73799 ( .A(n54312), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[39]), .Y(n54105) );
+  sky130_fd_sc_hd__nand2_1 U73800 ( .A(n54795), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[7]), .Y(n54104) );
+  sky130_fd_sc_hd__nand2_1 U73801 ( .A(n54105), .B(n54104), .Y(n84762) );
+  sky130_fd_sc_hd__nor2_1 U73802 ( .A(n78436), .B(n56044), .Y(n84463) );
+  sky130_fd_sc_hd__nand2_1 U73803 ( .A(n54312), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[56]), .Y(n54107) );
+  sky130_fd_sc_hd__nand2_1 U73804 ( .A(n54795), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_data[24]), .Y(n54106) );
+  sky130_fd_sc_hd__nand2_1 U73805 ( .A(n54107), .B(n54106), .Y(n84748) );
+  sky130_fd_sc_hd__nor2_1 U73806 ( .A(n78439), .B(n56044), .Y(n84464) );
+  sky130_fd_sc_hd__nor2_1 U73807 ( .A(n78455), .B(n56044), .Y(n84465) );
+  sky130_fd_sc_hd__a21oi_1 U73808 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_55[0]), .A2(n54108), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_55[1]), .Y(n54111) );
+  sky130_fd_sc_hd__a21oi_1 U73809 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_cmd[3]), .A2(n54109), .B1(n54123), .Y(n54110) );
+  sky130_fd_sc_hd__o22ai_1 U73810 ( .A1(n54111), .A2(n54127), .B1(n54110), 
+        .B2(n54125), .Y(n84466) );
+  sky130_fd_sc_hd__nand2_1 U73811 ( .A(n54312), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_param[4]), .Y(n54113) );
+  sky130_fd_sc_hd__nand2_1 U73812 ( .A(n54307), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_param[1]), .Y(n54112) );
+  sky130_fd_sc_hd__nand2_1 U73813 ( .A(n54113), .B(n54112), .Y(n85548) );
+  sky130_fd_sc_hd__o22ai_1 U73814 ( .A1(n54318), .A2(n54114), .B1(n78392), 
+        .B2(n54316), .Y(n84467) );
+  sky130_fd_sc_hd__o22ai_1 U73815 ( .A1(n54318), .A2(n54115), .B1(n60338), 
+        .B2(n54316), .Y(n84469) );
+  sky130_fd_sc_hd__nor3_1 U73816 ( .A(n54125), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_cmd[2]), .C(n54117), .Y(n84470) );
+  sky130_fd_sc_hd__nand2_1 U73817 ( .A(n54795), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_param[2]), .Y(n54116) );
+  sky130_fd_sc_hd__a31oi_1 U73818 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_cmd[3]), .A2(n54117), .A3(n78377), .B1(n54123), .Y(n54118) );
+  sky130_fd_sc_hd__nor2_1 U73819 ( .A(n54118), .B(n54125), .Y(n84471) );
+  sky130_fd_sc_hd__nand2_1 U73820 ( .A(n54795), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_opcode[0]), .Y(n54119) );
+  sky130_fd_sc_hd__a22o_1 U73821 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_source[0]), .B1(n54277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_source[2]), .X(n85885) );
+  sky130_fd_sc_hd__a22o_1 U73822 ( .A1(n85885), .A2(n52494), .B1(n54319), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_source[0]), .X(n84473) );
+  sky130_fd_sc_hd__a21oi_1 U73823 ( .A1(n78401), .A2(n54122), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_55[0]), .Y(n54121) );
+  sky130_fd_sc_hd__a21oi_1 U73824 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_55[0]), .A2(n54122), .B1(n54121), .Y(n54128) );
+  sky130_fd_sc_hd__nand2_1 U73825 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_cmd[1]), .B(n78371), .Y(n60327) );
+  sky130_fd_sc_hd__o22ai_1 U73826 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_cmd[2]), .A2(n60327), .B1(n78377), .B2(n78371), .Y(n54124) );
+  sky130_fd_sc_hd__a21oi_1 U73827 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_cmd[3]), .A2(n54124), .B1(n54123), .Y(n54126) );
+  sky130_fd_sc_hd__o22ai_1 U73828 ( .A1(n54128), .A2(n54127), .B1(n54126), 
+        .B2(n54125), .Y(n84474) );
+  sky130_fd_sc_hd__nand2_1 U73829 ( .A(n54312), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_param[3]), .Y(n54130) );
+  sky130_fd_sc_hd__nand2_1 U73830 ( .A(n54307), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_param[0]), .Y(n54129) );
+  sky130_fd_sc_hd__nand2_1 U73831 ( .A(n54130), .B(n54129), .Y(n84475) );
+  sky130_fd_sc_hd__nand2_1 U73832 ( .A(n65135), .B(n84524), .Y(n84523) );
+  sky130_fd_sc_hd__o21bai_1 U73833 ( .A1(n54132), .A2(n54131), .B1_N(n84476), 
+        .Y(n84512) );
+  sky130_fd_sc_hd__nand2_1 U73834 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_size[1]), 
+        .B(n54319), .Y(n54133) );
+  sky130_fd_sc_hd__o21ai_1 U73835 ( .A1(n84160), .A2(n38491), .B1(n54133), .Y(
+        n84477) );
+  sky130_fd_sc_hd__nand2_1 U73836 ( .A(n60289), .B(n52494), .Y(n54135) );
+  sky130_fd_sc_hd__nor2_1 U73837 ( .A(n65135), .B(n54135), .Y(n84478) );
+  sky130_fd_sc_hd__nor2_1 U73838 ( .A(n84524), .B(n54135), .Y(n84479) );
+  sky130_fd_sc_hd__nor2_1 U73839 ( .A(n54134), .B(n54135), .Y(n84480) );
+  sky130_fd_sc_hd__nor2_1 U73840 ( .A(n54183), .B(n54135), .Y(n84481) );
+  sky130_fd_sc_hd__nor2_1 U73841 ( .A(n54348), .B(n54135), .Y(n84482) );
+  sky130_fd_sc_hd__o21a_1 U73842 ( .A1(n85787), .A2(n54136), .B1(n52494), .X(
+        n84483) );
+  sky130_fd_sc_hd__nand2_1 U73843 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_source[3]), .B(n57571), .Y(n54147) );
+  sky130_fd_sc_hd__nor2_1 U73844 ( .A(n55998), .B(n59809), .Y(n55349) );
+  sky130_fd_sc_hd__nor2_1 U73845 ( .A(n55999), .B(n83468), .Y(n55626) );
+  sky130_fd_sc_hd__a22oi_1 U73846 ( .A1(n55349), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_source[1]), .B1(n55626), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_source[1]), .Y(n54146) );
+  sky130_fd_sc_hd__a21oi_1 U73847 ( .A1(n36803), .A2(n54138), .B1(n54137), .Y(
+        n54139) );
+  sky130_fd_sc_hd__o21ai_0 U73848 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_io_full), .A2(n55998), .B1(n54139), .Y(n55355) );
+  sky130_fd_sc_hd__a22oi_1 U73849 ( .A1(n41794), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_source[1]), .B1(n37065), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_source[3]), .Y(n54143) );
+  sky130_fd_sc_hd__nor2_1 U73850 ( .A(n55994), .B(n36803), .Y(n55347) );
+  sky130_fd_sc_hd__a22oi_1 U73851 ( .A1(n38443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_source[1]), .B1(n55347), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_source[1]), .Y(n54142) );
+  sky130_fd_sc_hd__a22oi_1 U73852 ( .A1(n55348), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_source[1]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_auto_tl_in_d_bits_source[1]), .B2(n55346), .Y(n54141) );
+  sky130_fd_sc_hd__nor2_1 U73853 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .B(n55999), .Y(n55627) );
+  sky130_fd_sc_hd__a22oi_1 U73854 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_source[3]), .A2(n55627), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_source[1]), .B2(n57558), .Y(n54140) );
+  sky130_fd_sc_hd__nand4_1 U73855 ( .A(n54143), .B(n54142), .C(n54141), .D(
+        n54140), .Y(n54144) );
+  sky130_fd_sc_hd__a21oi_1 U73856 ( .A1(n55355), .A2(n85809), .B1(n54144), .Y(
+        n54145) );
+  sky130_fd_sc_hd__nand3_1 U73857 ( .A(n54147), .B(n54146), .C(n54145), .Y(
+        n84511) );
+  sky130_fd_sc_hd__o22ai_1 U73858 ( .A1(n54148), .A2(n55660), .B1(n54390), 
+        .B2(n56658), .Y(n84530) );
+  sky130_fd_sc_hd__nor2_1 U73859 ( .A(n54150), .B(n54149), .Y(n54155) );
+  sky130_fd_sc_hd__nand2_1 U73861 ( .A(n54152), .B(n54151), .Y(n54156) );
+  sky130_fd_sc_hd__nand2_1 U73862 ( .A(n54154), .B(n54153), .Y(n85413) );
+  sky130_fd_sc_hd__o21a_1 U73863 ( .A1(n54158), .A2(n54157), .B1(n66536), .X(
+        n85406) );
+  sky130_fd_sc_hd__nor2_1 U73864 ( .A(n54159), .B(n37045), .Y(n54903) );
+  sky130_fd_sc_hd__nand2_1 U73865 ( .A(n54903), .B(n54160), .Y(n54161) );
+  sky130_fd_sc_hd__nand3_1 U73866 ( .A(n54161), .B(n68586), .C(n36840), .Y(
+        n54163) );
+  sky130_fd_sc_hd__nand2_1 U73867 ( .A(n54163), .B(n54162), .Y(n54164) );
+  sky130_fd_sc_hd__nand2_1 U73868 ( .A(n54164), .B(n37000), .Y(n54171) );
+  sky130_fd_sc_hd__nand2_1 U73869 ( .A(n54976), .B(n65175), .Y(n54165) );
+  sky130_fd_sc_hd__nand3_1 U73870 ( .A(n54166), .B(n54165), .C(n54978), .Y(
+        n54169) );
+  sky130_fd_sc_hd__nand2_1 U73871 ( .A(n54169), .B(n54168), .Y(n54170) );
+  sky130_fd_sc_hd__nand3_1 U73872 ( .A(n54171), .B(n68510), .C(n54170), .Y(
+        n85412) );
+  sky130_fd_sc_hd__nand2_1 U73873 ( .A(n54173), .B(n54172), .Y(n54899) );
+  sky130_fd_sc_hd__nand2_1 U73874 ( .A(n54980), .B(n54174), .Y(n54175) );
+  sky130_fd_sc_hd__nand3_1 U73875 ( .A(n54175), .B(n66417), .C(n54905), .Y(
+        n85408) );
+  sky130_fd_sc_hd__o21ai_1 U73876 ( .A1(n54176), .A2(n54790), .B1(n54789), .Y(
+        n54177) );
+  sky130_fd_sc_hd__o21ai_1 U73877 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[1]), .A2(n54177), .B1(n54793), .Y(n84513) );
+  sky130_fd_sc_hd__mux2_2 U73878 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_mask[4]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_mask[0]), .S(n54795), .X(n84516) );
+  sky130_fd_sc_hd__o21ai_1 U73879 ( .A1(n78366), .A2(n54177), .B1(n54793), .Y(
+        n84514) );
+  sky130_fd_sc_hd__mux2_2 U73880 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_mask[6]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_mask[2]), .S(n54795), .X(n84518) );
+  sky130_fd_sc_hd__nor2_1 U73881 ( .A(n78360), .B(n54178), .Y(n84515) );
+  sky130_fd_sc_hd__nor2_1 U73882 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_size[0]), 
+        .B(n54179), .Y(n54182) );
+  sky130_fd_sc_hd__o21a_1 U73883 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_size[2]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_size[1]), 
+        .B1(n54319), .X(n54796) );
+  sky130_fd_sc_hd__a21oi_1 U73884 ( .A1(n52494), .A2(n84516), .B1(n54796), .Y(
+        n54180) );
+  sky130_fd_sc_hd__o31ai_1 U73885 ( .A1(n59959), .A2(n54182), .A3(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[1]), .B1(n54180), .Y(n84517) );
+  sky130_fd_sc_hd__a21oi_1 U73886 ( .A1(n52494), .A2(n84518), .B1(n54796), .Y(
+        n54181) );
+  sky130_fd_sc_hd__a22o_1 U73888 ( .A1(n85910), .A2(n52494), .B1(n54319), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_size[0]), 
+        .X(n84520) );
+  sky130_fd_sc_hd__o211ai_1 U73890 ( .A1(n65135), .A2(n84524), .B1(n84523), 
+        .C1(n54184), .Y(n54185) );
+  sky130_fd_sc_hd__o21ai_1 U73891 ( .A1(n84525), .A2(n54185), .B1(n85787), .Y(
+        n85898) );
+  sky130_fd_sc_hd__nand2_1 U73892 ( .A(n54186), .B(n78349), .Y(n54187) );
+  sky130_fd_sc_hd__nor3_1 U73893 ( .A(n74033), .B(n77572), .C(n54187), .Y(
+        n85906) );
+  sky130_fd_sc_hd__nor2_1 U73894 ( .A(n36802), .B(n54188), .Y(n85907) );
+  sky130_fd_sc_hd__nor2_1 U73895 ( .A(n83631), .B(n83813), .Y(n85459) );
+  sky130_fd_sc_hd__nor2_1 U73896 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_2[0]), .B(
+        n54216), .Y(n54189) );
+  sky130_fd_sc_hd__o21ai_1 U73897 ( .A1(n54189), .A2(n36791), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_2[1]), .Y(
+        n54200) );
+  sky130_fd_sc_hd__nand2_1 U73898 ( .A(n54218), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_2[1]), .Y(
+        n54190) );
+  sky130_fd_sc_hd__o21ai_1 U73899 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_2[1]), .A2(
+        n54220), .B1(n54190), .Y(n54191) );
+  sky130_fd_sc_hd__o21ai_1 U73900 ( .A1(n54191), .A2(n36790), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_2[0]), .Y(
+        n54199) );
+  sky130_fd_sc_hd__nand2_1 U73901 ( .A(n54194), .B(n54193), .Y(n54195) );
+  sky130_fd_sc_hd__xor2_1 U73902 ( .A(n54196), .B(n54195), .X(n54197) );
+  sky130_fd_sc_hd__a22oi_1 U73903 ( .A1(n54228), .A2(n54197), .B1(n52494), 
+        .B2(n84767), .Y(n54198) );
+  sky130_fd_sc_hd__nand3_1 U73904 ( .A(n54200), .B(n54199), .C(n54198), .Y(
+        n84556) );
+  sky130_fd_sc_hd__nor2_1 U73905 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_7[0]), .B(
+        n54216), .Y(n54201) );
+  sky130_fd_sc_hd__o21ai_1 U73906 ( .A1(n54201), .A2(n36791), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_7[1]), .Y(
+        n54215) );
+  sky130_fd_sc_hd__nand2_1 U73907 ( .A(n54218), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_7[1]), .Y(
+        n54202) );
+  sky130_fd_sc_hd__o21ai_1 U73908 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_7[1]), .A2(
+        n54220), .B1(n54202), .Y(n54203) );
+  sky130_fd_sc_hd__nand2_1 U73910 ( .A(n54206), .B(n54205), .Y(n54211) );
+  sky130_fd_sc_hd__xnor2_1 U73912 ( .A(n54211), .B(n54210), .Y(n54212) );
+  sky130_fd_sc_hd__a22oi_1 U73913 ( .A1(n54228), .A2(n54212), .B1(n52494), 
+        .B2(n84762), .Y(n54213) );
+  sky130_fd_sc_hd__nand3_1 U73914 ( .A(n54215), .B(n54214), .C(n54213), .Y(
+        n84558) );
+  sky130_fd_sc_hd__nor2_1 U73915 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_24[0]), .B(
+        n54216), .Y(n54217) );
+  sky130_fd_sc_hd__o21ai_1 U73916 ( .A1(n54217), .A2(n36791), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_24[1]), .Y(
+        n54231) );
+  sky130_fd_sc_hd__nand2_1 U73917 ( .A(n54218), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_24[1]), .Y(
+        n54219) );
+  sky130_fd_sc_hd__nand2_1 U73920 ( .A(n54224), .B(n54223), .Y(n54225) );
+  sky130_fd_sc_hd__xor2_1 U73921 ( .A(n54226), .B(n54225), .X(n54227) );
+  sky130_fd_sc_hd__a22oi_1 U73922 ( .A1(n54228), .A2(n54227), .B1(n52494), 
+        .B2(n84748), .Y(n54229) );
+  sky130_fd_sc_hd__nand3_1 U73923 ( .A(n54231), .B(n54230), .C(n54229), .Y(
+        n84568) );
+  sky130_fd_sc_hd__nand3b_1 U73924 ( .A_N(n54233), .B(n54232), .C(n85817), .Y(
+        n54239) );
+  sky130_fd_sc_hd__a31oi_1 U73926 ( .A1(n78203), .A2(n78224), .A3(n78403), 
+        .B1(n78216), .Y(n54237) );
+  sky130_fd_sc_hd__a21oi_1 U73927 ( .A1(n78394), .A2(n54234), .B1(n54237), .Y(
+        n54235) );
+  sky130_fd_sc_hd__nor2b_1 U73928 ( .B_N(n54239), .A(n54235), .Y(n84574) );
+  sky130_fd_sc_hd__or3_1 U73929 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_118[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_118[2]), .C(n54236), .X(n78405) );
+  sky130_fd_sc_hd__nand4b_1 U73930 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_118[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_118[3]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_118[0]), .D(n54236), .Y(n54238) );
+  sky130_fd_sc_hd__a32oi_1 U73931 ( .A1(n78405), .A2(n54239), .A3(n54238), 
+        .B1(n54237), .B2(n54239), .Y(n84575) );
+  sky130_fd_sc_hd__nand2_1 U73932 ( .A(n78402), .B(n54241), .Y(n83034) );
+  sky130_fd_sc_hd__nor2_1 U73934 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_0_valid), .B(n54242), .Y(n78399) );
+  sky130_fd_sc_hd__a22o_1 U73935 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_0_valid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__metaArb_io_in_5_bits_addr_T_1[6]), .B1(n78399), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[6]), .X(n54243) );
+  sky130_fd_sc_hd__a21oi_1 U73936 ( .A1(n54290), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[6]), .B1(n54243), .Y(n54253) );
+  sky130_fd_sc_hd__nand2_1 U73937 ( .A(n54246), .B(n54245), .Y(n54251) );
+  sky130_fd_sc_hd__o21ai_1 U73938 ( .A1(n54249), .A2(n54248), .B1(n54247), .Y(
+        n54250) );
+  sky130_fd_sc_hd__xnor2_1 U73939 ( .A(n54251), .B(n54250), .Y(n76586) );
+  sky130_fd_sc_hd__nand2_1 U73940 ( .A(n54296), .B(n76586), .Y(n54252) );
+  sky130_fd_sc_hd__o22ai_1 U73941 ( .A1(n82623), .A2(n54318), .B1(n83024), 
+        .B2(n54316), .Y(n84577) );
+  sky130_fd_sc_hd__a22o_1 U73942 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_0_valid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__metaArb_io_in_5_bits_addr_T_1[7]), .B1(n78399), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[7]), .X(n54254) );
+  sky130_fd_sc_hd__a21oi_1 U73943 ( .A1(n54290), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[7]), .B1(n54254), .Y(n54259) );
+  sky130_fd_sc_hd__nand2_1 U73944 ( .A(n54256), .B(n54263), .Y(n54257) );
+  sky130_fd_sc_hd__xor2_1 U73945 ( .A(n64882), .B(n54257), .X(n76596) );
+  sky130_fd_sc_hd__nand2_1 U73946 ( .A(n54296), .B(n76596), .Y(n54258) );
+  sky130_fd_sc_hd__inv_2 U73947 ( .A(n78399), .Y(n78391) );
+  sky130_fd_sc_hd__o22ai_1 U73948 ( .A1(n78412), .A2(n54260), .B1(n76604), 
+        .B2(n78391), .Y(n54261) );
+  sky130_fd_sc_hd__a21oi_1 U73949 ( .A1(n54290), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[8]), .B1(n54261), .Y(n54267) );
+  sky130_fd_sc_hd__nand2_1 U73950 ( .A(n37049), .B(n54262), .Y(n54265) );
+  sky130_fd_sc_hd__o21ai_1 U73951 ( .A1(n54264), .A2(n64882), .B1(n54263), .Y(
+        n54271) );
+  sky130_fd_sc_hd__xnor2_1 U73952 ( .A(n54265), .B(n54271), .Y(n76602) );
+  sky130_fd_sc_hd__nand2_1 U73953 ( .A(n54296), .B(n76602), .Y(n54266) );
+  sky130_fd_sc_hd__o211ai_1 U73954 ( .A1(n74172), .A2(n74163), .B1(n54267), 
+        .C1(n54266), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[8]) );
+  sky130_fd_sc_hd__o22ai_1 U73955 ( .A1(n82628), .A2(n54318), .B1(n76604), 
+        .B2(n54316), .Y(n84580) );
+  sky130_fd_sc_hd__o22ai_1 U73956 ( .A1(n78412), .A2(n54268), .B1(n76611), 
+        .B2(n78391), .Y(n54269) );
+  sky130_fd_sc_hd__a21oi_1 U73957 ( .A1(n54290), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[9]), .B1(n54269), .Y(n54276) );
+  sky130_fd_sc_hd__a21oi_1 U73958 ( .A1(n54271), .A2(n37049), .B1(n54270), .Y(
+        n54274) );
+  sky130_fd_sc_hd__nand2_1 U73959 ( .A(n37083), .B(n54272), .Y(n54273) );
+  sky130_fd_sc_hd__xor2_1 U73960 ( .A(n54274), .B(n54273), .X(n76609) );
+  sky130_fd_sc_hd__nand2_1 U73961 ( .A(n54296), .B(n76609), .Y(n54275) );
+  sky130_fd_sc_hd__o211ai_1 U73962 ( .A1(n74169), .A2(n74163), .B1(n54276), 
+        .C1(n54275), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[9]) );
+  sky130_fd_sc_hd__o22ai_1 U73963 ( .A1(n82635), .A2(n54318), .B1(n76611), 
+        .B2(n54316), .Y(n84583) );
+  sky130_fd_sc_hd__o22ai_1 U73964 ( .A1(n78412), .A2(n54278), .B1(n76619), 
+        .B2(n78391), .Y(n54279) );
+  sky130_fd_sc_hd__a21oi_1 U73965 ( .A1(n54290), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[10]), .B1(n54279), .Y(n54287) );
+  sky130_fd_sc_hd__o21ai_1 U73966 ( .A1(n54283), .A2(n64882), .B1(n54282), .Y(
+        n54292) );
+  sky130_fd_sc_hd__nand2_1 U73967 ( .A(n38498), .B(n54284), .Y(n54285) );
+  sky130_fd_sc_hd__xor2_1 U73968 ( .A(n69091), .B(n54285), .X(n76616) );
+  sky130_fd_sc_hd__nand2_1 U73969 ( .A(n54296), .B(n76616), .Y(n54286) );
+  sky130_fd_sc_hd__o211ai_1 U73970 ( .A1(n74175), .A2(n74163), .B1(n54287), 
+        .C1(n54286), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[10]) );
+  sky130_fd_sc_hd__o22ai_1 U73971 ( .A1(n82710), .A2(n54318), .B1(n76619), 
+        .B2(n54316), .Y(n84586) );
+  sky130_fd_sc_hd__o22ai_1 U73972 ( .A1(n78412), .A2(n54288), .B1(n64172), 
+        .B2(n78391), .Y(n54289) );
+  sky130_fd_sc_hd__a21oi_1 U73973 ( .A1(n54290), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[11]), .B1(n54289), .Y(n54298) );
+  sky130_fd_sc_hd__a21oi_1 U73974 ( .A1(n54292), .A2(n38498), .B1(n54291), .Y(
+        n54295) );
+  sky130_fd_sc_hd__nand2_1 U73975 ( .A(n38503), .B(n54293), .Y(n54294) );
+  sky130_fd_sc_hd__xor2_1 U73976 ( .A(n54295), .B(n54294), .X(n66510) );
+  sky130_fd_sc_hd__nand2_1 U73977 ( .A(n54296), .B(n66510), .Y(n54297) );
+  sky130_fd_sc_hd__o211ai_1 U73978 ( .A1(n64171), .A2(n74163), .B1(n54298), 
+        .C1(n54297), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[11]) );
+  sky130_fd_sc_hd__o22ai_1 U73979 ( .A1(n82789), .A2(n54318), .B1(n64172), 
+        .B2(n54316), .Y(n84589) );
+  sky130_fd_sc_hd__o22ai_1 U73980 ( .A1(n82652), .A2(n54318), .B1(n60344), 
+        .B2(n54316), .Y(n84592) );
+  sky130_fd_sc_hd__o22ai_1 U73981 ( .A1(n54318), .A2(n54299), .B1(n78381), 
+        .B2(n54316), .Y(n84595) );
+  sky130_fd_sc_hd__o22ai_1 U73982 ( .A1(n54318), .A2(n54300), .B1(n60337), 
+        .B2(n54316), .Y(n84598) );
+  sky130_fd_sc_hd__o22ai_1 U73983 ( .A1(n54318), .A2(n54301), .B1(n60336), 
+        .B2(n54316), .Y(n84601) );
+  sky130_fd_sc_hd__o22ai_1 U73984 ( .A1(n54318), .A2(n54302), .B1(n78383), 
+        .B2(n54316), .Y(n84604) );
+  sky130_fd_sc_hd__o22ai_1 U73985 ( .A1(n54318), .A2(n54303), .B1(n60335), 
+        .B2(n54316), .Y(n84607) );
+  sky130_fd_sc_hd__o22ai_1 U73986 ( .A1(n54318), .A2(n54304), .B1(n78385), 
+        .B2(n54316), .Y(n84610) );
+  sky130_fd_sc_hd__o22ai_1 U73987 ( .A1(n54318), .A2(n54305), .B1(n60334), 
+        .B2(n54316), .Y(n84613) );
+  sky130_fd_sc_hd__o22ai_1 U73988 ( .A1(n54318), .A2(n54306), .B1(n60333), 
+        .B2(n54316), .Y(n84616) );
+  sky130_fd_sc_hd__o22ai_1 U73989 ( .A1(n54318), .A2(n54308), .B1(n60332), 
+        .B2(n54316), .Y(n84619) );
+  sky130_fd_sc_hd__a22oi_2 U73990 ( .A1(n54795), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[21]), .B1(n54312), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[52]), .Y(n83237) );
+  sky130_fd_sc_hd__o22ai_1 U73991 ( .A1(n54318), .A2(n54309), .B1(n78387), 
+        .B2(n54316), .Y(n84622) );
+  sky130_fd_sc_hd__a22oi_2 U73992 ( .A1(n54795), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[22]), .B1(n54312), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[53]), .Y(n83238) );
+  sky130_fd_sc_hd__o22ai_1 U73993 ( .A1(n54318), .A2(n54310), .B1(n60343), 
+        .B2(n54316), .Y(n84625) );
+  sky130_fd_sc_hd__a22oi_2 U73994 ( .A1(n54795), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[23]), .B1(n54312), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[54]), .Y(n83239) );
+  sky130_fd_sc_hd__o22ai_1 U73995 ( .A1(n54318), .A2(n54311), .B1(n60342), 
+        .B2(n54316), .Y(n84628) );
+  sky130_fd_sc_hd__a22oi_2 U73996 ( .A1(n54795), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[24]), .B1(n54312), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[55]), .Y(n83240) );
+  sky130_fd_sc_hd__o22ai_1 U73997 ( .A1(n54318), .A2(n54313), .B1(n60341), 
+        .B2(n54316), .Y(n84631) );
+  sky130_fd_sc_hd__o22ai_1 U73998 ( .A1(n54318), .A2(n54314), .B1(n60340), 
+        .B2(n54316), .Y(n84634) );
+  sky130_fd_sc_hd__o22ai_1 U73999 ( .A1(n54318), .A2(n54315), .B1(n78389), 
+        .B2(n54316), .Y(n84637) );
+  sky130_fd_sc_hd__o22ai_1 U74000 ( .A1(n54318), .A2(n54317), .B1(n60339), 
+        .B2(n54316), .Y(n84640) );
+  sky130_fd_sc_hd__and3_1 U74001 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_io_deq_bits_opcode[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_io_deq_bits_opcode[0]), .C(n54321), .X(n84643) );
+  sky130_fd_sc_hd__nor2_1 U74002 ( .A(n60457), .B(n54321), .Y(n84644) );
+  sky130_fd_sc_hd__o32ai_1 U74003 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_io_deq_bits_opcode[1]), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_io_deq_bits_opcode[0]), .A3(n60457), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_io_deq_bits_opcode[2]), .B2(n54321), .Y(n85891) );
+  sky130_fd_sc_hd__o22ai_1 U74004 ( .A1(n78319), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_4), .B1(n83989), .B2(n54323), .Y(n54325) );
+  sky130_fd_sc_hd__o21ai_1 U74005 ( .A1(n83779), .A2(n54325), .B1(n54324), .Y(
+        n63185) );
+  sky130_fd_sc_hd__nand2_1 U74006 ( .A(n54326), .B(n63185), .Y(n83882) );
+  sky130_fd_sc_hd__nand2_1 U74007 ( .A(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .B(
+        n83396), .Y(n83410) );
+  sky130_fd_sc_hd__nor2_1 U74008 ( .A(n54332), .B(n83410), .Y(n85447) );
+  sky130_fd_sc_hd__nand2_1 U74009 ( .A(n63192), .B(n83400), .Y(n54331) );
+  sky130_fd_sc_hd__nand2b_1 U74010 ( .A_N(n54328), .B(n60543), .Y(n54329) );
+  sky130_fd_sc_hd__o211ai_1 U74011 ( .A1(n83411), .A2(n54330), .B1(n54331), 
+        .C1(n54329), .Y(n85198) );
+  sky130_fd_sc_hd__o211ai_1 U74012 ( .A1(n60543), .A2(n63192), .B1(n54332), 
+        .C1(n54331), .Y(n85157) );
+  sky130_fd_sc_hd__nand2_1 U74013 ( .A(n83360), .B(n54333), .Y(n85457) );
+  sky130_fd_sc_hd__a21oi_1 U74014 ( .A1(n54335), .A2(n54334), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_gennum[0]), .Y(n60898) );
+  sky130_fd_sc_hd__nor2_1 U74015 ( .A(n54342), .B(n83357), .Y(n54336) );
+  sky130_fd_sc_hd__a21oi_1 U74016 ( .A1(n54338), .A2(n54337), .B1(n54336), .Y(
+        n54339) );
+  sky130_fd_sc_hd__o21ai_1 U74017 ( .A1(n83367), .A2(n83366), .B1(n54339), .Y(
+        n85196) );
+  sky130_fd_sc_hd__a21oi_1 U74018 ( .A1(n54340), .A2(n83357), .B1(n83367), .Y(
+        n54341) );
+  sky130_fd_sc_hd__nand2_1 U74020 ( .A(n54346), .B(n83420), .Y(n54345) );
+  sky130_fd_sc_hd__nand2b_1 U74021 ( .A_N(n54343), .B(n54347), .Y(n54344) );
+  sky130_fd_sc_hd__o211ai_1 U74022 ( .A1(n83428), .A2(n83430), .B1(n54345), 
+        .C1(n54344), .Y(n85200) );
+  sky130_fd_sc_hd__o211ai_1 U74023 ( .A1(n54347), .A2(n54346), .B1(n83435), 
+        .C1(n54345), .Y(n85159) );
+  sky130_fd_sc_hd__nand2_1 U74024 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_opcode[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_opcode[1]), .Y(n54368) );
+  sky130_fd_sc_hd__nor2_1 U74025 ( .A(n54349), .B(n54368), .Y(n54395) );
+  sky130_fd_sc_hd__nand2b_1 U74026 ( .A_N(n83099), .B(n59704), .Y(n54350) );
+  sky130_fd_sc_hd__nand3_1 U74027 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_opcode[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_opcode[1]), .C(n37033), .Y(n54398) );
+  sky130_fd_sc_hd__o21ai_1 U74028 ( .A1(n54350), .A2(n54747), .B1(n54398), .Y(
+        n54351) );
+  sky130_fd_sc_hd__nor2_1 U74029 ( .A(n54395), .B(n54351), .Y(n54352) );
+  sky130_fd_sc_hd__nand3_1 U74030 ( .A(n54782), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_opcode[2]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_opcode[1]), .Y(n54393) );
+  sky130_fd_sc_hd__nand3_1 U74031 ( .A(n55985), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_opcode[2]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_opcode[1]), .Y(n54392) );
+  sky130_fd_sc_hd__nand3_1 U74032 ( .A(n54352), .B(n54393), .C(n54392), .Y(
+        n84647) );
+  sky130_fd_sc_hd__a22o_1 U74033 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_source[3]), .B1(n55990), .B2(n84647), .X(n84648) );
+  sky130_fd_sc_hd__a22oi_1 U74034 ( .A1(n54709), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[7]), .B1(n83870), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[3]), .Y(n54356) );
+  sky130_fd_sc_hd__a22oi_1 U74035 ( .A1(n83867), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[23]), .B1(n83869), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[11]), .Y(n54355) );
+  sky130_fd_sc_hd__a22oi_1 U74036 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[19]), .B1(n83844), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[31]), .Y(n54354) );
+  sky130_fd_sc_hd__a22oi_1 U74037 ( .A1(n83864), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[15]), .B1(n83863), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[27]), .Y(n54353) );
+  sky130_fd_sc_hd__nand4_1 U74038 ( .A(n54356), .B(n54355), .C(n54354), .D(
+        n54353), .Y(n85815) );
+  sky130_fd_sc_hd__a21oi_1 U74039 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_opcode[2]), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_opcode[1]), .B1(n54357), .Y(n54370) );
+  sky130_fd_sc_hd__nand2_1 U74040 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_opcode[0]), .B(n54370), .Y(n54362) );
+  sky130_fd_sc_hd__nand3_1 U74041 ( .A(n54781), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_opcode[0]), .C(n54368), .Y(n54361) );
+  sky130_fd_sc_hd__a21oi_1 U74042 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_opcode[2]), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_opcode[1]), .B1(n54358), .Y(n54369) );
+  sky130_fd_sc_hd__a21oi_1 U74043 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_opcode[2]), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_opcode[1]), .B1(n54359), .Y(n54367) );
+  sky130_fd_sc_hd__a22oi_1 U74044 ( .A1(n54369), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_opcode[0]), .B1(n54367), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_opcode[0]), .Y(n54360) );
+  sky130_fd_sc_hd__nand3_1 U74045 ( .A(n54362), .B(n54361), .C(n54360), .Y(
+        n84649) );
+  sky130_fd_sc_hd__a22o_1 U74046 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_opcode[0]), .B1(n55990), .B2(n84649), .X(n84650) );
+  sky130_fd_sc_hd__a22oi_1 U74047 ( .A1(n54709), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[3]), .B1(n83866), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[12]), .Y(n54366) );
+  sky130_fd_sc_hd__a22oi_1 U74048 ( .A1(n83865), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[21]), .B1(n55974), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[18]), .Y(n54365) );
+  sky130_fd_sc_hd__a22oi_1 U74049 ( .A1(n83867), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[15]), .B1(n83870), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[0]), .Y(n54364) );
+  sky130_fd_sc_hd__a22oi_1 U74050 ( .A1(n83864), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[9]), .B1(n83869), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[6]), .Y(n54363) );
+  sky130_fd_sc_hd__nand4_1 U74051 ( .A(n54366), .B(n54365), .C(n54364), .D(
+        n54363), .Y(n85704) );
+  sky130_fd_sc_hd__nand2_1 U74052 ( .A(n54367), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_opcode[1]), .Y(n54373) );
+  sky130_fd_sc_hd__nand3_1 U74053 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_opcode[1]), .B(n54781), .C(n54368), .Y(n54372) );
+  sky130_fd_sc_hd__a22oi_1 U74054 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_opcode[1]), .A2(n54370), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_opcode[1]), .B2(n54369), .Y(n54371) );
+  sky130_fd_sc_hd__nand3_1 U74055 ( .A(n54373), .B(n54372), .C(n54371), .Y(
+        n84651) );
+  sky130_fd_sc_hd__a22o_1 U74056 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_opcode[1]), .B1(n55990), .B2(n84651), .X(n84652) );
+  sky130_fd_sc_hd__a22oi_1 U74057 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[13]), .B1(n83863), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[19]), .Y(n54377) );
+  sky130_fd_sc_hd__a22oi_1 U74058 ( .A1(n54709), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[4]), .B1(n83867), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[16]), .Y(n54376) );
+  sky130_fd_sc_hd__a22oi_1 U74059 ( .A1(n83844), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[22]), .B1(n83869), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[7]), .Y(n54375) );
+  sky130_fd_sc_hd__a22oi_1 U74060 ( .A1(n83870), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[1]), .B1(n83864), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode[10]), .Y(n54374) );
+  sky130_fd_sc_hd__nand4_1 U74061 ( .A(n54377), .B(n54376), .C(n54375), .D(
+        n54374), .Y(n84653) );
+  sky130_fd_sc_hd__nand2_1 U74062 ( .A(n78347), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_opcode[1]), .Y(n54378) );
+  sky130_fd_sc_hd__a22oi_1 U74063 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_source[0]), .A2(n54380), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_source[0]), .B2(n54379), .Y(n54384) );
+  sky130_fd_sc_hd__a22oi_1 U74064 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_source[0]), .A2(n54382), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_source[0]), .B2(n54381), .Y(n54383) );
+  sky130_fd_sc_hd__nand2_1 U74065 ( .A(n54384), .B(n54383), .Y(n84654) );
+  sky130_fd_sc_hd__a22o_1 U74066 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_source[0]), .B1(n55990), .B2(n84654), .X(n84655) );
+  sky130_fd_sc_hd__a22oi_1 U74067 ( .A1(n83864), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[12]), .B1(n83844), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[28]), .Y(n54388) );
+  sky130_fd_sc_hd__a22oi_1 U74068 ( .A1(n54709), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[4]), .B1(n83869), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[8]), .Y(n54387) );
+  sky130_fd_sc_hd__a22oi_1 U74069 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[16]), .B1(n83870), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[0]), .Y(n54386) );
+  sky130_fd_sc_hd__a22oi_1 U74070 ( .A1(n83867), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[20]), .B1(n83863), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[24]), .Y(n54385) );
+  sky130_fd_sc_hd__nand4_1 U74071 ( .A(n54388), .B(n54387), .C(n54386), .D(
+        n54385), .Y(n85812) );
+  sky130_fd_sc_hd__o22ai_1 U74072 ( .A1(n54390), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_source[1]), .B1(n55359), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_source[0]), .Y(n54389) );
+  sky130_fd_sc_hd__a221o_1 U74073 ( .A1(n54390), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_source[1]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_source[0]), .B2(n55359), .C1(n54389), .X(n54391) );
+  sky130_fd_sc_hd__nor2_1 U74074 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_sent_d), .B(n54391), .Y(n84838) );
+  sky130_fd_sc_hd__o22ai_1 U74075 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_shared), .A2(n54393), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_shared), .B2(n54392), .Y(n54394) );
+  sky130_fd_sc_hd__a21oi_1 U74076 ( .A1(n54395), .A2(n77584), .B1(n54394), .Y(
+        n54397) );
+  sky130_fd_sc_hd__o211ai_1 U74077 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_shared), .A2(n54398), .B1(n54397), .C1(n54396), .Y(n84658) );
+  sky130_fd_sc_hd__a22o_1 U74078 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_source[2]), .B1(n55990), .B2(n84658), .X(n84659) );
+  sky130_fd_sc_hd__a22oi_1 U74079 ( .A1(n83867), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[22]), .B1(n83870), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[2]), .Y(n54402) );
+  sky130_fd_sc_hd__a22oi_1 U74080 ( .A1(n83864), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[14]), .B1(n83865), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[30]), .Y(n54401) );
+  sky130_fd_sc_hd__a22oi_1 U74081 ( .A1(n54709), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[6]), .B1(n83869), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[10]), .Y(n54400) );
+  sky130_fd_sc_hd__a22oi_1 U74082 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[18]), .B1(n83863), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_source[26]), .Y(n54399) );
+  sky130_fd_sc_hd__nand4_1 U74083 ( .A(n54402), .B(n54401), .C(n54400), .D(
+        n54399), .Y(n85814) );
+  sky130_fd_sc_hd__nor3_1 U74084 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter_2[2]), .B(MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter_2[1]), 
+        .C(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter_2[0]), .Y(n83278) );
+  sky130_fd_sc_hd__a21oi_1 U74086 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_valid), .A2(n59932), .B1(n54404), .Y(n59890) );
+  sky130_fd_sc_hd__nand2_1 U74087 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_sent_d), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_got_e), .Y(n54405) );
+  sky130_fd_sc_hd__a22o_1 U74088 ( .A1(n54406), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_maybe_full), .B1(n59932), .B2(n54405), .X(n54524) );
+  sky130_fd_sc_hd__o22ai_1 U74089 ( .A1(n83244), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[28]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[21]), .B2(n83237), .Y(n54407) );
+  sky130_fd_sc_hd__a221oi_1 U74090 ( .A1(n83244), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[28]), .B1(n83237), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[21]), .C1(n54407), .Y(n54414) );
+  sky130_fd_sc_hd__o22ai_1 U74091 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[24]), .A2(n83240), .B1(n83223), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[6]), .Y(n54408) );
+  sky130_fd_sc_hd__a221oi_1 U74092 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[24]), .A2(n83240), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[6]), .B2(n83223), .C1(n54408), .Y(n54413) );
+  sky130_fd_sc_hd__o22ai_1 U74093 ( .A1(n83243), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[27]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[20]), .B2(n83236), .Y(n54409) );
+  sky130_fd_sc_hd__a221oi_1 U74094 ( .A1(n83243), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[27]), .B1(n83236), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[20]), .C1(n54409), .Y(n54412) );
+  sky130_fd_sc_hd__o22ai_1 U74095 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[23]), .A2(n83239), .B1(n83229), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[12]), .Y(n54410) );
+  sky130_fd_sc_hd__a221oi_1 U74096 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[23]), .A2(n83239), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[12]), .B2(n83229), .C1(n54410), .Y(n54411) );
+  sky130_fd_sc_hd__nand4_1 U74097 ( .A(n54414), .B(n54413), .C(n54412), .D(
+        n54411), .Y(n54435) );
+  sky130_fd_sc_hd__o22ai_1 U74098 ( .A1(n41572), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[13]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[15]), .B2(n83231), .Y(n54415) );
+  sky130_fd_sc_hd__a221oi_1 U74099 ( .A1(n41572), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[13]), .B1(n83231), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[15]), .C1(n54415), .Y(n54422) );
+  sky130_fd_sc_hd__o22ai_1 U74100 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[9]), .A2(n83226), .B1(n83225), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[8]), .Y(n54416) );
+  sky130_fd_sc_hd__a221oi_1 U74101 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[9]), .A2(n83226), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[8]), .B2(n83225), .C1(n54416), .Y(n54421) );
+  sky130_fd_sc_hd__o22ai_1 U74102 ( .A1(n83246), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[29]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[7]), .B2(n83224), .Y(n54417) );
+  sky130_fd_sc_hd__a221oi_1 U74103 ( .A1(n83246), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[29]), .B1(n83224), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[7]), .C1(n54417), .Y(n54420) );
+  sky130_fd_sc_hd__o22ai_1 U74104 ( .A1(n83230), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[14]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[10]), .B2(n83227), .Y(n54418) );
+  sky130_fd_sc_hd__a221oi_1 U74105 ( .A1(n83230), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[14]), .B1(n83227), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[10]), .C1(n54418), .Y(n54419) );
+  sky130_fd_sc_hd__nand4_1 U74106 ( .A(n54422), .B(n54421), .C(n54420), .D(
+        n54419), .Y(n54434) );
+  sky130_fd_sc_hd__o22ai_1 U74107 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[18]), .A2(n83234), .B1(n83235), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[19]), .Y(n54423) );
+  sky130_fd_sc_hd__a221oi_1 U74108 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[18]), .A2(n83234), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[19]), .B2(n83235), .C1(n54423), .Y(n54432) );
+  sky130_fd_sc_hd__o22ai_1 U74109 ( .A1(n83242), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[26]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[11]), .B2(n83228), .Y(n54424) );
+  sky130_fd_sc_hd__a221oi_1 U74110 ( .A1(n83242), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[26]), .B1(n83228), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[11]), .C1(n54424), .Y(n54431) );
+  sky130_fd_sc_hd__o21ai_1 U74111 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[22]), .A2(n83238), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[30]), .Y(n54425) );
+  sky130_fd_sc_hd__a21oi_1 U74112 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[22]), .A2(n83238), .B1(n54425), .Y(n54430) );
+  sky130_fd_sc_hd__o22ai_1 U74113 ( .A1(n41573), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[17]), .B1(n41574), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[16]), .Y(n54426) );
+  sky130_fd_sc_hd__a221oi_1 U74114 ( .A1(n41573), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[17]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[16]), .B2(n41574), .C1(n54426), .Y(n54427) );
+  sky130_fd_sc_hd__a21oi_1 U74116 ( .A1(n83241), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[25]), .B1(n54428), .Y(n54429) );
+  sky130_fd_sc_hd__nand4_1 U74117 ( .A(n54432), .B(n54431), .C(n54430), .D(
+        n54429), .Y(n54433) );
+  sky130_fd_sc_hd__o22ai_1 U74118 ( .A1(n83246), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[29]), .B1(n83236), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[20]), .Y(n54436) );
+  sky130_fd_sc_hd__a221oi_1 U74119 ( .A1(n83246), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[29]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[20]), .B2(n83236), .C1(n54436), .Y(n54443) );
+  sky130_fd_sc_hd__o22ai_1 U74120 ( .A1(n83235), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[19]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[22]), .B2(n83238), .Y(n54437) );
+  sky130_fd_sc_hd__a221oi_1 U74121 ( .A1(n83235), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[19]), .B1(n83238), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[22]), .C1(n54437), .Y(n54442) );
+  sky130_fd_sc_hd__o22ai_1 U74122 ( .A1(n83231), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[15]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[21]), .B2(n83237), .Y(n54438) );
+  sky130_fd_sc_hd__a221oi_1 U74123 ( .A1(n83231), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[15]), .B1(n83237), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[21]), .C1(n54438), .Y(n54441) );
+  sky130_fd_sc_hd__o22ai_1 U74124 ( .A1(n83241), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[25]), .B1(n83226), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[9]), .Y(n54439) );
+  sky130_fd_sc_hd__a221oi_1 U74125 ( .A1(n83241), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[25]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[9]), .B2(n83226), .C1(n54439), .Y(n54440) );
+  sky130_fd_sc_hd__nand4_1 U74126 ( .A(n54443), .B(n54442), .C(n54441), .D(
+        n54440), .Y(n54464) );
+  sky130_fd_sc_hd__o22ai_1 U74127 ( .A1(n41573), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[17]), .B1(n41574), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[16]), .Y(n54444) );
+  sky130_fd_sc_hd__a221oi_1 U74128 ( .A1(n41573), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[17]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[16]), .B2(n41574), .C1(n54444), .Y(n54451) );
+  sky130_fd_sc_hd__o22ai_1 U74129 ( .A1(n83223), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[6]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[8]), .B2(n83225), .Y(n54445) );
+  sky130_fd_sc_hd__a221oi_1 U74130 ( .A1(n83223), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[6]), .B1(n83225), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[8]), .C1(n54445), .Y(n54450) );
+  sky130_fd_sc_hd__o22ai_1 U74131 ( .A1(n41572), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[13]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[18]), .B2(n83234), .Y(n54446) );
+  sky130_fd_sc_hd__a221oi_1 U74132 ( .A1(n41572), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[13]), .B1(n83234), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[18]), .C1(n54446), .Y(n54449) );
+  sky130_fd_sc_hd__o22ai_1 U74133 ( .A1(n83228), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[11]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[12]), .B2(n83229), .Y(n54447) );
+  sky130_fd_sc_hd__a221oi_1 U74134 ( .A1(n83228), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[11]), .B1(n83229), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[12]), .C1(n54447), .Y(n54448) );
+  sky130_fd_sc_hd__nand4_1 U74135 ( .A(n54451), .B(n54450), .C(n54449), .D(
+        n54448), .Y(n54463) );
+  sky130_fd_sc_hd__o22ai_1 U74136 ( .A1(n83244), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[28]), .B1(n83242), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[26]), .Y(n54452) );
+  sky130_fd_sc_hd__a221oi_1 U74137 ( .A1(n83244), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[28]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[26]), .B2(n83242), .C1(n54452), .Y(n54461) );
+  sky130_fd_sc_hd__o22ai_1 U74138 ( .A1(n83240), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[24]), .B1(n83239), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[23]), .Y(n54453) );
+  sky130_fd_sc_hd__a221oi_1 U74139 ( .A1(n83240), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[24]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[23]), .B2(n83239), .C1(n54453), .Y(n54460) );
+  sky130_fd_sc_hd__o21ai_1 U74140 ( .A1(n83243), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[27]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[30]), .Y(n54454) );
+  sky130_fd_sc_hd__a21oi_1 U74141 ( .A1(n83243), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[27]), .B1(n54454), .Y(n54459) );
+  sky130_fd_sc_hd__o22ai_1 U74142 ( .A1(n83230), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[14]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[10]), .B2(n83227), .Y(n54455) );
+  sky130_fd_sc_hd__a221oi_1 U74143 ( .A1(n83230), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[14]), .B1(n83227), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[10]), .C1(n54455), .Y(n54456) );
+  sky130_fd_sc_hd__o21ai_1 U74144 ( .A1(n83224), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[7]), .B1(n54456), .Y(n54457) );
+  sky130_fd_sc_hd__a21oi_1 U74145 ( .A1(n83224), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[7]), .B1(n54457), .Y(n54458) );
+  sky130_fd_sc_hd__nand4_1 U74146 ( .A(n54461), .B(n54460), .C(n54459), .D(
+        n54458), .Y(n54462) );
+  sky130_fd_sc_hd__o22ai_1 U74147 ( .A1(n83240), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[24]), .B1(n83229), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[12]), .Y(n54465) );
+  sky130_fd_sc_hd__a221oi_1 U74148 ( .A1(n83240), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[24]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[12]), .B2(n83229), .C1(n54465), .Y(n54472) );
+  sky130_fd_sc_hd__o22ai_1 U74149 ( .A1(n41574), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[16]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[25]), .B2(n83241), .Y(n54466) );
+  sky130_fd_sc_hd__a221oi_1 U74150 ( .A1(n41574), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[16]), .B1(n83241), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[25]), .C1(n54466), .Y(n54471) );
+  sky130_fd_sc_hd__o22ai_1 U74151 ( .A1(n41573), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[17]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[15]), .B2(n83231), .Y(n54467) );
+  sky130_fd_sc_hd__a221oi_1 U74152 ( .A1(n41573), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[17]), .B1(n83231), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[15]), .C1(n54467), .Y(n54470) );
+  sky130_fd_sc_hd__o22ai_1 U74153 ( .A1(n83237), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[21]), .B1(n83239), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[23]), .Y(n54468) );
+  sky130_fd_sc_hd__a221oi_1 U74154 ( .A1(n83237), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[21]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[23]), .B2(n83239), .C1(n54468), .Y(n54469) );
+  sky130_fd_sc_hd__nand4_1 U74155 ( .A(n54472), .B(n54471), .C(n54470), .D(
+        n54469), .Y(n54493) );
+  sky130_fd_sc_hd__o22ai_1 U74156 ( .A1(n83243), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[27]), .B1(n83223), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[6]), .Y(n54473) );
+  sky130_fd_sc_hd__a221oi_1 U74157 ( .A1(n83243), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[27]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[6]), .B2(n83223), .C1(n54473), .Y(n54480) );
+  sky130_fd_sc_hd__o22ai_1 U74158 ( .A1(n83242), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[26]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[8]), .B2(n83225), .Y(n54474) );
+  sky130_fd_sc_hd__a221oi_1 U74159 ( .A1(n83242), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[26]), .B1(n83225), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[8]), .C1(n54474), .Y(n54479) );
+  sky130_fd_sc_hd__o22ai_1 U74160 ( .A1(n83228), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[11]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[20]), .B2(n83236), .Y(n54475) );
+  sky130_fd_sc_hd__a221oi_1 U74161 ( .A1(n83228), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[11]), .B1(n83236), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[20]), .C1(n54475), .Y(n54478) );
+  sky130_fd_sc_hd__o22ai_1 U74162 ( .A1(n83238), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[22]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[7]), .B2(n83224), .Y(n54476) );
+  sky130_fd_sc_hd__a221oi_1 U74163 ( .A1(n83238), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[22]), .B1(n83224), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[7]), .C1(n54476), .Y(n54477) );
+  sky130_fd_sc_hd__nand4_1 U74164 ( .A(n54480), .B(n54479), .C(n54478), .D(
+        n54477), .Y(n54492) );
+  sky130_fd_sc_hd__o22ai_1 U74165 ( .A1(n83244), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[28]), .B1(n83235), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[19]), .Y(n54481) );
+  sky130_fd_sc_hd__a221oi_1 U74166 ( .A1(n83244), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[28]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[19]), .B2(n83235), .C1(n54481), .Y(n54490) );
+  sky130_fd_sc_hd__o22ai_1 U74167 ( .A1(n83230), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[14]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[10]), .B2(n83227), .Y(n54482) );
+  sky130_fd_sc_hd__a221oi_1 U74168 ( .A1(n83230), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[14]), .B1(n83227), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[10]), .C1(n54482), .Y(n54489) );
+  sky130_fd_sc_hd__o21ai_1 U74169 ( .A1(n83246), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[29]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[30]), .Y(n54483) );
+  sky130_fd_sc_hd__a21oi_1 U74170 ( .A1(n83246), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[29]), .B1(n54483), .Y(n54488) );
+  sky130_fd_sc_hd__o22ai_1 U74171 ( .A1(n41572), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[13]), .B1(n83234), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[18]), .Y(n54484) );
+  sky130_fd_sc_hd__a221oi_1 U74172 ( .A1(n41572), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[13]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[18]), .B2(n83234), .C1(n54484), .Y(n54485) );
+  sky130_fd_sc_hd__o21ai_1 U74173 ( .A1(n83226), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[9]), .B1(n54485), .Y(n54486) );
+  sky130_fd_sc_hd__a21oi_1 U74174 ( .A1(n83226), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[9]), .B1(n54486), .Y(n54487) );
+  sky130_fd_sc_hd__nand4_1 U74175 ( .A(n54490), .B(n54489), .C(n54488), .D(
+        n54487), .Y(n54491) );
+  sky130_fd_sc_hd__o22ai_1 U74176 ( .A1(n83231), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[15]), .B1(n83228), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[11]), .Y(n54494) );
+  sky130_fd_sc_hd__a221oi_1 U74177 ( .A1(n83231), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[15]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[11]), .B2(n83228), .C1(n54494), .Y(n54501) );
+  sky130_fd_sc_hd__o22ai_1 U74178 ( .A1(n41572), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[13]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[16]), .B2(n41574), .Y(n54495) );
+  sky130_fd_sc_hd__a221oi_1 U74179 ( .A1(n41572), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[13]), .B1(n41574), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[16]), .C1(n54495), .Y(n54500) );
+  sky130_fd_sc_hd__o22ai_1 U74180 ( .A1(n83229), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[12]), .B1(n83226), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[9]), .Y(n54496) );
+  sky130_fd_sc_hd__a221oi_1 U74181 ( .A1(n83229), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[12]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[9]), .B2(n83226), .C1(n54496), .Y(n54499) );
+  sky130_fd_sc_hd__o22ai_1 U74182 ( .A1(n83237), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[21]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[8]), .B2(n83225), .Y(n54497) );
+  sky130_fd_sc_hd__a221oi_1 U74183 ( .A1(n83237), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[21]), .B1(n83225), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[8]), .C1(n54497), .Y(n54498) );
+  sky130_fd_sc_hd__nand4_1 U74184 ( .A(n54501), .B(n54500), .C(n54499), .D(
+        n54498), .Y(n54522) );
+  sky130_fd_sc_hd__o22ai_1 U74185 ( .A1(n83242), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[26]), .B1(n83238), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[22]), .Y(n54502) );
+  sky130_fd_sc_hd__a221oi_1 U74186 ( .A1(n83242), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[26]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[22]), .B2(n83238), .C1(n54502), .Y(n54509) );
+  sky130_fd_sc_hd__o22ai_1 U74187 ( .A1(n41573), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[17]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[18]), .B2(n83234), .Y(n54503) );
+  sky130_fd_sc_hd__a221oi_1 U74188 ( .A1(n41573), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[17]), .B1(n83234), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[18]), .C1(n54503), .Y(n54508) );
+  sky130_fd_sc_hd__o22ai_1 U74189 ( .A1(n83243), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[27]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[19]), .B2(n83235), .Y(n54504) );
+  sky130_fd_sc_hd__a221oi_1 U74190 ( .A1(n83243), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[27]), .B1(n83235), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[19]), .C1(n54504), .Y(n54507) );
+  sky130_fd_sc_hd__o22ai_1 U74191 ( .A1(n83244), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[28]), .B1(n83230), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[14]), .Y(n54505) );
+  sky130_fd_sc_hd__a221oi_1 U74192 ( .A1(n83244), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[28]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[14]), .B2(n83230), .C1(n54505), .Y(n54506) );
+  sky130_fd_sc_hd__nand4_1 U74193 ( .A(n54509), .B(n54508), .C(n54507), .D(
+        n54506), .Y(n54521) );
+  sky130_fd_sc_hd__o22ai_1 U74194 ( .A1(n83246), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[29]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[6]), .B2(n83223), .Y(n54510) );
+  sky130_fd_sc_hd__a221oi_1 U74195 ( .A1(n83246), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[29]), .B1(n83223), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[6]), .C1(n54510), .Y(n54519) );
+  sky130_fd_sc_hd__o22ai_1 U74196 ( .A1(n83239), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[23]), .B1(n83227), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[10]), .Y(n54511) );
+  sky130_fd_sc_hd__a221oi_1 U74197 ( .A1(n83239), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[23]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[10]), .B2(n83227), .C1(n54511), .Y(n54518) );
+  sky130_fd_sc_hd__a21oi_1 U74199 ( .A1(n83240), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[24]), .B1(n54512), .Y(n54513) );
+  sky130_fd_sc_hd__a21oi_1 U74201 ( .A1(n83224), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[7]), .B1(n54514), .Y(n54517) );
+  sky130_fd_sc_hd__o22ai_1 U74202 ( .A1(n83241), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[25]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[20]), .B2(n83236), .Y(n54515) );
+  sky130_fd_sc_hd__a221oi_1 U74203 ( .A1(n83241), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[25]), .B1(n83236), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[20]), .C1(n54515), .Y(n54516) );
+  sky130_fd_sc_hd__nand4_1 U74204 ( .A(n54519), .B(n54518), .C(n54517), .D(
+        n54516), .Y(n54520) );
+  sky130_fd_sc_hd__a31oi_1 U74205 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_got_e), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_sent_d), .A3(n59868), .B1(n59867), .Y(n54523) );
+  sky130_fd_sc_hd__nand2_1 U74206 ( .A(n59932), .B(n83192), .Y(n83249) );
+  sky130_fd_sc_hd__a22oi_1 U74207 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[28]), .A2(n54746), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[28]), .B2(n54782), .Y(n54528) );
+  sky130_fd_sc_hd__a21oi_1 U74208 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[28]), .A2(n54781), .B1(n54526), .Y(n54527) );
+  sky130_fd_sc_hd__nand2_1 U74209 ( .A(n54528), .B(n54527), .Y(n84661) );
+  sky130_fd_sc_hd__a22o_1 U74210 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[28]), .B1(n55990), .B2(n84661), .X(n84662) );
+  sky130_fd_sc_hd__a22oi_1 U74211 ( .A1(n54709), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[57]), .B1(n83863), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[202]), .Y(n54532) );
+  sky130_fd_sc_hd__a22oi_1 U74212 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[144]), .B1(n83865), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[231]), .Y(n54531) );
+  sky130_fd_sc_hd__a22oi_1 U74213 ( .A1(n83864), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[115]), .B1(n83869), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[86]), .Y(n54530) );
+  sky130_fd_sc_hd__a22oi_1 U74214 ( .A1(n83867), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[173]), .B1(n83870), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[28]), .Y(n54529) );
+  sky130_fd_sc_hd__nand4_1 U74215 ( .A(n54532), .B(n54531), .C(n54530), .D(
+        n54529), .Y(n84663) );
+  sky130_fd_sc_hd__nand2_1 U74216 ( .A(n78347), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[28]), .Y(n54533) );
+  sky130_fd_sc_hd__o21ai_1 U74217 ( .A1(n78347), .A2(n54534), .B1(n54533), .Y(
+        n85705) );
+  sky130_fd_sc_hd__a22oi_1 U74218 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[27]), .A2(n54746), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[27]), .B2(n54782), .Y(n54538) );
+  sky130_fd_sc_hd__a21oi_1 U74219 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[27]), .A2(n54781), .B1(n54536), .Y(n54537) );
+  sky130_fd_sc_hd__nand2_1 U74220 ( .A(n54538), .B(n54537), .Y(n84664) );
+  sky130_fd_sc_hd__a22o_1 U74221 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[27]), .B1(n55990), .B2(n84664), .X(n84665) );
+  sky130_fd_sc_hd__a22oi_1 U74222 ( .A1(n54709), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[56]), .B1(n83866), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[143]), .Y(n54542) );
+  sky130_fd_sc_hd__a22oi_1 U74223 ( .A1(n83867), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[172]), .B1(n83870), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[27]), .Y(n54541) );
+  sky130_fd_sc_hd__a22oi_1 U74224 ( .A1(n83864), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[114]), .B1(n83844), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[230]), .Y(n54540) );
+  sky130_fd_sc_hd__a22oi_1 U74225 ( .A1(n83863), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[201]), .B1(n83869), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[85]), .Y(n54539) );
+  sky130_fd_sc_hd__nand4_1 U74226 ( .A(n54542), .B(n54541), .C(n54540), .D(
+        n54539), .Y(n84666) );
+  sky130_fd_sc_hd__nand2_1 U74227 ( .A(n78347), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[27]), .Y(n54543) );
+  sky130_fd_sc_hd__a22oi_1 U74229 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[26]), .A2(n54746), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[26]), .B2(n54782), .Y(n54548) );
+  sky130_fd_sc_hd__a21oi_1 U74230 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[26]), .A2(n54781), .B1(n54546), .Y(n54547) );
+  sky130_fd_sc_hd__nand2_1 U74231 ( .A(n54548), .B(n54547), .Y(n84667) );
+  sky130_fd_sc_hd__a22o_1 U74232 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[26]), .B1(n55990), .B2(n84667), .X(n84668) );
+  sky130_fd_sc_hd__a22oi_1 U74233 ( .A1(n54709), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[55]), .B1(n83866), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[142]), .Y(n54552) );
+  sky130_fd_sc_hd__a22oi_1 U74234 ( .A1(n83870), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[26]), .B1(n83864), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[113]), .Y(n54551) );
+  sky130_fd_sc_hd__a22oi_1 U74235 ( .A1(n83865), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[229]), .B1(n83869), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[84]), .Y(n54550) );
+  sky130_fd_sc_hd__a22oi_1 U74236 ( .A1(n83867), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[171]), .B1(n83863), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[200]), .Y(n54549) );
+  sky130_fd_sc_hd__nand4_1 U74237 ( .A(n54552), .B(n54551), .C(n54550), .D(
+        n54549), .Y(n84669) );
+  sky130_fd_sc_hd__nand2_1 U74238 ( .A(n78347), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[26]), .Y(n54553) );
+  sky130_fd_sc_hd__a22oi_1 U74240 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[25]), .A2(n54746), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[25]), .B2(n54782), .Y(n54558) );
+  sky130_fd_sc_hd__a21oi_1 U74241 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[25]), .A2(n54781), .B1(n54556), .Y(n54557) );
+  sky130_fd_sc_hd__nand2_1 U74242 ( .A(n54558), .B(n54557), .Y(n84670) );
+  sky130_fd_sc_hd__a22o_1 U74243 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[25]), .B1(n55990), .B2(n84670), .X(n84671) );
+  sky130_fd_sc_hd__a22oi_1 U74244 ( .A1(n54709), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[54]), .B1(n83865), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[228]), .Y(n54562) );
+  sky130_fd_sc_hd__a22oi_1 U74245 ( .A1(n83864), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[112]), .B1(n83869), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[83]), .Y(n54561) );
+  sky130_fd_sc_hd__a22oi_1 U74246 ( .A1(n83870), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[25]), .B1(n55974), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[199]), .Y(n54560) );
+  sky130_fd_sc_hd__a22oi_1 U74247 ( .A1(n83867), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[170]), .B1(n83866), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[141]), .Y(n54559) );
+  sky130_fd_sc_hd__nand4_1 U74248 ( .A(n54562), .B(n54561), .C(n54560), .D(
+        n54559), .Y(n84672) );
+  sky130_fd_sc_hd__nand2_1 U74249 ( .A(n78347), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[25]), .Y(n54563) );
+  sky130_fd_sc_hd__o21ai_0 U74250 ( .A1(n78347), .A2(n54564), .B1(n54563), .Y(
+        n85732) );
+  sky130_fd_sc_hd__a22oi_1 U74251 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[24]), .A2(n54746), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[24]), .B2(n54782), .Y(n54568) );
+  sky130_fd_sc_hd__a21oi_1 U74252 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[24]), .A2(n54781), .B1(n54566), .Y(n54567) );
+  sky130_fd_sc_hd__nand2_1 U74253 ( .A(n54568), .B(n54567), .Y(n84673) );
+  sky130_fd_sc_hd__a22o_1 U74254 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[24]), .B1(n55990), .B2(n84673), .X(n84674) );
+  sky130_fd_sc_hd__a22oi_1 U74255 ( .A1(n54709), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[53]), .B1(n83866), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[140]), .Y(n54572) );
+  sky130_fd_sc_hd__a22oi_1 U74256 ( .A1(n83870), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[24]), .B1(n83869), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[82]), .Y(n54571) );
+  sky130_fd_sc_hd__a22oi_1 U74257 ( .A1(n83844), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[227]), .B1(n55974), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[198]), .Y(n54570) );
+  sky130_fd_sc_hd__a22oi_1 U74258 ( .A1(n83867), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[169]), .B1(n83864), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[111]), .Y(n54569) );
+  sky130_fd_sc_hd__nand4_1 U74259 ( .A(n54572), .B(n54571), .C(n54570), .D(
+        n54569), .Y(n84675) );
+  sky130_fd_sc_hd__nand2_1 U74260 ( .A(n78347), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[24]), .Y(n54573) );
+  sky130_fd_sc_hd__o21ai_0 U74261 ( .A1(n78347), .A2(n54574), .B1(n54573), .Y(
+        n85713) );
+  sky130_fd_sc_hd__a22oi_1 U74262 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[23]), .A2(n54746), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[23]), .B2(n54782), .Y(n54578) );
+  sky130_fd_sc_hd__a21oi_1 U74263 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[23]), .A2(n54781), .B1(n54576), .Y(n54577) );
+  sky130_fd_sc_hd__nand2_1 U74264 ( .A(n54578), .B(n54577), .Y(n84676) );
+  sky130_fd_sc_hd__a22o_1 U74265 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[23]), .B1(n55990), .B2(n84676), .X(n84677) );
+  sky130_fd_sc_hd__a22oi_1 U74266 ( .A1(n54709), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[52]), .B1(n83866), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[139]), .Y(n54582) );
+  sky130_fd_sc_hd__a22oi_1 U74267 ( .A1(n83864), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[110]), .B1(n83844), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[226]), .Y(n54581) );
+  sky130_fd_sc_hd__a22oi_1 U74268 ( .A1(n83867), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[168]), .B1(n83870), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[23]), .Y(n54580) );
+  sky130_fd_sc_hd__a22oi_1 U74269 ( .A1(n83863), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[197]), .B1(n83869), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[81]), .Y(n54579) );
+  sky130_fd_sc_hd__nand4_1 U74270 ( .A(n54582), .B(n54581), .C(n54580), .D(
+        n54579), .Y(n84678) );
+  sky130_fd_sc_hd__nand2_1 U74271 ( .A(n78347), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[23]), .Y(n54583) );
+  sky130_fd_sc_hd__o21ai_1 U74272 ( .A1(n78347), .A2(n54584), .B1(n54583), .Y(
+        n85707) );
+  sky130_fd_sc_hd__a22oi_1 U74273 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[22]), .A2(n54746), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[22]), .B2(n54782), .Y(n54588) );
+  sky130_fd_sc_hd__a21oi_1 U74274 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[22]), .A2(n54781), .B1(n54586), .Y(n54587) );
+  sky130_fd_sc_hd__nand2_1 U74275 ( .A(n54588), .B(n54587), .Y(n84679) );
+  sky130_fd_sc_hd__a22o_1 U74276 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[22]), .B1(n55990), .B2(n84679), .X(n84680) );
+  sky130_fd_sc_hd__a22oi_1 U74277 ( .A1(n54709), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[51]), .B1(n55974), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[196]), .Y(n54592) );
+  sky130_fd_sc_hd__a22oi_1 U74278 ( .A1(n83844), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[225]), .B1(n83869), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[80]), .Y(n54591) );
+  sky130_fd_sc_hd__a22oi_1 U74279 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[138]), .B1(n83864), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[109]), .Y(n54590) );
+  sky130_fd_sc_hd__a22oi_1 U74280 ( .A1(n83867), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[167]), .B1(n83870), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[22]), .Y(n54589) );
+  sky130_fd_sc_hd__nand4_1 U74281 ( .A(n54592), .B(n54591), .C(n54590), .D(
+        n54589), .Y(n84681) );
+  sky130_fd_sc_hd__nand2_1 U74282 ( .A(n78347), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[22]), .Y(n54593) );
+  sky130_fd_sc_hd__o21ai_1 U74283 ( .A1(n78347), .A2(n54594), .B1(n54593), .Y(
+        n85708) );
+  sky130_fd_sc_hd__a22oi_1 U74284 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[21]), .A2(n54746), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[21]), .B2(n54782), .Y(n54598) );
+  sky130_fd_sc_hd__a21oi_1 U74285 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[21]), .A2(n54781), .B1(n54596), .Y(n54597) );
+  sky130_fd_sc_hd__nand2_1 U74286 ( .A(n54598), .B(n54597), .Y(n84682) );
+  sky130_fd_sc_hd__a22o_1 U74287 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[21]), .B1(n55990), .B2(n84682), .X(n84683) );
+  sky130_fd_sc_hd__a22oi_1 U74288 ( .A1(n54709), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[50]), .B1(n55974), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[195]), .Y(n54602) );
+  sky130_fd_sc_hd__a22oi_1 U74289 ( .A1(n83864), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[108]), .B1(n83869), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[79]), .Y(n54601) );
+  sky130_fd_sc_hd__a22oi_1 U74290 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[137]), .B1(n83870), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[21]), .Y(n54600) );
+  sky130_fd_sc_hd__a22oi_1 U74291 ( .A1(n83867), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[166]), .B1(n83844), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[224]), .Y(n54599) );
+  sky130_fd_sc_hd__nand4_1 U74292 ( .A(n54602), .B(n54601), .C(n54600), .D(
+        n54599), .Y(n84684) );
+  sky130_fd_sc_hd__nand2_1 U74293 ( .A(n78347), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[21]), .Y(n54603) );
+  sky130_fd_sc_hd__a22oi_1 U74295 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[20]), .A2(n54746), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[20]), .B2(n54782), .Y(n54608) );
+  sky130_fd_sc_hd__a21oi_1 U74296 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[20]), .A2(n54781), .B1(n54606), .Y(n54607) );
+  sky130_fd_sc_hd__nand2_1 U74297 ( .A(n54608), .B(n54607), .Y(n84685) );
+  sky130_fd_sc_hd__a22o_1 U74298 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[20]), .B1(n55990), .B2(n84685), .X(n84686) );
+  sky130_fd_sc_hd__a22oi_1 U74299 ( .A1(n83868), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[49]), .B1(n83844), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[223]), .Y(n54612) );
+  sky130_fd_sc_hd__a22oi_1 U74300 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[136]), .B1(n83864), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[107]), .Y(n54611) );
+  sky130_fd_sc_hd__a22oi_1 U74301 ( .A1(n83870), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[20]), .B1(n83869), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[78]), .Y(n54610) );
+  sky130_fd_sc_hd__a22oi_1 U74302 ( .A1(n83867), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[165]), .B1(n55974), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[194]), .Y(n54609) );
+  sky130_fd_sc_hd__nand4_1 U74303 ( .A(n54612), .B(n54611), .C(n54610), .D(
+        n54609), .Y(n84687) );
+  sky130_fd_sc_hd__nand2_1 U74304 ( .A(n78347), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[20]), .Y(n54613) );
+  sky130_fd_sc_hd__o21ai_1 U74305 ( .A1(n78347), .A2(n54614), .B1(n54613), .Y(
+        n85712) );
+  sky130_fd_sc_hd__a22oi_1 U74306 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[19]), .A2(n54746), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[19]), .B2(n54782), .Y(n54618) );
+  sky130_fd_sc_hd__a21oi_1 U74307 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[19]), .A2(n54781), .B1(n54616), .Y(n54617) );
+  sky130_fd_sc_hd__nand2_1 U74308 ( .A(n54618), .B(n54617), .Y(n84688) );
+  sky130_fd_sc_hd__a22o_1 U74309 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[19]), .B1(n55990), .B2(n84688), .X(n84689) );
+  sky130_fd_sc_hd__a22oi_1 U74310 ( .A1(n54709), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[48]), .B1(n83867), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[164]), .Y(n54622) );
+  sky130_fd_sc_hd__a22oi_1 U74311 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[135]), .B1(n55974), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[193]), .Y(n54621) );
+  sky130_fd_sc_hd__a22oi_1 U74312 ( .A1(n83870), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[19]), .B1(n83844), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[222]), .Y(n54620) );
+  sky130_fd_sc_hd__a22oi_1 U74313 ( .A1(n83864), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[106]), .B1(n83869), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[77]), .Y(n54619) );
+  sky130_fd_sc_hd__nand4_1 U74314 ( .A(n54622), .B(n54621), .C(n54620), .D(
+        n54619), .Y(n84690) );
+  sky130_fd_sc_hd__nand2_1 U74315 ( .A(n78347), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[19]), .Y(n54623) );
+  sky130_fd_sc_hd__o21ai_1 U74316 ( .A1(n78347), .A2(n54624), .B1(n54623), .Y(
+        n85709) );
+  sky130_fd_sc_hd__a22oi_1 U74317 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[18]), .A2(n54746), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[18]), .B2(n54782), .Y(n54628) );
+  sky130_fd_sc_hd__a21oi_1 U74318 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[18]), .A2(n54781), .B1(n54626), .Y(n54627) );
+  sky130_fd_sc_hd__nand2_1 U74319 ( .A(n54628), .B(n54627), .Y(n84691) );
+  sky130_fd_sc_hd__a22o_1 U74320 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[18]), .B1(n55990), .B2(n84691), .X(n84692) );
+  sky130_fd_sc_hd__a22oi_1 U74321 ( .A1(n83868), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[47]), .B1(n83866), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[134]), .Y(n54632) );
+  sky130_fd_sc_hd__a22oi_1 U74322 ( .A1(n83870), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[18]), .B1(n83844), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[221]), .Y(n54631) );
+  sky130_fd_sc_hd__a22oi_1 U74323 ( .A1(n83867), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[163]), .B1(n83864), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[105]), .Y(n54630) );
+  sky130_fd_sc_hd__a22oi_1 U74324 ( .A1(n83863), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[192]), .B1(n83869), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[76]), .Y(n54629) );
+  sky130_fd_sc_hd__nand4_1 U74325 ( .A(n54632), .B(n54631), .C(n54630), .D(
+        n54629), .Y(n84693) );
+  sky130_fd_sc_hd__nand2_1 U74326 ( .A(n78347), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[18]), .Y(n54633) );
+  sky130_fd_sc_hd__a22oi_1 U74328 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[17]), .A2(n54746), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[17]), .B2(n54782), .Y(n54638) );
+  sky130_fd_sc_hd__a21oi_1 U74329 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[17]), .A2(n54781), .B1(n54636), .Y(n54637) );
+  sky130_fd_sc_hd__nand2_1 U74330 ( .A(n54638), .B(n54637), .Y(n84694) );
+  sky130_fd_sc_hd__a22o_1 U74331 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[17]), .B1(n55990), .B2(n84694), .X(n84695) );
+  sky130_fd_sc_hd__a22oi_1 U74332 ( .A1(n54709), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[46]), .B1(n83870), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[17]), .Y(n54642) );
+  sky130_fd_sc_hd__a22oi_1 U74333 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[133]), .B1(n83869), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[75]), .Y(n54641) );
+  sky130_fd_sc_hd__a22oi_1 U74334 ( .A1(n83864), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[104]), .B1(n83844), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[220]), .Y(n54640) );
+  sky130_fd_sc_hd__a22oi_1 U74335 ( .A1(n83867), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[162]), .B1(n55974), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[191]), .Y(n54639) );
+  sky130_fd_sc_hd__nand4_1 U74336 ( .A(n54642), .B(n54641), .C(n54640), .D(
+        n54639), .Y(n84696) );
+  sky130_fd_sc_hd__nand2_1 U74337 ( .A(n78347), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[17]), .Y(n54643) );
+  sky130_fd_sc_hd__o21ai_1 U74338 ( .A1(n78347), .A2(n54644), .B1(n54643), .Y(
+        n85725) );
+  sky130_fd_sc_hd__a22oi_1 U74339 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[16]), .A2(n54746), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[16]), .B2(n54782), .Y(n54648) );
+  sky130_fd_sc_hd__a21oi_1 U74340 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[16]), .A2(n54781), .B1(n54646), .Y(n54647) );
+  sky130_fd_sc_hd__nand2_1 U74341 ( .A(n54648), .B(n54647), .Y(n84697) );
+  sky130_fd_sc_hd__a22o_1 U74342 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[16]), .B1(n55990), .B2(n84697), .X(n84698) );
+  sky130_fd_sc_hd__a22oi_1 U74343 ( .A1(n83868), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[45]), .B1(n55974), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[190]), .Y(n54652) );
+  sky130_fd_sc_hd__a22oi_1 U74344 ( .A1(n83864), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[103]), .B1(n83869), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[74]), .Y(n54651) );
+  sky130_fd_sc_hd__a22oi_1 U74345 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[132]), .B1(n83870), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[16]), .Y(n54650) );
+  sky130_fd_sc_hd__a22oi_1 U74346 ( .A1(n83867), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[161]), .B1(n83844), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[219]), .Y(n54649) );
+  sky130_fd_sc_hd__nand4_1 U74347 ( .A(n54652), .B(n54651), .C(n54650), .D(
+        n54649), .Y(n84699) );
+  sky130_fd_sc_hd__nand2_1 U74348 ( .A(n78347), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[16]), .Y(n54653) );
+  sky130_fd_sc_hd__o21ai_1 U74349 ( .A1(n78347), .A2(n54654), .B1(n54653), .Y(
+        n85726) );
+  sky130_fd_sc_hd__a22oi_1 U74350 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[15]), .A2(n54746), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[15]), .B2(n54782), .Y(n54658) );
+  sky130_fd_sc_hd__a21oi_1 U74351 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[15]), .A2(n54781), .B1(n54656), .Y(n54657) );
+  sky130_fd_sc_hd__nand2_1 U74352 ( .A(n54658), .B(n54657), .Y(n84700) );
+  sky130_fd_sc_hd__a22o_1 U74353 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[15]), .B1(n55990), .B2(n84700), .X(n84701) );
+  sky130_fd_sc_hd__a22oi_1 U74354 ( .A1(n83868), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[44]), .B1(n83866), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[131]), .Y(n54662) );
+  sky130_fd_sc_hd__a22oi_1 U74355 ( .A1(n83870), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[15]), .B1(n83844), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[218]), .Y(n54661) );
+  sky130_fd_sc_hd__a22oi_1 U74356 ( .A1(n83864), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[102]), .B1(n83869), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[73]), .Y(n54660) );
+  sky130_fd_sc_hd__a22oi_1 U74357 ( .A1(n83867), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[160]), .B1(n55974), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[189]), .Y(n54659) );
+  sky130_fd_sc_hd__nand4_1 U74358 ( .A(n54662), .B(n54661), .C(n54660), .D(
+        n54659), .Y(n84702) );
+  sky130_fd_sc_hd__nand2_1 U74359 ( .A(n78347), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[15]), .Y(n54663) );
+  sky130_fd_sc_hd__a22oi_1 U74361 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[14]), .A2(n54746), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[14]), .B2(n54782), .Y(n54668) );
+  sky130_fd_sc_hd__a21oi_1 U74362 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[14]), .A2(n54781), .B1(n54666), .Y(n54667) );
+  sky130_fd_sc_hd__nand2_1 U74363 ( .A(n54668), .B(n54667), .Y(n84703) );
+  sky130_fd_sc_hd__a22o_1 U74364 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[14]), .B1(n55990), .B2(n84703), .X(n84704) );
+  sky130_fd_sc_hd__a22oi_1 U74365 ( .A1(n83868), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[43]), .B1(n83867), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[159]), .Y(n54672) );
+  sky130_fd_sc_hd__a22oi_1 U74366 ( .A1(n83870), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[14]), .B1(n55974), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[188]), .Y(n54671) );
+  sky130_fd_sc_hd__a22oi_1 U74367 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[130]), .B1(n83869), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[72]), .Y(n54670) );
+  sky130_fd_sc_hd__a22oi_1 U74368 ( .A1(n83864), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[101]), .B1(n83844), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[217]), .Y(n54669) );
+  sky130_fd_sc_hd__nand4_1 U74369 ( .A(n54672), .B(n54671), .C(n54670), .D(
+        n54669), .Y(n84705) );
+  sky130_fd_sc_hd__nand2_1 U74370 ( .A(n78347), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[14]), .Y(n54673) );
+  sky130_fd_sc_hd__o21ai_1 U74371 ( .A1(n78347), .A2(n54674), .B1(n54673), .Y(
+        n85724) );
+  sky130_fd_sc_hd__a22oi_1 U74372 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[13]), .A2(n54746), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[13]), .B2(n54782), .Y(n54678) );
+  sky130_fd_sc_hd__a21oi_1 U74373 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[13]), .A2(n54781), .B1(n54676), .Y(n54677) );
+  sky130_fd_sc_hd__nand2_1 U74374 ( .A(n54678), .B(n54677), .Y(n84706) );
+  sky130_fd_sc_hd__a22o_1 U74375 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[13]), .B1(n55990), .B2(n84706), .X(n84707) );
+  sky130_fd_sc_hd__a22oi_1 U74376 ( .A1(n54709), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[42]), .B1(n83869), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[71]), .Y(n54682) );
+  sky130_fd_sc_hd__a22oi_1 U74377 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[129]), .B1(n83864), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[100]), .Y(n54681) );
+  sky130_fd_sc_hd__a22oi_1 U74378 ( .A1(n83870), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[13]), .B1(n55974), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[187]), .Y(n54680) );
+  sky130_fd_sc_hd__a22oi_1 U74379 ( .A1(n55965), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[158]), .B1(n83844), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[216]), .Y(n54679) );
+  sky130_fd_sc_hd__nand4_1 U74380 ( .A(n54682), .B(n54681), .C(n54680), .D(
+        n54679), .Y(n84708) );
+  sky130_fd_sc_hd__nand2_1 U74381 ( .A(n78347), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[13]), .Y(n54683) );
+  sky130_fd_sc_hd__o21ai_1 U74382 ( .A1(n78347), .A2(n54684), .B1(n54683), .Y(
+        n85730) );
+  sky130_fd_sc_hd__a22oi_1 U74383 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[12]), .A2(n54746), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[12]), .B2(n54782), .Y(n54688) );
+  sky130_fd_sc_hd__a21oi_1 U74384 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[12]), .A2(n54781), .B1(n54686), .Y(n54687) );
+  sky130_fd_sc_hd__nand2_1 U74385 ( .A(n54688), .B(n54687), .Y(n84709) );
+  sky130_fd_sc_hd__a22o_1 U74386 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[12]), .B1(n55990), .B2(n84709), .X(n84710) );
+  sky130_fd_sc_hd__a22oi_1 U74387 ( .A1(n83868), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[41]), .B1(n55974), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[186]), .Y(n54692) );
+  sky130_fd_sc_hd__a22oi_1 U74388 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[128]), .B1(n83870), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[12]), .Y(n54691) );
+  sky130_fd_sc_hd__a22oi_1 U74389 ( .A1(n83864), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[99]), .B1(n83844), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[215]), .Y(n54690) );
+  sky130_fd_sc_hd__a22oi_1 U74390 ( .A1(n83867), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[157]), .B1(n83869), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[70]), .Y(n54689) );
+  sky130_fd_sc_hd__nand4_1 U74391 ( .A(n54692), .B(n54691), .C(n54690), .D(
+        n54689), .Y(n84711) );
+  sky130_fd_sc_hd__nand2_1 U74392 ( .A(n78347), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[12]), .Y(n54693) );
+  sky130_fd_sc_hd__a22oi_1 U74394 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[11]), .A2(n54746), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[11]), .B2(n54782), .Y(n54698) );
+  sky130_fd_sc_hd__a21oi_1 U74395 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[11]), .A2(n54781), .B1(n54696), .Y(n54697) );
+  sky130_fd_sc_hd__nand2_1 U74396 ( .A(n54698), .B(n54697), .Y(n84712) );
+  sky130_fd_sc_hd__a22o_1 U74397 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[11]), .B1(n55990), .B2(n84712), .X(n84713) );
+  sky130_fd_sc_hd__a22oi_1 U74398 ( .A1(n54709), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[40]), .B1(n83867), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[156]), .Y(n54702) );
+  sky130_fd_sc_hd__a22oi_1 U74399 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[127]), .B1(n83864), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[98]), .Y(n54701) );
+  sky130_fd_sc_hd__a22oi_1 U74400 ( .A1(n83870), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[11]), .B1(n83844), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[214]), .Y(n54700) );
+  sky130_fd_sc_hd__a22oi_1 U74401 ( .A1(n83863), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[185]), .B1(n83869), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[69]), .Y(n54699) );
+  sky130_fd_sc_hd__nand4_1 U74402 ( .A(n54702), .B(n54701), .C(n54700), .D(
+        n54699), .Y(n84714) );
+  sky130_fd_sc_hd__nand2_1 U74403 ( .A(n78347), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[11]), .Y(n54703) );
+  sky130_fd_sc_hd__o21ai_1 U74404 ( .A1(n78347), .A2(n54704), .B1(n54703), .Y(
+        n85729) );
+  sky130_fd_sc_hd__a22oi_1 U74405 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[10]), .A2(n54746), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[10]), .B2(n54782), .Y(n54708) );
+  sky130_fd_sc_hd__a21oi_1 U74406 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[10]), .A2(n54781), .B1(n54706), .Y(n54707) );
+  sky130_fd_sc_hd__nand2_1 U74407 ( .A(n54708), .B(n54707), .Y(n84715) );
+  sky130_fd_sc_hd__a22o_1 U74408 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[10]), .B1(n55990), .B2(n84715), .X(n84716) );
+  sky130_fd_sc_hd__a22oi_1 U74409 ( .A1(n54709), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[39]), .B1(n83870), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[10]), .Y(n54713) );
+  sky130_fd_sc_hd__a22oi_1 U74410 ( .A1(n83844), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[213]), .B1(n83869), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[68]), .Y(n54712) );
+  sky130_fd_sc_hd__a22oi_1 U74411 ( .A1(n83864), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[97]), .B1(n55974), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[184]), .Y(n54711) );
+  sky130_fd_sc_hd__a22oi_1 U74412 ( .A1(n55965), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[155]), .B1(n83866), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[126]), .Y(n54710) );
+  sky130_fd_sc_hd__nand4_1 U74413 ( .A(n54713), .B(n54712), .C(n54711), .D(
+        n54710), .Y(n84717) );
+  sky130_fd_sc_hd__nand2_1 U74414 ( .A(n78347), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[10]), .Y(n54714) );
+  sky130_fd_sc_hd__o21ai_1 U74415 ( .A1(n78347), .A2(n54715), .B1(n54714), .Y(
+        n85728) );
+  sky130_fd_sc_hd__a22oi_1 U74416 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[9]), .A2(n54746), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[9]), .B2(n54782), .Y(n54719) );
+  sky130_fd_sc_hd__a21oi_1 U74417 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[9]), .A2(n54781), .B1(n54717), .Y(n54718) );
+  sky130_fd_sc_hd__nand2_1 U74418 ( .A(n54719), .B(n54718), .Y(n84718) );
+  sky130_fd_sc_hd__a22o_1 U74419 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[9]), .B1(n55990), .B2(n84718), .X(n84719) );
+  sky130_fd_sc_hd__a22oi_1 U74420 ( .A1(n83868), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[38]), .B1(n83870), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[9]), .Y(n54723) );
+  sky130_fd_sc_hd__a22oi_1 U74421 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[125]), .B1(n55974), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[183]), .Y(n54722) );
+  sky130_fd_sc_hd__a22oi_1 U74422 ( .A1(n83864), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[96]), .B1(n83858), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[67]), .Y(n54721) );
+  sky130_fd_sc_hd__a22oi_1 U74423 ( .A1(n83867), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[154]), .B1(n83844), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[212]), .Y(n54720) );
+  sky130_fd_sc_hd__nand4_1 U74424 ( .A(n54723), .B(n54722), .C(n54721), .D(
+        n54720), .Y(n84720) );
+  sky130_fd_sc_hd__nand2_1 U74425 ( .A(n78347), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[9]), .Y(n54724) );
+  sky130_fd_sc_hd__a22oi_1 U74427 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[8]), .A2(n54746), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[8]), .B2(n54782), .Y(n54729) );
+  sky130_fd_sc_hd__a21oi_1 U74428 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[8]), .A2(n54781), .B1(n54727), .Y(n54728) );
+  sky130_fd_sc_hd__nand2_1 U74429 ( .A(n54729), .B(n54728), .Y(n84721) );
+  sky130_fd_sc_hd__a22o_1 U74430 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[8]), .B1(n55990), .B2(n84721), .X(n84722) );
+  sky130_fd_sc_hd__a22oi_1 U74431 ( .A1(n83868), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[37]), .B1(n83867), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[153]), .Y(n54733) );
+  sky130_fd_sc_hd__a22oi_1 U74432 ( .A1(n83870), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[8]), .B1(n83844), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[211]), .Y(n54732) );
+  sky130_fd_sc_hd__a22oi_1 U74433 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[124]), .B1(n83869), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[66]), .Y(n54731) );
+  sky130_fd_sc_hd__a22oi_1 U74434 ( .A1(n83864), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[95]), .B1(n83863), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[182]), .Y(n54730) );
+  sky130_fd_sc_hd__nand4_1 U74435 ( .A(n54733), .B(n54732), .C(n54731), .D(
+        n54730), .Y(n84723) );
+  sky130_fd_sc_hd__nand2_1 U74436 ( .A(n78347), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[8]), .Y(n54734) );
+  sky130_fd_sc_hd__o21ai_1 U74437 ( .A1(n78347), .A2(n54735), .B1(n54734), .Y(
+        n85716) );
+  sky130_fd_sc_hd__a22oi_1 U74438 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[7]), .A2(n54746), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[7]), .B2(n54782), .Y(n54739) );
+  sky130_fd_sc_hd__a21oi_1 U74439 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[7]), .A2(n54781), .B1(n54737), .Y(n54738) );
+  sky130_fd_sc_hd__nand2_1 U74440 ( .A(n54739), .B(n54738), .Y(n84724) );
+  sky130_fd_sc_hd__a22o_1 U74441 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[7]), .B1(n55990), .B2(n84724), .X(n84725) );
+  sky130_fd_sc_hd__a22oi_1 U74442 ( .A1(n83868), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[36]), .B1(n83865), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[210]), .Y(n54743) );
+  sky130_fd_sc_hd__a22oi_1 U74443 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[123]), .B1(n83870), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[7]), .Y(n54742) );
+  sky130_fd_sc_hd__a22oi_1 U74444 ( .A1(n55965), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[152]), .B1(n83864), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[94]), .Y(n54741) );
+  sky130_fd_sc_hd__a22oi_1 U74445 ( .A1(n83863), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[181]), .B1(n83858), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[65]), .Y(n54740) );
+  sky130_fd_sc_hd__nand4_1 U74446 ( .A(n54743), .B(n54742), .C(n54741), .D(
+        n54740), .Y(n84726) );
+  sky130_fd_sc_hd__nand2_1 U74447 ( .A(n78347), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[7]), .Y(n54744) );
+  sky130_fd_sc_hd__o21ai_0 U74448 ( .A1(n78347), .A2(n54745), .B1(n54744), .Y(
+        n85715) );
+  sky130_fd_sc_hd__a22oi_1 U74449 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[6]), .A2(n54746), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[6]), .B2(n54782), .Y(n54751) );
+  sky130_fd_sc_hd__a21oi_1 U74450 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[6]), .A2(n54781), .B1(n54749), .Y(n54750) );
+  sky130_fd_sc_hd__nand2_1 U74451 ( .A(n54751), .B(n54750), .Y(n84727) );
+  sky130_fd_sc_hd__a22o_1 U74452 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[6]), .B1(n55990), .B2(n84727), .X(n84728) );
+  sky130_fd_sc_hd__a22oi_1 U74453 ( .A1(n83868), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[35]), .B1(n83864), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[93]), .Y(n54755) );
+  sky130_fd_sc_hd__a22oi_1 U74454 ( .A1(n83870), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[6]), .B1(n83844), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[209]), .Y(n54754) );
+  sky130_fd_sc_hd__a22oi_1 U74455 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[122]), .B1(n83858), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[64]), .Y(n54753) );
+  sky130_fd_sc_hd__a22oi_1 U74456 ( .A1(n55965), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[151]), .B1(n55974), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[180]), .Y(n54752) );
+  sky130_fd_sc_hd__nand4_1 U74457 ( .A(n54755), .B(n54754), .C(n54753), .D(
+        n54752), .Y(n84729) );
+  sky130_fd_sc_hd__nand2_1 U74458 ( .A(n78347), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[6]), .Y(n54756) );
+  sky130_fd_sc_hd__o21ai_1 U74459 ( .A1(n78347), .A2(n54757), .B1(n54756), .Y(
+        n85714) );
+  sky130_fd_sc_hd__a22oi_1 U74460 ( .A1(n54781), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[5]), .B1(n55985), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[5]), .Y(n54759) );
+  sky130_fd_sc_hd__a22oi_1 U74461 ( .A1(n54782), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[5]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[5]), .B2(n37033), .Y(n54758) );
+  sky130_fd_sc_hd__nand2_1 U74462 ( .A(n54759), .B(n54758), .Y(n84730) );
+  sky130_fd_sc_hd__a22o_1 U74463 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[5]), .B1(n55990), .B2(n84730), .X(n84731) );
+  sky130_fd_sc_hd__a22oi_1 U74464 ( .A1(n55965), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[150]), .B1(n83870), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[5]), .Y(n54763) );
+  sky130_fd_sc_hd__a22oi_1 U74465 ( .A1(n83868), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[34]), .B1(n83866), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[121]), .Y(n54762) );
+  sky130_fd_sc_hd__a22oi_1 U74466 ( .A1(n83844), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[208]), .B1(n55974), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[179]), .Y(n54761) );
+  sky130_fd_sc_hd__a22oi_1 U74467 ( .A1(n83864), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[92]), .B1(n83858), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[63]), .Y(n54760) );
+  sky130_fd_sc_hd__nand4_1 U74468 ( .A(n54763), .B(n54762), .C(n54761), .D(
+        n54760), .Y(n85221) );
+  sky130_fd_sc_hd__a22oi_1 U74469 ( .A1(n54781), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[4]), .B1(n55985), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[4]), .Y(n54765) );
+  sky130_fd_sc_hd__a22oi_1 U74470 ( .A1(n54782), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[4]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[4]), .B2(n55987), .Y(n54764) );
+  sky130_fd_sc_hd__nand2_1 U74471 ( .A(n54765), .B(n54764), .Y(n84732) );
+  sky130_fd_sc_hd__a22o_1 U74472 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[4]), .B1(n55990), .B2(n84732), .X(n84733) );
+  sky130_fd_sc_hd__a22oi_1 U74473 ( .A1(n55965), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[149]), .B1(n83864), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[91]), .Y(n54769) );
+  sky130_fd_sc_hd__a22oi_1 U74474 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[120]), .B1(n83870), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[4]), .Y(n54768) );
+  sky130_fd_sc_hd__a22oi_1 U74475 ( .A1(n83868), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[33]), .B1(n55974), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[178]), .Y(n54767) );
+  sky130_fd_sc_hd__a22oi_1 U74476 ( .A1(n83844), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[207]), .B1(n83858), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[62]), .Y(n54766) );
+  sky130_fd_sc_hd__nand4_1 U74477 ( .A(n54769), .B(n54768), .C(n54767), .D(
+        n54766), .Y(n84734) );
+  sky130_fd_sc_hd__mux2i_1 U74478 ( .A0(n84734), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[4]), .S(n78347), .Y(n54771) );
+  sky130_fd_sc_hd__o21ai_1 U74479 ( .A1(n54772), .A2(n85444), .B1(n54771), .Y(
+        n85717) );
+  sky130_fd_sc_hd__a22oi_1 U74480 ( .A1(n54781), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[3]), .B1(n55985), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[3]), .Y(n54774) );
+  sky130_fd_sc_hd__a22oi_1 U74481 ( .A1(n54782), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[3]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[3]), .B2(n37033), .Y(n54773) );
+  sky130_fd_sc_hd__nand2_1 U74482 ( .A(n54774), .B(n54773), .Y(n84735) );
+  sky130_fd_sc_hd__a22o_1 U74483 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[3]), .B1(n55990), .B2(n84735), .X(n84736) );
+  sky130_fd_sc_hd__a22oi_1 U74484 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[119]), .B1(n83870), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[3]), .Y(n54778) );
+  sky130_fd_sc_hd__a22oi_1 U74485 ( .A1(n83868), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[32]), .B1(n83863), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[177]), .Y(n54777) );
+  sky130_fd_sc_hd__a22oi_1 U74486 ( .A1(n55965), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[148]), .B1(n83865), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[206]), .Y(n54776) );
+  sky130_fd_sc_hd__a22oi_1 U74487 ( .A1(n83864), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[90]), .B1(n83858), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[61]), .Y(n54775) );
+  sky130_fd_sc_hd__nand4_1 U74488 ( .A(n54778), .B(n54777), .C(n54776), .D(
+        n54775), .Y(n84737) );
+  sky130_fd_sc_hd__mux2i_1 U74489 ( .A0(n84737), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[3]), .S(n78347), .Y(n54779) );
+  sky130_fd_sc_hd__a22oi_1 U74491 ( .A1(n54781), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_address[2]), .B1(n55985), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[2]), .Y(n54784) );
+  sky130_fd_sc_hd__a22oi_1 U74492 ( .A1(n54782), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_address[2]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[2]), .B2(n55987), .Y(n54783) );
+  sky130_fd_sc_hd__nand2_1 U74493 ( .A(n54784), .B(n54783), .Y(n84738) );
+  sky130_fd_sc_hd__a22o_1 U74494 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_address[2]), .B1(n55990), .B2(n84738), .X(n84739) );
+  sky130_fd_sc_hd__a22oi_1 U74495 ( .A1(n83868), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[31]), .B1(n83870), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[2]), .Y(n54788) );
+  sky130_fd_sc_hd__a22oi_1 U74496 ( .A1(n55965), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[147]), .B1(n83865), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[205]), .Y(n54787) );
+  sky130_fd_sc_hd__a22oi_1 U74497 ( .A1(n83864), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[89]), .B1(n83863), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[176]), .Y(n54786) );
+  sky130_fd_sc_hd__a22oi_1 U74498 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[118]), .B1(n83858), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[60]), .Y(n54785) );
+  sky130_fd_sc_hd__nand4_1 U74499 ( .A(n54788), .B(n54787), .C(n54786), .D(
+        n54785), .Y(n85220) );
+  sky130_fd_sc_hd__o21ai_1 U74501 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[1]), .A2(n54794), .B1(n54793), .Y(n84770) );
+  sky130_fd_sc_hd__mux2_2 U74502 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_mask[5]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_mask[1]), .S(n54795), .X(n84771) );
+  sky130_fd_sc_hd__nor2_1 U74503 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_size[0]), 
+        .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[0]), .Y(n54799) );
+  sky130_fd_sc_hd__a21oi_1 U74504 ( .A1(n52494), .A2(n84771), .B1(n54796), .Y(
+        n54792) );
+  sky130_fd_sc_hd__o31ai_1 U74505 ( .A1(n59959), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[1]), .A3(n54799), .B1(n54792), .Y(n84772) );
+  sky130_fd_sc_hd__o21ai_1 U74506 ( .A1(n78366), .A2(n54794), .B1(n54793), .Y(
+        n84774) );
+  sky130_fd_sc_hd__mux2_2 U74507 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_mask[7]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_mask[3]), .S(n54795), .X(n84775) );
+  sky130_fd_sc_hd__a21oi_1 U74508 ( .A1(n52494), .A2(n84775), .B1(n54796), .Y(
+        n54797) );
+  sky130_fd_sc_hd__o21ai_1 U74509 ( .A1(n54799), .A2(n54798), .B1(n54797), .Y(
+        n84776) );
+  sky130_fd_sc_hd__clkinv_1 U74510 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aonrst_catch__io_sync_reset_WIRE), .Y(
+        n85911) );
+  sky130_fd_sc_hd__nand2_1 U74511 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_source_ready), .B(MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_valid_reg), 
+        .Y(n54800) );
+  sky130_fd_sc_hd__or2_0 U74512 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_back_maybe_full), .B(n54800), 
+        .X(n81440) );
+  sky130_fd_sc_hd__inv_2 U74513 ( .A(n81440), .Y(n86404) );
+  sky130_fd_sc_hd__a21oi_1 U74514 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_source_ready), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_ridx_ridx_bin), .B1(n86404), .Y(n54801) );
+  sky130_fd_sc_hd__a21oi_1 U74515 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_ridx_ridx_bin), .A2(n86404), .B1(n54801), .Y(n84778) );
+  sky130_fd_sc_hd__nand2_1 U74516 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_opcode[2]), .Y(n54802) );
+  sky130_fd_sc_hd__o21ai_1 U74517 ( .A1(n63188), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_full), .B1(n54802), .Y(n85425) );
+  sky130_fd_sc_hd__nand2_1 U74518 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[32]), .Y(n54804) );
+  sky130_fd_sc_hd__nand2_1 U74519 ( .A(n54996), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[0]), .Y(n54803) );
+  sky130_fd_sc_hd__nand2_1 U74520 ( .A(n54804), .B(n54803), .Y(n85581) );
+  sky130_fd_sc_hd__nand2_1 U74521 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[63]), .Y(n54806) );
+  sky130_fd_sc_hd__nand2_1 U74522 ( .A(n54996), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[31]), .Y(n54805) );
+  sky130_fd_sc_hd__nand2_1 U74523 ( .A(n54806), .B(n54805), .Y(n85868) );
+  sky130_fd_sc_hd__nand2_1 U74524 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[61]), .Y(n54808) );
+  sky130_fd_sc_hd__nand2_1 U74525 ( .A(n54996), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[29]), .Y(n54807) );
+  sky130_fd_sc_hd__nand2_1 U74526 ( .A(n54808), .B(n54807), .Y(n85866) );
+  sky130_fd_sc_hd__nand2_1 U74527 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[60]), .Y(n54810) );
+  sky130_fd_sc_hd__nand2_1 U74528 ( .A(n54996), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[28]), .Y(n54809) );
+  sky130_fd_sc_hd__nand2_1 U74529 ( .A(n54810), .B(n54809), .Y(n85865) );
+  sky130_fd_sc_hd__nand2_1 U74530 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[59]), .Y(n54812) );
+  sky130_fd_sc_hd__nand2_1 U74531 ( .A(n54996), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[27]), .Y(n54811) );
+  sky130_fd_sc_hd__nand2_1 U74532 ( .A(n54812), .B(n54811), .Y(n85864) );
+  sky130_fd_sc_hd__nand2_1 U74533 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[58]), .Y(n54814) );
+  sky130_fd_sc_hd__nand2_1 U74534 ( .A(n54996), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[26]), .Y(n54813) );
+  sky130_fd_sc_hd__nand2_1 U74535 ( .A(n54814), .B(n54813), .Y(n85863) );
+  sky130_fd_sc_hd__nand2_1 U74536 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[56]), .Y(n54816) );
+  sky130_fd_sc_hd__nand2_1 U74537 ( .A(n54996), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[24]), .Y(n54815) );
+  sky130_fd_sc_hd__nand2_1 U74538 ( .A(n54816), .B(n54815), .Y(n85861) );
+  sky130_fd_sc_hd__nand2_1 U74539 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[55]), .Y(n54818) );
+  sky130_fd_sc_hd__nand2_1 U74540 ( .A(n54996), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[23]), .Y(n54817) );
+  sky130_fd_sc_hd__nand2_1 U74541 ( .A(n54818), .B(n54817), .Y(n85876) );
+  sky130_fd_sc_hd__nand2_1 U74542 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[54]), .Y(n54820) );
+  sky130_fd_sc_hd__nand2_1 U74543 ( .A(n54996), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[22]), .Y(n54819) );
+  sky130_fd_sc_hd__nand2_1 U74544 ( .A(n54820), .B(n54819), .Y(n85875) );
+  sky130_fd_sc_hd__nand2_1 U74545 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[52]), .Y(n54822) );
+  sky130_fd_sc_hd__nand2_1 U74546 ( .A(n54996), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[20]), .Y(n54821) );
+  sky130_fd_sc_hd__nand2_1 U74547 ( .A(n54822), .B(n54821), .Y(n85873) );
+  sky130_fd_sc_hd__nand2_1 U74548 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[39]), .Y(n54824) );
+  sky130_fd_sc_hd__nand2_1 U74549 ( .A(n54996), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[7]), .Y(n54823) );
+  sky130_fd_sc_hd__nand2_1 U74550 ( .A(n54824), .B(n54823), .Y(n85562) );
+  sky130_fd_sc_hd__nand2_1 U74551 ( .A(n36850), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[34]), .Y(n54826) );
+  sky130_fd_sc_hd__nand2_1 U74552 ( .A(n54996), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_data[2]), .Y(n54825) );
+  sky130_fd_sc_hd__nand2_1 U74553 ( .A(n54826), .B(n54825), .Y(n85582) );
+  sky130_fd_sc_hd__nand2_1 U74554 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_sink_ready), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_ready_reg), .Y(n54829) );
+  sky130_fd_sc_hd__nor2_1 U74555 ( .A(n60053), .B(n85437), .Y(n54827) );
+  sky130_fd_sc_hd__nand4b_1 U74556 ( .A_N(n54829), .B(n63187), .C(n54828), .D(
+        n54827), .Y(n63702) );
+  sky130_fd_sc_hd__buf_2 U74557 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source__widx_T_1), .X(n86453) );
+  sky130_fd_sc_hd__xnor2_1 U74558 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_widx_widx_bin), .B(n86453), .Y(n77552) );
+  sky130_fd_sc_hd__nor2b_1 U74559 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_sink_ready), .A(n77552), .Y(n84777) );
+  sky130_fd_sc_hd__nor3_1 U74560 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_gennum[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_gennum[1]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_gennum[0]), .Y(n54837) );
+  sky130_fd_sc_hd__nand2_1 U74561 ( .A(n54837), .B(n54836), .Y(n54835) );
+  sky130_fd_sc_hd__a22oi_1 U74562 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_size[1]), .B1(n83392), .B2(n84859), .Y(n54838) );
+  sky130_fd_sc_hd__a22oi_1 U74563 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_size[0]), .B1(n83392), .B2(n84860), .Y(n83393) );
+  sky130_fd_sc_hd__a22oi_1 U74564 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_size[2]), .B1(n83392), .B2(n54320), .Y(n54839) );
+  sky130_fd_sc_hd__a21oi_1 U74565 ( .A1(n54834), .A2(n54830), .B1(n77557), .Y(
+        n77554) );
+  sky130_fd_sc_hd__a21oi_1 U74566 ( .A1(n54833), .A2(n77554), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_gennum[0]), .Y(n85440) );
+  sky130_fd_sc_hd__nor2_1 U74567 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_gennum[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_gennum[0]), .Y(n54831) );
+  sky130_fd_sc_hd__a21oi_1 U74568 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_gennum[0]), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_gennum[1]), .B1(n54831), .Y(n77556) );
+  sky130_fd_sc_hd__a21oi_1 U74569 ( .A1(n54833), .A2(n54839), .B1(n77556), .Y(
+        n85441) );
+  sky130_fd_sc_hd__a21oi_1 U74571 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_gennum[2]), .A2(n54832), .B1(n54837), .Y(n77560) );
+  sky130_fd_sc_hd__a21oi_1 U74572 ( .A1(n77563), .A2(n54833), .B1(n77560), .Y(
+        n85442) );
+  sky130_fd_sc_hd__nand2_1 U74573 ( .A(n77557), .B(n54834), .Y(n77565) );
+  sky130_fd_sc_hd__o22ai_1 U74574 ( .A1(n54837), .A2(n54836), .B1(n54835), 
+        .B2(n77565), .Y(n85784) );
+  sky130_fd_sc_hd__nand2_1 U74575 ( .A(n54839), .B(n54838), .Y(n85888) );
+  sky130_fd_sc_hd__clkinv_1 U74576 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[1]), .Y(n78856) );
+  sky130_fd_sc_hd__nor2_1 U74577 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_reset), .B(n78856), .Y(
+        n84853) );
+  sky130_fd_sc_hd__clkinv_1 U74578 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[2]), .Y(n78858) );
+  sky130_fd_sc_hd__nor2_1 U74579 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_reset), .B(n78858), .Y(
+        n84852) );
+  sky130_fd_sc_hd__clkinv_1 U74580 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[3]), .Y(n78864) );
+  sky130_fd_sc_hd__nor2_1 U74581 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_reset), .B(n78864), .Y(
+        n84851) );
+  sky130_fd_sc_hd__clkinv_1 U74582 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[4]), .Y(n81487) );
+  sky130_fd_sc_hd__nor2_1 U74583 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_reset), .B(n81487), .Y(
+        n84845) );
+  sky130_fd_sc_hd__clkinv_1 U74584 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[5]), .Y(n78775) );
+  sky130_fd_sc_hd__nor2_1 U74585 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_reset), .B(n78775), .Y(
+        n84844) );
+  sky130_fd_sc_hd__clkinv_1 U74586 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[6]), .Y(n78777) );
+  sky130_fd_sc_hd__clkinv_1 U74587 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_reset), .Y(n81442) );
+  sky130_fd_sc_hd__nand2_1 U74588 ( .A(n78777), .B(n81442), .Y(n84843) );
+  sky130_fd_sc_hd__clkinv_1 U74589 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[7]), .Y(n78782) );
+  sky130_fd_sc_hd__nand2_1 U74590 ( .A(n78782), .B(n81442), .Y(n84842) );
+  sky130_fd_sc_hd__clkinv_1 U74591 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[8]), .Y(n84208) );
+  sky130_fd_sc_hd__nand2_1 U74592 ( .A(n84208), .B(n81442), .Y(n84841) );
+  sky130_fd_sc_hd__nor2_1 U74593 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_reset), .B(n84208), .Y(
+        n84846) );
+  sky130_fd_sc_hd__nor2_1 U74594 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_reset), .B(n78782), .Y(
+        n84847) );
+  sky130_fd_sc_hd__nor2_1 U74595 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_reset), .B(n78777), .Y(
+        n84848) );
+  sky130_fd_sc_hd__nand2_1 U74596 ( .A(n78775), .B(n81442), .Y(n84849) );
+  sky130_fd_sc_hd__nand2_1 U74597 ( .A(n81487), .B(n81442), .Y(n84850) );
+  sky130_fd_sc_hd__a21oi_1 U74599 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_widx), 
+        .A2(n84778), .B1(n54840), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_io_en) );
+  sky130_fd_sc_hd__nand3_1 U74600 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_sink_ready), .B(MarmotCaravelChip_dut_sys_aon_1_aon_out_back_maybe_full), .C(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_ready_reg), .Y(n81441) );
+  sky130_fd_sc_hd__xor2_1 U74601 ( .A(n81441), .B(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_widx_widx_bin), .X(n77772) );
+  sky130_fd_sc_hd__nor2b_1 U74602 ( .B_N(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_sink_ready), .A(n77772), .Y(n84779) );
+  sky130_fd_sc_hd__o2bb2ai_1 U74603 ( .B1(n59822), .B2(n83465), .A1_N(n61451), 
+        .A2_N(n54841), .Y(n54843) );
+  sky130_fd_sc_hd__o21bai_1 U74604 ( .A1(n54844), .A2(n54843), .B1_N(n54842), 
+        .Y(n83881) );
+  sky130_fd_sc_hd__nand3_1 U74605 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_ridx_ridx_bin), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_source_ready), .C(n83881), .Y(n54845) );
+  sky130_fd_sc_hd__o21ai_1 U74606 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_ridx_ridx_bin), .A2(n83881), .B1(n54845), .Y(n84780) );
+  sky130_fd_sc_hd__a21oi_1 U74608 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_widx), .A2(n84780), .B1(n54846), .Y(n60901) );
+  sky130_fd_sc_hd__nor2_1 U74609 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_auto_in_d_bits_source[1]), .B(n83376), .Y(n54847) );
+  sky130_fd_sc_hd__a21oi_1 U74610 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_auto_in_d_bits_size[0]), .A2(n83374), .B1(n54847), .Y(n54848) );
+  sky130_fd_sc_hd__o21ai_1 U74611 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_auto_in_d_bits_source[3]), .A2(n83384), .B1(n54848), .Y(n85197) );
+  sky130_fd_sc_hd__a21oi_1 U74612 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_auto_in_d_bits_size[1]), .A2(n83376), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_auto_in_d_bits_source[3]), .Y(n54849) );
+  sky130_fd_sc_hd__o21ai_1 U74613 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_auto_in_d_bits_source[1]), .A2(n83376), .B1(n54849), .Y(n85158) );
+  sky130_fd_sc_hd__nand2_1 U74615 ( .A(n36846), .B(n61451), .Y(n83988) );
+  sky130_fd_sc_hd__o21bai_1 U74616 ( .A1(n59831), .A2(n59821), .B1_N(n83988), 
+        .Y(n83538) );
+  sky130_fd_sc_hd__nand2_1 U74617 ( .A(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .B(
+        n83538), .Y(MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N96) );
+  sky130_fd_sc_hd__o31a_1 U74618 ( .A1(n78320), .A2(n36802), .A3(n83923), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N96), .X(n85892) );
+  sky130_fd_sc_hd__nor2_1 U74619 ( .A(n54851), .B(n60364), .Y(n59928) );
+  sky130_fd_sc_hd__nand4_1 U74620 ( .A(n54852), .B(n59928), .C(n85438), .D(
+        n61452), .Y(n63703) );
+  sky130_fd_sc_hd__o21ai_2 U74621 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_maybe_full), 
+        .A2(n83875), .B1(n63703), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en) );
+  sky130_fd_sc_hd__nor2b_1 U74622 ( .B_N(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .A(n36848), .Y(n85481) );
+  sky130_fd_sc_hd__a22oi_1 U74623 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_size[2]), .B1(n83875), .B2(n54320), .Y(n54854) );
+  sky130_fd_sc_hd__a22oi_1 U74624 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_size[1]), .B1(n83875), .B2(n84859), .Y(n54853) );
+  sky130_fd_sc_hd__nand2_1 U74625 ( .A(n54854), .B(n54853), .Y(n85889) );
+  sky130_fd_sc_hd__nor3_1 U74626 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_gennum[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_gennum[1]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_gennum[0]), .Y(n54862) );
+  sky130_fd_sc_hd__nand2_1 U74627 ( .A(n54862), .B(n54861), .Y(n54860) );
+  sky130_fd_sc_hd__a22oi_1 U74628 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_size[0]), .B1(n83875), .B2(n84860), .Y(n83460) );
+  sky130_fd_sc_hd__a21oi_1 U74629 ( .A1(n54859), .A2(n54855), .B1(n77543), .Y(
+        n77540) );
+  sky130_fd_sc_hd__a21oi_1 U74630 ( .A1(n54858), .A2(n77540), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_gennum[0]), .Y(n85480) );
+  sky130_fd_sc_hd__nor2_1 U74631 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_gennum[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_gennum[0]), .Y(n54856) );
+  sky130_fd_sc_hd__a21oi_1 U74632 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_gennum[0]), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_gennum[1]), .B1(n54856), .Y(n77542) );
+  sky130_fd_sc_hd__a21oi_1 U74633 ( .A1(n54858), .A2(n54854), .B1(n77542), .Y(
+        n85479) );
+  sky130_fd_sc_hd__a21oi_1 U74635 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_gennum[2]), .A2(n54857), .B1(n54862), .Y(n77545) );
+  sky130_fd_sc_hd__a21oi_1 U74636 ( .A1(n77548), .A2(n54858), .B1(n77545), .Y(
+        n85478) );
+  sky130_fd_sc_hd__nand2_1 U74637 ( .A(n77543), .B(n54859), .Y(n77550) );
+  sky130_fd_sc_hd__o22ai_1 U74638 ( .A1(n54862), .A2(n54861), .B1(n54860), 
+        .B2(n77550), .Y(n85780) );
+  sky130_fd_sc_hd__nor2_1 U74639 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_auto_plic_in_d_bits_source[1]), .B(n83441), .Y(n54863) );
+  sky130_fd_sc_hd__a21oi_1 U74640 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_auto_plic_in_d_bits_size[0]), .A2(n83438), .B1(n54863), .Y(n54864) );
+  sky130_fd_sc_hd__o21ai_1 U74641 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_auto_plic_in_d_bits_source[3]), .A2(n54865), .B1(n54864), .Y(n85199) );
+  sky130_fd_sc_hd__a21oi_1 U74642 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_auto_plic_in_d_bits_size[1]), .A2(n83441), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_auto_plic_in_d_bits_source[3]), .Y(n54866) );
+  sky130_fd_sc_hd__nor2_1 U74644 ( .A(n36802), .B(n76545), .Y(n85878) );
+  sky130_fd_sc_hd__a22o_1 U74645 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_size[1]), .B1(n82522), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_size[4]), .X(n84783) );
+  sky130_fd_sc_hd__a22o_1 U74646 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_size[2]), .B1(n82522), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_size[5]), .X(n84784) );
+  sky130_fd_sc_hd__nand3_1 U74647 ( .A(n54868), .B(n54888), .C(n54867), .Y(
+        n54871) );
+  sky130_fd_sc_hd__nand2_1 U74648 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_size[1]), .Y(n54869) );
+  sky130_fd_sc_hd__nand2_1 U74649 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_size[2]), .Y(n54870) );
+  sky130_fd_sc_hd__nor2_1 U74650 ( .A(n54882), .B(n54884), .Y(n56023) );
+  sky130_fd_sc_hd__nor2_1 U74651 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_gennum[3]), .B(n54871), .Y(n54894) );
+  sky130_fd_sc_hd__a22oi_1 U74652 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_gennum[3]), .A2(n54871), .B1(n56023), .B2(n54894), .Y(n82501) );
+  sky130_fd_sc_hd__a22o_1 U74653 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode[2]), .B1(n82522), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode[5]), .X(n85434) );
+  sky130_fd_sc_hd__nand2_1 U74654 ( .A(n55037), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_mask[1]), .Y(n54872) );
+  sky130_fd_sc_hd__o21a_1 U74655 ( .A1(n63711), .A2(n54873), .B1(n54872), .X(
+        n83457) );
+  sky130_fd_sc_hd__nand2_1 U74656 ( .A(n54996), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_mask[2]), .Y(n54874) );
+  sky130_fd_sc_hd__o21a_1 U74657 ( .A1(n63711), .A2(n54875), .B1(n54874), .X(
+        n83458) );
+  sky130_fd_sc_hd__nand2_1 U74658 ( .A(n54996), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_mask[0]), .Y(n54876) );
+  sky130_fd_sc_hd__o21a_1 U74659 ( .A1(n63711), .A2(n54877), .B1(n54876), .X(
+        n83456) );
+  sky130_fd_sc_hd__a22o_1 U74660 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode[0]), .B1(n82522), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode[3]), .X(n85899) );
+  sky130_fd_sc_hd__a22o_1 U74661 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode[1]), .B1(n82522), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode[4]), .X(n85900) );
+  sky130_fd_sc_hd__a22o_1 U74662 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_size[0]), .B1(n82522), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_size[3]), .X(n84787) );
+  sky130_fd_sc_hd__a22o_1 U74663 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_source[1]), .B1(n82522), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_source[3]), .X(n85821) );
+  sky130_fd_sc_hd__a22o_1 U74664 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_source[0]), .B1(n82522), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_source[2]), .X(n85820) );
+  sky130_fd_sc_hd__a22o_1 U74665 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[0]), .B1(n82522), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[12]), .X(n84789) );
+  sky130_fd_sc_hd__a22o_1 U74666 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[1]), .B1(n82522), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[13]), .X(n84788) );
+  sky130_fd_sc_hd__a22o_1 U74667 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[2]), .B1(n82522), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[14]), .X(n84790) );
+  sky130_fd_sc_hd__nand2_1 U74668 ( .A(n82522), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[16]), .Y(n54879) );
+  sky130_fd_sc_hd__nand2_1 U74669 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[4]), .Y(n54878) );
+  sky130_fd_sc_hd__nand2_1 U74670 ( .A(n54879), .B(n54878), .Y(n84823) );
+  sky130_fd_sc_hd__a22o_1 U74671 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[6]), .B1(n82522), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[18]), .X(n84824) );
+  sky130_fd_sc_hd__a22o_1 U74672 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[7]), .B1(n82522), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[19]), .X(n84825) );
+  sky130_fd_sc_hd__nand2_1 U74673 ( .A(n82522), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[20]), .Y(n54881) );
+  sky130_fd_sc_hd__nand2_1 U74674 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[8]), .Y(n54880) );
+  sky130_fd_sc_hd__nand2_1 U74675 ( .A(n54881), .B(n54880), .Y(n84826) );
+  sky130_fd_sc_hd__a22o_1 U74676 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[11]), .B1(n82522), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[23]), .X(n84791) );
+  sky130_fd_sc_hd__nand2_1 U74677 ( .A(n54884), .B(n54882), .Y(n85879) );
+  sky130_fd_sc_hd__mux2i_1 U74678 ( .A0(n84787), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_size[0]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_io_full), .Y(n82523) );
+  sky130_fd_sc_hd__a21oi_1 U74680 ( .A1(n54894), .A2(n54887), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_gennum[0]), .Y(n85475) );
+  sky130_fd_sc_hd__xnor2_1 U74681 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_gennum[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_gennum[0]), .Y(n54885) );
+  sky130_fd_sc_hd__mux2i_1 U74682 ( .A0(n84788), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address[3]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_io_full), .Y(n54883) );
+  sky130_fd_sc_hd__o21ai_1 U74683 ( .A1(n54885), .A2(n54884), .B1(n54883), .Y(
+        n85423) );
+  sky130_fd_sc_hd__mux2i_1 U74684 ( .A0(n84789), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address[2]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_io_full), .Y(n54886) );
+  sky130_fd_sc_hd__o21ai_1 U74685 ( .A1(n54888), .A2(n54887), .B1(n54886), .Y(
+        n85419) );
+  sky130_fd_sc_hd__nor2_1 U74686 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_gennum[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_gennum[1]), .Y(n54889) );
+  sky130_fd_sc_hd__xor2_1 U74687 ( .A(n54889), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_gennum[2]), .X(n54893) );
+  sky130_fd_sc_hd__a21oi_1 U74688 ( .A1(n54891), .A2(n54890), .B1(n56023), .Y(
+        n54895) );
+  sky130_fd_sc_hd__mux2i_1 U74689 ( .A0(n84790), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address[4]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_io_full), .Y(n54892) );
+  sky130_fd_sc_hd__a22o_1 U74691 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address[13]), .B1(n83823), .B2(n84791), .X(n85881) );
+  sky130_fd_sc_hd__nand2_1 U74692 ( .A(n54980), .B(n54896), .Y(n54902) );
+  sky130_fd_sc_hd__nor2_1 U74693 ( .A(n68918), .B(n54897), .Y(n54901) );
+  sky130_fd_sc_hd__nand2_1 U74694 ( .A(n54899), .B(n54898), .Y(n54900) );
+  sky130_fd_sc_hd__nand3_1 U74695 ( .A(n54902), .B(n54901), .C(n54900), .Y(
+        n85407) );
+  sky130_fd_sc_hd__nand2b_1 U74696 ( .A_N(n54903), .B(n66536), .Y(n54904) );
+  sky130_fd_sc_hd__nand3_1 U74697 ( .A(n54906), .B(n54905), .C(n54904), .Y(
+        n85409) );
+  sky130_fd_sc_hd__nand2_1 U74698 ( .A(n54912), .B(n54911), .Y(n54913) );
+  sky130_fd_sc_hd__nand2_1 U74699 ( .A(n54913), .B(n64278), .Y(n54919) );
+  sky130_fd_sc_hd__o21ai_1 U74700 ( .A1(n54916), .A2(n54915), .B1(n54914), .Y(
+        n54917) );
+  sky130_fd_sc_hd__a2bb2oi_1 U74701 ( .B1(n65175), .B2(n54917), .A1_N(n68666), 
+        .A2_N(n55598), .Y(n54918) );
+  sky130_fd_sc_hd__nand2_1 U74702 ( .A(n54919), .B(n54918), .Y(n54920) );
+  sky130_fd_sc_hd__o2bb2ai_1 U74703 ( .B1(n68666), .B2(n54922), .A1_N(n54921), 
+        .A2_N(n54920), .Y(n85410) );
+  sky130_fd_sc_hd__nor2_1 U74704 ( .A(n68540), .B(n54966), .Y(n54958) );
+  sky130_fd_sc_hd__nor2_1 U74705 ( .A(n65963), .B(n54963), .Y(n54923) );
+  sky130_fd_sc_hd__nand2_1 U74706 ( .A(n54958), .B(n54923), .Y(n54925) );
+  sky130_fd_sc_hd__nor2_1 U74707 ( .A(n54973), .B(n39467), .Y(n54968) );
+  sky130_fd_sc_hd__nor2_1 U74708 ( .A(n65182), .B(n39464), .Y(n54924) );
+  sky130_fd_sc_hd__nand2_1 U74709 ( .A(n54968), .B(n54924), .Y(n54959) );
+  sky130_fd_sc_hd__nor2_1 U74710 ( .A(n54925), .B(n54959), .Y(n54947) );
+  sky130_fd_sc_hd__nor2_1 U74711 ( .A(n66600), .B(n65363), .Y(n54951) );
+  sky130_fd_sc_hd__nand2_1 U74712 ( .A(n54951), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[13]), .Y(n54949) );
+  sky130_fd_sc_hd__nor2_1 U74713 ( .A(n72409), .B(n54949), .Y(n54926) );
+  sky130_fd_sc_hd__nand2_1 U74714 ( .A(n54947), .B(n54926), .Y(n54945) );
+  sky130_fd_sc_hd__nor2_1 U74715 ( .A(n72368), .B(n54945), .Y(n54942) );
+  sky130_fd_sc_hd__nand2_1 U74716 ( .A(n54942), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[16]), .Y(n54927) );
+  sky130_fd_sc_hd__ha_1 U74717 ( .A(n54930), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[29]), .COUT(n54929), .SUM(n84795) );
+  sky130_fd_sc_hd__ha_2 U74718 ( .A(n54931), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[28]), .COUT(n54930), .SUM(n84796) );
+  sky130_fd_sc_hd__ha_1 U74719 ( .A(n54932), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[27]), .COUT(n54931), .SUM(n84797) );
+  sky130_fd_sc_hd__ha_1 U74720 ( .A(n54933), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[26]), .COUT(n54932), .SUM(n84798) );
+  sky130_fd_sc_hd__ha_2 U74721 ( .A(n54934), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[25]), .COUT(n54933), .SUM(n84799) );
+  sky130_fd_sc_hd__ha_1 U74722 ( .A(n54935), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[24]), .COUT(n54934), .SUM(n84800) );
+  sky130_fd_sc_hd__ha_2 U74723 ( .A(n54936), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[23]), .COUT(n54935), .SUM(n84801) );
+  sky130_fd_sc_hd__ha_1 U74724 ( .A(n54937), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[22]), .COUT(n54936), .SUM(n84802) );
+  sky130_fd_sc_hd__ha_1 U74725 ( .A(n54938), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[21]), .COUT(n54937), .SUM(n84803) );
+  sky130_fd_sc_hd__ha_2 U74726 ( .A(n54939), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[20]), .COUT(n54938), .SUM(n84804) );
+  sky130_fd_sc_hd__ha_1 U74727 ( .A(n54940), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[19]), .COUT(n54939), .SUM(n84805) );
+  sky130_fd_sc_hd__ha_2 U74728 ( .A(n37113), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[18]), .COUT(n54940), .SUM(n84806) );
+  sky130_fd_sc_hd__xnor2_1 U74729 ( .A(n66475), .B(n54941), .Y(n84807) );
+  sky130_fd_sc_hd__nor2_1 U74730 ( .A(n54943), .B(n54974), .Y(n54944) );
+  sky130_fd_sc_hd__xnor2_1 U74731 ( .A(n72309), .B(n54944), .Y(n84808) );
+  sky130_fd_sc_hd__nor2_1 U74732 ( .A(n54945), .B(n54974), .Y(n54946) );
+  sky130_fd_sc_hd__xnor2_1 U74733 ( .A(n72368), .B(n54946), .Y(n84809) );
+  sky130_fd_sc_hd__nor2_1 U74734 ( .A(n54948), .B(n54974), .Y(n54955) );
+  sky130_fd_sc_hd__nor2_1 U74735 ( .A(n54949), .B(n54957), .Y(n54950) );
+  sky130_fd_sc_hd__xnor2_1 U74736 ( .A(n72409), .B(n54950), .Y(n84810) );
+  sky130_fd_sc_hd__nor2_1 U74737 ( .A(n54952), .B(n54957), .Y(n54953) );
+  sky130_fd_sc_hd__xnor2_1 U74738 ( .A(n54954), .B(n54953), .Y(n84811) );
+  sky130_fd_sc_hd__nand2_1 U74739 ( .A(n54955), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[11]), .Y(n54956) );
+  sky130_fd_sc_hd__xor2_1 U74740 ( .A(n54956), .B(n66600), .X(n84812) );
+  sky130_fd_sc_hd__xor2_1 U74741 ( .A(n54957), .B(n65363), .X(n84813) );
+  sky130_fd_sc_hd__nor2_1 U74742 ( .A(n54959), .B(n54974), .Y(n54964) );
+  sky130_fd_sc_hd__nor2_1 U74743 ( .A(n54960), .B(n54967), .Y(n54962) );
+  sky130_fd_sc_hd__nand2_1 U74744 ( .A(n54962), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[9]), .Y(n54961) );
+  sky130_fd_sc_hd__xor2_1 U74745 ( .A(n54961), .B(n65963), .X(n84814) );
+  sky130_fd_sc_hd__xnor2_1 U74746 ( .A(n54963), .B(n54962), .Y(n84815) );
+  sky130_fd_sc_hd__nand2_1 U74747 ( .A(n54964), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[7]), .Y(n54965) );
+  sky130_fd_sc_hd__xor2_1 U74748 ( .A(n54965), .B(n68540), .X(n84816) );
+  sky130_fd_sc_hd__xor2_1 U74749 ( .A(n54967), .B(n54966), .X(n84817) );
+  sky130_fd_sc_hd__nor2_1 U74750 ( .A(n54969), .B(n54974), .Y(n54971) );
+  sky130_fd_sc_hd__nand2_1 U74751 ( .A(n54971), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[5]), .Y(n54970) );
+  sky130_fd_sc_hd__xor2_1 U74752 ( .A(n54970), .B(n65182), .X(n84818) );
+  sky130_fd_sc_hd__xnor2_1 U74753 ( .A(n39464), .B(n54971), .Y(n84819) );
+  sky130_fd_sc_hd__nor2_1 U74754 ( .A(n39467), .B(n54974), .Y(n54972) );
+  sky130_fd_sc_hd__xnor2_1 U74755 ( .A(n54973), .B(n54972), .Y(n84820) );
+  sky130_fd_sc_hd__xor2_1 U74756 ( .A(n54974), .B(n39467), .X(n84821) );
+  sky130_fd_sc_hd__nand2_1 U74757 ( .A(n54980), .B(n54979), .Y(n54981) );
+  sky130_fd_sc_hd__o211ai_1 U74758 ( .A1(n54984), .A2(n54983), .B1(n54982), 
+        .C1(n54981), .Y(n85404) );
+  sky130_fd_sc_hd__nand2_1 U74759 ( .A(n54985), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_io_full), .Y(n54986) );
+  sky130_fd_sc_hd__o21a_1 U74760 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_io_full), .A2(n84823), .B1(n54986), .X(n85428) );
+  sky130_fd_sc_hd__nand2_1 U74761 ( .A(n84824), .B(n83823), .Y(n54988) );
+  sky130_fd_sc_hd__nand2_1 U74762 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address[8]), .Y(n54987) );
+  sky130_fd_sc_hd__nand2_1 U74763 ( .A(n54988), .B(n54987), .Y(n85427) );
+  sky130_fd_sc_hd__nand2_1 U74764 ( .A(n84825), .B(n83823), .Y(n54990) );
+  sky130_fd_sc_hd__nand2_1 U74765 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address[9]), .Y(n54989) );
+  sky130_fd_sc_hd__nand2_1 U74766 ( .A(n54990), .B(n54989), .Y(n85429) );
+  sky130_fd_sc_hd__nand2_1 U74767 ( .A(n54991), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_io_full), .Y(n54992) );
+  sky130_fd_sc_hd__o21a_1 U74768 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_io_full), .A2(n84826), .B1(n54992), .X(n85430) );
+  sky130_fd_sc_hd__nor2_1 U74769 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_slave_in_d_bits_source[1]), .B(n82504), .Y(n54994) );
+  sky130_fd_sc_hd__a21oi_1 U74770 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_slave_in_d_bits_source[2]), .A2(n54995), .B1(n54994), .Y(n54993) );
+  sky130_fd_sc_hd__nor4_1 U74772 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_acknum[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_acknum[0]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_acknum[3]), .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_acknum[2]), .Y(n82517) );
+  sky130_fd_sc_hd__a22o_1 U74773 ( .A1(n82517), .A2(n84827), .B1(n82503), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_dOrig[0]), .X(n84828) );
+  sky130_fd_sc_hd__a211o_1 U74774 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_slave_in_d_bits_size[1]), .A2(n82504), .B1(n54994), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_slave_in_d_bits_source[3]), .X(n84829) );
+  sky130_fd_sc_hd__a22o_1 U74775 ( .A1(n82517), .A2(n84829), .B1(n82503), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_dOrig[1]), .X(n84830) );
+  sky130_fd_sc_hd__nand3_1 U74776 ( .A(n54995), .B(n82514), .C(n82509), .Y(
+        n85426) );
+  sky130_fd_sc_hd__a22o_1 U74777 ( .A1(n82517), .A2(n85426), .B1(n82503), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_dOrig[2]), .X(n84831) );
+  sky130_fd_sc_hd__a21oi_1 U74778 ( .A1(n83539), .A2(n83541), .B1(n85892), .Y(
+        n83544) );
+  sky130_fd_sc_hd__o21ai_1 U74779 ( .A1(n83912), .A2(n83538), .B1(n83544), .Y(
+        n85482) );
+  sky130_fd_sc_hd__a22oi_1 U74780 ( .A1(n36973), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_size[1]), .B1(n36974), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_size[4]), .Y(n83805) );
+  sky130_fd_sc_hd__o22ai_1 U74781 ( .A1(n36473), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_r[1]), .B1(
+        n83283), .B2(n84838), .Y(n83177) );
+  sky130_fd_sc_hd__a21oi_1 U74782 ( .A1(n83097), .A2(n83177), .B1(n56658), .Y(
+        n84839) );
+  sky130_fd_sc_hd__a22o_1 U74783 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_sink[0]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_sink[2]), .X(n84840) );
+  sky130_fd_sc_hd__nand2_1 U74784 ( .A(n54996), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_mask[3]), .Y(n54997) );
+  sky130_fd_sc_hd__o21a_1 U74785 ( .A1(n63711), .A2(n54998), .B1(n54997), .X(
+        n83459) );
+  sky130_fd_sc_hd__mux2_2 U74786 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_mask[7]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_mask[3]), .S(n55502), .X(n85086) );
+  sky130_fd_sc_hd__a31oi_1 U74788 ( .A1(n55453), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_size[0]), 
+        .A3(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[1]), .B1(n54999), .Y(n55463) );
+  sky130_fd_sc_hd__a22oi_1 U74789 ( .A1(n55472), .A2(n85086), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[0]), .B2(n55442), .Y(n55000) );
+  sky130_fd_sc_hd__nand2_1 U74790 ( .A(n55463), .B(n55000), .Y(n84872) );
+  sky130_fd_sc_hd__nand2_1 U74791 ( .A(n86753), .B(n79473), .Y(n85825) );
+  sky130_fd_sc_hd__nor3_1 U74792 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[0]), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[2]), .C(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[1]), .Y(
+        n79798) );
+  sky130_fd_sc_hd__nor2_1 U74793 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[3]), .B(
+        n79802), .Y(n79801) );
+  sky130_fd_sc_hd__nand2_1 U74794 ( .A(n79801), .B(n60541), .Y(n79805) );
+  sky130_fd_sc_hd__nor2_1 U74795 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[5]), .B(
+        n79805), .Y(n79804) );
+  sky130_fd_sc_hd__nand2_1 U74796 ( .A(n79804), .B(n60533), .Y(n79808) );
+  sky130_fd_sc_hd__nor2_1 U74797 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[7]), .B(
+        n79808), .Y(n79807) );
+  sky130_fd_sc_hd__nand2_1 U74798 ( .A(n79807), .B(n60535), .Y(n79811) );
+  sky130_fd_sc_hd__nor2_1 U74799 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[9]), .B(
+        n79811), .Y(n79810) );
+  sky130_fd_sc_hd__nand2_1 U74800 ( .A(n79810), .B(n60537), .Y(n79814) );
+  sky130_fd_sc_hd__nor2_1 U74801 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[11]), .B(
+        n79814), .Y(n79813) );
+  sky130_fd_sc_hd__nand2_1 U74802 ( .A(n79813), .B(n60531), .Y(n79817) );
+  sky130_fd_sc_hd__nor2_1 U74803 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[13]), .B(
+        n79817), .Y(n79816) );
+  sky130_fd_sc_hd__nand2_1 U74804 ( .A(n79816), .B(n60539), .Y(n79820) );
+  sky130_fd_sc_hd__a31oi_1 U74806 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_dSCL), .A2(
+        n79474), .A3(n85791), .B1(n55001), .Y(n60330) );
+  sky130_fd_sc_hd__nand2_1 U74807 ( .A(n83116), .B(n60330), .Y(n85524) );
+  sky130_fd_sc_hd__nand2_1 U74808 ( .A(n61006), .B(n60296), .Y(n55006) );
+  sky130_fd_sc_hd__nand2_1 U74809 ( .A(n73564), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_13), .Y(n55002) );
+  sky130_fd_sc_hd__o21a_1 U74810 ( .A1(n73567), .A2(n55005), .B1(n55004), .X(
+        n61007) );
+  sky130_fd_sc_hd__nor2_1 U74811 ( .A(n55006), .B(n61007), .Y(n73766) );
+  sky130_fd_sc_hd__nand2_1 U74812 ( .A(n73766), .B(n36801), .Y(n83553) );
+  sky130_fd_sc_hd__nor2_1 U74813 ( .A(n55552), .B(n83553), .Y(n85766) );
+  sky130_fd_sc_hd__a22oi_1 U74815 ( .A1(n55333), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_source[1]), .B1(n55335), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_source[1]), .Y(n55036) );
+  sky130_fd_sc_hd__nand2_1 U74816 ( .A(n55334), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_source[1]), .Y(n55035) );
+  sky130_fd_sc_hd__nand3_1 U74817 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_source[1]), .C(n55342), .Y(n55034) );
+  sky130_fd_sc_hd__o22ai_1 U74818 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_io_full), .A2(n55564), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_io_full), .B2(n55563), .Y(n55008) );
+  sky130_fd_sc_hd__a21oi_1 U74819 ( .A1(n73771), .A2(n55591), .B1(n55008), .Y(
+        n55018) );
+  sky130_fd_sc_hd__o22ai_1 U74820 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_io_full), .A2(n55573), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_io_full), .B2(n55582), .Y(n55009) );
+  sky130_fd_sc_hd__a21oi_1 U74821 ( .A1(n55543), .A2(n62073), .B1(n55009), .Y(
+        n55017) );
+  sky130_fd_sc_hd__o22ai_1 U74822 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_io_full), .A2(n55577), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_io_full), .B2(n55572), .Y(n55010) );
+  sky130_fd_sc_hd__a21oi_1 U74823 ( .A1(n55321), .A2(n73777), .B1(n55010), .Y(
+        n55011) );
+  sky130_fd_sc_hd__o21ai_0 U74824 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_io_full), .A2(n55508), .B1(n55011), .Y(n55012) );
+  sky130_fd_sc_hd__a21oi_1 U74825 ( .A1(n63512), .A2(n55542), .B1(n55012), .Y(
+        n55013) );
+  sky130_fd_sc_hd__o21ai_1 U74826 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_io_full), .A2(n55545), .B1(n55013), .Y(n55014) );
+  sky130_fd_sc_hd__a21oi_1 U74827 ( .A1(n63663), .A2(n55548), .B1(n55014), .Y(
+        n55016) );
+  sky130_fd_sc_hd__nand2_1 U74828 ( .A(n55585), .B(n60963), .Y(n55015) );
+  sky130_fd_sc_hd__nand4_1 U74829 ( .A(n55018), .B(n55017), .C(n55016), .D(
+        n55015), .Y(n55341) );
+  sky130_fd_sc_hd__nand3_1 U74830 ( .A(n55591), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_io_full), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_source[1]), .Y(n55031) );
+  sky130_fd_sc_hd__nand3_1 U74831 ( .A(n55570), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_io_full), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_source[1]), .Y(n55021) );
+  sky130_fd_sc_hd__nand3_1 U74832 ( .A(n55315), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_io_full), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_source[1]), .Y(n55020) );
+  sky130_fd_sc_hd__nand3_1 U74833 ( .A(n55511), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_io_full), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_source[1]), .Y(n55019) );
+  sky130_fd_sc_hd__nand3_1 U74834 ( .A(n55021), .B(n55020), .C(n55019), .Y(
+        n55022) );
+  sky130_fd_sc_hd__a31oi_1 U74835 ( .A1(n55548), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_io_full), .A3(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_source[1]), .B1(n55022), .Y(n55030) );
+  sky130_fd_sc_hd__nand3_1 U74836 ( .A(n55580), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_io_full), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_source[1]), .Y(n55026) );
+  sky130_fd_sc_hd__nand2_1 U74837 ( .A(n55319), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_auto_tl_in_d_bits_source[1]), .Y(n55025) );
+  sky130_fd_sc_hd__nor2_1 U74838 ( .A(n55577), .B(n68438), .Y(n55320) );
+  sky130_fd_sc_hd__nand2_1 U74839 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_source[1]), .B(n55320), .Y(n55024) );
+  sky130_fd_sc_hd__nand3_1 U74840 ( .A(n55321), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_io_full), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_source[1]), .Y(n55023) );
+  sky130_fd_sc_hd__nand4_1 U74841 ( .A(n55026), .B(n55025), .C(n55024), .D(
+        n55023), .Y(n55027) );
+  sky130_fd_sc_hd__a31oi_1 U74842 ( .A1(n55543), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_io_full), .A3(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_source[1]), .B1(n55027), .Y(n55029) );
+  sky130_fd_sc_hd__nand3_1 U74843 ( .A(n55327), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_io_full), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_source[1]), .Y(n55028) );
+  sky130_fd_sc_hd__nand4_1 U74844 ( .A(n55031), .B(n55030), .C(n55029), .D(
+        n55028), .Y(n55032) );
+  sky130_fd_sc_hd__a21oi_1 U74845 ( .A1(n55341), .A2(n85016), .B1(n55032), .Y(
+        n55033) );
+  sky130_fd_sc_hd__nand4_1 U74846 ( .A(n55036), .B(n55035), .C(n55034), .D(
+        n55033), .Y(n84855) );
+  sky130_fd_sc_hd__mux2_2 U74847 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_param[5]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_param[2]), .S(n63711), .X(n84857) );
+  sky130_fd_sc_hd__nor3_1 U74848 ( .A(n37038), .B(n78317), .C(n55038), .Y(
+        n85903) );
+  sky130_fd_sc_hd__mux2_2 U74849 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode[3]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode[0]), .S(n55502), .X(n84906) );
+  sky130_fd_sc_hd__and3_1 U74850 ( .A(n55472), .B(n60062), .C(n84906), .X(
+        n84903) );
+  sky130_fd_sc_hd__a21oi_1 U74851 ( .A1(n55039), .A2(n83994), .B1(n55046), .Y(
+        n84904) );
+  sky130_fd_sc_hd__nor3_1 U74852 ( .A(n55039), .B(n83994), .C(n55046), .Y(
+        n84905) );
+  sky130_fd_sc_hd__nand2_1 U74853 ( .A(n55485), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_param[4]), .Y(n55042) );
+  sky130_fd_sc_hd__nand2_1 U74854 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_param[1]), .Y(n55041) );
+  sky130_fd_sc_hd__nand2_1 U74855 ( .A(n55042), .B(n55041), .Y(n85567) );
+  sky130_fd_sc_hd__nand2_1 U74856 ( .A(n55485), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_param[3]), .Y(n55044) );
+  sky130_fd_sc_hd__nand2_1 U74857 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_param[0]), .Y(n55043) );
+  sky130_fd_sc_hd__nand2_1 U74858 ( .A(n55044), .B(n55043), .Y(n85566) );
+  sky130_fd_sc_hd__nand2_1 U74859 ( .A(n55502), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_size[2]), .Y(n55045) );
+  sky130_fd_sc_hd__o22ai_1 U74860 ( .A1(n55474), .A2(n55048), .B1(n55047), 
+        .B2(n55046), .Y(n84907) );
+  sky130_fd_sc_hd__nand2_1 U74861 ( .A(n55502), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_size[1]), .Y(n55049) );
+  sky130_fd_sc_hd__o22ai_1 U74862 ( .A1(n55474), .A2(n55050), .B1(n84011), 
+        .B2(n55046), .Y(n84908) );
+  sky130_fd_sc_hd__nand2_1 U74864 ( .A(n63465), .B(n55052), .Y(n55055) );
+  sky130_fd_sc_hd__nand2_1 U74865 ( .A(n62023), .B(n61052), .Y(n55054) );
+  sky130_fd_sc_hd__o211ai_1 U74866 ( .A1(n61054), .A2(n55056), .B1(n55055), 
+        .C1(n55054), .Y(n85142) );
+  sky130_fd_sc_hd__nand2_1 U74867 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_size[0]), .Y(n55057) );
+  sky130_fd_sc_hd__nand2_1 U74868 ( .A(n55453), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_size[0]), 
+        .Y(n55455) );
+  sky130_fd_sc_hd__o21ai_1 U74869 ( .A1(n55058), .A2(n55046), .B1(n55455), .Y(
+        n84910) );
+  sky130_fd_sc_hd__clkbuf_1 U74870 ( .A(n55059), .X(n85015) );
+  sky130_fd_sc_hd__nand2_1 U74871 ( .A(n55060), .B(n55061), .Y(n83682) );
+  sky130_fd_sc_hd__a22oi_1 U74872 ( .A1(n55491), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[3]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[9]), .Y(n55066) );
+  sky130_fd_sc_hd__a22oi_1 U74873 ( .A1(n55487), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[6]), .B1(n55443), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[15]), .Y(n55065) );
+  sky130_fd_sc_hd__nand2_1 U74874 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[0]), .Y(n83684) );
+  sky130_fd_sc_hd__nor3_2 U74875 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[2]), .C(n55061), .Y(n55492) );
+  sky130_fd_sc_hd__a22oi_1 U74876 ( .A1(n38433), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[12]), .B1(n55492), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[18]), .Y(n55064) );
+  sky130_fd_sc_hd__nor2_1 U74877 ( .A(n83685), .B(n83684), .Y(n55489) );
+  sky130_fd_sc_hd__nor2_1 U74878 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[2]), .B(n83682), .Y(n55488) );
+  sky130_fd_sc_hd__a22oi_1 U74879 ( .A1(n55062), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[0]), .B1(n55488), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[21]), .Y(n55063) );
+  sky130_fd_sc_hd__nand4_1 U74880 ( .A(n55066), .B(n55065), .C(n55064), .D(
+        n55063), .Y(n84911) );
+  sky130_fd_sc_hd__a22oi_1 U74881 ( .A1(n55491), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[5]), .B1(n55487), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[8]), .Y(n55075) );
+  sky130_fd_sc_hd__nand2_1 U74882 ( .A(n55489), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[2]), .Y(n55068) );
+  sky130_fd_sc_hd__nand2_1 U74883 ( .A(n38433), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[14]), .Y(n55067) );
+  sky130_fd_sc_hd__nand2_1 U74884 ( .A(n55068), .B(n55067), .Y(n55069) );
+  sky130_fd_sc_hd__a21oi_1 U74885 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[17]), .A2(n55443), .B1(n55069), .Y(n55074) );
+  sky130_fd_sc_hd__nand2_1 U74886 ( .A(n55488), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[23]), .Y(n55071) );
+  sky130_fd_sc_hd__nand2_1 U74887 ( .A(n55490), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[11]), .Y(n55070) );
+  sky130_fd_sc_hd__nand2_1 U74888 ( .A(n55071), .B(n55070), .Y(n55072) );
+  sky130_fd_sc_hd__a21oi_1 U74889 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[20]), .A2(n55492), .B1(n55072), .Y(n55073) );
+  sky130_fd_sc_hd__nand3_1 U74890 ( .A(n55075), .B(n55074), .C(n55073), .Y(
+        n84913) );
+  sky130_fd_sc_hd__a22oi_1 U74891 ( .A1(n55487), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[7]), .B1(n55062), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[1]), .Y(n55079) );
+  sky130_fd_sc_hd__a22oi_1 U74892 ( .A1(n55492), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[19]), .B1(n55488), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[22]), .Y(n55078) );
+  sky130_fd_sc_hd__a22oi_1 U74893 ( .A1(n55491), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[4]), .B1(n38433), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[13]), .Y(n55077) );
+  sky130_fd_sc_hd__a22oi_1 U74894 ( .A1(n55443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[16]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_size[10]), .Y(n55076) );
+  sky130_fd_sc_hd__nand4_1 U74895 ( .A(n55079), .B(n55078), .C(n55077), .D(
+        n55076), .Y(n84912) );
+  sky130_fd_sc_hd__nand2_1 U74896 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_size[0]), .Y(n55080) );
+  sky130_fd_sc_hd__o21a_1 U74897 ( .A1(n55081), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .B1(n55080), .X(n55091) );
+  sky130_fd_sc_hd__nand2_1 U74898 ( .A(n78318), .B(n84912), .Y(n55083) );
+  sky130_fd_sc_hd__nand2_1 U74899 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_size[1]), .Y(n55082) );
+  sky130_fd_sc_hd__nand2_1 U74900 ( .A(n55083), .B(n55082), .Y(n55449) );
+  sky130_fd_sc_hd__or3_1 U74901 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_gennum[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_gennum[1]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_gennum[0]), .X(n55094) );
+  sky130_fd_sc_hd__nor2_1 U74902 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_gennum[3]), .B(n55094), .Y(n55090) );
+  sky130_fd_sc_hd__nand2_1 U74903 ( .A(n55089), .B(n55090), .Y(n55098) );
+  sky130_fd_sc_hd__nor2_1 U74904 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_gennum[5]), .B(n55098), .Y(n55097) );
+  sky130_fd_sc_hd__nand2_1 U74905 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_size[2]), .Y(n55084) );
+  sky130_fd_sc_hd__nand2_1 U74906 ( .A(n55097), .B(n55100), .Y(n55085) );
+  sky130_fd_sc_hd__nor2_1 U74907 ( .A(n55449), .B(n55085), .Y(n55096) );
+  sky130_fd_sc_hd__a21oi_1 U74908 ( .A1(n55091), .A2(n55096), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_gennum[0]), .Y(n85470) );
+  sky130_fd_sc_hd__nand2b_1 U74909 ( .A_N(n55449), .B(n55091), .Y(n55498) );
+  sky130_fd_sc_hd__nand2b_1 U74910 ( .A_N(n55100), .B(n55498), .Y(n55422) );
+  sky130_fd_sc_hd__nand2_1 U74911 ( .A(n55422), .B(n55086), .Y(n55087) );
+  sky130_fd_sc_hd__o2bb2ai_1 U74912 ( .B1(n55090), .B2(n55089), .A1_N(n55088), 
+        .A2_N(n55087), .Y(n85894) );
+  sky130_fd_sc_hd__a21oi_1 U74913 ( .A1(n55094), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_gennum[3]), .B1(n55090), .Y(n55427) );
+  sky130_fd_sc_hd__a21oi_1 U74914 ( .A1(n55097), .A2(n55100), .B1(n55427), .Y(
+        n85468) );
+  sky130_fd_sc_hd__a21oi_1 U74915 ( .A1(n55449), .A2(n55092), .B1(n55497), .Y(
+        n55436) );
+  sky130_fd_sc_hd__nor2_1 U74916 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_gennum[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_gennum[0]), .Y(n55095) );
+  sky130_fd_sc_hd__nand2b_1 U74917 ( .A_N(n55095), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_gennum[2]), .Y(n55093) );
+  sky130_fd_sc_hd__nand2_1 U74918 ( .A(n55094), .B(n55093), .Y(n55437) );
+  sky130_fd_sc_hd__a21oi_1 U74919 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_gennum[0]), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_gennum[1]), .B1(n55095), .Y(n55448) );
+  sky130_fd_sc_hd__nor2_1 U74920 ( .A(n55096), .B(n55448), .Y(n85467) );
+  sky130_fd_sc_hd__nand2_1 U74921 ( .A(n55449), .B(n55097), .Y(n55101) );
+  sky130_fd_sc_hd__nand2_1 U74922 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_gennum[5]), .B(n55098), .Y(n55099) );
+  sky130_fd_sc_hd__o21a_1 U74923 ( .A1(n55101), .A2(n55100), .B1(n55099), .X(
+        n83695) );
+  sky130_fd_sc_hd__a22o_1 U74924 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_source[1]), .B1(n55485), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_source[3]), .X(n84914) );
+  sky130_fd_sc_hd__a22o_1 U74925 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_source[1]), .A2(n55453), .B1(n55472), .B2(n84914), .X(n84915) );
+  sky130_fd_sc_hd__a22oi_1 U74926 ( .A1(n55488), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source[15]), .B1(n55443), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source[11]), .Y(n55105) );
+  sky130_fd_sc_hd__a22oi_1 U74927 ( .A1(n38433), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source[9]), .B1(n55489), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source[1]), .Y(n55104) );
+  sky130_fd_sc_hd__a22oi_1 U74928 ( .A1(n55492), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source[13]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source[7]), .Y(n55103) );
+  sky130_fd_sc_hd__a22oi_1 U74929 ( .A1(n55487), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source[5]), .B1(n55491), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source[3]), .Y(n55102) );
+  sky130_fd_sc_hd__nand4_1 U74930 ( .A(n55105), .B(n55104), .C(n55103), .D(
+        n55102), .Y(n85796) );
+  sky130_fd_sc_hd__a22o_1 U74931 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_source[0]), .B1(n55485), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_source[2]), .X(n84916) );
+  sky130_fd_sc_hd__a22o_1 U74932 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_source[0]), .A2(n55453), .B1(n55472), .B2(n84916), .X(n84917) );
+  sky130_fd_sc_hd__mux2_2 U74933 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_source[2]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_source[0]), .S(n67148), .X(n85017) );
+  sky130_fd_sc_hd__a22oi_1 U74934 ( .A1(n55487), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source[4]), .B1(n55489), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source[0]), .Y(n55109) );
+  sky130_fd_sc_hd__a22oi_1 U74935 ( .A1(n55488), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source[14]), .B1(n55443), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source[10]), .Y(n55108) );
+  sky130_fd_sc_hd__a22oi_1 U74936 ( .A1(n55492), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source[12]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source[6]), .Y(n55107) );
+  sky130_fd_sc_hd__a22oi_1 U74937 ( .A1(n38433), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source[8]), .B1(n55491), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_source[2]), .Y(n55106) );
+  sky130_fd_sc_hd__nand4_1 U74938 ( .A(n55109), .B(n55108), .C(n55107), .D(
+        n55106), .Y(n85795) );
+  sky130_fd_sc_hd__a22o_1 U74939 ( .A1(n55502), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[29]), .B1(n55485), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[59]), .X(n84918) );
+  sky130_fd_sc_hd__a22o_1 U74940 ( .A1(n55472), .A2(n84918), .B1(n55453), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[29]), .X(n84919) );
+  sky130_fd_sc_hd__a22o_1 U74941 ( .A1(n55502), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[28]), .B1(n55485), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[58]), .X(n84920) );
+  sky130_fd_sc_hd__a22o_1 U74942 ( .A1(n55472), .A2(n84920), .B1(n55453), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[28]), .X(n84921) );
+  sky130_fd_sc_hd__mux2_2 U74943 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[58]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[28]), .S(n55203), .X(n84922) );
+  sky130_fd_sc_hd__a22oi_1 U74944 ( .A1(n55491), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[57]), .B1(n55487), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[86]), .Y(n55114) );
+  sky130_fd_sc_hd__a22o_1 U74945 ( .A1(n38433), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[144]), .B1(n55489), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[28]), .X(n55110) );
+  sky130_fd_sc_hd__a21oi_1 U74946 ( .A1(n55443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[173]), .B1(n55110), .Y(n55113) );
+  sky130_fd_sc_hd__a22oi_1 U74947 ( .A1(n55488), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[231]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[115]), .Y(n55112) );
+  sky130_fd_sc_hd__nand2_1 U74948 ( .A(n55492), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[202]), .Y(n55111) );
+  sky130_fd_sc_hd__nand4_1 U74949 ( .A(n55114), .B(n55113), .C(n55112), .D(
+        n55111), .Y(n84923) );
+  sky130_fd_sc_hd__nand2_1 U74950 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[28]), .Y(n55115) );
+  sky130_fd_sc_hd__o21ai_1 U74951 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .A2(n55116), .B1(n55115), .Y(n85702) );
+  sky130_fd_sc_hd__a22o_1 U74952 ( .A1(n55502), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[27]), .B1(n55485), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[57]), .X(n84924) );
+  sky130_fd_sc_hd__a22o_1 U74953 ( .A1(n55472), .A2(n84924), .B1(n55453), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[27]), .X(n84925) );
+  sky130_fd_sc_hd__buf_4 U74954 ( .A(n55117), .X(n55160) );
+  sky130_fd_sc_hd__mux2_2 U74955 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[57]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[27]), .S(n55160), .X(n84926) );
+  sky130_fd_sc_hd__a22oi_1 U74956 ( .A1(n55491), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[56]), .B1(n55487), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[85]), .Y(n55122) );
+  sky130_fd_sc_hd__a22o_1 U74957 ( .A1(n38433), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[143]), .B1(n55489), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[27]), .X(n55118) );
+  sky130_fd_sc_hd__a21oi_1 U74958 ( .A1(n55443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[172]), .B1(n55118), .Y(n55121) );
+  sky130_fd_sc_hd__a22oi_1 U74959 ( .A1(n55488), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[230]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[114]), .Y(n55120) );
+  sky130_fd_sc_hd__nand2_1 U74960 ( .A(n55492), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[201]), .Y(n55119) );
+  sky130_fd_sc_hd__nand4_1 U74961 ( .A(n55122), .B(n55121), .C(n55120), .D(
+        n55119), .Y(n84927) );
+  sky130_fd_sc_hd__nand2_1 U74962 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[27]), .Y(n55123) );
+  sky130_fd_sc_hd__a22o_1 U74964 ( .A1(n55502), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[26]), .B1(n55485), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[56]), .X(n84928) );
+  sky130_fd_sc_hd__a22o_1 U74965 ( .A1(n55472), .A2(n84928), .B1(n55453), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[26]), .X(n84929) );
+  sky130_fd_sc_hd__mux2_2 U74966 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[56]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[26]), .S(n55160), .X(n84930) );
+  sky130_fd_sc_hd__a22oi_1 U74967 ( .A1(n55491), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[55]), .B1(n55487), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[84]), .Y(n55129) );
+  sky130_fd_sc_hd__a22o_1 U74968 ( .A1(n38433), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[142]), .B1(n55489), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[26]), .X(n55125) );
+  sky130_fd_sc_hd__a21oi_1 U74969 ( .A1(n55443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[171]), .B1(n55125), .Y(n55128) );
+  sky130_fd_sc_hd__a22oi_1 U74970 ( .A1(n55488), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[229]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[113]), .Y(n55127) );
+  sky130_fd_sc_hd__nand2_1 U74971 ( .A(n55492), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[200]), .Y(n55126) );
+  sky130_fd_sc_hd__nand4_1 U74972 ( .A(n55129), .B(n55128), .C(n55127), .D(
+        n55126), .Y(n84931) );
+  sky130_fd_sc_hd__nand2_1 U74973 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[26]), .Y(n55130) );
+  sky130_fd_sc_hd__o21ai_0 U74974 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .A2(n55131), .B1(n55130), .Y(n85700) );
+  sky130_fd_sc_hd__a22o_1 U74975 ( .A1(n55502), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[25]), .B1(n55485), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[55]), .X(n84932) );
+  sky130_fd_sc_hd__a22o_1 U74976 ( .A1(n55472), .A2(n84932), .B1(n55453), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[25]), .X(n84933) );
+  sky130_fd_sc_hd__mux2_2 U74977 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[55]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[25]), .S(n67148), .X(n84934) );
+  sky130_fd_sc_hd__a22oi_1 U74978 ( .A1(n55491), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[54]), .B1(n55487), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[83]), .Y(n55136) );
+  sky130_fd_sc_hd__a22o_1 U74979 ( .A1(n38433), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[141]), .B1(n55489), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[25]), .X(n55132) );
+  sky130_fd_sc_hd__a21oi_1 U74980 ( .A1(n55443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[170]), .B1(n55132), .Y(n55135) );
+  sky130_fd_sc_hd__a22oi_1 U74981 ( .A1(n55488), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[228]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[112]), .Y(n55134) );
+  sky130_fd_sc_hd__nand2_1 U74982 ( .A(n55492), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[199]), .Y(n55133) );
+  sky130_fd_sc_hd__nand4_1 U74983 ( .A(n55136), .B(n55135), .C(n55134), .D(
+        n55133), .Y(n84935) );
+  sky130_fd_sc_hd__nand2_1 U74984 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[25]), .Y(n55137) );
+  sky130_fd_sc_hd__o21ai_0 U74985 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .A2(n55138), .B1(n55137), .Y(n85699) );
+  sky130_fd_sc_hd__a22o_1 U74986 ( .A1(n55502), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[24]), .B1(n55485), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[54]), .X(n84936) );
+  sky130_fd_sc_hd__a22o_1 U74987 ( .A1(n55472), .A2(n84936), .B1(n55453), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[24]), .X(n84937) );
+  sky130_fd_sc_hd__mux2_2 U74988 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[54]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[24]), .S(n67148), .X(n84938) );
+  sky130_fd_sc_hd__a22oi_1 U74989 ( .A1(n55491), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[53]), .B1(n55487), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[82]), .Y(n55143) );
+  sky130_fd_sc_hd__a22o_1 U74990 ( .A1(n38433), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[140]), .B1(n55489), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[24]), .X(n55139) );
+  sky130_fd_sc_hd__a21oi_1 U74991 ( .A1(n55443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[169]), .B1(n55139), .Y(n55142) );
+  sky130_fd_sc_hd__a22oi_1 U74992 ( .A1(n55488), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[227]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[111]), .Y(n55141) );
+  sky130_fd_sc_hd__nand2_1 U74993 ( .A(n55492), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[198]), .Y(n55140) );
+  sky130_fd_sc_hd__nand4_1 U74994 ( .A(n55143), .B(n55142), .C(n55141), .D(
+        n55140), .Y(n84939) );
+  sky130_fd_sc_hd__nand2_1 U74995 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[24]), .Y(n55144) );
+  sky130_fd_sc_hd__o21ai_0 U74996 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .A2(n55145), .B1(n55144), .Y(n85689) );
+  sky130_fd_sc_hd__a22o_1 U74997 ( .A1(n55502), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[23]), .B1(n55485), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[53]), .X(n84940) );
+  sky130_fd_sc_hd__a22o_1 U74998 ( .A1(n55472), .A2(n84940), .B1(n55453), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[23]), .X(n84941) );
+  sky130_fd_sc_hd__mux2_2 U74999 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[53]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[23]), .S(n55160), .X(n84942) );
+  sky130_fd_sc_hd__a22oi_1 U75000 ( .A1(n55491), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[52]), .B1(n55487), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[81]), .Y(n55150) );
+  sky130_fd_sc_hd__a22o_1 U75001 ( .A1(n38433), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[139]), .B1(n55062), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[23]), .X(n55146) );
+  sky130_fd_sc_hd__a21oi_1 U75002 ( .A1(n55443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[168]), .B1(n55146), .Y(n55149) );
+  sky130_fd_sc_hd__a22oi_1 U75003 ( .A1(n55488), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[226]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[110]), .Y(n55148) );
+  sky130_fd_sc_hd__nand2_1 U75004 ( .A(n55492), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[197]), .Y(n55147) );
+  sky130_fd_sc_hd__nand4_1 U75005 ( .A(n55150), .B(n55149), .C(n55148), .D(
+        n55147), .Y(n84943) );
+  sky130_fd_sc_hd__nand2_1 U75006 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[23]), .Y(n55151) );
+  sky130_fd_sc_hd__o21ai_0 U75007 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .A2(n55152), .B1(n55151), .Y(n85688) );
+  sky130_fd_sc_hd__a22o_1 U75008 ( .A1(n55502), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[22]), .B1(n55485), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[52]), .X(n84944) );
+  sky130_fd_sc_hd__a22o_1 U75009 ( .A1(n55472), .A2(n84944), .B1(n55453), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[22]), .X(n84945) );
+  sky130_fd_sc_hd__mux2_2 U75010 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[52]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[22]), .S(n67148), .X(n84946) );
+  sky130_fd_sc_hd__a22oi_1 U75011 ( .A1(n55491), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[51]), .B1(n55487), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[80]), .Y(n55157) );
+  sky130_fd_sc_hd__a22o_1 U75012 ( .A1(n38433), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[138]), .B1(n55062), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[22]), .X(n55153) );
+  sky130_fd_sc_hd__a21oi_1 U75013 ( .A1(n55443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[167]), .B1(n55153), .Y(n55156) );
+  sky130_fd_sc_hd__a22oi_1 U75014 ( .A1(n55488), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[225]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[109]), .Y(n55155) );
+  sky130_fd_sc_hd__nand2_1 U75015 ( .A(n55492), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[196]), .Y(n55154) );
+  sky130_fd_sc_hd__nand4_1 U75016 ( .A(n55157), .B(n55156), .C(n55155), .D(
+        n55154), .Y(n84947) );
+  sky130_fd_sc_hd__nand2_1 U75017 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[22]), .Y(n55158) );
+  sky130_fd_sc_hd__o21ai_0 U75018 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .A2(n55159), .B1(n55158), .Y(n85687) );
+  sky130_fd_sc_hd__a22o_1 U75019 ( .A1(n55502), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[21]), .B1(n55485), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[51]), .X(n84948) );
+  sky130_fd_sc_hd__a22o_1 U75020 ( .A1(n55472), .A2(n84948), .B1(n55453), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[21]), .X(n84949) );
+  sky130_fd_sc_hd__mux2_2 U75021 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[51]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[21]), .S(n55160), .X(n84950) );
+  sky130_fd_sc_hd__a22oi_1 U75022 ( .A1(n55491), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[50]), .B1(n55487), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[79]), .Y(n55165) );
+  sky130_fd_sc_hd__a22o_1 U75023 ( .A1(n38433), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[137]), .B1(n55062), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[21]), .X(n55161) );
+  sky130_fd_sc_hd__a21oi_1 U75024 ( .A1(n55443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[166]), .B1(n55161), .Y(n55164) );
+  sky130_fd_sc_hd__a22oi_1 U75025 ( .A1(n55488), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[224]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[108]), .Y(n55163) );
+  sky130_fd_sc_hd__nand2_1 U75026 ( .A(n55492), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[195]), .Y(n55162) );
+  sky130_fd_sc_hd__nand4_1 U75027 ( .A(n55165), .B(n55164), .C(n55163), .D(
+        n55162), .Y(n84951) );
+  sky130_fd_sc_hd__nand2_1 U75028 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[21]), .Y(n55166) );
+  sky130_fd_sc_hd__o21ai_0 U75029 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .A2(n55167), .B1(n55166), .Y(n85694) );
+  sky130_fd_sc_hd__a22o_1 U75030 ( .A1(n55502), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[20]), .B1(n55485), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[50]), .X(n84952) );
+  sky130_fd_sc_hd__a22o_1 U75031 ( .A1(n55472), .A2(n84952), .B1(n55453), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[20]), .X(n84953) );
+  sky130_fd_sc_hd__mux2_2 U75032 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[50]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[20]), .S(n67148), .X(n84954) );
+  sky130_fd_sc_hd__a22oi_1 U75033 ( .A1(n55491), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[49]), .B1(n55487), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[78]), .Y(n55172) );
+  sky130_fd_sc_hd__a22o_1 U75034 ( .A1(n38433), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[136]), .B1(n55062), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[20]), .X(n55168) );
+  sky130_fd_sc_hd__a21oi_1 U75035 ( .A1(n55443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[165]), .B1(n55168), .Y(n55171) );
+  sky130_fd_sc_hd__a22oi_1 U75036 ( .A1(n55488), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[223]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[107]), .Y(n55170) );
+  sky130_fd_sc_hd__nand2_1 U75037 ( .A(n55492), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[194]), .Y(n55169) );
+  sky130_fd_sc_hd__nand4_1 U75038 ( .A(n55172), .B(n55171), .C(n55170), .D(
+        n55169), .Y(n84955) );
+  sky130_fd_sc_hd__nand2_1 U75039 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[20]), .Y(n55173) );
+  sky130_fd_sc_hd__o21ai_0 U75040 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .A2(n55174), .B1(n55173), .Y(n85695) );
+  sky130_fd_sc_hd__a22o_1 U75041 ( .A1(n55502), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[19]), .B1(n55485), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[49]), .X(n84956) );
+  sky130_fd_sc_hd__a22o_1 U75042 ( .A1(n55472), .A2(n84956), .B1(n55453), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[19]), .X(n84957) );
+  sky130_fd_sc_hd__mux2_2 U75043 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[49]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[19]), .S(n67148), .X(n84958) );
+  sky130_fd_sc_hd__a22oi_1 U75044 ( .A1(n55491), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[48]), .B1(n55487), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[77]), .Y(n55179) );
+  sky130_fd_sc_hd__a22o_1 U75045 ( .A1(n38433), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[135]), .B1(n55062), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[19]), .X(n55175) );
+  sky130_fd_sc_hd__a21oi_1 U75046 ( .A1(n55443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[164]), .B1(n55175), .Y(n55178) );
+  sky130_fd_sc_hd__a22oi_1 U75047 ( .A1(n55488), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[222]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[106]), .Y(n55177) );
+  sky130_fd_sc_hd__nand2_1 U75048 ( .A(n55492), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[193]), .Y(n55176) );
+  sky130_fd_sc_hd__nand4_1 U75049 ( .A(n55179), .B(n55178), .C(n55177), .D(
+        n55176), .Y(n84959) );
+  sky130_fd_sc_hd__nand2_1 U75050 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[19]), .Y(n55180) );
+  sky130_fd_sc_hd__o21ai_0 U75051 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .A2(n55181), .B1(n55180), .Y(n85696) );
+  sky130_fd_sc_hd__a22o_1 U75052 ( .A1(n55502), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[18]), .B1(n55485), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[48]), .X(n84960) );
+  sky130_fd_sc_hd__a22o_1 U75053 ( .A1(n55472), .A2(n84960), .B1(n55453), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[18]), .X(n84961) );
+  sky130_fd_sc_hd__a22oi_1 U75054 ( .A1(n55491), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[47]), .B1(n55487), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[76]), .Y(n55186) );
+  sky130_fd_sc_hd__a22o_1 U75055 ( .A1(n38433), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[134]), .B1(n55062), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[18]), .X(n55182) );
+  sky130_fd_sc_hd__a21oi_1 U75056 ( .A1(n55443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[163]), .B1(n55182), .Y(n55185) );
+  sky130_fd_sc_hd__a22oi_1 U75057 ( .A1(n55488), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[221]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[105]), .Y(n55184) );
+  sky130_fd_sc_hd__nand2_1 U75058 ( .A(n55492), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[192]), .Y(n55183) );
+  sky130_fd_sc_hd__nand4_1 U75059 ( .A(n55186), .B(n55185), .C(n55184), .D(
+        n55183), .Y(n84963) );
+  sky130_fd_sc_hd__nand2_1 U75060 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[18]), .Y(n55187) );
+  sky130_fd_sc_hd__o21ai_0 U75061 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .A2(n55188), .B1(n55187), .Y(n85698) );
+  sky130_fd_sc_hd__a22o_1 U75062 ( .A1(n55502), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[17]), .B1(n55485), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[47]), .X(n84964) );
+  sky130_fd_sc_hd__a22o_1 U75063 ( .A1(n55472), .A2(n84964), .B1(n55453), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[17]), .X(n84965) );
+  sky130_fd_sc_hd__a22oi_1 U75064 ( .A1(n55491), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[46]), .B1(n55487), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[75]), .Y(n55193) );
+  sky130_fd_sc_hd__a22o_1 U75065 ( .A1(n38433), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[133]), .B1(n55062), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[17]), .X(n55189) );
+  sky130_fd_sc_hd__a21oi_1 U75066 ( .A1(n55443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[162]), .B1(n55189), .Y(n55192) );
+  sky130_fd_sc_hd__a22oi_1 U75067 ( .A1(n55488), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[220]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[104]), .Y(n55191) );
+  sky130_fd_sc_hd__nand2_1 U75068 ( .A(n55492), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[191]), .Y(n55190) );
+  sky130_fd_sc_hd__nand4_1 U75069 ( .A(n55193), .B(n55192), .C(n55191), .D(
+        n55190), .Y(n84967) );
+  sky130_fd_sc_hd__nand2_1 U75070 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[17]), .Y(n55194) );
+  sky130_fd_sc_hd__o21ai_0 U75071 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .A2(n55195), .B1(n55194), .Y(n85697) );
+  sky130_fd_sc_hd__a22o_1 U75072 ( .A1(n55502), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[16]), .B1(n55485), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[46]), .X(n84968) );
+  sky130_fd_sc_hd__a22o_1 U75073 ( .A1(n55472), .A2(n84968), .B1(n55453), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[16]), .X(n84969) );
+  sky130_fd_sc_hd__a22oi_1 U75074 ( .A1(n55491), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[45]), .B1(n55487), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[74]), .Y(n55200) );
+  sky130_fd_sc_hd__a22o_1 U75075 ( .A1(n38433), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[132]), .B1(n55062), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[16]), .X(n55196) );
+  sky130_fd_sc_hd__a21oi_1 U75076 ( .A1(n55443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[161]), .B1(n55196), .Y(n55199) );
+  sky130_fd_sc_hd__a22oi_1 U75077 ( .A1(n55488), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[219]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[103]), .Y(n55198) );
+  sky130_fd_sc_hd__nand2_1 U75078 ( .A(n55492), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[190]), .Y(n55197) );
+  sky130_fd_sc_hd__nand4_1 U75079 ( .A(n55200), .B(n55199), .C(n55198), .D(
+        n55197), .Y(n84971) );
+  sky130_fd_sc_hd__nand2_1 U75080 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[16]), .Y(n55201) );
+  sky130_fd_sc_hd__o21ai_0 U75081 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .A2(n55202), .B1(n55201), .Y(n85690) );
+  sky130_fd_sc_hd__a22o_1 U75082 ( .A1(n55502), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[15]), .B1(n55485), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[45]), .X(n84972) );
+  sky130_fd_sc_hd__a22o_1 U75083 ( .A1(n55472), .A2(n84972), .B1(n55453), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[15]), .X(n84973) );
+  sky130_fd_sc_hd__mux2_2 U75084 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[45]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[15]), .S(n55203), .X(n84974) );
+  sky130_fd_sc_hd__a22oi_1 U75085 ( .A1(n55491), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[44]), .B1(n55487), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[73]), .Y(n55208) );
+  sky130_fd_sc_hd__a22o_1 U75086 ( .A1(n38433), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[131]), .B1(n55062), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[15]), .X(n55204) );
+  sky130_fd_sc_hd__a21oi_1 U75087 ( .A1(n55443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[160]), .B1(n55204), .Y(n55207) );
+  sky130_fd_sc_hd__a22oi_1 U75088 ( .A1(n55488), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[218]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[102]), .Y(n55206) );
+  sky130_fd_sc_hd__nand2_1 U75089 ( .A(n55492), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[189]), .Y(n55205) );
+  sky130_fd_sc_hd__nand4_1 U75090 ( .A(n55208), .B(n55207), .C(n55206), .D(
+        n55205), .Y(n84975) );
+  sky130_fd_sc_hd__nand2_1 U75091 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[15]), .Y(n55209) );
+  sky130_fd_sc_hd__o21ai_0 U75092 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .A2(n55210), .B1(n55209), .Y(n85691) );
+  sky130_fd_sc_hd__a22o_1 U75093 ( .A1(n55502), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[14]), .B1(n55485), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[44]), .X(n84976) );
+  sky130_fd_sc_hd__a22o_1 U75094 ( .A1(n55472), .A2(n84976), .B1(n55453), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[14]), .X(n84977) );
+  sky130_fd_sc_hd__a22oi_1 U75095 ( .A1(n55491), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[43]), .B1(n55487), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[72]), .Y(n55215) );
+  sky130_fd_sc_hd__a22o_1 U75096 ( .A1(n38433), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[130]), .B1(n55062), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[14]), .X(n55211) );
+  sky130_fd_sc_hd__a21oi_1 U75097 ( .A1(n55443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[159]), .B1(n55211), .Y(n55214) );
+  sky130_fd_sc_hd__a22oi_1 U75098 ( .A1(n55488), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[217]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[101]), .Y(n55213) );
+  sky130_fd_sc_hd__nand2_1 U75099 ( .A(n55492), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[188]), .Y(n55212) );
+  sky130_fd_sc_hd__nand4_1 U75100 ( .A(n55215), .B(n55214), .C(n55213), .D(
+        n55212), .Y(n84979) );
+  sky130_fd_sc_hd__nand2_1 U75101 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[14]), .Y(n55216) );
+  sky130_fd_sc_hd__o21ai_0 U75102 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .A2(n55217), .B1(n55216), .Y(n85693) );
+  sky130_fd_sc_hd__a22o_1 U75103 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[13]), .B1(n55485), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[43]), .X(n84980) );
+  sky130_fd_sc_hd__a22o_1 U75104 ( .A1(n55472), .A2(n84980), .B1(n55453), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[13]), .X(n84981) );
+  sky130_fd_sc_hd__a22oi_1 U75105 ( .A1(n55491), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[42]), .B1(n55487), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[71]), .Y(n55222) );
+  sky130_fd_sc_hd__a22o_1 U75106 ( .A1(n38433), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[129]), .B1(n55062), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[13]), .X(n55218) );
+  sky130_fd_sc_hd__a21oi_1 U75107 ( .A1(n55443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[158]), .B1(n55218), .Y(n55221) );
+  sky130_fd_sc_hd__a22oi_1 U75108 ( .A1(n55488), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[216]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[100]), .Y(n55220) );
+  sky130_fd_sc_hd__nand2_1 U75109 ( .A(n55492), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[187]), .Y(n55219) );
+  sky130_fd_sc_hd__nand4_1 U75110 ( .A(n55222), .B(n55221), .C(n55220), .D(
+        n55219), .Y(n84983) );
+  sky130_fd_sc_hd__nand2_1 U75111 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[13]), .Y(n55223) );
+  sky130_fd_sc_hd__o21ai_0 U75112 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .A2(n55224), .B1(n55223), .Y(n85692) );
+  sky130_fd_sc_hd__a22o_1 U75113 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[12]), .B1(n55485), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[42]), .X(n84984) );
+  sky130_fd_sc_hd__a22o_1 U75114 ( .A1(n55472), .A2(n84984), .B1(n55453), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[12]), .X(n84985) );
+  sky130_fd_sc_hd__nand2_1 U75115 ( .A(n55225), .B(n60079), .Y(n55228) );
+  sky130_fd_sc_hd__nand2_1 U75116 ( .A(n73564), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_10), .Y(n55226) );
+  sky130_fd_sc_hd__nor2_1 U75117 ( .A(n37041), .B(n84166), .Y(n83604) );
+  sky130_fd_sc_hd__nor2_1 U75118 ( .A(n55230), .B(n83607), .Y(n85453) );
+  sky130_fd_sc_hd__o22ai_1 U75120 ( .A1(n55233), .A2(n60877), .B1(n55232), 
+        .B2(n55231), .Y(n55234) );
+  sky130_fd_sc_hd__a21o_1 U75121 ( .A1(n55235), .A2(n83600), .B1(n55234), .X(
+        n85139) );
+  sky130_fd_sc_hd__nand2_1 U75122 ( .A(n73564), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_0), .Y(n55237)
+         );
+  sky130_fd_sc_hd__nand2_1 U75123 ( .A(n63171), .B(n36801), .Y(n76327) );
+  sky130_fd_sc_hd__nor2_1 U75124 ( .A(n55244), .B(n76327), .Y(n85762) );
+  sky130_fd_sc_hd__nor2_1 U75125 ( .A(n55243), .B(n76327), .Y(n85760) );
+  sky130_fd_sc_hd__nor2_1 U75126 ( .A(n55242), .B(n76327), .Y(n85761) );
+  sky130_fd_sc_hd__o22ai_1 U75127 ( .A1(n55246), .A2(n76315), .B1(n55245), 
+        .B2(n55244), .Y(n55247) );
+  sky130_fd_sc_hd__a21o_1 U75128 ( .A1(n55248), .A2(n76323), .B1(n55247), .X(
+        n85141) );
+  sky130_fd_sc_hd__nand2_1 U75129 ( .A(n55251), .B(n55250), .Y(n55252) );
+  sky130_fd_sc_hd__o211ai_1 U75130 ( .A1(n83753), .A2(n83755), .B1(n55253), 
+        .C1(n55252), .Y(n85148) );
+  sky130_fd_sc_hd__nor2_1 U75131 ( .A(n55254), .B(n76309), .Y(n85757) );
+  sky130_fd_sc_hd__nand2b_1 U75132 ( .A_N(n55255), .B(n55254), .Y(n55256) );
+  sky130_fd_sc_hd__o211ai_1 U75133 ( .A1(n76292), .A2(n55258), .B1(n55257), 
+        .C1(n55256), .Y(n85146) );
+  sky130_fd_sc_hd__nor2_1 U75134 ( .A(n55263), .B(n83773), .Y(n85768) );
+  sky130_fd_sc_hd__nor2_1 U75135 ( .A(n55259), .B(n83773), .Y(n85740) );
+  sky130_fd_sc_hd__o22ai_1 U75136 ( .A1(n55261), .A2(n75731), .B1(n55260), 
+        .B2(n83767), .Y(n55262) );
+  sky130_fd_sc_hd__a21o_1 U75137 ( .A1(n55264), .A2(n55263), .B1(n55262), .X(
+        n85140) );
+  sky130_fd_sc_hd__a22oi_1 U75138 ( .A1(n55491), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[41]), .B1(n55487), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[70]), .Y(n55269) );
+  sky130_fd_sc_hd__a22o_1 U75139 ( .A1(n38433), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[128]), .B1(n55062), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[12]), .X(n55265) );
+  sky130_fd_sc_hd__a21oi_1 U75140 ( .A1(n55443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[157]), .B1(n55265), .Y(n55268) );
+  sky130_fd_sc_hd__a22oi_1 U75141 ( .A1(n55488), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[215]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[99]), .Y(n55267) );
+  sky130_fd_sc_hd__nand2_1 U75142 ( .A(n55492), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[186]), .Y(n55266) );
+  sky130_fd_sc_hd__nand4_1 U75143 ( .A(n55269), .B(n55268), .C(n55267), .D(
+        n55266), .Y(n84995) );
+  sky130_fd_sc_hd__nand2_1 U75144 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[12]), .Y(n55270) );
+  sky130_fd_sc_hd__o21ai_0 U75145 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .A2(n55271), .B1(n55270), .Y(n85677) );
+  sky130_fd_sc_hd__o22a_1 U75146 ( .A1(n55273), .A2(n55272), .B1(n83637), .B2(
+        n83640), .X(n55274) );
+  sky130_fd_sc_hd__a2bb2oi_1 U75148 ( .B1(n83721), .B2(n60909), .A1_N(n55275), 
+        .A2_N(n83715), .Y(n55276) );
+  sky130_fd_sc_hd__o21ai_1 U75149 ( .A1(n83728), .A2(n83730), .B1(n55276), .Y(
+        n85147) );
+  sky130_fd_sc_hd__nand2_1 U75150 ( .A(n83578), .B(n55277), .Y(n55278) );
+  sky130_fd_sc_hd__o211ai_1 U75151 ( .A1(n55281), .A2(n55280), .B1(n55279), 
+        .C1(n55278), .Y(n85138) );
+  sky130_fd_sc_hd__nand2_1 U75152 ( .A(n55284), .B(n55283), .Y(n55285) );
+  sky130_fd_sc_hd__o211ai_1 U75153 ( .A1(n83570), .A2(n83572), .B1(n55286), 
+        .C1(n55285), .Y(n85136) );
+  sky130_fd_sc_hd__nand2_1 U75154 ( .A(n73564), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_9), .Y(n55289)
+         );
+  sky130_fd_sc_hd__nand3_1 U75155 ( .A(n55291), .B(n55290), .C(n55289), .Y(
+        n60912) );
+  sky130_fd_sc_hd__inv_2 U75156 ( .A(n83612), .Y(n84167) );
+  sky130_fd_sc_hd__nor2_1 U75157 ( .A(n60015), .B(n84167), .Y(n61469) );
+  sky130_fd_sc_hd__nor2_1 U75158 ( .A(n55293), .B(n83629), .Y(n85452) );
+  sky130_fd_sc_hd__nand2_1 U75159 ( .A(n83613), .B(n55295), .Y(n55296) );
+  sky130_fd_sc_hd__o211ai_1 U75160 ( .A1(n83630), .A2(n55298), .B1(n55297), 
+        .C1(n55296), .Y(n85145) );
+  sky130_fd_sc_hd__nand2_1 U75161 ( .A(n55299), .B(n60079), .Y(n55302) );
+  sky130_fd_sc_hd__nand2_1 U75162 ( .A(n73564), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_7), .Y(n55300)
+         );
+  sky130_fd_sc_hd__nor2_1 U75163 ( .A(n55305), .B(n83672), .Y(n85450) );
+  sky130_fd_sc_hd__nor2_1 U75164 ( .A(n83661), .B(n83658), .Y(n55304) );
+  sky130_fd_sc_hd__a21oi_1 U75165 ( .A1(n83670), .A2(n55305), .B1(n55304), .Y(
+        n55309) );
+  sky130_fd_sc_hd__nand2_1 U75166 ( .A(n55307), .B(n55306), .Y(n55308) );
+  sky130_fd_sc_hd__nand2_1 U75167 ( .A(n55309), .B(n55308), .Y(n85143) );
+  sky130_fd_sc_hd__nand2b_1 U75168 ( .A_N(n55311), .B(n60079), .Y(n55314) );
+  sky130_fd_sc_hd__nand2_1 U75169 ( .A(n73564), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_14), .Y(n55312) );
+  sky130_fd_sc_hd__and3_1 U75170 ( .A(n55310), .B(n61031), .C(n60065), .X(
+        n73763) );
+  sky130_fd_sc_hd__nor2_1 U75171 ( .A(n55366), .B(n75726), .Y(n85737) );
+  sky130_fd_sc_hd__nand3_1 U75172 ( .A(n55570), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_io_full), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_saved_source[0]), .Y(n55318) );
+  sky130_fd_sc_hd__nand3_1 U75173 ( .A(n55315), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_io_full), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_source[0]), .Y(n55317) );
+  sky130_fd_sc_hd__nand3_1 U75174 ( .A(n55511), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_io_full), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_saved_source[0]), .Y(n55316) );
+  sky130_fd_sc_hd__nand3_1 U75175 ( .A(n55318), .B(n55317), .C(n55316), .Y(
+        n55332) );
+  sky130_fd_sc_hd__nand3_1 U75176 ( .A(n55580), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_io_full), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_source[0]), .Y(n55325) );
+  sky130_fd_sc_hd__nand2_1 U75177 ( .A(n55319), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_auto_tl_in_d_bits_source[0]), .Y(n55324) );
+  sky130_fd_sc_hd__nand2_1 U75178 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_source[0]), .B(n55320), .Y(n55323) );
+  sky130_fd_sc_hd__nand3_1 U75179 ( .A(n55321), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_io_full), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_source[0]), .Y(n55322) );
+  sky130_fd_sc_hd__nand4_1 U75180 ( .A(n55325), .B(n55324), .C(n55323), .D(
+        n55322), .Y(n55326) );
+  sky130_fd_sc_hd__a31oi_1 U75181 ( .A1(n55543), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_io_full), .A3(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_source[0]), .B1(n55326), .Y(n55330) );
+  sky130_fd_sc_hd__nand3_1 U75182 ( .A(n55548), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_io_full), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_source[0]), .Y(n55329) );
+  sky130_fd_sc_hd__nand3_1 U75183 ( .A(n55327), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_io_full), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_source[0]), .Y(n55328) );
+  sky130_fd_sc_hd__nand3_1 U75184 ( .A(n55330), .B(n55329), .C(n55328), .Y(
+        n55331) );
+  sky130_fd_sc_hd__a211oi_1 U75185 ( .A1(n55333), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_source[0]), .B1(n55332), .C1(n55331), .Y(n55339) );
+  sky130_fd_sc_hd__nand2_1 U75186 ( .A(n55334), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_source[0]), .Y(n55338) );
+  sky130_fd_sc_hd__nand2_1 U75187 ( .A(n55335), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_source[0]), .Y(n55337) );
+  sky130_fd_sc_hd__nand3_1 U75188 ( .A(n55591), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_io_full), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_source[0]), .Y(n55336) );
+  sky130_fd_sc_hd__nand4_1 U75189 ( .A(n55339), .B(n55338), .C(n55337), .D(
+        n55336), .Y(n55340) );
+  sky130_fd_sc_hd__a21oi_1 U75190 ( .A1(n55341), .A2(n85017), .B1(n55340), .Y(
+        n55344) );
+  sky130_fd_sc_hd__nand3_1 U75191 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_source[0]), .C(n55342), .Y(n55343) );
+  sky130_fd_sc_hd__nand2_1 U75192 ( .A(n55344), .B(n55343), .Y(n85018) );
+  sky130_fd_sc_hd__nand2_1 U75193 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_auto_tl_in_d_bits_source[0]), .B(n55346), .Y(n55358) );
+  sky130_fd_sc_hd__a22oi_1 U75194 ( .A1(n38443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_source[0]), .B1(n55627), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_source[2]), .Y(n55357) );
+  sky130_fd_sc_hd__a22oi_1 U75195 ( .A1(n57571), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_source[2]), .B1(n55347), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_saved_source[0]), .Y(n55353) );
+  sky130_fd_sc_hd__a22oi_1 U75196 ( .A1(n41794), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_source[0]), .B1(n37065), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_source[2]), .Y(n55352) );
+  sky130_fd_sc_hd__a22oi_1 U75197 ( .A1(n55348), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_source[0]), .B1(n55626), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_source[0]), .Y(n55351) );
+  sky130_fd_sc_hd__a22oi_1 U75198 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_saved_source[0]), .A2(n55349), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_source[0]), .B2(n57558), .Y(n55350) );
+  sky130_fd_sc_hd__nand4_1 U75199 ( .A(n55353), .B(n55352), .C(n55351), .D(
+        n55350), .Y(n55354) );
+  sky130_fd_sc_hd__a21oi_1 U75200 ( .A1(n85808), .A2(n55355), .B1(n55354), .Y(
+        n55356) );
+  sky130_fd_sc_hd__nand3_1 U75201 ( .A(n55358), .B(n55357), .C(n55356), .Y(
+        n85020) );
+  sky130_fd_sc_hd__o22ai_1 U75202 ( .A1(n55360), .A2(n55660), .B1(n55359), 
+        .B2(n56658), .Y(n85021) );
+  sky130_fd_sc_hd__nor2_1 U75203 ( .A(n55361), .B(n75726), .Y(n85738) );
+  sky130_fd_sc_hd__nor2_1 U75204 ( .A(n55362), .B(n75726), .Y(n85739) );
+  sky130_fd_sc_hd__a22oi_1 U75205 ( .A1(n75712), .A2(n55364), .B1(n55363), 
+        .B2(n75720), .Y(n55365) );
+  sky130_fd_sc_hd__mux2_2 U75207 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[41]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[11]), .S(n55502), .X(n85023) );
+  sky130_fd_sc_hd__a22o_1 U75208 ( .A1(n55453), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[11]), .B1(n55472), .B2(n85023), .X(n85024) );
+  sky130_fd_sc_hd__mux2_2 U75209 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[41]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[11]), .S(n55160), .X(n85026) );
+  sky130_fd_sc_hd__a22oi_1 U75210 ( .A1(n55491), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[40]), .B1(n55487), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[69]), .Y(n55372) );
+  sky130_fd_sc_hd__a22o_1 U75211 ( .A1(n38433), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[127]), .B1(n55062), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[11]), .X(n55368) );
+  sky130_fd_sc_hd__a21oi_1 U75212 ( .A1(n55443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[156]), .B1(n55368), .Y(n55371) );
+  sky130_fd_sc_hd__a22oi_1 U75213 ( .A1(n55488), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[214]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[98]), .Y(n55370) );
+  sky130_fd_sc_hd__nand2_1 U75214 ( .A(n55492), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[185]), .Y(n55369) );
+  sky130_fd_sc_hd__nand4_1 U75215 ( .A(n55372), .B(n55371), .C(n55370), .D(
+        n55369), .Y(n85025) );
+  sky130_fd_sc_hd__nand2_1 U75216 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[11]), .Y(n55373) );
+  sky130_fd_sc_hd__o21ai_0 U75217 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .A2(n55374), .B1(n55373), .Y(n85678) );
+  sky130_fd_sc_hd__mux2_2 U75218 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[40]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[10]), .S(n55502), .X(n85027) );
+  sky130_fd_sc_hd__a22o_1 U75219 ( .A1(n55453), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[10]), .B1(n55472), .B2(n85027), .X(n85028) );
+  sky130_fd_sc_hd__a22oi_1 U75220 ( .A1(n55491), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[39]), .B1(n55487), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[68]), .Y(n55379) );
+  sky130_fd_sc_hd__a22o_1 U75221 ( .A1(n38433), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[126]), .B1(n55062), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[10]), .X(n55375) );
+  sky130_fd_sc_hd__a21oi_1 U75222 ( .A1(n55443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[155]), .B1(n55375), .Y(n55378) );
+  sky130_fd_sc_hd__a22oi_1 U75223 ( .A1(n55488), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[213]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[97]), .Y(n55377) );
+  sky130_fd_sc_hd__nand2_1 U75224 ( .A(n55492), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[184]), .Y(n55376) );
+  sky130_fd_sc_hd__nand4_1 U75225 ( .A(n55379), .B(n55378), .C(n55377), .D(
+        n55376), .Y(n85029) );
+  sky130_fd_sc_hd__nand2_1 U75226 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[10]), .Y(n55380) );
+  sky130_fd_sc_hd__o21ai_0 U75227 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .A2(n55381), .B1(n55380), .Y(n85680) );
+  sky130_fd_sc_hd__mux2_2 U75228 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[39]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[9]), .S(n55502), .X(n85031) );
+  sky130_fd_sc_hd__a22o_1 U75229 ( .A1(n55453), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[9]), .B1(n55472), .B2(n85031), .X(n85032) );
+  sky130_fd_sc_hd__mux2_2 U75230 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[39]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[9]), .S(n73814), .X(n85034) );
+  sky130_fd_sc_hd__a22oi_1 U75231 ( .A1(n55491), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[38]), .B1(n55487), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[67]), .Y(n55386) );
+  sky130_fd_sc_hd__a22o_1 U75232 ( .A1(n38433), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[125]), .B1(n55062), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[9]), .X(n55382) );
+  sky130_fd_sc_hd__a21oi_1 U75233 ( .A1(n55443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[154]), .B1(n55382), .Y(n55385) );
+  sky130_fd_sc_hd__a22oi_1 U75234 ( .A1(n55488), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[212]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[96]), .Y(n55384) );
+  sky130_fd_sc_hd__nand2_1 U75235 ( .A(n55492), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[183]), .Y(n55383) );
+  sky130_fd_sc_hd__nand4_1 U75236 ( .A(n55386), .B(n55385), .C(n55384), .D(
+        n55383), .Y(n85033) );
+  sky130_fd_sc_hd__nand2_1 U75237 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[9]), .Y(n55387) );
+  sky130_fd_sc_hd__o21ai_0 U75238 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .A2(n55388), .B1(n55387), .Y(n85679) );
+  sky130_fd_sc_hd__mux2_2 U75239 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[38]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[8]), .S(n55502), .X(n85035) );
+  sky130_fd_sc_hd__a22o_1 U75240 ( .A1(n55453), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[8]), .B1(n55472), .B2(n85035), .X(n85036) );
+  sky130_fd_sc_hd__a22oi_1 U75241 ( .A1(n55491), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[37]), .B1(n55487), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[66]), .Y(n55393) );
+  sky130_fd_sc_hd__a22o_1 U75242 ( .A1(n38433), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[124]), .B1(n55062), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[8]), .X(n55389) );
+  sky130_fd_sc_hd__a21oi_1 U75243 ( .A1(n55443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[153]), .B1(n55389), .Y(n55392) );
+  sky130_fd_sc_hd__a22oi_1 U75244 ( .A1(n55488), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[211]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[95]), .Y(n55391) );
+  sky130_fd_sc_hd__nand2_1 U75245 ( .A(n55492), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[182]), .Y(n55390) );
+  sky130_fd_sc_hd__nand4_1 U75246 ( .A(n55393), .B(n55392), .C(n55391), .D(
+        n55390), .Y(n85037) );
+  sky130_fd_sc_hd__nand2_1 U75247 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[8]), .Y(n55394) );
+  sky130_fd_sc_hd__o21ai_0 U75248 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .A2(n55395), .B1(n55394), .Y(n85674) );
+  sky130_fd_sc_hd__mux2_2 U75249 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[37]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[7]), .S(n55502), .X(n85039) );
+  sky130_fd_sc_hd__a22o_1 U75250 ( .A1(n55453), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[7]), .B1(n55472), .B2(n85039), .X(n85040) );
+  sky130_fd_sc_hd__a22oi_1 U75251 ( .A1(n55491), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[36]), .B1(n55487), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[65]), .Y(n55400) );
+  sky130_fd_sc_hd__a22o_1 U75252 ( .A1(n38433), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[123]), .B1(n55062), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[7]), .X(n55396) );
+  sky130_fd_sc_hd__a21oi_1 U75253 ( .A1(n55443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[152]), .B1(n55396), .Y(n55399) );
+  sky130_fd_sc_hd__a22oi_1 U75254 ( .A1(n55488), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[210]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[94]), .Y(n55398) );
+  sky130_fd_sc_hd__nand2_1 U75255 ( .A(n55492), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[181]), .Y(n55397) );
+  sky130_fd_sc_hd__nand4_1 U75256 ( .A(n55400), .B(n55399), .C(n55398), .D(
+        n55397), .Y(n85041) );
+  sky130_fd_sc_hd__nand2_1 U75257 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[7]), .Y(n55401) );
+  sky130_fd_sc_hd__o21ai_0 U75258 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .A2(n55402), .B1(n55401), .Y(n85676) );
+  sky130_fd_sc_hd__a22o_1 U75259 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[6]), .B1(n55485), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[36]), .X(n85043) );
+  sky130_fd_sc_hd__a22o_1 U75260 ( .A1(n55453), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[6]), .B1(n55472), .B2(n85043), .X(n85044) );
+  sky130_fd_sc_hd__a22oi_1 U75261 ( .A1(n55491), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[35]), .B1(n55487), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[64]), .Y(n55407) );
+  sky130_fd_sc_hd__a22o_1 U75262 ( .A1(n38433), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[122]), .B1(n55062), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[6]), .X(n55403) );
+  sky130_fd_sc_hd__a21oi_1 U75263 ( .A1(n55443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[151]), .B1(n55403), .Y(n55406) );
+  sky130_fd_sc_hd__a22oi_1 U75264 ( .A1(n55488), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[209]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[93]), .Y(n55405) );
+  sky130_fd_sc_hd__nand2_1 U75265 ( .A(n55492), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[180]), .Y(n55404) );
+  sky130_fd_sc_hd__nand4_1 U75266 ( .A(n55407), .B(n55406), .C(n55405), .D(
+        n55404), .Y(n85045) );
+  sky130_fd_sc_hd__nand2_1 U75267 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[6]), .Y(n55408) );
+  sky130_fd_sc_hd__o21ai_0 U75268 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .A2(n55409), .B1(n55408), .Y(n85675) );
+  sky130_fd_sc_hd__a22o_1 U75269 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[5]), .B1(n55485), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[35]), .X(n85046) );
+  sky130_fd_sc_hd__a22o_1 U75270 ( .A1(n55453), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[5]), .B1(n55472), .B2(n85046), .X(n85047) );
+  sky130_fd_sc_hd__a22oi_1 U75271 ( .A1(n55492), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[179]), .B1(n55443), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[150]), .Y(n55413) );
+  sky130_fd_sc_hd__a22oi_1 U75272 ( .A1(n38433), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[121]), .B1(n55487), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[63]), .Y(n55412) );
+  sky130_fd_sc_hd__a22oi_1 U75273 ( .A1(n55488), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[208]), .B1(n55489), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[5]), .Y(n55411) );
+  sky130_fd_sc_hd__a22oi_1 U75274 ( .A1(n55491), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[34]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[92]), .Y(n55410) );
+  sky130_fd_sc_hd__nand4_1 U75275 ( .A(n55413), .B(n55412), .C(n55411), .D(
+        n55410), .Y(n85048) );
+  sky130_fd_sc_hd__nand3_1 U75276 ( .A(n83695), .B(n55497), .C(n55449), .Y(
+        n55416) );
+  sky130_fd_sc_hd__nand2_1 U75277 ( .A(n85048), .B(n78318), .Y(n55415) );
+  sky130_fd_sc_hd__nand2_1 U75278 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[5]), .Y(n55414) );
+  sky130_fd_sc_hd__nand3_1 U75279 ( .A(n55416), .B(n55415), .C(n55414), .Y(
+        n85681) );
+  sky130_fd_sc_hd__a22o_1 U75280 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[4]), .B1(n55485), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[34]), .X(n85050) );
+  sky130_fd_sc_hd__a22o_1 U75281 ( .A1(n55453), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[4]), .B1(n55472), .B2(n85050), .X(n85051) );
+  sky130_fd_sc_hd__a22oi_1 U75282 ( .A1(n38433), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[120]), .B1(n55487), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[62]), .Y(n55420) );
+  sky130_fd_sc_hd__a22oi_1 U75283 ( .A1(n55491), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[33]), .B1(n55489), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[4]), .Y(n55419) );
+  sky130_fd_sc_hd__a22oi_1 U75284 ( .A1(n55492), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[178]), .B1(n55488), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[207]), .Y(n55418) );
+  sky130_fd_sc_hd__a22oi_1 U75285 ( .A1(n55443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[149]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[91]), .Y(n55417) );
+  sky130_fd_sc_hd__nand4_1 U75286 ( .A(n55420), .B(n55419), .C(n55418), .D(
+        n55417), .Y(n85052) );
+  sky130_fd_sc_hd__mux2i_1 U75287 ( .A0(n85052), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[4]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .Y(n55421) );
+  sky130_fd_sc_hd__o21ai_1 U75288 ( .A1(n55422), .A2(n85894), .B1(n55421), .Y(
+        n85682) );
+  sky130_fd_sc_hd__a22o_1 U75289 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[3]), .B1(n55485), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[33]), .X(n85054) );
+  sky130_fd_sc_hd__a22o_1 U75290 ( .A1(n55453), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[3]), .B1(n55472), .B2(n85054), .X(n85055) );
+  sky130_fd_sc_hd__a22oi_1 U75291 ( .A1(n55492), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[177]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[90]), .Y(n55426) );
+  sky130_fd_sc_hd__a22oi_1 U75292 ( .A1(n55488), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[206]), .B1(n55487), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[61]), .Y(n55425) );
+  sky130_fd_sc_hd__a22oi_1 U75293 ( .A1(n55491), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[32]), .B1(n55489), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[3]), .Y(n55424) );
+  sky130_fd_sc_hd__a22oi_1 U75294 ( .A1(n38433), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[119]), .B1(n55443), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[148]), .Y(n55423) );
+  sky130_fd_sc_hd__nand4_1 U75295 ( .A(n55426), .B(n55425), .C(n55424), .D(
+        n55423), .Y(n85056) );
+  sky130_fd_sc_hd__nand2_1 U75296 ( .A(n55497), .B(n55427), .Y(n55430) );
+  sky130_fd_sc_hd__nand2_1 U75297 ( .A(n85056), .B(n78318), .Y(n55429) );
+  sky130_fd_sc_hd__nand2_1 U75298 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[3]), .Y(n55428) );
+  sky130_fd_sc_hd__nand3_1 U75299 ( .A(n55430), .B(n55429), .C(n55428), .Y(
+        n85684) );
+  sky130_fd_sc_hd__a22o_1 U75300 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[2]), .B1(n55485), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[32]), .X(n85058) );
+  sky130_fd_sc_hd__a22o_1 U75301 ( .A1(n55453), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[2]), .B1(n55472), .B2(n85058), .X(n85059) );
+  sky130_fd_sc_hd__a22oi_1 U75302 ( .A1(n38433), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[118]), .B1(n55443), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[147]), .Y(n55434) );
+  sky130_fd_sc_hd__a22oi_1 U75303 ( .A1(n55488), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[205]), .B1(n55489), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[2]), .Y(n55433) );
+  sky130_fd_sc_hd__a22oi_1 U75304 ( .A1(n55487), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[60]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[89]), .Y(n55432) );
+  sky130_fd_sc_hd__a22oi_1 U75305 ( .A1(n55492), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[176]), .B1(n55491), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[31]), .Y(n55431) );
+  sky130_fd_sc_hd__nand4_1 U75306 ( .A(n55434), .B(n55433), .C(n55432), .D(
+        n55431), .Y(n85060) );
+  sky130_fd_sc_hd__mux2i_1 U75307 ( .A0(n85060), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[2]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .Y(n55435) );
+  sky130_fd_sc_hd__o21ai_1 U75308 ( .A1(n55437), .A2(n55436), .B1(n55435), .Y(
+        n85683) );
+  sky130_fd_sc_hd__mux2i_1 U75309 ( .A0(n55439), .A1(n55438), .S(n67148), .Y(
+        n85835) );
+  sky130_fd_sc_hd__mux2i_1 U75310 ( .A0(n55441), .A1(n55440), .S(n67148), .Y(
+        n85836) );
+  sky130_fd_sc_hd__a22o_1 U75311 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[1]), .B1(n55485), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[31]), .X(n85062) );
+  sky130_fd_sc_hd__a21o_1 U75312 ( .A1(n55472), .A2(n85062), .B1(n55442), .X(
+        n85063) );
+  sky130_fd_sc_hd__a22oi_1 U75313 ( .A1(n55488), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[204]), .B1(n55443), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[146]), .Y(n55447) );
+  sky130_fd_sc_hd__a22oi_1 U75314 ( .A1(n55487), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[59]), .B1(n55489), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[1]), .Y(n55446) );
+  sky130_fd_sc_hd__a22oi_1 U75315 ( .A1(n55492), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[175]), .B1(n55491), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[30]), .Y(n55445) );
+  sky130_fd_sc_hd__a22oi_1 U75316 ( .A1(n38433), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[117]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[88]), .Y(n55444) );
+  sky130_fd_sc_hd__nand4_1 U75317 ( .A(n55447), .B(n55446), .C(n55445), .D(
+        n55444), .Y(n85065) );
+  sky130_fd_sc_hd__o21ai_0 U75318 ( .A1(n55449), .A2(n55497), .B1(n55448), .Y(
+        n55452) );
+  sky130_fd_sc_hd__nand2_1 U75319 ( .A(n85065), .B(n78318), .Y(n55451) );
+  sky130_fd_sc_hd__nand2_1 U75320 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[1]), .Y(n55450) );
+  sky130_fd_sc_hd__nand3_1 U75321 ( .A(n55452), .B(n55451), .C(n55450), .Y(
+        n85686) );
+  sky130_fd_sc_hd__mux2_2 U75322 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_mask[5]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_mask[1]), .S(n55502), .X(n85088) );
+  sky130_fd_sc_hd__nand2_1 U75323 ( .A(n55453), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[0]), .Y(n55486) );
+  sky130_fd_sc_hd__a21oi_1 U75325 ( .A1(n55472), .A2(n85088), .B1(n55471), .Y(
+        n55456) );
+  sky130_fd_sc_hd__o21ai_1 U75326 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[1]), .A2(n55486), .B1(n55456), .Y(n85066) );
+  sky130_fd_sc_hd__mux2i_1 U75327 ( .A0(n55458), .A1(n55457), .S(n67148), .Y(
+        n85669) );
+  sky130_fd_sc_hd__mux2i_1 U75328 ( .A0(n55460), .A1(n55459), .S(n67148), .Y(
+        n85573) );
+  sky130_fd_sc_hd__mux2_2 U75329 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_mask[6]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_mask[2]), .S(n55502), .X(n85087) );
+  sky130_fd_sc_hd__nor2_1 U75330 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[0]), .B(n55474), .Y(n55461) );
+  sky130_fd_sc_hd__a22oi_1 U75331 ( .A1(n55472), .A2(n85087), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[1]), .B2(n55461), .Y(n55462) );
+  sky130_fd_sc_hd__nand2_1 U75332 ( .A(n55463), .B(n55462), .Y(n85067) );
+  sky130_fd_sc_hd__mux2i_1 U75333 ( .A0(n55466), .A1(n55465), .S(n55203), .Y(
+        n85667) );
+  sky130_fd_sc_hd__nand3_1 U75334 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_cs_mode[0]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_cs_mode[1]), .C(n80498), .Y(n85223) );
+  sky130_fd_sc_hd__nor2_1 U75335 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_state[0]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_state[1]), .Y(n80673) );
+  sky130_fd_sc_hd__nand2_1 U75336 ( .A(n80673), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_io_link_active), .Y(n80670) );
+  sky130_fd_sc_hd__nand2b_1 U75337 ( .A_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_4_0_), .B(n85223), .Y(n55468) );
+  sky130_fd_sc_hd__nand2_1 U75338 ( .A(n55468), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_5_0_), .Y(n55467) );
+  sky130_fd_sc_hd__xnor2_1 U75340 ( .A(io_out[20]), .B(n80675), .Y(n55470) );
+  sky130_fd_sc_hd__o21a_1 U75341 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb_io_outer_cs_hold), .A2(n55470), .B1(n55469), .X(n80665) );
+  sky130_fd_sc_hd__nor2_1 U75342 ( .A(n80670), .B(n80659), .Y(n85789) );
+  sky130_fd_sc_hd__mux2_2 U75343 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_mask[4]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_mask[0]), .S(n55502), .X(n85089) );
+  sky130_fd_sc_hd__a21oi_1 U75344 ( .A1(n55472), .A2(n85089), .B1(n55471), .Y(
+        n55473) );
+  sky130_fd_sc_hd__o31ai_1 U75345 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[1]), .A2(MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[0]), .A3(n55474), .B1(n55473), .Y(n85068) );
+  sky130_fd_sc_hd__mux2i_1 U75346 ( .A0(n55476), .A1(n55475), .S(n67148), .Y(
+        n85670) );
+  sky130_fd_sc_hd__mux2i_1 U75347 ( .A0(n55478), .A1(n55477), .S(n55160), .Y(
+        n85571) );
+  sky130_fd_sc_hd__mux2i_1 U75348 ( .A0(n55480), .A1(n55479), .S(n67148), .Y(
+        n85577) );
+  sky130_fd_sc_hd__mux2i_1 U75349 ( .A0(n55482), .A1(n55481), .S(n55160), .Y(
+        n85580) );
+  sky130_fd_sc_hd__nand4_1 U75350 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_io_link_rx_valid), .B(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb__T_1_1_), .C(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxen), 
+        .D(n55483), .Y(n80699) );
+  sky130_fd_sc_hd__nor3_1 U75351 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_MPORT_addr[0]), .B(n36802), .C(n80699), .Y(n85844) );
+  sky130_fd_sc_hd__o21ai_1 U75352 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb_io_outer_cs_hold), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb__T_1_1_), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_link_fmt_endian), .Y(n80532) );
+  sky130_fd_sc_hd__nand4_1 U75353 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_io_link_rx_valid), .B(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_arb__T_1_1_), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxen), 
+        .D(n55484), .Y(n80989) );
+  sky130_fd_sc_hd__nor2_1 U75354 ( .A(n74033), .B(n81003), .Y(n85850) );
+  sky130_fd_sc_hd__nand2_1 U75355 ( .A(n49230), .B(n79497), .Y(n85828) );
+  sky130_fd_sc_hd__a22oi_1 U75356 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[0]), .B1(n55485), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_address[30]), .Y(n77538) );
+  sky130_fd_sc_hd__mux2_2 U75358 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[30]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_address[0]), .S(n67148), .X(n85084) );
+  sky130_fd_sc_hd__a22oi_1 U75359 ( .A1(n55488), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[203]), .B1(n55487), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[58]), .Y(n55496) );
+  sky130_fd_sc_hd__a22oi_1 U75360 ( .A1(n38433), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[116]), .B1(n55489), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[0]), .Y(n55495) );
+  sky130_fd_sc_hd__a22oi_1 U75361 ( .A1(n55443), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[145]), .B1(n55490), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[87]), .Y(n55494) );
+  sky130_fd_sc_hd__a22oi_1 U75362 ( .A1(n55492), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[174]), .B1(n55491), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_address[29]), .Y(n55493) );
+  sky130_fd_sc_hd__nand4_1 U75363 ( .A(n55496), .B(n55495), .C(n55494), .D(
+        n55493), .Y(n85085) );
+  sky130_fd_sc_hd__nand2_1 U75365 ( .A(n85085), .B(n78318), .Y(n55500) );
+  sky130_fd_sc_hd__nand2_1 U75366 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_address[0]), .Y(n55499) );
+  sky130_fd_sc_hd__nand3_1 U75367 ( .A(n55501), .B(n55500), .C(n55499), .Y(
+        n85685) );
+  sky130_fd_sc_hd__mux2_2 U75368 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[59]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[27]), .S(n55502), .X(n85227) );
+  sky130_fd_sc_hd__mux2_2 U75369 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[56]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_data[24]), .S(n55502), .X(n85234) );
+  sky130_fd_sc_hd__nor2_1 U75370 ( .A(n76405), .B(n55504), .Y(n55519) );
+  sky130_fd_sc_hd__o22ai_1 U75371 ( .A1(n55564), .A2(n60085), .B1(n75713), 
+        .B2(n55563), .Y(n55518) );
+  sky130_fd_sc_hd__o22ai_1 U75372 ( .A1(n63388), .A2(n55573), .B1(n83635), 
+        .B2(n55572), .Y(n55510) );
+  sky130_fd_sc_hd__o21ai_0 U75373 ( .A1(n83717), .A2(n55576), .B1(n56132), .Y(
+        n55505) );
+  sky130_fd_sc_hd__a21oi_1 U75374 ( .A1(n55506), .A2(n83737), .B1(n55505), .Y(
+        n55507) );
+  sky130_fd_sc_hd__o21ai_0 U75375 ( .A1(n55508), .A2(n76307), .B1(n55507), .Y(
+        n55509) );
+  sky130_fd_sc_hd__a211oi_1 U75376 ( .A1(n63293), .A2(n55511), .B1(n55510), 
+        .C1(n55509), .Y(n55512) );
+  sky130_fd_sc_hd__o21ai_0 U75377 ( .A1(n55513), .A2(n76388), .B1(n55512), .Y(
+        n55517) );
+  sky130_fd_sc_hd__o22ai_1 U75378 ( .A1(n75733), .A2(n55568), .B1(n55567), 
+        .B2(n76316), .Y(n55514) );
+  sky130_fd_sc_hd__a21oi_1 U75379 ( .A1(n63407), .A2(n55570), .B1(n55514), .Y(
+        n55515) );
+  sky130_fd_sc_hd__o21ai_0 U75380 ( .A1(n55588), .A2(n83579), .B1(n55515), .Y(
+        n55516) );
+  sky130_fd_sc_hd__or4_1 U75381 ( .A(n55519), .B(n55518), .C(n55517), .D(
+        n55516), .X(n85121) );
+  sky130_fd_sc_hd__a22o_1 U75382 ( .A1(n55627), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_corrupt[0]), .B1(n55626), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_corrupt[1]), .X(n85122) );
+  sky130_fd_sc_hd__a22o_1 U75383 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_denied[1]), .B1(n41810), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_denied[0]), .X(n85165) );
+  sky130_fd_sc_hd__nand2_1 U75384 ( .A(n55520), .B(n60886), .Y(n85123) );
+  sky130_fd_sc_hd__nor2_1 U75385 ( .A(n55553), .B(n83553), .Y(n85765) );
+  sky130_fd_sc_hd__nor2b_1 U75386 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_dOrig[2]), .A(n83546), .Y(n55521) );
+  sky130_fd_sc_hd__a21oi_1 U75387 ( .A1(n85124), .A2(n83546), .B1(n55521), .Y(
+        n63309) );
+  sky130_fd_sc_hd__o22ai_1 U75388 ( .A1(n83573), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_dOrig[2]), .B1(n83568), .B2(n85454), .Y(n63301) );
+  sky130_fd_sc_hd__nand2_1 U75389 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_dOrig[2]), .B(n55562), .Y(n55522) );
+  sky130_fd_sc_hd__o22ai_1 U75390 ( .A1(n55564), .A2(n63301), .B1(n63328), 
+        .B2(n55563), .Y(n55523) );
+  sky130_fd_sc_hd__a21oi_1 U75391 ( .A1(n55591), .A2(n55524), .B1(n55523), .Y(
+        n55551) );
+  sky130_fd_sc_hd__nand2_1 U75392 ( .A(n85126), .B(n62017), .Y(n55526) );
+  sky130_fd_sc_hd__nand2_1 U75393 ( .A(n83775), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_dOrig[2]), .Y(n55525) );
+  sky130_fd_sc_hd__nand2_1 U75394 ( .A(n55526), .B(n55525), .Y(n63332) );
+  sky130_fd_sc_hd__o22ai_1 U75395 ( .A1(n83669), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_dOrig[2]), .B1(n83656), .B2(n85127), .Y(n63282) );
+  sky130_fd_sc_hd__nand3_1 U75396 ( .A(n83648), .B(n55527), .C(n83645), .Y(
+        n55528) );
+  sky130_fd_sc_hd__o22ai_1 U75398 ( .A1(n63282), .A2(n55573), .B1(n63276), 
+        .B2(n55572), .Y(n55536) );
+  sky130_fd_sc_hd__o22ai_1 U75399 ( .A1(n83626), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_dOrig[2]), .B1(n83627), .B2(n85128), .Y(n63294) );
+  sky130_fd_sc_hd__nand2b_1 U75400 ( .A_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_dOrig[2]), .B(n76298), .Y(n55529) );
+  sky130_fd_sc_hd__o21a_1 U75401 ( .A1(n76298), .A2(n85129), .B1(n55529), .X(
+        n63288) );
+  sky130_fd_sc_hd__nand3_1 U75402 ( .A(n83731), .B(n55530), .C(n83721), .Y(
+        n55531) );
+  sky130_fd_sc_hd__o22ai_1 U75404 ( .A1(n63346), .A2(n56132), .B1(n63280), 
+        .B2(n55576), .Y(n55533) );
+  sky130_fd_sc_hd__o22ai_1 U75405 ( .A1(n83756), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_dOrig[2]), .B1(n83751), .B2(n85460), .Y(n63340) );
+  sky130_fd_sc_hd__nor2_1 U75406 ( .A(n63340), .B(n55577), .Y(n55532) );
+  sky130_fd_sc_hd__a211oi_1 U75407 ( .A1(n63288), .A2(n55580), .B1(n55533), 
+        .C1(n55532), .Y(n55534) );
+  sky130_fd_sc_hd__o21ai_1 U75408 ( .A1(n55582), .A2(n63294), .B1(n55534), .Y(
+        n55535) );
+  sky130_fd_sc_hd__a211oi_1 U75409 ( .A1(n55585), .A2(n63332), .B1(n55536), 
+        .C1(n55535), .Y(n55550) );
+  sky130_fd_sc_hd__o22ai_1 U75410 ( .A1(n83589), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_dOrig[2]), .B1(n83580), .B2(n85130), .Y(n63305) );
+  sky130_fd_sc_hd__nand3_1 U75411 ( .A(n83601), .B(n55537), .C(n83600), .Y(
+        n55538) );
+  sky130_fd_sc_hd__o21ai_1 U75412 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_dOrig[2]), .A2(n83601), .B1(n55538), .Y(n63313) );
+  sky130_fd_sc_hd__nand2_1 U75413 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_dOrig[2]), .B(n83764), .Y(n55539) );
+  sky130_fd_sc_hd__o21ai_1 U75414 ( .A1(n83764), .A2(n47768), .B1(n55539), .Y(
+        n63296) );
+  sky130_fd_sc_hd__nand2_1 U75415 ( .A(n76325), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_dOrig[2]), .Y(n55540) );
+  sky130_fd_sc_hd__a22oi_1 U75417 ( .A1(n55543), .A2(n63296), .B1(n55542), 
+        .B2(n63312), .Y(n55544) );
+  sky130_fd_sc_hd__o21ai_1 U75418 ( .A1(n55545), .A2(n63313), .B1(n55544), .Y(
+        n55546) );
+  sky130_fd_sc_hd__a21oi_1 U75419 ( .A1(n55548), .A2(n55547), .B1(n55546), .Y(
+        n55549) );
+  sky130_fd_sc_hd__nand3_1 U75420 ( .A(n55551), .B(n55550), .C(n55549), .Y(
+        n85133) );
+  sky130_fd_sc_hd__nor2_1 U75421 ( .A(n55555), .B(n83553), .Y(n85767) );
+  sky130_fd_sc_hd__nand2b_1 U75422 ( .A_N(n55552), .B(n83550), .Y(n55560) );
+  sky130_fd_sc_hd__nand2_1 U75423 ( .A(n55555), .B(n55554), .Y(n55559) );
+  sky130_fd_sc_hd__nand2_1 U75424 ( .A(n76404), .B(n55557), .Y(n55558) );
+  sky130_fd_sc_hd__nand3_1 U75425 ( .A(n55560), .B(n55559), .C(n55558), .Y(
+        n85135) );
+  sky130_fd_sc_hd__nor2b_1 U75426 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_dOrig[0]), .A(n83546), .Y(n55561) );
+  sky130_fd_sc_hd__a21oi_1 U75427 ( .A1(n85135), .A2(n83546), .B1(n55561), .Y(
+        n63421) );
+  sky130_fd_sc_hd__o22ai_1 U75428 ( .A1(n83573), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_dOrig[0]), .B1(n83568), .B2(n85136), .Y(n63393) );
+  sky130_fd_sc_hd__mux2i_1 U75429 ( .A0(n85137), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_dOrig[0]), .S(n55562), .Y(n63415) );
+  sky130_fd_sc_hd__o22ai_1 U75430 ( .A1(n55564), .A2(n63393), .B1(n63415), 
+        .B2(n55563), .Y(n55590) );
+  sky130_fd_sc_hd__o22ai_1 U75431 ( .A1(n83589), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_dOrig[0]), .B1(n83580), .B2(n85138), .Y(n63336) );
+  sky130_fd_sc_hd__o22a_1 U75432 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_dOrig[0]), .A2(n83601), .B1(n83606), .B2(n85139), .X(n63408) );
+  sky130_fd_sc_hd__nand2_1 U75433 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_dOrig[0]), .B(n83764), .Y(n55565) );
+  sky130_fd_sc_hd__nand2_1 U75434 ( .A(n76325), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_dOrig[0]), .Y(n55566) );
+  sky130_fd_sc_hd__o22ai_1 U75435 ( .A1(n63412), .A2(n55568), .B1(n55567), 
+        .B2(n63405), .Y(n55569) );
+  sky130_fd_sc_hd__a21oi_1 U75436 ( .A1(n63408), .A2(n55570), .B1(n55569), .Y(
+        n55587) );
+  sky130_fd_sc_hd__nand2b_1 U75437 ( .A_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_dOrig[0]), .B(n83775), .Y(n55571) );
+  sky130_fd_sc_hd__o21a_1 U75438 ( .A1(n83775), .A2(n85142), .B1(n55571), .X(
+        n63333) );
+  sky130_fd_sc_hd__o22ai_1 U75439 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_dOrig[0]), .A2(n83669), .B1(n83656), .B2(n85143), .Y(n63387) );
+  sky130_fd_sc_hd__o22ai_1 U75440 ( .A1(n83648), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_dOrig[0]), .B1(n83643), .B2(n85144), .Y(n63390) );
+  sky130_fd_sc_hd__o22ai_1 U75441 ( .A1(n63387), .A2(n55573), .B1(n63390), 
+        .B2(n55572), .Y(n55584) );
+  sky130_fd_sc_hd__o22ai_1 U75442 ( .A1(n83626), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_dOrig[0]), .B1(n83627), .B2(n85145), .Y(n63428) );
+  sky130_fd_sc_hd__nand2_1 U75443 ( .A(n85146), .B(n76311), .Y(n55575) );
+  sky130_fd_sc_hd__nand2_1 U75444 ( .A(n76298), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_dOrig[0]), .Y(n55574) );
+  sky130_fd_sc_hd__nand2_1 U75445 ( .A(n55575), .B(n55574), .Y(n63438) );
+  sky130_fd_sc_hd__o22ai_1 U75446 ( .A1(n83731), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_dOrig[0]), .B1(n83722), .B2(n85147), .Y(n63425) );
+  sky130_fd_sc_hd__o22ai_1 U75447 ( .A1(n63343), .A2(n56132), .B1(n63425), 
+        .B2(n55576), .Y(n55579) );
+  sky130_fd_sc_hd__o22ai_1 U75448 ( .A1(n83756), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_dOrig[0]), .B1(n83751), .B2(n85148), .Y(n63429) );
+  sky130_fd_sc_hd__nor2_1 U75449 ( .A(n63429), .B(n55577), .Y(n55578) );
+  sky130_fd_sc_hd__a211oi_1 U75450 ( .A1(n55580), .A2(n63438), .B1(n55579), 
+        .C1(n55578), .Y(n55581) );
+  sky130_fd_sc_hd__a211oi_1 U75452 ( .A1(n63333), .A2(n55585), .B1(n55584), 
+        .C1(n55583), .Y(n55586) );
+  sky130_fd_sc_hd__o211ai_1 U75453 ( .A1(n55588), .A2(n63336), .B1(n55587), 
+        .C1(n55586), .Y(n55589) );
+  sky130_fd_sc_hd__a211o_1 U75454 ( .A1(n55591), .A2(n63352), .B1(n55590), 
+        .C1(n55589), .X(n85149) );
+  sky130_fd_sc_hd__nand2_1 U75455 ( .A(n36801), .B(n79474), .Y(n85824) );
+  sky130_fd_sc_hd__nor2_1 U75456 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_arbLost), .B(
+        n83631), .Y(n79487) );
+  sky130_fd_sc_hd__nor2_1 U75457 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_byteState[1]), 
+        .B(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_byteState[2]), 
+        .Y(n78075) );
+  sky130_fd_sc_hd__nand2_1 U75458 ( .A(n79487), .B(n78075), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N430) );
+  sky130_fd_sc_hd__nor3_1 U75459 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_byteState[0]), 
+        .B(n76260), .C(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N430), .Y(n85826) );
+  sky130_fd_sc_hd__nand2_1 U75460 ( .A(n80684), .B(n63388), .Y(n55593) );
+  sky130_fd_sc_hd__nand2b_1 U75461 ( .A_N(n59326), .B(n63488), .Y(n80681) );
+  sky130_fd_sc_hd__nor2_1 U75462 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_io_full), .B(n59995), .Y(n61980) );
+  sky130_fd_sc_hd__nor2_1 U75464 ( .A(n74033), .B(n61965), .Y(n85845) );
+  sky130_fd_sc_hd__nand2_1 U75465 ( .A(n55598), .B(n39316), .Y(n55600) );
+  sky130_fd_sc_hd__o21ai_1 U75466 ( .A1(n55600), .A2(n55599), .B1(n68586), .Y(
+        n55603) );
+  sky130_fd_sc_hd__nand2_1 U75467 ( .A(n55603), .B(n55602), .Y(n85411) );
+  sky130_fd_sc_hd__nor2_1 U75468 ( .A(n64155), .B(n63936), .Y(n85522) );
+  sky130_fd_sc_hd__nand2_1 U75469 ( .A(n59314), .B(n64156), .Y(n64162) );
+  sky130_fd_sc_hd__nor2_1 U75470 ( .A(n64369), .B(n64162), .Y(n85532) );
+  sky130_fd_sc_hd__nor2_1 U75471 ( .A(n59316), .B(n64168), .Y(n85414) );
+  sky130_fd_sc_hd__mux2_2 U75472 ( .A0(n55605), .A1(n55614), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[8]), .X(n85591) );
+  sky130_fd_sc_hd__nand2_1 U75473 ( .A(n55606), .B(n70399), .Y(n55608) );
+  sky130_fd_sc_hd__nor2_1 U75474 ( .A(n70402), .B(n55614), .Y(n55607) );
+  sky130_fd_sc_hd__a21o_1 U75475 ( .A1(n55608), .A2(n70402), .B1(n55607), .X(
+        n76399) );
+  sky130_fd_sc_hd__nand2_1 U75476 ( .A(n55609), .B(n70399), .Y(n55612) );
+  sky130_fd_sc_hd__nor2_1 U75477 ( .A(n55611), .B(n55614), .Y(n55610) );
+  sky130_fd_sc_hd__nand2_1 U75478 ( .A(n55613), .B(n70399), .Y(n55617) );
+  sky130_fd_sc_hd__nor2_1 U75479 ( .A(n55616), .B(n55614), .Y(n55615) );
+  sky130_fd_sc_hd__a21o_1 U75480 ( .A1(n55617), .A2(n55616), .B1(n55615), .X(
+        n70483) );
+  sky130_fd_sc_hd__nor2_1 U75481 ( .A(n57607), .B(n55618), .Y(n55619) );
+  sky130_fd_sc_hd__mux2_2 U75482 ( .A0(n55619), .A1(n55614), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[21]), .X(n85750) );
+  sky130_fd_sc_hd__a21oi_1 U75483 ( .A1(n73346), .A2(n85546), .B1(n64168), .Y(
+        n85529) );
+  sky130_fd_sc_hd__nand2_1 U75484 ( .A(n55620), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_resp_bits_ae), .Y(n55622) );
+  sky130_fd_sc_hd__nand2_1 U75485 ( .A(n55622), .B(n55621), .Y(n85639) );
+  sky130_fd_sc_hd__mux2_2 U75486 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_xcpt_ae_inst), .A1(n85639), .S(n37899), .X(n85398) );
+  sky130_fd_sc_hd__nor4_1 U75487 ( .A(n77928), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_binder_auto_in_d_bits_source[2]), .C(n83095), .D(n56658), .Y(n85150) );
+  sky130_fd_sc_hd__a22o_1 U75488 ( .A1(n55627), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_opcode[4]), .B1(n55626), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_opcode[1]), .X(n85151) );
+  sky130_fd_sc_hd__nor2_1 U75489 ( .A(n55639), .B(n55623), .Y(n55628) );
+  sky130_fd_sc_hd__a31oi_1 U75490 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_binder_auto_in_d_bits_source[3]), .A2(n77928), .A3(n57100), .B1(n55628), .Y(n55624) );
+  sky130_fd_sc_hd__o21ai_1 U75491 ( .A1(n55625), .A2(n55660), .B1(n55624), .Y(
+        n85152) );
+  sky130_fd_sc_hd__a22o_1 U75492 ( .A1(n55627), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_opcode[5]), .B1(n55626), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_opcode[2]), .X(n85153) );
+  sky130_fd_sc_hd__a21oi_1 U75493 ( .A1(n57100), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_binder_auto_in_d_bits_source[3]), .B1(n55628), .Y(n55629) );
+  sky130_fd_sc_hd__o21ai_1 U75494 ( .A1(n55630), .A2(n55660), .B1(n55629), .Y(
+        n85154) );
+  sky130_fd_sc_hd__o22ai_1 U75495 ( .A1(n83368), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_dOrig[1]), .B1(n83356), .B2(n85155), .Y(n83946) );
+  sky130_fd_sc_hd__o22ai_1 U75496 ( .A1(n83449), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_dOrig[1]), .B1(n83446), .B2(n85156), .Y(n83942) );
+  sky130_fd_sc_hd__a22oi_1 U75497 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_size[1]), .B1(n55996), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_size[4]), .Y(n83893) );
+  sky130_fd_sc_hd__o22ai_1 U75498 ( .A1(n55993), .A2(n83942), .B1(n83893), 
+        .B2(n83777), .Y(n55635) );
+  sky130_fd_sc_hd__o22ai_1 U75499 ( .A1(n83407), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_dOrig[1]), .B1(n83408), .B2(n85157), .Y(n83943) );
+  sky130_fd_sc_hd__o22ai_1 U75500 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_size[4]), .B1(n55995), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_size[1]), .Y(n83941) );
+  sky130_fd_sc_hd__o22ai_1 U75501 ( .A1(n55994), .A2(n83943), .B1(n55997), 
+        .B2(n83941), .Y(n55634) );
+  sky130_fd_sc_hd__o22ai_1 U75502 ( .A1(n55991), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_dOrig[1]), .B1(n83375), .B2(n85158), .Y(n83940) );
+  sky130_fd_sc_hd__a22o_1 U75503 ( .A1(n83431), .A2(n85159), .B1(n83426), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_dOrig[1]), .X(n83896) );
+  sky130_fd_sc_hd__a22o_1 U75504 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_size[1]), .B1(n83468), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_size[4]), .X(n83916) );
+  sky130_fd_sc_hd__a22oi_1 U75505 ( .A1(n83896), .A2(n55631), .B1(n83916), 
+        .B2(n85162), .Y(n55632) );
+  sky130_fd_sc_hd__nor3_1 U75507 ( .A(n55635), .B(n55634), .C(n55633), .Y(
+        n55636) );
+  sky130_fd_sc_hd__o22ai_1 U75509 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_size[4]), .B1(n41810), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_size[1]), .Y(n84054) );
+  sky130_fd_sc_hd__a22oi_1 U75510 ( .A1(n55638), .A2(n84033), .B1(n55637), 
+        .B2(n84098), .Y(n84064) );
+  sky130_fd_sc_hd__o22ai_1 U75511 ( .A1(n84054), .A2(n55660), .B1(n84064), 
+        .B2(n55639), .Y(n85161) );
+  sky130_fd_sc_hd__a22o_1 U75512 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_opcode[0]), .B1(n83468), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_opcode[3]), .X(n83915) );
+  sky130_fd_sc_hd__nand2_1 U75513 ( .A(n83915), .B(n85162), .Y(n55644) );
+  sky130_fd_sc_hd__o22ai_1 U75514 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_opcode_0__0_), .B1(n55995), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_opcode_1__0_), .Y(n83905) );
+  sky130_fd_sc_hd__o22ai_1 U75515 ( .A1(n83911), .A2(n55998), .B1(n55997), 
+        .B2(n83905), .Y(n55642) );
+  sky130_fd_sc_hd__o22ai_1 U75516 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_opcode_0__0_), .B1(n55996), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_opcode_1__0_), .Y(n83891) );
+  sky130_fd_sc_hd__o22ai_1 U75517 ( .A1(n83920), .A2(n55994), .B1(n83891), 
+        .B2(n83777), .Y(n55641) );
+  sky130_fd_sc_hd__o22ai_1 U75518 ( .A1(n55993), .A2(n83900), .B1(n55992), 
+        .B2(n83918), .Y(n55640) );
+  sky130_fd_sc_hd__nor3_1 U75519 ( .A(n55642), .B(n55641), .C(n55640), .Y(
+        n55643) );
+  sky130_fd_sc_hd__nand3_1 U75520 ( .A(n55644), .B(n56005), .C(n55643), .Y(
+        n85163) );
+  sky130_fd_sc_hd__a22oi_1 U75521 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_corrupt[1]), .B1(n41810), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_corrupt[0]), .Y(n78322) );
+  sky130_fd_sc_hd__o21ai_1 U75523 ( .A1(n78322), .A2(n55646), .B1(n55645), .Y(
+        n85164) );
+  sky130_fd_sc_hd__nand3_1 U75524 ( .A(n74045), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter[0]), .C(n82999), .Y(n59492) );
+  sky130_fd_sc_hd__nor2_1 U75525 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter[1]), .Y(n55651) );
+  sky130_fd_sc_hd__nand2_1 U75526 ( .A(n55651), .B(n60003), .Y(n55652) );
+  sky130_fd_sc_hd__o21a_1 U75527 ( .A1(n60003), .A2(n55651), .B1(n55652), .X(
+        n82605) );
+  sky130_fd_sc_hd__nor2_1 U75529 ( .A(n55647), .B(n83006), .Y(n76539) );
+  sky130_fd_sc_hd__nor2_1 U75530 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter[3]), .B(n55652), .Y(n77439) );
+  sky130_fd_sc_hd__nand2_1 U75531 ( .A(n77439), .B(n55648), .Y(n77447) );
+  sky130_fd_sc_hd__nand2_1 U75533 ( .A(n55650), .B(n82602), .Y(n83010) );
+  sky130_fd_sc_hd__nor2_1 U75534 ( .A(n82610), .B(n83010), .Y(n59318) );
+  sky130_fd_sc_hd__nor2_1 U75535 ( .A(n76539), .B(n59318), .Y(n55653) );
+  sky130_fd_sc_hd__a21oi_1 U75536 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter[1]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter[0]), .B1(n55651), .Y(n82604) );
+  sky130_fd_sc_hd__nand2_1 U75537 ( .A(n82604), .B(n82602), .Y(n59499) );
+  sky130_fd_sc_hd__a21oi_1 U75538 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter[3]), .A2(n55652), .B1(n77439), .Y(n82609) );
+  sky130_fd_sc_hd__nand3_1 U75539 ( .A(n82602), .B(n82609), .C(n82606), .Y(
+        n62953) );
+  sky130_fd_sc_hd__a31oi_1 U75540 ( .A1(n55653), .A2(n59499), .A3(n62953), 
+        .B1(n60374), .Y(n55654) );
+  sky130_fd_sc_hd__o21ai_1 U75541 ( .A1(n55655), .A2(n55654), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_accruedRefillError), .Y(n55657) );
+  sky130_fd_sc_hd__o22ai_1 U75542 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_corrupt[0]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_corrupt[1]), .Y(n55656) );
+  sky130_fd_sc_hd__nand2_1 U75543 ( .A(n55657), .B(n55656), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_array_RW0_wdata_0_18_) );
+  sky130_fd_sc_hd__a21oi_1 U75544 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_denied), .A2(
+        n55658), .B1(n85165), .Y(n55659) );
+  sky130_fd_sc_hd__nor2_1 U75545 ( .A(n55660), .B(n55659), .Y(n85166) );
+  sky130_fd_sc_hd__nand2_1 U75546 ( .A(n77637), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_valid), .Y(n57674) );
+  sky130_fd_sc_hd__nand2_1 U75547 ( .A(n85816), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_wxd), .Y(n77638) );
+  sky130_fd_sc_hd__nand3_1 U75548 ( .A(n72916), .B(n62865), .C(n77638), .Y(
+        n77480) );
+  sky130_fd_sc_hd__nor3_1 U75549 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_status_debug), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_1_status_prv[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_1_status_prv[0]), .Y(n55784) );
+  sky130_fd_sc_hd__o22ai_1 U75550 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_1_status_prv[1]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_s), .B1(n78268), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_m), .Y(n55661) );
+  sky130_fd_sc_hd__nor3_1 U75551 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_status_debug), .B(n64074), .C(n55661), .Y(n55662) );
+  sky130_fd_sc_hd__a21oi_1 U75552 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_u), .A2(n55784), .B1(n55662), .Y(n59022) );
+  sky130_fd_sc_hd__nor2_1 U75553 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[31]), .B(n55663), .Y(n55718) );
+  sky130_fd_sc_hd__a22oi_1 U75554 ( .A1(n55789), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[30]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[29]), .B2(n55788), .Y(n55714) );
+  sky130_fd_sc_hd__o22ai_1 U75555 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[28]), .A2(n55791), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[29]), .B2(n55788), .Y(n55758) );
+  sky130_fd_sc_hd__o22ai_1 U75556 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[30]), .A2(n55789), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[31]), .B2(n55786), .Y(n55757) );
+  sky130_fd_sc_hd__a21oi_1 U75557 ( .A1(n55714), .A2(n55758), .B1(n55757), .Y(
+        n55717) );
+  sky130_fd_sc_hd__nand2_1 U75558 ( .A(n55792), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[27]), .Y(n55704) );
+  sky130_fd_sc_hd__a22oi_1 U75559 ( .A1(n55859), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[26]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[25]), .B2(n55793), .Y(n55706) );
+  sky130_fd_sc_hd__o22ai_1 U75560 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[24]), .A2(n55855), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[25]), .B2(n55793), .Y(n55723) );
+  sky130_fd_sc_hd__o22ai_1 U75561 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[26]), .A2(n55859), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[27]), .B2(n55792), .Y(n55724) );
+  sky130_fd_sc_hd__a21oi_1 U75562 ( .A1(n55706), .A2(n55723), .B1(n55724), .Y(
+        n55710) );
+  sky130_fd_sc_hd__a22oi_1 U75563 ( .A1(n55797), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[22]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[23]), .B2(n55794), .Y(n55666) );
+  sky130_fd_sc_hd__nor2_1 U75564 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[20]), .B(n55851), .Y(n55751) );
+  sky130_fd_sc_hd__nand2_1 U75565 ( .A(n55795), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[21]), .Y(n55664) );
+  sky130_fd_sc_hd__o22ai_1 U75566 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[22]), .A2(n55797), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[21]), .B2(n55795), .Y(n55738) );
+  sky130_fd_sc_hd__a21oi_1 U75567 ( .A1(n55751), .A2(n55664), .B1(n55738), .Y(
+        n55670) );
+  sky130_fd_sc_hd__nand2_1 U75568 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[20]), .B(n55851), .Y(n55665) );
+  sky130_fd_sc_hd__nand3_1 U75569 ( .A(n55666), .B(n55665), .C(n55664), .Y(
+        n55733) );
+  sky130_fd_sc_hd__nand2_1 U75570 ( .A(n55848), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[19]), .Y(n55673) );
+  sky130_fd_sc_hd__o22ai_1 U75571 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[18]), .A2(n55672), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[19]), .B2(n55848), .Y(n55734) );
+  sky130_fd_sc_hd__nand3_1 U75572 ( .A(n55667), .B(n55673), .C(n55734), .Y(
+        n55669) );
+  sky130_fd_sc_hd__nand2_1 U75573 ( .A(n55668), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[23]), .Y(n55748) );
+  sky130_fd_sc_hd__o211ai_1 U75574 ( .A1(n55671), .A2(n55670), .B1(n55669), 
+        .C1(n55748), .Y(n55708) );
+  sky130_fd_sc_hd__a22oi_1 U75575 ( .A1(n55672), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[18]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[17]), .B2(n55846), .Y(n55674) );
+  sky130_fd_sc_hd__nand2_1 U75576 ( .A(n55674), .B(n55673), .Y(n55742) );
+  sky130_fd_sc_hd__nor2_1 U75577 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[17]), .B(n55846), .Y(n55740) );
+  sky130_fd_sc_hd__nor2_1 U75578 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[14]), .B(n55836), .Y(n55736) );
+  sky130_fd_sc_hd__nand2_1 U75579 ( .A(n55837), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[15]), .Y(n55700) );
+  sky130_fd_sc_hd__nor2_1 U75580 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[15]), .B(n55837), .Y(n55735) );
+  sky130_fd_sc_hd__nand2_1 U75581 ( .A(n55839), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[12]), .Y(n55747) );
+  sky130_fd_sc_hd__o22ai_1 U75582 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[12]), .A2(n55839), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[13]), .B2(n55840), .Y(n55731) );
+  sky130_fd_sc_hd__nor2_1 U75583 ( .A(n55747), .B(n55731), .Y(n55698) );
+  sky130_fd_sc_hd__nand2_1 U75584 ( .A(n55840), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[13]), .Y(n55752) );
+  sky130_fd_sc_hd__nand2_1 U75585 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[14]), .B(n55836), .Y(n55675) );
+  sky130_fd_sc_hd__nand2_1 U75586 ( .A(n55700), .B(n55675), .Y(n55721) );
+  sky130_fd_sc_hd__nand2_1 U75587 ( .A(n55815), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[5]), .Y(n55682) );
+  sky130_fd_sc_hd__nand2_1 U75588 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[4]), .B(n55814), .Y(n55676) );
+  sky130_fd_sc_hd__nand2_1 U75589 ( .A(n55682), .B(n55676), .Y(n55722) );
+  sky130_fd_sc_hd__nor2_1 U75590 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[2]), .B(n59008), .Y(n55763) );
+  sky130_fd_sc_hd__a21oi_1 U75591 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[3]), .A2(n55677), .B1(n55763), .Y(n55681) );
+  sky130_fd_sc_hd__nand2_1 U75592 ( .A(n59008), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[2]), .Y(n55767) );
+  sky130_fd_sc_hd__nand2_1 U75593 ( .A(n55803), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[0]), .Y(n55741) );
+  sky130_fd_sc_hd__o211ai_1 U75595 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[1]), .A2(n62863), .B1(n55767), .C1(n55679), .Y(n55680) );
+  sky130_fd_sc_hd__a22oi_1 U75596 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[3]), .A2(n59005), .B1(n55681), .B2(n55680), .Y(n55683) );
+  sky130_fd_sc_hd__o22ai_1 U75597 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[5]), .A2(n55815), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[4]), .B2(n55814), .Y(n55729) );
+  sky130_fd_sc_hd__o2bb2ai_1 U75598 ( .B1(n55722), .B2(n55683), .A1_N(n55682), 
+        .A2_N(n55729), .Y(n55684) );
+  sky130_fd_sc_hd__nand2_1 U75599 ( .A(n55819), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[6]), .Y(n55754) );
+  sky130_fd_sc_hd__o22ai_1 U75600 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[7]), .A2(n55689), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[6]), .B2(n55819), .Y(n55732) );
+  sky130_fd_sc_hd__a21o_1 U75601 ( .A1(n55684), .A2(n55754), .B1(n55732), .X(
+        n55695) );
+  sky130_fd_sc_hd__nor2_1 U75602 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[8]), .B(n55685), .Y(n55688) );
+  sky130_fd_sc_hd__nand2_1 U75603 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[11]), .B(n55831), .Y(n55691) );
+  sky130_fd_sc_hd__nand2_1 U75604 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[10]), .B(n55828), .Y(n55687) );
+  sky130_fd_sc_hd__nand2_1 U75605 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[9]), .B(n55824), .Y(n55686) );
+  sky130_fd_sc_hd__nand3_1 U75606 ( .A(n55691), .B(n55687), .C(n55686), .Y(
+        n55693) );
+  sky130_fd_sc_hd__nor2_1 U75607 ( .A(n55688), .B(n55693), .Y(n55761) );
+  sky130_fd_sc_hd__nand2_1 U75608 ( .A(n55689), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[7]), .Y(n55753) );
+  sky130_fd_sc_hd__a2bb2oi_1 U75609 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[9]), .B2(n55690), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[8]), .A2_N(n55823), .Y(n55728) );
+  sky130_fd_sc_hd__nor2_1 U75610 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[10]), .B(n55828), .Y(n55755) );
+  sky130_fd_sc_hd__nor2_1 U75611 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[11]), .B(n55831), .Y(n55750) );
+  sky130_fd_sc_hd__a211oi_1 U75612 ( .A1(n55755), .A2(n55691), .B1(n55750), 
+        .C1(n55731), .Y(n55692) );
+  sky130_fd_sc_hd__o21ai_1 U75613 ( .A1(n55728), .A2(n55693), .B1(n55692), .Y(
+        n55694) );
+  sky130_fd_sc_hd__a31oi_1 U75614 ( .A1(n55695), .A2(n55761), .A3(n55753), 
+        .B1(n55694), .Y(n55696) );
+  sky130_fd_sc_hd__nor4_1 U75615 ( .A(n55698), .B(n55697), .C(n55721), .D(
+        n55696), .Y(n55699) );
+  sky130_fd_sc_hd__a211oi_1 U75616 ( .A1(n55736), .A2(n55700), .B1(n55735), 
+        .C1(n55699), .Y(n55701) );
+  sky130_fd_sc_hd__a21oi_1 U75617 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[16]), .A2(n55801), .B1(n55701), .Y(n55702) );
+  sky130_fd_sc_hd__a211oi_1 U75618 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[16]), .A2(n58900), .B1(n55740), .C1(n55702), .Y(n55703) );
+  sky130_fd_sc_hd__nor3_1 U75619 ( .A(n55742), .B(n55733), .C(n55703), .Y(
+        n55707) );
+  sky130_fd_sc_hd__nand2_1 U75620 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[24]), .B(n55855), .Y(n55705) );
+  sky130_fd_sc_hd__and3_1 U75621 ( .A(n55706), .B(n55705), .C(n55704), .X(
+        n55744) );
+  sky130_fd_sc_hd__nand2_1 U75624 ( .A(n55791), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[28]), .Y(n55713) );
+  sky130_fd_sc_hd__and3_1 U75625 ( .A(n55714), .B(n55713), .C(n55712), .X(
+        n55746) );
+  sky130_fd_sc_hd__nand2_1 U75626 ( .A(n55715), .B(n55746), .Y(n55716) );
+  sky130_fd_sc_hd__o21ai_1 U75627 ( .A1(n55718), .A2(n55717), .B1(n55716), .Y(
+        n55720) );
+  sky130_fd_sc_hd__o21ai_1 U75628 ( .A1(n58960), .A2(n55720), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_tmatch[1]), .Y(n55719) );
+  sky130_fd_sc_hd__a21oi_1 U75629 ( .A1(n58960), .A2(n55720), .B1(n55719), .Y(
+        n55781) );
+  sky130_fd_sc_hd__nor2_1 U75630 ( .A(n55722), .B(n55721), .Y(n55727) );
+  sky130_fd_sc_hd__and3_1 U75631 ( .A(n55727), .B(n55726), .C(n55725), .X(
+        n55779) );
+  sky130_fd_sc_hd__nand2b_1 U75632 ( .A_N(n55729), .B(n55728), .Y(n55730) );
+  sky130_fd_sc_hd__nor3_1 U75633 ( .A(n55732), .B(n55731), .C(n55730), .Y(
+        n55778) );
+  sky130_fd_sc_hd__xnor2_1 U75634 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[16]), .B(n55801), .Y(n55739) );
+  sky130_fd_sc_hd__or4_1 U75635 ( .A(n55736), .B(n55735), .C(n55734), .D(
+        n55733), .X(n55737) );
+  sky130_fd_sc_hd__or4_1 U75636 ( .A(n55740), .B(n55739), .C(n55738), .D(
+        n55737), .X(n55776) );
+  sky130_fd_sc_hd__a21oi_1 U75638 ( .A1(n58960), .A2(n55743), .B1(n55742), .Y(
+        n55745) );
+  sky130_fd_sc_hd__nand3_1 U75639 ( .A(n55746), .B(n55745), .C(n55744), .Y(
+        n55775) );
+  sky130_fd_sc_hd__nand2_1 U75640 ( .A(n55748), .B(n55747), .Y(n55749) );
+  sky130_fd_sc_hd__nor3_1 U75641 ( .A(n55751), .B(n55750), .C(n55749), .Y(
+        n55773) );
+  sky130_fd_sc_hd__nand4b_1 U75642 ( .A_N(n55755), .B(n55754), .C(n55753), .D(
+        n55752), .Y(n55756) );
+  sky130_fd_sc_hd__and3_1 U75643 ( .A(n55761), .B(n55760), .C(n55759), .X(
+        n55771) );
+  sky130_fd_sc_hd__nand2_1 U75644 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_tmatch[0]), .Y(n58992) );
+  sky130_fd_sc_hd__nand2_1 U75645 ( .A(n55762), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[1]), .Y(n59009) );
+  sky130_fd_sc_hd__xor2_1 U75646 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[3]), .X(n55769) );
+  sky130_fd_sc_hd__clkinv_1 U75647 ( .A(n55763), .Y(n55766) );
+  sky130_fd_sc_hd__xor2_1 U75648 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[1]), .X(n55764) );
+  sky130_fd_sc_hd__nand2_1 U75649 ( .A(n55764), .B(n58992), .Y(n55765) );
+  sky130_fd_sc_hd__a31oi_1 U75650 ( .A1(n55767), .A2(n55766), .A3(n55765), 
+        .B1(n59007), .Y(n55768) );
+  sky130_fd_sc_hd__o22ai_1 U75651 ( .A1(n59008), .A2(n59009), .B1(n55769), 
+        .B2(n55768), .Y(n55770) );
+  sky130_fd_sc_hd__nand4_1 U75652 ( .A(n55773), .B(n55772), .C(n55771), .D(
+        n55770), .Y(n55774) );
+  sky130_fd_sc_hd__nor3_1 U75653 ( .A(n55776), .B(n55775), .C(n55774), .Y(
+        n55777) );
+  sky130_fd_sc_hd__a31oi_1 U75654 ( .A1(n55779), .A2(n55778), .A3(n55777), 
+        .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_tmatch[1]), .Y(n55780) );
+  sky130_fd_sc_hd__nor3_1 U75655 ( .A(n59022), .B(n55781), .C(n55780), .Y(
+        n55939) );
+  sky130_fd_sc_hd__nand2_1 U75656 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_w), .B(n55939), .Y(n55943) );
+  sky130_fd_sc_hd__o22ai_1 U75657 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_1_status_prv[1]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_s), .B1(n78268), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_m), .Y(n55782) );
+  sky130_fd_sc_hd__nor3_1 U75658 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_status_debug), .B(n64074), .C(n55782), .Y(n55783) );
+  sky130_fd_sc_hd__a21oi_1 U75659 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_u), .A2(n55784), .B1(n55783), .Y(n59173) );
+  sky130_fd_sc_hd__nor2_1 U75660 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[29]), .B(n55785), .Y(n55914) );
+  sky130_fd_sc_hd__nand2_1 U75661 ( .A(n55786), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[31]), .Y(n55790) );
+  sky130_fd_sc_hd__nand2_1 U75662 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[30]), .B(n55789), .Y(n55787) );
+  sky130_fd_sc_hd__nand2_1 U75663 ( .A(n55790), .B(n55787), .Y(n55891) );
+  sky130_fd_sc_hd__nor2_1 U75664 ( .A(n55914), .B(n55891), .Y(n55869) );
+  sky130_fd_sc_hd__o22ai_1 U75665 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[28]), .A2(n55791), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[29]), .B2(n55788), .Y(n55892) );
+  sky130_fd_sc_hd__a2bb2oi_1 U75666 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[31]), .B2(n59031), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[30]), .A2_N(n55789), .Y(n55889) );
+  sky130_fd_sc_hd__nand2_1 U75667 ( .A(n55792), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[27]), .Y(n55865) );
+  sky130_fd_sc_hd__nand2_1 U75668 ( .A(n55791), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[28]), .Y(n55898) );
+  sky130_fd_sc_hd__o22ai_1 U75669 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[26]), .A2(n55859), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[27]), .B2(n55792), .Y(n55890) );
+  sky130_fd_sc_hd__nor2_1 U75670 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[24]), .B(n55855), .Y(n55903) );
+  sky130_fd_sc_hd__nor2_1 U75671 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[25]), .B(n55793), .Y(n55916) );
+  sky130_fd_sc_hd__nor2_1 U75672 ( .A(n55903), .B(n55916), .Y(n55862) );
+  sky130_fd_sc_hd__a22oi_1 U75673 ( .A1(n55797), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[22]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[23]), .B2(n55794), .Y(n55852) );
+  sky130_fd_sc_hd__o22ai_1 U75674 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[20]), .A2(n55851), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[21]), .B2(n55795), .Y(n55913) );
+  sky130_fd_sc_hd__nor2_1 U75675 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[21]), .B(n55796), .Y(n55850) );
+  sky130_fd_sc_hd__nor2_1 U75676 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[22]), .B(n55797), .Y(n55915) );
+  sky130_fd_sc_hd__a21oi_1 U75677 ( .A1(n55913), .A2(n55798), .B1(n55915), .Y(
+        n55799) );
+  sky130_fd_sc_hd__nand2_1 U75678 ( .A(n59114), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[23]), .Y(n55921) );
+  sky130_fd_sc_hd__o22ai_1 U75680 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[16]), .A2(n55801), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[17]), .B2(n55846), .Y(n55878) );
+  sky130_fd_sc_hd__nand2_1 U75681 ( .A(n55839), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[12]), .Y(n55834) );
+  sky130_fd_sc_hd__nand2_1 U75682 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[9]), .B(n55824), .Y(n55802) );
+  sky130_fd_sc_hd__nand2_1 U75684 ( .A(n55803), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[0]), .Y(n55805) );
+  sky130_fd_sc_hd__nand2_1 U75685 ( .A(n62863), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[1]), .Y(n55804) );
+  sky130_fd_sc_hd__nand2_1 U75686 ( .A(n55805), .B(n55804), .Y(n55806) );
+  sky130_fd_sc_hd__nand2_1 U75687 ( .A(n59159), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[2]), .Y(n55886) );
+  sky130_fd_sc_hd__o211ai_1 U75688 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[1]), .A2(n62863), .B1(n55806), .C1(n55886), .Y(n55808) );
+  sky130_fd_sc_hd__nand2_1 U75689 ( .A(n55807), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[2]), .Y(n55887) );
+  sky130_fd_sc_hd__nand2_1 U75690 ( .A(n55808), .B(n55887), .Y(n55811) );
+  sky130_fd_sc_hd__nand2_1 U75691 ( .A(n55811), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[3]), .Y(n55809) );
+  sky130_fd_sc_hd__nand2_1 U75692 ( .A(n55809), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[3]), .Y(n55810) );
+  sky130_fd_sc_hd__nand2_1 U75694 ( .A(n55815), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[5]), .Y(n55816) );
+  sky130_fd_sc_hd__nand2_1 U75695 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[4]), .B(n55814), .Y(n55812) );
+  sky130_fd_sc_hd__nand2_1 U75696 ( .A(n55813), .B(n55875), .Y(n55818) );
+  sky130_fd_sc_hd__nor2_1 U75697 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[4]), .B(n55814), .Y(n55902) );
+  sky130_fd_sc_hd__o22ai_1 U75698 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[5]), .A2(n55815), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[6]), .B2(n55819), .Y(n55925) );
+  sky130_fd_sc_hd__a21oi_1 U75699 ( .A1(n55902), .A2(n55816), .B1(n55925), .Y(
+        n55817) );
+  sky130_fd_sc_hd__nand2_1 U75700 ( .A(n55818), .B(n55817), .Y(n55820) );
+  sky130_fd_sc_hd__a2bb2oi_1 U75701 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[6]), .B2(n55819), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[7]), .A2_N(n59115), .Y(n55874) );
+  sky130_fd_sc_hd__nand2_1 U75702 ( .A(n55820), .B(n55874), .Y(n55822) );
+  sky130_fd_sc_hd__nand2_1 U75703 ( .A(n59115), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[7]), .Y(n55896) );
+  sky130_fd_sc_hd__nand2_1 U75704 ( .A(n55821), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[8]), .Y(n55906) );
+  sky130_fd_sc_hd__nand3_1 U75705 ( .A(n55822), .B(n55896), .C(n55906), .Y(
+        n55825) );
+  sky130_fd_sc_hd__nand2_1 U75706 ( .A(n55823), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[8]), .Y(n55922) );
+  sky130_fd_sc_hd__nor2_1 U75707 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[9]), .B(n55824), .Y(n55920) );
+  sky130_fd_sc_hd__a21oi_1 U75708 ( .A1(n55825), .A2(n55922), .B1(n55920), .Y(
+        n55826) );
+  sky130_fd_sc_hd__o22a_1 U75709 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[10]), .A2(n55828), .B1(n55827), .B2(n55826), .X(n55832) );
+  sky130_fd_sc_hd__nand2_1 U75711 ( .A(n55837), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[15]), .Y(n55838) );
+  sky130_fd_sc_hd__nand2_1 U75712 ( .A(n55840), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[13]), .Y(n55830) );
+  sky130_fd_sc_hd__nand2_1 U75713 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[14]), .B(n55836), .Y(n55829) );
+  sky130_fd_sc_hd__nand3_1 U75714 ( .A(n55838), .B(n55830), .C(n55829), .Y(
+        n55901) );
+  sky130_fd_sc_hd__nand2_1 U75715 ( .A(n55832), .B(n55831), .Y(n55833) );
+  sky130_fd_sc_hd__nand4_1 U75716 ( .A(n55835), .B(n55841), .C(n55834), .D(
+        n55833), .Y(n55845) );
+  sky130_fd_sc_hd__nor2_1 U75717 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[14]), .B(n55836), .Y(n55894) );
+  sky130_fd_sc_hd__nor2_1 U75718 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[15]), .B(n55837), .Y(n55918) );
+  sky130_fd_sc_hd__a21oi_1 U75719 ( .A1(n55894), .A2(n55838), .B1(n55918), .Y(
+        n55844) );
+  sky130_fd_sc_hd__o22ai_1 U75720 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[13]), .A2(n55840), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[12]), .B2(n55839), .Y(n55872) );
+  sky130_fd_sc_hd__nand2_1 U75721 ( .A(n55841), .B(n55872), .Y(n55843) );
+  sky130_fd_sc_hd__nor2_1 U75722 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[16]), .B(n55842), .Y(n55895) );
+  sky130_fd_sc_hd__a31oi_1 U75723 ( .A1(n55845), .A2(n55844), .A3(n55843), 
+        .B1(n55895), .Y(n55847) );
+  sky130_fd_sc_hd__a2bb2oi_1 U75724 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[17]), .B2(n55846), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[18]), .A2_N(n59118), .Y(n55880) );
+  sky130_fd_sc_hd__o21ai_1 U75725 ( .A1(n55878), .A2(n55847), .B1(n55880), .Y(
+        n55854) );
+  sky130_fd_sc_hd__a2bb2oi_1 U75726 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[18]), .B2(n59118), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[19]), .A2_N(n55848), .Y(n55879) );
+  sky130_fd_sc_hd__nor2_1 U75727 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[19]), .B(n55849), .Y(n55919) );
+  sky130_fd_sc_hd__a21oi_1 U75728 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[20]), .A2(n55851), .B1(n55850), .Y(n55853) );
+  sky130_fd_sc_hd__nand2_1 U75729 ( .A(n55853), .B(n55852), .Y(n55910) );
+  sky130_fd_sc_hd__a211oi_1 U75730 ( .A1(n55854), .A2(n55879), .B1(n55919), 
+        .C1(n55910), .Y(n55856) );
+  sky130_fd_sc_hd__nand2_1 U75731 ( .A(n55855), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[24]), .Y(n55899) );
+  sky130_fd_sc_hd__nor2_1 U75733 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[25]), .B(n55858), .Y(n55904) );
+  sky130_fd_sc_hd__nand2_1 U75734 ( .A(n55859), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[26]), .Y(n55860) );
+  sky130_fd_sc_hd__nand2_1 U75735 ( .A(n55865), .B(n55860), .Y(n55877) );
+  sky130_fd_sc_hd__a211oi_1 U75736 ( .A1(n55862), .A2(n55861), .B1(n55904), 
+        .C1(n55877), .Y(n55863) );
+  sky130_fd_sc_hd__a32oi_1 U75737 ( .A1(n55865), .A2(n55864), .A3(n55890), 
+        .B1(n55863), .B2(n55864), .Y(n55866) );
+  sky130_fd_sc_hd__a21oi_1 U75739 ( .A1(n55869), .A2(n55892), .B1(n55868), .Y(
+        n55871) );
+  sky130_fd_sc_hd__a21oi_1 U75741 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_tmatch[0]), .A2(n55871), .B1(n55870), .Y(n55938) );
+  sky130_fd_sc_hd__and4_1 U75742 ( .A(n55876), .B(n55875), .C(n55874), .D(
+        n55873), .X(n55936) );
+  sky130_fd_sc_hd__and4_1 U75743 ( .A(n55882), .B(n55881), .C(n55880), .D(
+        n55879), .X(n55935) );
+  sky130_fd_sc_hd__xor2_1 U75744 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[1]), .X(n55883) );
+  sky130_fd_sc_hd__nand2_1 U75745 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_tmatch[0]), .Y(n55884) );
+  sky130_fd_sc_hd__nand2_1 U75746 ( .A(n55883), .B(n55884), .Y(n55888) );
+  sky130_fd_sc_hd__nor2_1 U75747 ( .A(n55885), .B(n55884), .Y(n59160) );
+  sky130_fd_sc_hd__a31oi_1 U75748 ( .A1(n55888), .A2(n55887), .A3(n55886), 
+        .B1(n59160), .Y(n55933) );
+  sky130_fd_sc_hd__nor4_1 U75749 ( .A(n55893), .B(n55892), .C(n55891), .D(
+        n55890), .Y(n55897) );
+  sky130_fd_sc_hd__nor4bb_1 U75750 ( .C_N(n55897), .D_N(n55896), .A(n55895), 
+        .B(n55894), .Y(n55908) );
+  sky130_fd_sc_hd__nand2_1 U75751 ( .A(n55899), .B(n55898), .Y(n55900) );
+  sky130_fd_sc_hd__nor4_1 U75752 ( .A(n55903), .B(n55902), .C(n55901), .D(
+        n55900), .Y(n55907) );
+  sky130_fd_sc_hd__nand4_1 U75753 ( .A(n55908), .B(n55907), .C(n55906), .D(
+        n55905), .Y(n55932) );
+  sky130_fd_sc_hd__nand2_1 U75754 ( .A(n59160), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[2]), .Y(n59143) );
+  sky130_fd_sc_hd__xor2_1 U75755 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[3]), .X(n55912) );
+  sky130_fd_sc_hd__xnor2_1 U75756 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[0]), .Y(n55909) );
+  sky130_fd_sc_hd__nor2_1 U75757 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_tmatch[0]), .B(n55909), .Y(n55911) );
+  sky130_fd_sc_hd__a211oi_1 U75758 ( .A1(n59143), .A2(n55912), .B1(n55911), 
+        .C1(n55910), .Y(n55930) );
+  sky130_fd_sc_hd__nor3_1 U75759 ( .A(n55915), .B(n55914), .C(n55913), .Y(
+        n55929) );
+  sky130_fd_sc_hd__xor2_1 U75760 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[10]), .X(n55917) );
+  sky130_fd_sc_hd__nor3_1 U75761 ( .A(n55918), .B(n55917), .C(n55916), .Y(
+        n55928) );
+  sky130_fd_sc_hd__xor2_1 U75762 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[11]), .X(n55926) );
+  sky130_fd_sc_hd__nor2_1 U75763 ( .A(n55920), .B(n55919), .Y(n55923) );
+  sky130_fd_sc_hd__nand3_1 U75764 ( .A(n55923), .B(n55922), .C(n55921), .Y(
+        n55924) );
+  sky130_fd_sc_hd__nor3_1 U75765 ( .A(n55926), .B(n55925), .C(n55924), .Y(
+        n55927) );
+  sky130_fd_sc_hd__nand4_1 U75766 ( .A(n55930), .B(n55929), .C(n55928), .D(
+        n55927), .Y(n55931) );
+  sky130_fd_sc_hd__nor3_1 U75767 ( .A(n55933), .B(n55932), .C(n55931), .Y(
+        n55934) );
+  sky130_fd_sc_hd__a31oi_1 U75768 ( .A1(n55936), .A2(n55935), .A3(n55934), 
+        .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_tmatch[1]), .Y(n55937) );
+  sky130_fd_sc_hd__nor3_1 U75769 ( .A(n59173), .B(n55938), .C(n55937), .Y(
+        n55940) );
+  sky130_fd_sc_hd__nand2_1 U75770 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_w), .B(n55940), .Y(n55950) );
+  sky130_fd_sc_hd__nand2_1 U75771 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_store), .B(n55950), .Y(n55942) );
+  sky130_fd_sc_hd__nand2_1 U75772 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_r), .B(n55939), .Y(n55945) );
+  sky130_fd_sc_hd__nand2_1 U75773 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_r), .B(n55940), .Y(n55948) );
+  sky130_fd_sc_hd__nand2_1 U75774 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_load), .B(n55948), .Y(n55941) );
+  sky130_fd_sc_hd__o22ai_1 U75775 ( .A1(n55943), .A2(n55942), .B1(n55945), 
+        .B2(n55941), .Y(n60557) );
+  sky130_fd_sc_hd__o21ai_1 U75776 ( .A1(n55944), .A2(n59175), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_store), .Y(n55949) );
+  sky130_fd_sc_hd__o22ai_1 U75778 ( .A1(n55950), .A2(n55949), .B1(n55948), 
+        .B2(n55947), .Y(n60559) );
+  sky130_fd_sc_hd__a21oi_1 U75779 ( .A1(n59175), .A2(n60557), .B1(n60559), .Y(
+        n77481) );
+  sky130_fd_sc_hd__nand2b_1 U75780 ( .A_N(n77481), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_valid), .Y(n55954) );
+  sky130_fd_sc_hd__nand2b_1 U75781 ( .A_N(n73269), .B(n73264), .Y(n64212) );
+  sky130_fd_sc_hd__nand2_1 U75782 ( .A(n55951), .B(n62865), .Y(n82493) );
+  sky130_fd_sc_hd__o21a_1 U75783 ( .A1(n55953), .A2(n64212), .B1(n55952), .X(
+        n82492) );
+  sky130_fd_sc_hd__nand2_1 U75784 ( .A(n55954), .B(n82492), .Y(n61447) );
+  sky130_fd_sc_hd__nor2_1 U75785 ( .A(n77480), .B(n61447), .Y(n85488) );
+  sky130_fd_sc_hd__nand2_1 U75786 ( .A(n85630), .B(n83351), .Y(n78281) );
+  sky130_fd_sc_hd__nand2_1 U75787 ( .A(n85750), .B(n72568), .Y(n70034) );
+  sky130_fd_sc_hd__nand2_1 U75788 ( .A(n85822), .B(n72568), .Y(n78286) );
+  sky130_fd_sc_hd__nand2_1 U75789 ( .A(n85631), .B(n72568), .Y(n70463) );
+  sky130_fd_sc_hd__nand2_1 U75790 ( .A(n85596), .B(n72568), .Y(n68866) );
+  sky130_fd_sc_hd__nand2_1 U75791 ( .A(n85749), .B(n72568), .Y(n69050) );
+  sky130_fd_sc_hd__o21ai_1 U75792 ( .A1(n60045), .A2(n55958), .B1(n55957), .Y(
+        n55956) );
+  sky130_fd_sc_hd__nand2_1 U75793 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_status_debug), .B(n72568), .Y(n55961) );
+  sky130_fd_sc_hd__nand2_1 U75794 ( .A(n55956), .B(n55955), .Y(n70194) );
+  sky130_fd_sc_hd__o21ai_1 U75796 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__newBPC_T_27_), .A2(n59175), .B1(n55959), .Y(n55960) );
+  sky130_fd_sc_hd__nor2_1 U75797 ( .A(n55961), .B(n55960), .Y(n85489) );
+  sky130_fd_sc_hd__nand2_1 U75798 ( .A(n85630), .B(n72568), .Y(n82478) );
+  sky130_fd_sc_hd__mux2_2 U75799 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history[7]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_bht_history[7]), .S(n62941), .X(n85651) );
+  sky130_fd_sc_hd__mux2_2 U75800 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history[6]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_bht_history[6]), .S(n62941), .X(n85652) );
+  sky130_fd_sc_hd__mux2_2 U75801 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history[5]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_bht_history[5]), .S(n62941), .X(n85653) );
+  sky130_fd_sc_hd__mux2_2 U75802 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history[4]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_bht_history[4]), .S(n62941), .X(n85654) );
+  sky130_fd_sc_hd__mux2_2 U75803 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history[3]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_bht_history[3]), .S(n62941), .X(n85655) );
+  sky130_fd_sc_hd__mux2_2 U75804 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history[2]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_bht_history[2]), .S(n62941), .X(n85656) );
+  sky130_fd_sc_hd__mux2_2 U75805 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history[1]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_bht_history[1]), .S(n62941), .X(n85657) );
+  sky130_fd_sc_hd__mux2_2 U75806 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_enq_bits_btb_bht_history[0]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_bht_history[0]), .S(n62941), .X(n85658) );
+  sky130_fd_sc_hd__nand2_1 U75807 ( .A(n55962), .B(n56017), .Y(n74034) );
+  sky130_fd_sc_hd__nor2_1 U75808 ( .A(n56018), .B(n74034), .Y(n61631) );
+  sky130_fd_sc_hd__nand2_1 U75809 ( .A(n74018), .B(n56017), .Y(n77927) );
+  sky130_fd_sc_hd__nor2_1 U75810 ( .A(n73874), .B(n61631), .Y(n55963) );
+  sky130_fd_sc_hd__a222oi_1 U75811 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[8]), .A2(n61631), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[10]), .B2(n55963), .C1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[12]), .C2(n73874), .Y(n77895) );
+  sky130_fd_sc_hd__o22ai_1 U75812 ( .A1(n77895), .A2(n80498), .B1(n77883), 
+        .B2(n77931), .Y(n85840) );
+  sky130_fd_sc_hd__a32oi_1 U75813 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[11]), .A2(n61630), .A3(n77927), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[9]), .B2(n61631), .Y(n55964) );
+  sky130_fd_sc_hd__o22ai_1 U75814 ( .A1(n77910), .A2(n80498), .B1(n77882), 
+        .B2(n77931), .Y(n85841) );
+  sky130_fd_sc_hd__a22oi_1 U75815 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[117]), .B1(n55974), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[175]), .Y(n55969) );
+  sky130_fd_sc_hd__a22oi_1 U75816 ( .A1(n83864), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[88]), .B1(n83858), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[59]), .Y(n55968) );
+  sky130_fd_sc_hd__a22oi_1 U75817 ( .A1(n83868), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[30]), .B1(n83865), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[204]), .Y(n55967) );
+  sky130_fd_sc_hd__a22oi_1 U75818 ( .A1(n55965), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[146]), .B1(n83870), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[1]), .Y(n55966) );
+  sky130_fd_sc_hd__nand4_1 U75819 ( .A(n55969), .B(n55968), .C(n55967), .D(
+        n55966), .Y(n85181) );
+  sky130_fd_sc_hd__nand2_1 U75820 ( .A(n55980), .B(n55970), .Y(n55973) );
+  sky130_fd_sc_hd__nand2_1 U75821 ( .A(n85181), .B(n78346), .Y(n55972) );
+  sky130_fd_sc_hd__nand2_1 U75822 ( .A(n78347), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[1]), .Y(n55971) );
+  sky130_fd_sc_hd__nand3_1 U75823 ( .A(n55973), .B(n55972), .C(n55971), .Y(
+        n85722) );
+  sky130_fd_sc_hd__a22oi_1 U75824 ( .A1(n83867), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[145]), .B1(n83866), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[116]), .Y(n55978) );
+  sky130_fd_sc_hd__a22oi_1 U75825 ( .A1(n83868), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[29]), .B1(n83858), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[58]), .Y(n55977) );
+  sky130_fd_sc_hd__a22oi_1 U75826 ( .A1(n83864), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[87]), .B1(n55974), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[174]), .Y(n55976) );
+  sky130_fd_sc_hd__a22oi_1 U75827 ( .A1(n83870), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[0]), .B1(n83844), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_address[203]), .Y(n55975) );
+  sky130_fd_sc_hd__nand4_1 U75828 ( .A(n55978), .B(n55977), .C(n55976), .D(
+        n55975), .Y(n85184) );
+  sky130_fd_sc_hd__nand2_1 U75830 ( .A(n85184), .B(n78346), .Y(n55983) );
+  sky130_fd_sc_hd__nand2_1 U75831 ( .A(n78347), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[0]), .Y(n55982) );
+  sky130_fd_sc_hd__nand3_1 U75832 ( .A(n55984), .B(n55983), .C(n55982), .Y(
+        n85721) );
+  sky130_fd_sc_hd__a22oi_1 U75833 ( .A1(n55986), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_size[0]), .B1(n55985), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_size[0]), .Y(n55989) );
+  sky130_fd_sc_hd__a22oi_1 U75834 ( .A1(n54782), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_size[0]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_size[0]), .B2(n37033), .Y(n55988) );
+  sky130_fd_sc_hd__nand2_1 U75835 ( .A(n55989), .B(n55988), .Y(n85185) );
+  sky130_fd_sc_hd__a22oi_1 U75836 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_saved_size[0]), .B1(n55990), .B2(n85185), .Y(n60994) );
+  sky130_fd_sc_hd__o22ai_1 U75837 ( .A1(n83368), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_dOrig[0]), .B1(n83356), .B2(n85196), .Y(n83955) );
+  sky130_fd_sc_hd__o22ai_1 U75838 ( .A1(n55991), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_dOrig[0]), .B1(n83375), .B2(n85197), .Y(n83951) );
+  sky130_fd_sc_hd__nor2_1 U75839 ( .A(n55992), .B(n83951), .Y(n56003) );
+  sky130_fd_sc_hd__o22ai_1 U75840 ( .A1(n83407), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_dOrig[0]), .B1(n83408), .B2(n85198), .Y(n83963) );
+  sky130_fd_sc_hd__o22ai_1 U75841 ( .A1(n83449), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_dOrig[0]), .B1(n83446), .B2(n85199), .Y(n83961) );
+  sky130_fd_sc_hd__o22ai_1 U75842 ( .A1(n55994), .A2(n83963), .B1(n55993), 
+        .B2(n83961), .Y(n56002) );
+  sky130_fd_sc_hd__o22ai_1 U75843 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_size[3]), .B1(n55995), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_size[0]), .Y(n83966) );
+  sky130_fd_sc_hd__o22ai_1 U75844 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_size[3]), .B1(n55996), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_size[0]), .Y(n83954) );
+  sky130_fd_sc_hd__o22ai_1 U75845 ( .A1(n55997), .A2(n83966), .B1(n83954), 
+        .B2(n83777), .Y(n56001) );
+  sky130_fd_sc_hd__o22ai_1 U75846 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_size[3]), .B1(n83468), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_ram_size[0]), .Y(n83958) );
+  sky130_fd_sc_hd__o22ai_1 U75847 ( .A1(n83431), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_dOrig[0]), .B1(n83426), .B2(n85200), .Y(n83960) );
+  sky130_fd_sc_hd__o22ai_1 U75848 ( .A1(n83958), .A2(n55999), .B1(n55998), 
+        .B2(n83960), .Y(n56000) );
+  sky130_fd_sc_hd__nor4_1 U75849 ( .A(n56003), .B(n56002), .C(n56001), .D(
+        n56000), .Y(n56004) );
+  sky130_fd_sc_hd__o21ai_1 U75850 ( .A1(n56005), .A2(n83955), .B1(n56004), .Y(
+        n85201) );
+  sky130_fd_sc_hd__a222oi_1 U75851 ( .A1(n73741), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[8]), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[10]), .B2(n56006), .C1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[12]), .C2(n73733), .Y(n77862) );
+  sky130_fd_sc_hd__o22ai_1 U75852 ( .A1(n77862), .A2(n77824), .B1(n77828), 
+        .B2(n77865), .Y(n85846) );
+  sky130_fd_sc_hd__nand2_1 U75853 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_io_out_valid), .B(n56008), .Y(n81042) );
+  sky130_fd_sc_hd__nand2_1 U75854 ( .A(n81048), .B(n56009), .Y(n81056) );
+  sky130_fd_sc_hd__nor2_1 U75855 ( .A(n37038), .B(n81056), .Y(n85852) );
+  sky130_fd_sc_hd__nand2_1 U75856 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_io_out_valid), .B(n56010), .Y(n81279) );
+  sky130_fd_sc_hd__nand2_1 U75857 ( .A(n81283), .B(n81284), .Y(n81280) );
+  sky130_fd_sc_hd__nor2_1 U75858 ( .A(n83631), .B(n81280), .Y(n85858) );
+  sky130_fd_sc_hd__or4_1 U75859 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt[5]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt[4]), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt[1]), .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt[3]), .X(n56011) );
+  sky130_fd_sc_hd__nor4_1 U75860 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt[2]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt[7]), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt[6]), .D(n56011), .Y(n61651) );
+  sky130_fd_sc_hd__nor4_1 U75861 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[8]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[10]), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[9]), .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[11]), .Y(n56014) );
+  sky130_fd_sc_hd__nor4_1 U75862 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[0]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[7]), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[4]), .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[6]), .Y(n56013) );
+  sky130_fd_sc_hd__nor4_1 U75863 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[5]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[1]), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[3]), .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[2]), .Y(n56012) );
+  sky130_fd_sc_hd__nand3_1 U75864 ( .A(n56014), .B(n56013), .C(n56012), .Y(
+        n80639) );
+  sky130_fd_sc_hd__nor2_1 U75865 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_cref), .B(n80639), .Y(n79372) );
+  sky130_fd_sc_hd__nand3_1 U75866 ( .A(n79371), .B(n79372), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_xfr), .Y(n56022) );
+  sky130_fd_sc_hd__nor2_1 U75867 ( .A(n79372), .B(n79376), .Y(n79370) );
+  sky130_fd_sc_hd__nor2_1 U75868 ( .A(n79370), .B(n79371), .Y(n80574) );
+  sky130_fd_sc_hd__nor2_1 U75869 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_maybe_full), .B(n56016), .Y(n73827) );
+  sky130_fd_sc_hd__nor2_1 U75870 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_state[2]), .B(n56017), .Y(n61632) );
+  sky130_fd_sc_hd__nand2_1 U75871 ( .A(n61632), .B(n56018), .Y(n74035) );
+  sky130_fd_sc_hd__nor2_1 U75872 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cnt[1]), .B(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cnt[2]), 
+        .Y(n61615) );
+  sky130_fd_sc_hd__nand2_1 U75873 ( .A(n61615), .B(n61616), .Y(n74017) );
+  sky130_fd_sc_hd__nor2_1 U75875 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_state[0]), .B(n74034), .Y(n74012) );
+  sky130_fd_sc_hd__nand2_1 U75876 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb_io_outer_cs_hold), .B(n74039), .Y(n56019) );
+  sky130_fd_sc_hd__o22ai_1 U75877 ( .A1(n73827), .A2(n77931), .B1(n60379), 
+        .B2(n56019), .Y(n80674) );
+  sky130_fd_sc_hd__nand2_1 U75878 ( .A(n80679), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_state[0]), .Y(n79413) );
+  sky130_fd_sc_hd__nor4_1 U75879 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_16[16]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_16[17]), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_16[18]), .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_16[19]), .Y(n56020) );
+  sky130_fd_sc_hd__nand4_1 U75880 ( .A(n80668), .B(n56020), .C(n79397), .D(
+        n79414), .Y(n56021) );
+  sky130_fd_sc_hd__nor3_1 U75881 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_16[20]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_16[22]), .C(n56021), .Y(n80667) );
+  sky130_fd_sc_hd__a21oi_1 U75882 ( .A1(n85789), .A2(n80676), .B1(n80667), .Y(
+        n80660) );
+  sky130_fd_sc_hd__nand2_1 U75883 ( .A(n60938), .B(n80660), .Y(n80582) );
+  sky130_fd_sc_hd__nand2_1 U75884 ( .A(n56022), .B(n80582), .Y(n85842) );
+  sky130_fd_sc_hd__a22o_1 U75885 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[3]), .B1(n82522), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_address[15]), .X(n85202) );
+  sky130_fd_sc_hd__mux2i_1 U75886 ( .A0(n85202), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_address[5]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_io_full), .Y(n56024) );
+  sky130_fd_sc_hd__o21ai_1 U75887 ( .A1(n56025), .A2(n85203), .B1(n56024), .Y(
+        n85521) );
+  sky130_fd_sc_hd__nor2_1 U75888 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[20]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__WIRE_2[19]), .Y(n56026) );
+  sky130_fd_sc_hd__a21o_1 U75889 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_hit_way[0]), .A2(n56029), .B1(n56028), .X(n85818) );
+  sky130_fd_sc_hd__nand3_1 U75890 ( .A(n56034), .B(n56033), .C(n56032), .Y(
+        n76584) );
+  sky130_fd_sc_hd__nand2_1 U75891 ( .A(n77603), .B(n56036), .Y(n76831) );
+  sky130_fd_sc_hd__nand2_1 U75892 ( .A(n66510), .B(n77603), .Y(n56042) );
+  sky130_fd_sc_hd__nand2_1 U75893 ( .A(n59842), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_valid), .Y(n77619) );
+  sky130_fd_sc_hd__or2_2 U75894 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_valid), .B(n56038), .X(n78459) );
+  sky130_fd_sc_hd__o2bb2ai_1 U75895 ( .B1(n64172), .B2(n76618), .A1_N(n60177), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[11]), .Y(n56039) );
+  sky130_fd_sc_hd__a21oi_1 U75896 ( .A1(n78456), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr[11]), .B1(n56039), .Y(n56041) );
+  sky130_fd_sc_hd__nand2_1 U75897 ( .A(n85778), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[11]), .Y(n56040) );
+  sky130_fd_sc_hd__nand3_1 U75898 ( .A(n56042), .B(n56041), .C(n56040), .Y(
+        n76832) );
+  sky130_fd_sc_hd__nor2_1 U75899 ( .A(n56043), .B(n76832), .Y(n85451) );
+  sky130_fd_sc_hd__nor2_1 U75900 ( .A(n75948), .B(n56044), .Y(n85207) );
+  sky130_fd_sc_hd__nor2_1 U75901 ( .A(n75909), .B(n56044), .Y(n85210) );
+  sky130_fd_sc_hd__nor2_1 U75902 ( .A(n75910), .B(n56044), .Y(n85213) );
+  sky130_fd_sc_hd__nand2_1 U75903 ( .A(n56045), .B(n70399), .Y(n56047) );
+  sky130_fd_sc_hd__nor2_1 U75904 ( .A(n56046), .B(n55614), .Y(n75807) );
+  sky130_fd_sc_hd__nand2_1 U75905 ( .A(n85744), .B(n85630), .Y(n78274) );
+  sky130_fd_sc_hd__nor2_1 U75906 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[12]), .B(n57607), .Y(n70193) );
+  sky130_fd_sc_hd__nand2_1 U75907 ( .A(n56048), .B(n70193), .Y(n56049) );
+  sky130_fd_sc_hd__nand2_1 U75908 ( .A(n55614), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[12]), .Y(n70196) );
+  sky130_fd_sc_hd__nand2_1 U75909 ( .A(n85743), .B(n72568), .Y(n82479) );
+  sky130_fd_sc_hd__nand2_1 U75910 ( .A(n56050), .B(n70399), .Y(n56053) );
+  sky130_fd_sc_hd__nor2_1 U75911 ( .A(n56052), .B(n55614), .Y(n56051) );
+  sky130_fd_sc_hd__nand2_1 U75912 ( .A(n56054), .B(n70399), .Y(n56057) );
+  sky130_fd_sc_hd__nor2_1 U75913 ( .A(n56056), .B(n55614), .Y(n56055) );
+  sky130_fd_sc_hd__nand2_1 U75914 ( .A(n56058), .B(n70399), .Y(n56061) );
+  sky130_fd_sc_hd__nor2_1 U75915 ( .A(n56060), .B(n55614), .Y(n56059) );
+  sky130_fd_sc_hd__nor2_1 U75916 ( .A(n57607), .B(n56062), .Y(n56064) );
+  sky130_fd_sc_hd__mux2_2 U75917 ( .A0(n55614), .A1(n56064), .S(n56063), .X(
+        n85746) );
+  sky130_fd_sc_hd__nand2_1 U75918 ( .A(n85746), .B(n72568), .Y(n76372) );
+  sky130_fd_sc_hd__o21ai_1 U75919 ( .A1(n72378), .A2(n76374), .B1(n76372), .Y(
+        n85217) );
+  sky130_fd_sc_hd__nand2_1 U75920 ( .A(n85744), .B(n72568), .Y(n78541) );
+  sky130_fd_sc_hd__mux2i_1 U75921 ( .A0(n85220), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[2]), .S(n78347), .Y(n56066) );
+  sky130_fd_sc_hd__o21ai_1 U75922 ( .A1(n56068), .A2(n56067), .B1(n56066), .Y(
+        n85719) );
+  sky130_fd_sc_hd__mux2i_1 U75923 ( .A0(n85221), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_address[5]), .S(n78347), .Y(n56069) );
+  sky130_fd_sc_hd__o31ai_1 U75924 ( .A1(n56071), .A2(n56070), .A3(n85446), 
+        .B1(n56069), .Y(n85718) );
+  sky130_fd_sc_hd__mux2i_1 U75925 ( .A0(n56073), .A1(n56072), .S(n67148), .Y(
+        n85668) );
+  sky130_fd_sc_hd__nand2_1 U75926 ( .A(n85224), .B(n56950), .Y(n56074) );
+  sky130_fd_sc_hd__a22o_1 U75928 ( .A1(n56843), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[27]), 
+        .B1(n56919), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[27]), .X(n56076) );
+  sky130_fd_sc_hd__a21oi_1 U75929 ( .A1(n51688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[27]), .B1(n56076), .Y(n56080) );
+  sky130_fd_sc_hd__a22oi_1 U75930 ( .A1(n36814), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[27]), 
+        .B1(n36990), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[27]), 
+        .Y(n56079) );
+  sky130_fd_sc_hd__a22oi_1 U75931 ( .A1(n86736), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[27]), 
+        .B1(n56393), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[27]), 
+        .Y(n56078) );
+  sky130_fd_sc_hd__a22oi_1 U75932 ( .A1(n56372), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[27]), 
+        .B1(n48207), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[27]), 
+        .Y(n56077) );
+  sky130_fd_sc_hd__nand4_1 U75933 ( .A(n56080), .B(n56079), .C(n56078), .D(
+        n56077), .Y(n56097) );
+  sky130_fd_sc_hd__clkinv_1 U75934 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[27]), .Y(n56081) );
+  sky130_fd_sc_hd__o22ai_1 U75935 ( .A1(n56132), .A2(n56082), .B1(n56081), 
+        .B2(n56361), .Y(n56083) );
+  sky130_fd_sc_hd__a21oi_1 U75936 ( .A1(n56842), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[27]), .B1(n56083), .Y(n56084) );
+  sky130_fd_sc_hd__a21oi_1 U75938 ( .A1(n36789), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[27]), 
+        .B1(n56086), .Y(n56095) );
+  sky130_fd_sc_hd__a22oi_1 U75939 ( .A1(n56116), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[27]), 
+        .B1(n61081), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[27]), 
+        .Y(n56090) );
+  sky130_fd_sc_hd__a22oi_1 U75940 ( .A1(n63517), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[27]), .B1(n60000), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[27]), 
+        .Y(n56089) );
+  sky130_fd_sc_hd__a22oi_1 U75941 ( .A1(n63490), .A2(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_27_o_oe), .B1(n63514), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[27]), .Y(n56088) );
+  sky130_fd_sc_hd__a22oi_1 U75942 ( .A1(n79921), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[27]), 
+        .B1(n61078), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[27]), 
+        .Y(n56087) );
+  sky130_fd_sc_hd__nand4_1 U75943 ( .A(n56090), .B(n56089), .C(n56088), .D(
+        n56087), .Y(n56091) );
+  sky130_fd_sc_hd__a22oi_1 U75944 ( .A1(n56205), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[27]), 
+        .B1(n56122), .B2(n56091), .Y(n56094) );
+  sky130_fd_sc_hd__nand2_1 U75945 ( .A(n56275), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[27]), .Y(n56093) );
+  sky130_fd_sc_hd__nand2_1 U75946 ( .A(n56729), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_23[27]), .Y(n56092) );
+  sky130_fd_sc_hd__nand4_1 U75947 ( .A(n56095), .B(n56094), .C(n56093), .D(
+        n56092), .Y(n56096) );
+  sky130_fd_sc_hd__nor2_1 U75948 ( .A(n56097), .B(n56096), .Y(n56100) );
+  sky130_fd_sc_hd__a22oi_1 U75949 ( .A1(n56695), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23[27]), .B1(n56929), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[27]), .Y(n56099) );
+  sky130_fd_sc_hd__nand2_1 U75950 ( .A(n56667), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_23[27]), .Y(n56098) );
+  sky130_fd_sc_hd__nand3_1 U75951 ( .A(n56100), .B(n56099), .C(n56098), .Y(
+        n85226) );
+  sky130_fd_sc_hd__nor2_1 U75952 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_27[1]), .B(
+        n56144), .Y(n56102) );
+  sky130_fd_sc_hd__a211oi_1 U75953 ( .A1(n56146), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_27[1]), .B1(
+        n56102), .C1(n51388), .Y(n56111) );
+  sky130_fd_sc_hd__nand2_1 U75954 ( .A(n56104), .B(n56103), .Y(n56106) );
+  sky130_fd_sc_hd__xnor2_1 U75955 ( .A(n56106), .B(n56105), .Y(n56107) );
+  sky130_fd_sc_hd__a22oi_1 U75956 ( .A1(n56151), .A2(n85227), .B1(n56107), 
+        .B2(n56149), .Y(n56110) );
+  sky130_fd_sc_hd__nor2_1 U75957 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_27[0]), .B(
+        n56152), .Y(n56108) );
+  sky130_fd_sc_hd__o211ai_1 U75959 ( .A1(n56115), .A2(n56111), .B1(n56110), 
+        .C1(n56109), .Y(n85228) );
+  sky130_fd_sc_hd__mux2i_1 U75960 ( .A0(n56113), .A1(n56112), .S(n67148), .Y(
+        n85837) );
+  sky130_fd_sc_hd__nand2_1 U75961 ( .A(n36973), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[27]), .Y(n56114) );
+  sky130_fd_sc_hd__o22ai_1 U75962 ( .A1(n56744), .A2(n67573), .B1(n56950), 
+        .B2(n56115), .Y(n85229) );
+  sky130_fd_sc_hd__a22oi_1 U75963 ( .A1(n56116), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[24]), 
+        .B1(n61081), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[24]), 
+        .Y(n56120) );
+  sky130_fd_sc_hd__a22oi_1 U75964 ( .A1(n61078), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[24]), 
+        .B1(n60000), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[24]), 
+        .Y(n56119) );
+  sky130_fd_sc_hd__a22oi_1 U75965 ( .A1(n63490), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[24]), .B1(n63514), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[24]), .Y(n56118) );
+  sky130_fd_sc_hd__a22oi_1 U75966 ( .A1(n63517), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[24]), .B1(n79921), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[24]), 
+        .Y(n56117) );
+  sky130_fd_sc_hd__nand4_1 U75967 ( .A(n56120), .B(n56119), .C(n56118), .D(
+        n56117), .Y(n56121) );
+  sky130_fd_sc_hd__a22oi_1 U75968 ( .A1(n56123), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[24]), 
+        .B1(n56122), .B2(n56121), .Y(n56124) );
+  sky130_fd_sc_hd__o21ai_0 U75969 ( .A1(n67096), .A2(n56787), .B1(n56124), .Y(
+        n56140) );
+  sky130_fd_sc_hd__a22o_1 U75970 ( .A1(n56843), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[24]), 
+        .B1(n56919), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[24]), .X(n56125) );
+  sky130_fd_sc_hd__a21oi_1 U75971 ( .A1(n51688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[24]), .B1(n56125), .Y(n56129) );
+  sky130_fd_sc_hd__a22oi_1 U75972 ( .A1(n36814), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[24]), 
+        .B1(n36990), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[24]), 
+        .Y(n56128) );
+  sky130_fd_sc_hd__a22oi_1 U75973 ( .A1(n86736), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[24]), 
+        .B1(n56803), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[24]), 
+        .Y(n56127) );
+  sky130_fd_sc_hd__a22oi_1 U75974 ( .A1(n56804), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[24]), 
+        .B1(n48207), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[24]), 
+        .Y(n56126) );
+  sky130_fd_sc_hd__nand4_1 U75975 ( .A(n56129), .B(n56128), .C(n56127), .D(
+        n56126), .Y(n56139) );
+  sky130_fd_sc_hd__nand2_1 U75976 ( .A(n56729), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[24]), .Y(n56137) );
+  sky130_fd_sc_hd__clkinv_1 U75977 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[24]), .Y(n56130) );
+  sky130_fd_sc_hd__o22ai_1 U75978 ( .A1(n56132), .A2(n56131), .B1(n56130), 
+        .B2(n56361), .Y(n56133) );
+  sky130_fd_sc_hd__a21oi_1 U75979 ( .A1(n56296), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[24]), .B1(n56133), .Y(n56136) );
+  sky130_fd_sc_hd__nand2_1 U75980 ( .A(n36789), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[24]), 
+        .Y(n56135) );
+  sky130_fd_sc_hd__nand2_1 U75981 ( .A(n56681), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[24]), 
+        .Y(n56134) );
+  sky130_fd_sc_hd__nand4_1 U75982 ( .A(n56137), .B(n56136), .C(n56135), .D(
+        n56134), .Y(n56138) );
+  sky130_fd_sc_hd__nor3_1 U75983 ( .A(n56140), .B(n56139), .C(n56138), .Y(
+        n56143) );
+  sky130_fd_sc_hd__a22oi_1 U75984 ( .A1(n56695), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_16[24]), .B1(n56929), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[24]), .Y(n56142) );
+  sky130_fd_sc_hd__nand2_1 U75985 ( .A(n56667), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[24]), .Y(n56141) );
+  sky130_fd_sc_hd__nand3_1 U75986 ( .A(n56143), .B(n56142), .C(n56141), .Y(
+        n85233) );
+  sky130_fd_sc_hd__nor2_1 U75987 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_24[1]), .B(
+        n56144), .Y(n56145) );
+  sky130_fd_sc_hd__a211oi_1 U75988 ( .A1(n56146), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_24[1]), .B1(
+        n56145), .C1(n51388), .Y(n56156) );
+  sky130_fd_sc_hd__a22oi_1 U75989 ( .A1(n56151), .A2(n85234), .B1(n56150), 
+        .B2(n56149), .Y(n56155) );
+  sky130_fd_sc_hd__nor2_1 U75990 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_24[0]), .B(
+        n56152), .Y(n56153) );
+  sky130_fd_sc_hd__o211ai_1 U75992 ( .A1(n56160), .A2(n56156), .B1(n56155), 
+        .C1(n56154), .Y(n85235) );
+  sky130_fd_sc_hd__mux2i_1 U75993 ( .A0(n56158), .A1(n56157), .S(n55203), .Y(
+        n85834) );
+  sky130_fd_sc_hd__nand2_1 U75994 ( .A(n36973), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[24]), .Y(n56159) );
+  sky130_fd_sc_hd__o22ai_1 U75995 ( .A1(n56744), .A2(n67100), .B1(n56950), 
+        .B2(n56160), .Y(n85236) );
+  sky130_fd_sc_hd__nand2_1 U75996 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_io_out_valid), .B(n56161), .Y(n81125) );
+  sky130_fd_sc_hd__nand2_1 U75997 ( .A(n81131), .B(n56162), .Y(n81139) );
+  sky130_fd_sc_hd__nor2_1 U75998 ( .A(n60015), .B(n81139), .Y(n85854) );
+  sky130_fd_sc_hd__nand2_1 U75999 ( .A(n85237), .B(n56950), .Y(n56163) );
+  sky130_fd_sc_hd__mux2i_1 U76001 ( .A0(n56166), .A1(n56165), .S(n73814), .Y(
+        n85833) );
+  sky130_fd_sc_hd__nand2_1 U76002 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_io_out_valid), .B(n56167), .Y(n81196) );
+  sky130_fd_sc_hd__nand2_1 U76003 ( .A(n81204), .B(n81205), .Y(n81201) );
+  sky130_fd_sc_hd__nor2_1 U76004 ( .A(n37041), .B(n81201), .Y(n85855) );
+  sky130_fd_sc_hd__nand2_1 U76005 ( .A(n85239), .B(n56950), .Y(n56168) );
+  sky130_fd_sc_hd__a21oi_1 U76007 ( .A1(n60348), .A2(n59992), .B1(n76449), .Y(
+        n56177) );
+  sky130_fd_sc_hd__nor2_1 U76008 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_io_full), .B(n59326), .Y(n60924) );
+  sky130_fd_sc_hd__o21ai_1 U76009 ( .A1(n56172), .A2(n60257), .B1(n56171), .Y(
+        n56173) );
+  sky130_fd_sc_hd__nand3_1 U76011 ( .A(n60928), .B(n60926), .C(n83635), .Y(
+        n59933) );
+  sky130_fd_sc_hd__nor2_4 U76012 ( .A(n60924), .B(n59933), .Y(n80420) );
+  sky130_fd_sc_hd__inv_2 U76013 ( .A(n80420), .Y(n84176) );
+  sky130_fd_sc_hd__nor4b_4 U76014 ( .D_N(n56178), .A(n56177), .B(n56176), .C(
+        n84176), .Y(n61152) );
+  sky130_fd_sc_hd__nand2_1 U76015 ( .A(n86753), .B(n61152), .Y(n80398) );
+  sky130_fd_sc_hd__nor2_1 U76016 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_MPORT_addr[0]), .B(n80398), .Y(n85839) );
+  sky130_fd_sc_hd__nand2_1 U76017 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_cs_mode[0]), 
+        .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_cs_mode[1]), 
+        .Y(n85241) );
+  sky130_fd_sc_hd__nand2_1 U76018 ( .A(n85241), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_4_0_), .Y(n56180) );
+  sky130_fd_sc_hd__nand2_1 U76019 ( .A(n56180), .B(n77933), .Y(n56179) );
+  sky130_fd_sc_hd__nand2_1 U76021 ( .A(n85241), .B(n56181), .Y(n56183) );
+  sky130_fd_sc_hd__nand2_1 U76022 ( .A(n56183), .B(n77934), .Y(n56182) );
+  sky130_fd_sc_hd__o21ai_1 U76023 ( .A1(n77934), .A2(n56183), .B1(n56182), .Y(
+        n80380) );
+  sky130_fd_sc_hd__a21oi_1 U76024 ( .A1(MarmotCaravelChip_dut_sys_spi_0_cs_0), 
+        .A2(n80380), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_clear), .Y(
+        n56184) );
+  sky130_fd_sc_hd__o21ai_1 U76025 ( .A1(MarmotCaravelChip_dut_sys_spi_0_cs_0), 
+        .A2(n80380), .B1(n56184), .Y(n56185) );
+  sky130_fd_sc_hd__a21oi_1 U76026 ( .A1(MarmotCaravelChip_dut_sys_spi_0_cs_1), 
+        .A2(n80375), .B1(n56185), .Y(n56186) );
+  sky130_fd_sc_hd__nor2_1 U76028 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_state[1]), 
+        .B(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_state[0]), 
+        .Y(n80349) );
+  sky130_fd_sc_hd__nand2_1 U76029 ( .A(n80349), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_cs_assert), 
+        .Y(n80356) );
+  sky130_fd_sc_hd__nor2_1 U76030 ( .A(n80351), .B(n80356), .Y(n85790) );
+  sky130_fd_sc_hd__or4_1 U76031 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt[5]), 
+        .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt[4]), 
+        .C(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt[1]), 
+        .D(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt[3]), 
+        .X(n56187) );
+  sky130_fd_sc_hd__nor4_1 U76032 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt[2]), 
+        .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt[7]), 
+        .C(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt[6]), 
+        .D(n56187), .Y(n60649) );
+  sky130_fd_sc_hd__nor4_1 U76033 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[8]), 
+        .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[10]), .C(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[9]), 
+        .D(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[11]), .Y(n56190) );
+  sky130_fd_sc_hd__nor4_1 U76034 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[0]), 
+        .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[7]), 
+        .C(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[4]), 
+        .D(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[6]), 
+        .Y(n56189) );
+  sky130_fd_sc_hd__nor4_1 U76035 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[5]), 
+        .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[1]), 
+        .C(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[3]), 
+        .D(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[2]), 
+        .Y(n56188) );
+  sky130_fd_sc_hd__nand3_1 U76036 ( .A(n56190), .B(n56189), .C(n56188), .Y(
+        n80329) );
+  sky130_fd_sc_hd__nor2_1 U76037 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_cref), 
+        .B(n80329), .Y(n56191) );
+  sky130_fd_sc_hd__nand3_1 U76038 ( .A(n60704), .B(n56191), .C(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_xfr), 
+        .Y(n56194) );
+  sky130_fd_sc_hd__nor2_1 U76039 ( .A(n56191), .B(n80250), .Y(n79426) );
+  sky130_fd_sc_hd__nor2_1 U76040 ( .A(n79426), .B(n60704), .Y(n80176) );
+  sky130_fd_sc_hd__nand2_1 U76041 ( .A(n80176), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_io_link_rx_valid), .Y(n80369) );
+  sky130_fd_sc_hd__nor2b_1 U76042 ( .B_N(n56192), .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_maybe_full), .Y(n80362) );
+  sky130_fd_sc_hd__nand2b_1 U76043 ( .A_N(n80362), .B(n80349), .Y(n80381) );
+  sky130_fd_sc_hd__nor2_1 U76044 ( .A(n80369), .B(n80381), .Y(n56193) );
+  sky130_fd_sc_hd__nand2_1 U76045 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_cs_assert), 
+        .B(n80353), .Y(n59697) );
+  sky130_fd_sc_hd__nand2_1 U76046 ( .A(n56194), .B(n80400), .Y(n85793) );
+  sky130_fd_sc_hd__nand3_1 U76047 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_io_link_rx_valid), .B(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxen), .C(
+        n56195), .Y(n80392) );
+  sky130_fd_sc_hd__nand2_1 U76048 ( .A(n80388), .B(n80396), .Y(n80394) );
+  sky130_fd_sc_hd__nor2_1 U76049 ( .A(n74033), .B(n80394), .Y(n85838) );
+  sky130_fd_sc_hd__nor2_1 U76050 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_arbLost), .B(
+        n37038), .Y(n79588) );
+  sky130_fd_sc_hd__nor2_1 U76051 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_byteState[1]), 
+        .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_byteState[2]), 
+        .Y(n78098) );
+  sky130_fd_sc_hd__nand3_1 U76052 ( .A(n79588), .B(n78098), .C(n79583), .Y(
+        n60464) );
+  sky130_fd_sc_hd__nor2_1 U76053 ( .A(n79503), .B(n60464), .Y(n85829) );
+  sky130_fd_sc_hd__nand2_1 U76054 ( .A(n79503), .B(n78101), .Y(n79505) );
+  sky130_fd_sc_hd__nand2_1 U76055 ( .A(n75858), .B(n75860), .Y(n62903) );
+  sky130_fd_sc_hd__nor2_1 U76056 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_byteState[1]), 
+        .B(n79626), .Y(n79625) );
+  sky130_fd_sc_hd__nand4_1 U76057 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__nextCmd_T[6]), 
+        .B(n79588), .C(n79625), .D(n79583), .Y(n79515) );
+  sky130_fd_sc_hd__o21ai_1 U76058 ( .A1(n79505), .A2(n62903), .B1(n79515), .Y(
+        n85830) );
+  sky130_fd_sc_hd__nand2_1 U76059 ( .A(n85243), .B(n56950), .Y(n56196) );
+  sky130_fd_sc_hd__o21ai_1 U76060 ( .A1(n56950), .A2(n56197), .B1(n56196), .Y(
+        n85244) );
+  sky130_fd_sc_hd__nand2_1 U76061 ( .A(n36973), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[26]), .Y(n56198) );
+  sky130_fd_sc_hd__o22ai_1 U76062 ( .A1(n56744), .A2(n66812), .B1(n56950), 
+        .B2(n56199), .Y(n85245) );
+  sky130_fd_sc_hd__o22ai_1 U76063 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_fmt_endian), .A2(n80197), .B1(n56242), .B2(n60500), .Y(n85246) );
+  sky130_fd_sc_hd__o22ai_1 U76064 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_fmt_endian), .A2(n60500), .B1(n56242), .B2(n80197), .Y(n85247) );
+  sky130_fd_sc_hd__o22ai_1 U76065 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_fmt_endian), .A2(n80186), .B1(n56242), .B2(n60485), .Y(n85248) );
+  sky130_fd_sc_hd__o22ai_1 U76066 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_fmt_endian), .A2(n60485), .B1(n56242), .B2(n80186), .Y(n85249) );
+  sky130_fd_sc_hd__o22ai_1 U76067 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_fmt_endian), .A2(n80210), .B1(n56242), .B2(n56200), .Y(n85250) );
+  sky130_fd_sc_hd__o22ai_1 U76068 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_fmt_endian), .A2(n56200), .B1(n56242), .B2(n80210), .Y(n85251) );
+  sky130_fd_sc_hd__nand2_1 U76069 ( .A(n85252), .B(n56950), .Y(n56201) );
+  sky130_fd_sc_hd__o21ai_1 U76070 ( .A1(n56950), .A2(n56202), .B1(n56201), .Y(
+        n85253) );
+  sky130_fd_sc_hd__mux2i_1 U76071 ( .A0(n56204), .A1(n56203), .S(n67148), .Y(
+        n85832) );
+  sky130_fd_sc_hd__nand2_1 U76072 ( .A(n56275), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[25]), .Y(n56209) );
+  sky130_fd_sc_hd__a22oi_1 U76073 ( .A1(n56372), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[25]), 
+        .B1(n48207), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[25]), 
+        .Y(n56208) );
+  sky130_fd_sc_hd__a22oi_1 U76074 ( .A1(n56802), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[25]), 
+        .B1(n86736), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[25]), 
+        .Y(n56207) );
+  sky130_fd_sc_hd__a22oi_1 U76075 ( .A1(n56205), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[25]), 
+        .B1(n36789), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[25]), 
+        .Y(n56206) );
+  sky130_fd_sc_hd__nand4_1 U76076 ( .A(n56209), .B(n56208), .C(n56207), .D(
+        n56206), .Y(n56228) );
+  sky130_fd_sc_hd__nand2_1 U76077 ( .A(n56695), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23[25]), .Y(n56213) );
+  sky130_fd_sc_hd__a22oi_1 U76078 ( .A1(n56803), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[25]), 
+        .B1(n36990), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[25]), 
+        .Y(n56212) );
+  sky130_fd_sc_hd__a22oi_1 U76079 ( .A1(n56842), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[25]), .B1(n56681), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[25]), 
+        .Y(n56211) );
+  sky130_fd_sc_hd__nand2_1 U76080 ( .A(n51688), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[25]), .Y(n56210) );
+  sky130_fd_sc_hd__nand4_1 U76081 ( .A(n56213), .B(n56212), .C(n56211), .D(
+        n56210), .Y(n56214) );
+  sky130_fd_sc_hd__a21oi_1 U76082 ( .A1(n56667), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_23[25]), .B1(n56214), .Y(n56227) );
+  sky130_fd_sc_hd__nand2_1 U76083 ( .A(n56919), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[25]), .Y(n56218) );
+  sky130_fd_sc_hd__nand2_1 U76084 ( .A(n56843), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[25]), 
+        .Y(n56217) );
+  sky130_fd_sc_hd__a21oi_1 U76085 ( .A1(n56749), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[25]), .B1(n56215), .Y(n56216) );
+  sky130_fd_sc_hd__nand3_1 U76086 ( .A(n56218), .B(n56217), .C(n56216), .Y(
+        n56224) );
+  sky130_fd_sc_hd__a22oi_1 U76087 ( .A1(n63517), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[25]), .B1(n61078), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[25]), 
+        .Y(n56222) );
+  sky130_fd_sc_hd__o2bb2ai_1 U76088 ( .B1(n56700), .B2(n79974), .A1_N(n79921), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[25]), 
+        .Y(n56219) );
+  sky130_fd_sc_hd__a21oi_1 U76089 ( .A1(n60000), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[25]), 
+        .B1(n56219), .Y(n56221) );
+  sky130_fd_sc_hd__a22oi_1 U76090 ( .A1(n63514), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[25]), .B1(n61081), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[25]), 
+        .Y(n56220) );
+  sky130_fd_sc_hd__a31oi_1 U76091 ( .A1(n56222), .A2(n56221), .A3(n56220), 
+        .B1(n56765), .Y(n56223) );
+  sky130_fd_sc_hd__a211oi_1 U76092 ( .A1(n56729), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_23[25]), .B1(n56224), .C1(n56223), .Y(n56226) );
+  sky130_fd_sc_hd__a22oi_1 U76093 ( .A1(n36788), .A2(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_25_o_oe), .B1(n56929), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[25]), .Y(n56225) );
+  sky130_fd_sc_hd__nand4b_1 U76094 ( .A_N(n56228), .B(n56227), .C(n56226), .D(
+        n56225), .Y(n85255) );
+  sky130_fd_sc_hd__nand2_1 U76095 ( .A(n36973), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[25]), .Y(n56229) );
+  sky130_fd_sc_hd__o22ai_1 U76096 ( .A1(n56744), .A2(n67576), .B1(n56950), 
+        .B2(n56230), .Y(n85256) );
+  sky130_fd_sc_hd__a21oi_1 U76097 ( .A1(n57600), .A2(n85257), .B1(n56231), .Y(
+        n56232) );
+  sky130_fd_sc_hd__o21ai_1 U76098 ( .A1(n57252), .A2(n56233), .B1(n56232), .Y(
+        n85258) );
+  sky130_fd_sc_hd__nand2_1 U76099 ( .A(n85260), .B(n56950), .Y(n56234) );
+  sky130_fd_sc_hd__o21ai_1 U76100 ( .A1(n56950), .A2(n56235), .B1(n56234), .Y(
+        n85261) );
+  sky130_fd_sc_hd__nand2_1 U76101 ( .A(n85262), .B(n56950), .Y(n56236) );
+  sky130_fd_sc_hd__o21ai_1 U76102 ( .A1(n56950), .A2(n56237), .B1(n56236), .Y(
+        n85263) );
+  sky130_fd_sc_hd__nand2_1 U76103 ( .A(n36973), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[22]), .Y(n56238) );
+  sky130_fd_sc_hd__o22ai_1 U76104 ( .A1(n56744), .A2(n73365), .B1(n56950), 
+        .B2(n56239), .Y(n85264) );
+  sky130_fd_sc_hd__nand2_1 U76105 ( .A(n85265), .B(n56950), .Y(n56240) );
+  sky130_fd_sc_hd__o22ai_1 U76107 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_fmt_endian), .A2(n56243), .B1(n56242), .B2(n80219), .Y(n85267) );
+  sky130_fd_sc_hd__nand2_1 U76108 ( .A(n85268), .B(n56950), .Y(n56244) );
+  sky130_fd_sc_hd__a22oi_1 U76110 ( .A1(n49008), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[12]), .B1(n56932), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[12]), .Y(n56266) );
+  sky130_fd_sc_hd__a22oi_1 U76111 ( .A1(n49086), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[12]), .B1(n49101), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[12]), .Y(n56265) );
+  sky130_fd_sc_hd__a2bb2oi_1 U76112 ( .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[23]), .B2(n56246), .A1_N(n73369), .A2_N(n56252), .Y(n56251) );
+  sky130_fd_sc_hd__nand2_1 U76113 ( .A(n56247), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[20]), .Y(n56250) );
+  sky130_fd_sc_hd__nand2_1 U76114 ( .A(n56248), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[21]), .Y(n56249) );
+  sky130_fd_sc_hd__nand3_1 U76115 ( .A(n56251), .B(n56250), .C(n56249), .Y(
+        n56668) );
+  sky130_fd_sc_hd__o22ai_1 U76116 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[27]), .A2(n56253), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[26]), .B2(n56252), .Y(n56257) );
+  sky130_fd_sc_hd__o22ai_1 U76117 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[25]), .A2(n56255), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[24]), .B2(n56254), .Y(n56256) );
+  sky130_fd_sc_hd__o21ai_1 U76118 ( .A1(n56257), .A2(n56256), .B1(n56669), .Y(
+        n56258) );
+  sky130_fd_sc_hd__a21oi_1 U76120 ( .A1(n56262), .A2(n56261), .B1(n56260), .Y(
+        n67963) );
+  sky130_fd_sc_hd__a22oi_1 U76121 ( .A1(n56695), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_7_12), .B1(n56930), .B2(n67963), .Y(n56264) );
+  sky130_fd_sc_hd__a22oi_1 U76122 ( .A1(n36788), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[12]), .B1(n56929), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[12]), .Y(n56263) );
+  sky130_fd_sc_hd__nand4_1 U76123 ( .A(n56266), .B(n56265), .C(n56264), .D(
+        n56263), .Y(n56336) );
+  sky130_fd_sc_hd__clkinv_1 U76124 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[12]), .Y(n56268) );
+  sky130_fd_sc_hd__a22oi_1 U76125 ( .A1(n56713), .A2(n85270), .B1(n56712), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_1[4]), .Y(n56267) );
+  sky130_fd_sc_hd__o21ai_0 U76126 ( .A1(n56268), .A2(n56361), .B1(n56267), .Y(
+        n56269) );
+  sky130_fd_sc_hd__a21oi_1 U76127 ( .A1(n56840), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[12]), .B1(n56269), .Y(n56273) );
+  sky130_fd_sc_hd__a22oi_1 U76128 ( .A1(n56681), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[12]), 
+        .B1(n56843), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[12]), 
+        .Y(n56272) );
+  sky130_fd_sc_hd__nand2_1 U76129 ( .A(n48944), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[12]), .Y(n56271) );
+  sky130_fd_sc_hd__nand2_1 U76130 ( .A(n48818), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[12]), .Y(n56270) );
+  sky130_fd_sc_hd__nand4_1 U76131 ( .A(n56273), .B(n56272), .C(n56271), .D(
+        n56270), .Y(n56274) );
+  sky130_fd_sc_hd__a21oi_1 U76132 ( .A1(n48976), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[12]), 
+        .B1(n56274), .Y(n56294) );
+  sky130_fd_sc_hd__a22oi_1 U76133 ( .A1(n56275), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[12]), .B1(n56729), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_7_12), .Y(n56293) );
+  sky130_fd_sc_hd__a2bb2oi_1 U76134 ( .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[23]), 
+        .B2(n56277), .A1_N(n56276), .A2_N(n37001), .Y(n56282) );
+  sky130_fd_sc_hd__nand2_1 U76135 ( .A(n56278), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[21]), 
+        .Y(n56281) );
+  sky130_fd_sc_hd__nand2_1 U76136 ( .A(n56279), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[20]), 
+        .Y(n56280) );
+  sky130_fd_sc_hd__nand3_1 U76137 ( .A(n56282), .B(n56281), .C(n56280), .Y(
+        n56723) );
+  sky130_fd_sc_hd__o22ai_1 U76138 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[27]), 
+        .A2(n51099), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[26]), 
+        .B2(n37001), .Y(n56287) );
+  sky130_fd_sc_hd__o22ai_1 U76139 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[25]), 
+        .A2(n56284), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[24]), 
+        .B2(n56283), .Y(n56286) );
+  sky130_fd_sc_hd__o21ai_1 U76141 ( .A1(n61720), .A2(n56723), .B1(n56288), .Y(
+        n56289) );
+  sky130_fd_sc_hd__a21oi_1 U76142 ( .A1(n56290), .A2(n56726), .B1(n56289), .Y(
+        n68085) );
+  sky130_fd_sc_hd__a22oi_1 U76143 ( .A1(n56728), .A2(n68085), .B1(n56817), 
+        .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[12]), 
+        .Y(n56292) );
+  sky130_fd_sc_hd__a22oi_1 U76144 ( .A1(n56816), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[12]), 
+        .B1(n48974), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[12]), 
+        .Y(n56291) );
+  sky130_fd_sc_hd__nand4_1 U76145 ( .A(n56294), .B(n56293), .C(n56292), .D(
+        n56291), .Y(n56335) );
+  sky130_fd_sc_hd__a22oi_1 U76146 ( .A1(n36814), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[12]), 
+        .B1(n36990), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[12]), 
+        .Y(n56301) );
+  sky130_fd_sc_hd__a22oi_1 U76147 ( .A1(n86736), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[12]), 
+        .B1(n56803), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[12]), 
+        .Y(n56300) );
+  sky130_fd_sc_hd__a22o_1 U76148 ( .A1(n56296), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[12]), .B1(n56919), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[12]), .X(n56297) );
+  sky130_fd_sc_hd__a21oi_1 U76149 ( .A1(n51688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[12]), .B1(n56297), .Y(n56299) );
+  sky130_fd_sc_hd__a22oi_1 U76150 ( .A1(n56804), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[12]), 
+        .B1(n48207), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[12]), 
+        .Y(n56298) );
+  sky130_fd_sc_hd__nand4_1 U76151 ( .A(n56301), .B(n56300), .C(n56299), .D(
+        n56298), .Y(n56310) );
+  sky130_fd_sc_hd__a22oi_1 U76152 ( .A1(n48762), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[12]), .B1(n48789), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[12]), .Y(n56308) );
+  sky130_fd_sc_hd__a22oi_1 U76153 ( .A1(n56371), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[12]), 
+        .B1(n36789), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[12]), 
+        .Y(n56307) );
+  sky130_fd_sc_hd__a22oi_1 U76154 ( .A1(n63514), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[12]), .B1(n63517), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[12]), .Y(n56305) );
+  sky130_fd_sc_hd__o2bb2ai_1 U76155 ( .B1(n56700), .B2(n79946), .A1_N(n79921), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[12]), 
+        .Y(n56302) );
+  sky130_fd_sc_hd__a21oi_1 U76156 ( .A1(n60000), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[12]), 
+        .B1(n56302), .Y(n56304) );
+  sky130_fd_sc_hd__a22oi_1 U76157 ( .A1(n61081), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[12]), 
+        .B1(n61078), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[12]), 
+        .Y(n56303) );
+  sky130_fd_sc_hd__a31o_1 U76158 ( .A1(n56305), .A2(n56304), .A3(n56303), .B1(
+        n56765), .X(n56306) );
+  sky130_fd_sc_hd__nand3_1 U76159 ( .A(n56308), .B(n56307), .C(n56306), .Y(
+        n56309) );
+  sky130_fd_sc_hd__a211oi_1 U76160 ( .A1(n48880), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[12]), .B1(n56310), .C1(n56309), .Y(n56333) );
+  sky130_fd_sc_hd__nand2_1 U76161 ( .A(n56311), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[22]), .Y(n56318) );
+  sky130_fd_sc_hd__nand2_1 U76162 ( .A(n56312), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[23]), .Y(n56317) );
+  sky130_fd_sc_hd__nand2_1 U76163 ( .A(n56313), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[20]), .Y(n56316) );
+  sky130_fd_sc_hd__nand2_1 U76164 ( .A(n56314), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[21]), .Y(n56315) );
+  sky130_fd_sc_hd__nand4_1 U76165 ( .A(n56318), .B(n56317), .C(n56316), .D(
+        n56315), .Y(n56661) );
+  sky130_fd_sc_hd__o22ai_1 U76166 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[27]), .A2(n56320), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[26]), .B2(n56319), .Y(n56325) );
+  sky130_fd_sc_hd__o22ai_1 U76167 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[24]), .A2(n56322), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[25]), .B2(n56321), .Y(n56324) );
+  sky130_fd_sc_hd__a21oi_1 U76170 ( .A1(n56329), .A2(n56328), .B1(n56327), .Y(
+        n73428) );
+  sky130_fd_sc_hd__a22oi_1 U76171 ( .A1(n56667), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_7_12), .B1(n49103), .B2(n73428), .Y(n56332) );
+  sky130_fd_sc_hd__a22oi_1 U76172 ( .A1(n49121), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[12]), .B1(n56876), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[12]), .Y(n56331) );
+  sky130_fd_sc_hd__a22oi_1 U76173 ( .A1(n56875), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[12]), .B1(n49014), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[12]), .Y(n56330) );
+  sky130_fd_sc_hd__nand4_1 U76174 ( .A(n56333), .B(n56332), .C(n56331), .D(
+        n56330), .Y(n56334) );
+  sky130_fd_sc_hd__or3_1 U76175 ( .A(n56336), .B(n56335), .C(n56334), .X(
+        n85271) );
+  sky130_fd_sc_hd__mux2_2 U76176 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[44]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[12]), .S(n36973), .X(n85272) );
+  sky130_fd_sc_hd__nand2_1 U76177 ( .A(n85272), .B(n56950), .Y(n56337) );
+  sky130_fd_sc_hd__nand2_1 U76179 ( .A(n57367), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_12[0]), .Y(
+        n56341) );
+  sky130_fd_sc_hd__nand2_1 U76180 ( .A(n57600), .B(n85274), .Y(n56340) );
+  sky130_fd_sc_hd__o221ai_1 U76181 ( .A1(n83298), .A2(n85275), .B1(n57101), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_1[4]), .C1(n57100), .Y(n56339) );
+  sky130_fd_sc_hd__nand3_1 U76182 ( .A(n56341), .B(n56340), .C(n56339), .Y(
+        n85276) );
+  sky130_fd_sc_hd__nand2_1 U76183 ( .A(n85277), .B(n56950), .Y(n56342) );
+  sky130_fd_sc_hd__o21ai_1 U76184 ( .A1(n56950), .A2(n56343), .B1(n56342), .Y(
+        n85278) );
+  sky130_fd_sc_hd__a22o_1 U76185 ( .A1(n56345), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_7[16]), .B1(n56344), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_7[16]), .X(n56346) );
+  sky130_fd_sc_hd__a21oi_1 U76186 ( .A1(n48944), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[16]), .B1(n56346), .Y(n56347) );
+  sky130_fd_sc_hd__o21ai_0 U76187 ( .A1(n67236), .A2(n56787), .B1(n56347), .Y(
+        n56378) );
+  sky130_fd_sc_hd__o22ai_1 U76188 ( .A1(n61761), .A2(n56349), .B1(n56348), 
+        .B2(n36813), .Y(n56377) );
+  sky130_fd_sc_hd__a22oi_1 U76189 ( .A1(n61081), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[16]), 
+        .B1(n61078), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[16]), 
+        .Y(n56353) );
+  sky130_fd_sc_hd__a22o_1 U76190 ( .A1(n63514), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[16]), .B1(n79921), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[16]), 
+        .X(n56350) );
+  sky130_fd_sc_hd__a21oi_1 U76191 ( .A1(n63517), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[16]), .B1(n56350), .Y(n56352) );
+  sky130_fd_sc_hd__nand2_1 U76192 ( .A(n60000), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[16]), 
+        .Y(n56351) );
+  sky130_fd_sc_hd__a31oi_1 U76193 ( .A1(n56353), .A2(n56352), .A3(n56351), 
+        .B1(n56765), .Y(n56370) );
+  sky130_fd_sc_hd__a22oi_1 U76194 ( .A1(n56355), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_6[16]), .B1(n56354), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_6[16]), .Y(n56368) );
+  sky130_fd_sc_hd__a22oi_1 U76195 ( .A1(n56357), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_6[16]), .B1(n56356), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_7[16]), .Y(n56367) );
+  sky130_fd_sc_hd__clkinv_1 U76196 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[16]), .Y(n56362) );
+  sky130_fd_sc_hd__a22oi_1 U76197 ( .A1(n56359), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_2[0]), .B1(n56358), .B2(n85302), .Y(n56360) );
+  sky130_fd_sc_hd__o21ai_0 U76198 ( .A1(n56362), .A2(n56361), .B1(n56360), .Y(
+        n56363) );
+  sky130_fd_sc_hd__a21oi_1 U76199 ( .A1(n56754), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend[16]), .B1(n56363), .Y(n56366) );
+  sky130_fd_sc_hd__a22oi_1 U76200 ( .A1(n56755), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_16[16]), .B1(n56364), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_15[16]), .Y(n56365) );
+  sky130_fd_sc_hd__nand4_1 U76201 ( .A(n56368), .B(n56367), .C(n56366), .D(
+        n56365), .Y(n56369) );
+  sky130_fd_sc_hd__a211oi_1 U76202 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[16]), 
+        .A2(n36789), .B1(n56370), .C1(n56369), .Y(n56375) );
+  sky130_fd_sc_hd__a22oi_1 U76203 ( .A1(n86736), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[16]), 
+        .B1(n48207), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[16]), 
+        .Y(n56374) );
+  sky130_fd_sc_hd__a22oi_1 U76204 ( .A1(n56372), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[16]), 
+        .B1(n56371), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[16]), 
+        .Y(n56373) );
+  sky130_fd_sc_hd__nand3_1 U76205 ( .A(n56375), .B(n56374), .C(n56373), .Y(
+        n56376) );
+  sky130_fd_sc_hd__nor3_1 U76206 ( .A(n56378), .B(n56377), .C(n56376), .Y(
+        n56402) );
+  sky130_fd_sc_hd__a22oi_1 U76207 ( .A1(n56379), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_7[16]), .B1(n37077), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_6[16]), .Y(n56384) );
+  sky130_fd_sc_hd__a22oi_1 U76208 ( .A1(n56842), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[16]), .B1(n56841), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[16]), 
+        .Y(n56383) );
+  sky130_fd_sc_hd__nand2_1 U76209 ( .A(n56380), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_6[16]), .Y(n56382) );
+  sky130_fd_sc_hd__nand2_1 U76210 ( .A(n56843), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[16]), 
+        .Y(n56381) );
+  sky130_fd_sc_hd__nand4_1 U76211 ( .A(n56384), .B(n56383), .C(n56382), .D(
+        n56381), .Y(n56385) );
+  sky130_fd_sc_hd__a21oi_1 U76212 ( .A1(n49002), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[16]), 
+        .B1(n56385), .Y(n56401) );
+  sky130_fd_sc_hd__a22oi_1 U76213 ( .A1(n56695), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_16[16]), .B1(n56929), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[16]), .Y(n56400) );
+  sky130_fd_sc_hd__nand2_1 U76214 ( .A(n56386), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_7[16]), .Y(n56397) );
+  sky130_fd_sc_hd__clkinv_1 U76215 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[16]), .Y(n56389) );
+  sky130_fd_sc_hd__a222oi_1 U76216 ( .A1(n63494), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_9[16]), .B1(n59967), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_1[16]), .C1(n59966), .C2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_10[16]), .Y(n56387) );
+  sky130_fd_sc_hd__o22ai_1 U76217 ( .A1(n56389), .A2(n56388), .B1(n56387), 
+        .B2(n56914), .Y(n56390) );
+  sky130_fd_sc_hd__a21oi_1 U76218 ( .A1(n51688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[16]), .B1(n56390), .Y(n56396) );
+  sky130_fd_sc_hd__a222oi_1 U76219 ( .A1(n63183), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend[16]), .B1(n63182), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_16[16]), .C1(n80737), .C2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_15[16]), .Y(n56392) );
+  sky130_fd_sc_hd__a2bb2oi_1 U76220 ( .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[16]), 
+        .B2(n36990), .A1_N(n56392), .A2_N(n56391), .Y(n56395) );
+  sky130_fd_sc_hd__a22oi_1 U76221 ( .A1(n36814), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[16]), 
+        .B1(n56393), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[16]), 
+        .Y(n56394) );
+  sky130_fd_sc_hd__nand4_1 U76222 ( .A(n56397), .B(n56396), .C(n56395), .D(
+        n56394), .Y(n56398) );
+  sky130_fd_sc_hd__a21oi_1 U76223 ( .A1(n56667), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[16]), .B1(n56398), .Y(n56399) );
+  sky130_fd_sc_hd__nand4_1 U76224 ( .A(n56402), .B(n56401), .C(n56400), .D(
+        n56399), .Y(n85279) );
+  sky130_fd_sc_hd__mux2_2 U76225 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[48]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[16]), .S(n36973), .X(n85280) );
+  sky130_fd_sc_hd__nand2_1 U76226 ( .A(n85280), .B(n56950), .Y(n56403) );
+  sky130_fd_sc_hd__o21ai_1 U76227 ( .A1(n56950), .A2(n56404), .B1(n56403), .Y(
+        n85281) );
+  sky130_fd_sc_hd__a21oi_1 U76228 ( .A1(n57544), .A2(n57489), .B1(n56406), .Y(
+        n56407) );
+  sky130_fd_sc_hd__a21oi_1 U76229 ( .A1(n56409), .A2(n56408), .B1(n56407), .Y(
+        n56492) );
+  sky130_fd_sc_hd__a21oi_1 U76230 ( .A1(n56412), .A2(n56411), .B1(n56410), .Y(
+        n56420) );
+  sky130_fd_sc_hd__nand2b_1 U76231 ( .A_N(n56414), .B(n56413), .Y(n56500) );
+  sky130_fd_sc_hd__nor4b_1 U76232 ( .D_N(n56417), .A(n56416), .B(n56415), .C(
+        n56500), .Y(n56418) );
+  sky130_fd_sc_hd__a31oi_1 U76233 ( .A1(n56420), .A2(n56419), .A3(n56418), 
+        .B1(n57061), .Y(n56426) );
+  sky130_fd_sc_hd__o22ai_1 U76234 ( .A1(n56499), .A2(n57457), .B1(n57449), 
+        .B2(n56421), .Y(n56424) );
+  sky130_fd_sc_hd__o22ai_1 U76235 ( .A1(n56422), .A2(n57455), .B1(n57415), 
+        .B2(n57010), .Y(n56423) );
+  sky130_fd_sc_hd__nor4_1 U76236 ( .A(n56426), .B(n56425), .C(n56424), .D(
+        n56423), .Y(n56491) );
+  sky130_fd_sc_hd__a21oi_1 U76237 ( .A1(n56428), .A2(n41625), .B1(n56427), .Y(
+        n56443) );
+  sky130_fd_sc_hd__a31oi_1 U76238 ( .A1(n56432), .A2(n56431), .A3(n56430), 
+        .B1(n56429), .Y(n56437) );
+  sky130_fd_sc_hd__a21oi_1 U76239 ( .A1(n57339), .A2(n56494), .B1(n56433), .Y(
+        n56436) );
+  sky130_fd_sc_hd__nand4_1 U76240 ( .A(n56437), .B(n56436), .C(n56435), .D(
+        n56434), .Y(n56438) );
+  sky130_fd_sc_hd__a21oi_1 U76241 ( .A1(n56440), .A2(n56439), .B1(n56438), .Y(
+        n56442) );
+  sky130_fd_sc_hd__a31oi_1 U76242 ( .A1(n56443), .A2(n56442), .A3(n56441), 
+        .B1(n57158), .Y(n56489) );
+  sky130_fd_sc_hd__o22ai_1 U76243 ( .A1(n56467), .A2(n56993), .B1(n56444), 
+        .B2(n56499), .Y(n56449) );
+  sky130_fd_sc_hd__nand4b_1 U76244 ( .A_N(n56447), .B(n57352), .C(n56446), .D(
+        n56445), .Y(n56448) );
+  sky130_fd_sc_hd__nor3_1 U76245 ( .A(n56450), .B(n56449), .C(n56448), .Y(
+        n56454) );
+  sky130_fd_sc_hd__a31oi_1 U76246 ( .A1(n56454), .A2(n56453), .A3(n56452), 
+        .B1(n56451), .Y(n56488) );
+  sky130_fd_sc_hd__nor2_1 U76247 ( .A(n56455), .B(n57238), .Y(n56460) );
+  sky130_fd_sc_hd__o22ai_1 U76248 ( .A1(n56458), .A2(n56457), .B1(n36838), 
+        .B2(n56456), .Y(n56459) );
+  sky130_fd_sc_hd__nor4_1 U76249 ( .A(n56462), .B(n56461), .C(n56460), .D(
+        n56459), .Y(n56463) );
+  sky130_fd_sc_hd__a31oi_1 U76250 ( .A1(n56465), .A2(n56464), .A3(n56463), 
+        .B1(n57409), .Y(n56487) );
+  sky130_fd_sc_hd__o22ai_1 U76251 ( .A1(n56468), .A2(n57015), .B1(n56467), 
+        .B2(n56466), .Y(n56469) );
+  sky130_fd_sc_hd__a21oi_1 U76252 ( .A1(n57136), .A2(n56470), .B1(n56469), .Y(
+        n56485) );
+  sky130_fd_sc_hd__o22ai_1 U76253 ( .A1(n57014), .A2(n57319), .B1(n57053), 
+        .B2(n56471), .Y(n56478) );
+  sky130_fd_sc_hd__o22ai_1 U76254 ( .A1(n56473), .A2(n56472), .B1(n57448), 
+        .B2(n57452), .Y(n56477) );
+  sky130_fd_sc_hd__o22ai_1 U76255 ( .A1(n56475), .A2(n57444), .B1(n56474), 
+        .B2(n56996), .Y(n56476) );
+  sky130_fd_sc_hd__a211oi_1 U76256 ( .A1(n57088), .A2(n56478), .B1(n56477), 
+        .C1(n56476), .Y(n56484) );
+  sky130_fd_sc_hd__o21ai_1 U76257 ( .A1(n56480), .A2(n56479), .B1(n56999), .Y(
+        n56483) );
+  sky130_fd_sc_hd__nand2_1 U76258 ( .A(n37029), .B(n56481), .Y(n57026) );
+  sky130_fd_sc_hd__nand4_1 U76259 ( .A(n56485), .B(n56484), .C(n56483), .D(
+        n57026), .Y(n56486) );
+  sky130_fd_sc_hd__nor4_1 U76260 ( .A(n56489), .B(n56488), .C(n56487), .D(
+        n56486), .Y(n56490) );
+  sky130_fd_sc_hd__a31oi_1 U76261 ( .A1(n56492), .A2(n56491), .A3(n56490), 
+        .B1(n57517), .Y(n56611) );
+  sky130_fd_sc_hd__nor2_1 U76262 ( .A(n56494), .B(n56493), .Y(n56496) );
+  sky130_fd_sc_hd__o22ai_1 U76263 ( .A1(n56497), .A2(n56496), .B1(n56495), 
+        .B2(n57050), .Y(n56507) );
+  sky130_fd_sc_hd__o22ai_1 U76264 ( .A1(n41626), .A2(n57140), .B1(n56499), 
+        .B2(n56498), .Y(n56506) );
+  sky130_fd_sc_hd__a21oi_1 U76265 ( .A1(n41772), .A2(n57004), .B1(n56500), .Y(
+        n56504) );
+  sky130_fd_sc_hd__nand4_1 U76266 ( .A(n56504), .B(n56503), .C(n56502), .D(
+        n56501), .Y(n56505) );
+  sky130_fd_sc_hd__nor4_1 U76267 ( .A(n56508), .B(n56507), .C(n56506), .D(
+        n56505), .Y(n56511) );
+  sky130_fd_sc_hd__a31oi_1 U76268 ( .A1(n56512), .A2(n56511), .A3(n56510), 
+        .B1(n56509), .Y(n56610) );
+  sky130_fd_sc_hd__a22oi_1 U76269 ( .A1(n37065), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[48]), .B1(n41794), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[16]), .Y(n56536) );
+  sky130_fd_sc_hd__a22oi_1 U76270 ( .A1(n38401), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[16]), 
+        .B1(n82303), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[16]), 
+        .Y(n56516) );
+  sky130_fd_sc_hd__a22oi_1 U76271 ( .A1(n82296), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[16]), 
+        .B1(n38396), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[16]), 
+        .Y(n56515) );
+  sky130_fd_sc_hd__a22oi_1 U76272 ( .A1(n82278), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[16]), 
+        .B1(n82333), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[16]), 
+        .Y(n56514) );
+  sky130_fd_sc_hd__a22oi_1 U76273 ( .A1(n82309), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[16]), 
+        .B1(n82290), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[16]), 
+        .Y(n56513) );
+  sky130_fd_sc_hd__nand4_1 U76274 ( .A(n56516), .B(n56515), .C(n56514), .D(
+        n56513), .Y(n56522) );
+  sky130_fd_sc_hd__a22oi_1 U76275 ( .A1(n38397), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[16]), 
+        .B1(n82350), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[16]), 
+        .Y(n56520) );
+  sky130_fd_sc_hd__a22oi_1 U76276 ( .A1(n82321), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[16]), 
+        .B1(n82361), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[16]), 
+        .Y(n56519) );
+  sky130_fd_sc_hd__a22oi_1 U76277 ( .A1(n82339), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[16]), 
+        .B1(n82315), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[16]), 
+        .Y(n56518) );
+  sky130_fd_sc_hd__a22oi_1 U76278 ( .A1(n82284), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[16]), 
+        .B1(n82327), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[16]), 
+        .Y(n56517) );
+  sky130_fd_sc_hd__nand4_1 U76279 ( .A(n56520), .B(n56519), .C(n56518), .D(
+        n56517), .Y(n56521) );
+  sky130_fd_sc_hd__a211oi_1 U76280 ( .A1(n57586), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[16]), 
+        .B1(n56522), .C1(n56521), .Y(n56524) );
+  sky130_fd_sc_hd__a22oi_1 U76281 ( .A1(n57588), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[0]), .B1(n38443), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[16]), .Y(n56523) );
+  sky130_fd_sc_hd__o21a_1 U76282 ( .A1(n43381), .A2(n56524), .B1(n56523), .X(
+        n56535) );
+  sky130_fd_sc_hd__nand2_1 U76283 ( .A(n57558), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[16]), .Y(n56531) );
+  sky130_fd_sc_hd__a22oi_1 U76284 ( .A1(n56525), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__6[0]), .B1(n57587), .B2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__2[0]), .Y(n56526) );
+  sky130_fd_sc_hd__o21ai_0 U76285 ( .A1(n43401), .A2(n68010), .B1(n56526), .Y(
+        n56527) );
+  sky130_fd_sc_hd__a21oi_1 U76286 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[15]), 
+        .A2(n57560), .B1(n56527), .Y(n56530) );
+  sky130_fd_sc_hd__a22oi_1 U76287 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[1]), .A2(n57561), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[47]), 
+        .B2(n57564), .Y(n56529) );
+  sky130_fd_sc_hd__a22oi_1 U76288 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[15]), 
+        .A2(n57559), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[47]), 
+        .B2(n57563), .Y(n56528) );
+  sky130_fd_sc_hd__nand4_1 U76289 ( .A(n56531), .B(n56530), .C(n56529), .D(
+        n56528), .Y(n56532) );
+  sky130_fd_sc_hd__a21oi_1 U76290 ( .A1(n57570), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[0]), .B1(n56532), .Y(
+        n56534) );
+  sky130_fd_sc_hd__nand2_1 U76291 ( .A(n57571), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[48]), .Y(n56533) );
+  sky130_fd_sc_hd__nand4_1 U76292 ( .A(n56536), .B(n56535), .C(n56534), .D(
+        n56533), .Y(n56609) );
+  sky130_fd_sc_hd__o22ai_1 U76294 ( .A1(n56540), .A2(n57296), .B1(n56539), 
+        .B2(n56538), .Y(n56563) );
+  sky130_fd_sc_hd__a31oi_1 U76295 ( .A1(n56543), .A2(n57339), .A3(n56542), 
+        .B1(n56541), .Y(n56550) );
+  sky130_fd_sc_hd__nor4_1 U76296 ( .A(n56547), .B(n56546), .C(n56545), .D(
+        n56544), .Y(n56549) );
+  sky130_fd_sc_hd__nand4_1 U76297 ( .A(n56551), .B(n56550), .C(n56549), .D(
+        n56548), .Y(n56552) );
+  sky130_fd_sc_hd__nor4_1 U76298 ( .A(n56555), .B(n56554), .C(n56553), .D(
+        n56552), .Y(n56561) );
+  sky130_fd_sc_hd__nand2_1 U76299 ( .A(n56557), .B(n56556), .Y(n56560) );
+  sky130_fd_sc_hd__nand2_1 U76300 ( .A(n56559), .B(n56558), .Y(n57326) );
+  sky130_fd_sc_hd__o211ai_1 U76301 ( .A1(n57496), .A2(n56561), .B1(n56560), 
+        .C1(n57326), .Y(n56562) );
+  sky130_fd_sc_hd__nor4_1 U76302 ( .A(n56565), .B(n56564), .C(n56563), .D(
+        n56562), .Y(n56607) );
+  sky130_fd_sc_hd__nor2_1 U76303 ( .A(n56567), .B(n56566), .Y(n56577) );
+  sky130_fd_sc_hd__o22ai_1 U76304 ( .A1(n56570), .A2(n56569), .B1(n57334), 
+        .B2(n56568), .Y(n56571) );
+  sky130_fd_sc_hd__nor4_1 U76305 ( .A(n57488), .B(n56573), .C(n56572), .D(
+        n56571), .Y(n56576) );
+  sky130_fd_sc_hd__a31oi_1 U76306 ( .A1(n56577), .A2(n56576), .A3(n56575), 
+        .B1(n56574), .Y(n56603) );
+  sky130_fd_sc_hd__a211oi_1 U76307 ( .A1(n56582), .A2(n56581), .B1(n56580), 
+        .C1(n56579), .Y(n56586) );
+  sky130_fd_sc_hd__a31oi_1 U76308 ( .A1(n56586), .A2(n56585), .A3(n56584), 
+        .B1(n56583), .Y(n56602) );
+  sky130_fd_sc_hd__a21oi_1 U76309 ( .A1(n56588), .A2(n56587), .B1(n57357), .Y(
+        n56596) );
+  sky130_fd_sc_hd__a211oi_1 U76310 ( .A1(n56592), .A2(n41625), .B1(n56590), 
+        .C1(n56589), .Y(n56594) );
+  sky130_fd_sc_hd__a31oi_1 U76311 ( .A1(n56596), .A2(n56595), .A3(n56594), 
+        .B1(n56593), .Y(n56601) );
+  sky130_fd_sc_hd__o22ai_1 U76312 ( .A1(n57542), .A2(n56599), .B1(n56598), 
+        .B2(n56597), .Y(n56600) );
+  sky130_fd_sc_hd__nor4_1 U76313 ( .A(n56603), .B(n56602), .C(n56601), .D(
+        n56600), .Y(n56605) );
+  sky130_fd_sc_hd__o22ai_1 U76314 ( .A1(n56607), .A2(n56606), .B1(n56605), 
+        .B2(n56604), .Y(n56608) );
+  sky130_fd_sc_hd__or4_1 U76315 ( .A(n56611), .B(n56610), .C(n56609), .D(
+        n56608), .X(n85282) );
+  sky130_fd_sc_hd__nand2_1 U76316 ( .A(n36973), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[23]), .Y(n56612) );
+  sky130_fd_sc_hd__o22ai_1 U76317 ( .A1(n56744), .A2(n67089), .B1(n56950), 
+        .B2(n56613), .Y(n85284) );
+  sky130_fd_sc_hd__a21oi_1 U76318 ( .A1(n60348), .A2(n68438), .B1(n76449), .Y(
+        n56619) );
+  sky130_fd_sc_hd__a21oi_1 U76320 ( .A1(n73564), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_4), .B1(n56615), .Y(n73772) );
+  sky130_fd_sc_hd__nand2b_1 U76321 ( .A_N(n59326), .B(n68438), .Y(n61069) );
+  sky130_fd_sc_hd__nor2_1 U76322 ( .A(n37041), .B(n81077), .Y(n85853) );
+  sky130_fd_sc_hd__nor2_1 U76323 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_io_full), .B(n59326), .Y(n60977) );
+  sky130_fd_sc_hd__a21oi_1 U76324 ( .A1(n60348), .A2(n73789), .B1(n76449), .Y(
+        n56622) );
+  sky130_fd_sc_hd__nor2_1 U76325 ( .A(n56622), .B(n56621), .Y(n56623) );
+  sky130_fd_sc_hd__nand3_1 U76326 ( .A(n63475), .B(n56624), .C(n56623), .Y(
+        n63480) );
+  sky130_fd_sc_hd__nor2_1 U76327 ( .A(n36802), .B(n76257), .Y(n85526) );
+  sky130_fd_sc_hd__o21ai_1 U76328 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_io_full), .A2(n59995), .B1(n79917), .Y(n56626) );
+  sky130_fd_sc_hd__nand2_1 U76329 ( .A(n63701), .B(n60963), .Y(n81352) );
+  sky130_fd_sc_hd__nand3_1 U76330 ( .A(n56627), .B(n56626), .C(n81352), .Y(
+        n56636) );
+  sky130_fd_sc_hd__nand2_1 U76331 ( .A(n73564), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_1), .Y(n56629)
+         );
+  sky130_fd_sc_hd__nor2_1 U76332 ( .A(n36848), .B(n81305), .Y(n85859) );
+  sky130_fd_sc_hd__nand2_1 U76333 ( .A(n85288), .B(n56950), .Y(n56638) );
+  sky130_fd_sc_hd__o21ai_1 U76334 ( .A1(n56950), .A2(n56639), .B1(n56638), .Y(
+        n85289) );
+  sky130_fd_sc_hd__a21oi_1 U76335 ( .A1(n60348), .A2(n63512), .B1(n76449), .Y(
+        n56641) );
+  sky130_fd_sc_hd__nor2_1 U76336 ( .A(n56641), .B(n56640), .Y(n56642) );
+  sky130_fd_sc_hd__nand3_1 U76337 ( .A(n56644), .B(n56643), .C(n56642), .Y(
+        n56647) );
+  sky130_fd_sc_hd__nor2_1 U76338 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_io_full), .B(n59326), .Y(n56646) );
+  sky130_fd_sc_hd__nand2_1 U76339 ( .A(n63171), .B(n56645), .Y(n63510) );
+  sky130_fd_sc_hd__nor2_4 U76341 ( .A(n56647), .B(n62076), .Y(n73535) );
+  sky130_fd_sc_hd__nand2_2 U76342 ( .A(n73535), .B(n56648), .Y(n76251) );
+  sky130_fd_sc_hd__nor2_1 U76343 ( .A(n83631), .B(n76251), .Y(n85672) );
+  sky130_fd_sc_hd__nand2_1 U76344 ( .A(n85292), .B(n56950), .Y(n56649) );
+  sky130_fd_sc_hd__o21ai_1 U76345 ( .A1(n56950), .A2(n56650), .B1(n56649), .Y(
+        n85293) );
+  sky130_fd_sc_hd__nand2_1 U76346 ( .A(n36973), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[31]), .Y(n56651) );
+  sky130_fd_sc_hd__o22ai_1 U76347 ( .A1(n56744), .A2(n73530), .B1(n56950), 
+        .B2(n56652), .Y(n85294) );
+  sky130_fd_sc_hd__nand2_1 U76348 ( .A(n85295), .B(n56950), .Y(n56653) );
+  sky130_fd_sc_hd__o21ai_1 U76349 ( .A1(n56950), .A2(n37360), .B1(n56653), .Y(
+        n85296) );
+  sky130_fd_sc_hd__nand2_1 U76350 ( .A(n36973), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[28]), .Y(n56654) );
+  sky130_fd_sc_hd__o22ai_1 U76351 ( .A1(n56744), .A2(n67453), .B1(n56950), 
+        .B2(n56655), .Y(n85298) );
+  sky130_fd_sc_hd__a22oi_1 U76352 ( .A1(n57367), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_30[0]), .B1(
+        n57600), .B2(n85299), .Y(n56656) );
+  sky130_fd_sc_hd__o21ai_1 U76353 ( .A1(n56658), .A2(n56657), .B1(n56656), .Y(
+        n85300) );
+  sky130_fd_sc_hd__a22o_1 U76354 ( .A1(n56932), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[8]), 
+        .B1(n49086), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[8]), 
+        .X(n56694) );
+  sky130_fd_sc_hd__mux2i_1 U76355 ( .A0(n56660), .A1(n56659), .S(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__countReset_feed_T_1[2]), .Y(n56666) );
+  sky130_fd_sc_hd__o22a_1 U76356 ( .A1(n56664), .A2(n56663), .B1(n56662), .B2(
+        n56661), .X(n56665) );
+  sky130_fd_sc_hd__o21a_1 U76357 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__countReset_feed_T_1[3]), .A2(n56666), .B1(n56665), .X(n73378) );
+  sky130_fd_sc_hd__a22o_1 U76358 ( .A1(n56667), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_7[8]), .B1(n49103), .B2(n73378), .X(n56693) );
+  sky130_fd_sc_hd__nand2_1 U76359 ( .A(n56670), .B(n56669), .Y(n56677) );
+  sky130_fd_sc_hd__nand2_1 U76360 ( .A(n56671), .B(n67466), .Y(n56676) );
+  sky130_fd_sc_hd__nand2_1 U76361 ( .A(n56672), .B(n61610), .Y(n56675) );
+  sky130_fd_sc_hd__nand2_1 U76362 ( .A(n56673), .B(n67464), .Y(n56674) );
+  sky130_fd_sc_hd__nand4_1 U76363 ( .A(n56677), .B(n56676), .C(n56675), .D(
+        n56674), .Y(n67907) );
+  sky130_fd_sc_hd__o22ai_1 U76364 ( .A1(n67907), .A2(n56680), .B1(n56679), 
+        .B2(n56678), .Y(n56692) );
+  sky130_fd_sc_hd__a22oi_1 U76365 ( .A1(n56760), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[8]), .B1(n56840), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[8]), .Y(n56685) );
+  sky130_fd_sc_hd__a22oi_1 U76366 ( .A1(n56842), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[8]), .B1(n56681), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[8]), 
+        .Y(n56684) );
+  sky130_fd_sc_hd__nand2_1 U76367 ( .A(n48944), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[8]), .Y(n56683) );
+  sky130_fd_sc_hd__nand2_1 U76368 ( .A(n56843), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[8]), 
+        .Y(n56682) );
+  sky130_fd_sc_hd__nand4_1 U76369 ( .A(n56685), .B(n56684), .C(n56683), .D(
+        n56682), .Y(n56686) );
+  sky130_fd_sc_hd__a21oi_1 U76370 ( .A1(n36788), .A2(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_8_o_oe), .B1(n56686), .Y(
+        n56690) );
+  sky130_fd_sc_hd__a22oi_1 U76371 ( .A1(n56817), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[8]), 
+        .B1(n56816), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[8]), 
+        .Y(n56689) );
+  sky130_fd_sc_hd__a22oi_1 U76372 ( .A1(n48974), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[8]), 
+        .B1(n48976), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[8]), 
+        .Y(n56688) );
+  sky130_fd_sc_hd__a22oi_1 U76373 ( .A1(n49008), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[8]), 
+        .B1(n56929), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[8]), 
+        .Y(n56687) );
+  sky130_fd_sc_hd__nand4_1 U76374 ( .A(n56690), .B(n56689), .C(n56688), .D(
+        n56687), .Y(n56691) );
+  sky130_fd_sc_hd__nor4_1 U76375 ( .A(n56694), .B(n56693), .C(n56692), .D(
+        n56691), .Y(n56739) );
+  sky130_fd_sc_hd__a22oi_1 U76376 ( .A1(n49121), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[8]), 
+        .B1(n56876), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[8]), 
+        .Y(n56738) );
+  sky130_fd_sc_hd__a22oi_1 U76377 ( .A1(n56875), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[8]), 
+        .B1(n49014), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[8]), 
+        .Y(n56737) );
+  sky130_fd_sc_hd__nand2_1 U76378 ( .A(n56695), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_7[8]), .Y(n56699) );
+  sky130_fd_sc_hd__a22oi_1 U76379 ( .A1(n51688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[8]), .B1(n36990), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[8]), 
+        .Y(n56698) );
+  sky130_fd_sc_hd__a22oi_1 U76380 ( .A1(n56696), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[8]), 
+        .B1(n56803), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[8]), 
+        .Y(n56697) );
+  sky130_fd_sc_hd__nand3_1 U76381 ( .A(n56699), .B(n56698), .C(n56697), .Y(
+        n56735) );
+  sky130_fd_sc_hd__a22oi_1 U76382 ( .A1(n63514), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[8]), 
+        .B1(n63517), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[8]), 
+        .Y(n56704) );
+  sky130_fd_sc_hd__o2bb2ai_1 U76383 ( .B1(n56700), .B2(n79938), .A1_N(n79921), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[8]), 
+        .Y(n56701) );
+  sky130_fd_sc_hd__a21oi_1 U76384 ( .A1(n60000), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[8]), 
+        .B1(n56701), .Y(n56703) );
+  sky130_fd_sc_hd__a22oi_1 U76385 ( .A1(n61081), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[8]), 
+        .B1(n61078), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[8]), 
+        .Y(n56702) );
+  sky130_fd_sc_hd__a31oi_1 U76386 ( .A1(n56704), .A2(n56703), .A3(n56702), 
+        .B1(n56765), .Y(n56705) );
+  sky130_fd_sc_hd__a21oi_1 U76387 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[8]), 
+        .A2(n36789), .B1(n56705), .Y(n56711) );
+  sky130_fd_sc_hd__a22oi_1 U76388 ( .A1(n86736), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[8]), 
+        .B1(n48207), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[8]), 
+        .Y(n56710) );
+  sky130_fd_sc_hd__a22oi_1 U76389 ( .A1(n56804), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[8]), 
+        .B1(n56205), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[8]), 
+        .Y(n56709) );
+  sky130_fd_sc_hd__a22oi_1 U76390 ( .A1(n56707), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[8]), .B1(n56706), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[8]), .Y(n56708) );
+  sky130_fd_sc_hd__nand4_1 U76391 ( .A(n56711), .B(n56710), .C(n56709), .D(
+        n56708), .Y(n56734) );
+  sky130_fd_sc_hd__nand2_1 U76392 ( .A(n48818), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[8]), .Y(n56717) );
+  sky130_fd_sc_hd__a22oi_1 U76393 ( .A1(n56713), .A2(n85302), .B1(n56712), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_1[0]), .Y(n56716) );
+  sky130_fd_sc_hd__nand2_1 U76394 ( .A(n56746), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[8]), .Y(n56715) );
+  sky130_fd_sc_hd__nand2_1 U76395 ( .A(n56749), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[8]), .Y(n56714) );
+  sky130_fd_sc_hd__nand4_1 U76396 ( .A(n56717), .B(n56716), .C(n56715), .D(
+        n56714), .Y(n56718) );
+  sky130_fd_sc_hd__a21oi_1 U76397 ( .A1(n56919), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[8]), .B1(n56718), .Y(n56720) );
+  sky130_fd_sc_hd__a22oi_1 U76398 ( .A1(n48762), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[8]), .B1(n48789), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[8]), .Y(n56719) );
+  sky130_fd_sc_hd__o211ai_1 U76399 ( .A1(n56787), .A2(n67318), .B1(n56720), 
+        .C1(n56719), .Y(n56721) );
+  sky130_fd_sc_hd__a21oi_1 U76400 ( .A1(n48880), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[8]), .B1(n56721), .Y(n56732) );
+  sky130_fd_sc_hd__o2bb2ai_1 U76401 ( .B1(n56724), .B2(n56723), .A1_N(n61754), 
+        .A2_N(n56722), .Y(n56725) );
+  sky130_fd_sc_hd__a21oi_1 U76402 ( .A1(n56727), .A2(n56726), .B1(n56725), .Y(
+        n68086) );
+  sky130_fd_sc_hd__a22oi_1 U76403 ( .A1(n56729), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_7[8]), .B1(n56728), .B2(n68086), .Y(n56731) );
+  sky130_fd_sc_hd__a22oi_1 U76404 ( .A1(n56819), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[8]), .B1(n56820), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[8]), .Y(n56730) );
+  sky130_fd_sc_hd__nand3_1 U76405 ( .A(n56732), .B(n56731), .C(n56730), .Y(
+        n56733) );
+  sky130_fd_sc_hd__nor3_1 U76406 ( .A(n56735), .B(n56734), .C(n56733), .Y(
+        n56736) );
+  sky130_fd_sc_hd__nand4_1 U76407 ( .A(n56739), .B(n56738), .C(n56737), .D(
+        n56736), .Y(n85303) );
+  sky130_fd_sc_hd__mux2_2 U76408 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[40]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[8]), .S(n36973), .X(n85304) );
+  sky130_fd_sc_hd__nand2_1 U76409 ( .A(n85304), .B(n56950), .Y(n56740) );
+  sky130_fd_sc_hd__o21ai_1 U76410 ( .A1(n56950), .A2(n56741), .B1(n56740), .Y(
+        n85305) );
+  sky130_fd_sc_hd__nand2_1 U76411 ( .A(n36973), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[29]), .Y(n56742) );
+  sky130_fd_sc_hd__o22ai_1 U76412 ( .A1(n56744), .A2(n68250), .B1(n56950), 
+        .B2(n56743), .Y(n85306) );
+  sky130_fd_sc_hd__a22oi_1 U76413 ( .A1(n48762), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[6]), .B1(n48789), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[6]), .Y(n56764) );
+  sky130_fd_sc_hd__nand2_1 U76414 ( .A(n56746), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_0[6]), .Y(n56752) );
+  sky130_fd_sc_hd__a22oi_1 U76415 ( .A1(n56748), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_0[6]), .B1(n56747), .B2(n85307), .Y(n56751) );
+  sky130_fd_sc_hd__nand2_1 U76416 ( .A(n56749), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[6]), .Y(n56750) );
+  sky130_fd_sc_hd__nand3_1 U76417 ( .A(n56752), .B(n56751), .C(n56750), .Y(
+        n56753) );
+  sky130_fd_sc_hd__a21oi_1 U76418 ( .A1(n48818), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[6]), .B1(n56753), .Y(n56763) );
+  sky130_fd_sc_hd__a22oi_1 U76419 ( .A1(n56755), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T_16[6]), .B1(n56754), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T[6]), .Y(n56762) );
+  sky130_fd_sc_hd__a22oi_1 U76420 ( .A1(n56835), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[22]), .B1(n56834), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[14]), .Y(n56758) );
+  sky130_fd_sc_hd__a22oi_1 U76421 ( .A1(n80993), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[6]), .B1(n80990), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[30]), .Y(n56757) );
+  sky130_fd_sc_hd__a21oi_1 U76422 ( .A1(n56758), .A2(n56757), .B1(n56756), .Y(
+        n56759) );
+  sky130_fd_sc_hd__a21oi_1 U76423 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[6]), .A2(n56760), .B1(n56759), .Y(n56761) );
+  sky130_fd_sc_hd__nand4_1 U76424 ( .A(n56764), .B(n56763), .C(n56762), .D(
+        n56761), .Y(n56779) );
+  sky130_fd_sc_hd__a22oi_1 U76425 ( .A1(n63517), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[6]), 
+        .B1(n60000), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_dsReg_0[6]), 
+        .Y(n56768) );
+  sky130_fd_sc_hd__a22oi_1 U76426 ( .A1(n63514), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[6]), 
+        .B1(n61078), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[6]), 
+        .Y(n56767) );
+  sky130_fd_sc_hd__a22oi_1 U76427 ( .A1(n61081), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[6]), 
+        .B1(n79921), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[6]), 
+        .Y(n56766) );
+  sky130_fd_sc_hd__a31oi_1 U76428 ( .A1(n56768), .A2(n56767), .A3(n56766), 
+        .B1(n56765), .Y(n56776) );
+  sky130_fd_sc_hd__a22oi_1 U76429 ( .A1(n56770), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_out_f_data[6]), 
+        .B1(n56769), .B2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[6]), .Y(n56775) );
+  sky130_fd_sc_hd__a22oi_1 U76430 ( .A1(n56772), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[14]), .B1(n56771), .B2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__receivedData_T[7]), .Y(n56774) );
+  sky130_fd_sc_hd__nand2_1 U76431 ( .A(n36789), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[6]), 
+        .Y(n56773) );
+  sky130_fd_sc_hd__nand4b_1 U76432 ( .A_N(n56776), .B(n56775), .C(n56774), .D(
+        n56773), .Y(n56777) );
+  sky130_fd_sc_hd__nand2b_1 U76433 ( .A_N(n56779), .B(n56778), .Y(n56780) );
+  sky130_fd_sc_hd__a21oi_1 U76434 ( .A1(n56781), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[14]), .B1(n56780), .Y(n56815) );
+  sky130_fd_sc_hd__a222oi_1 U76435 ( .A1(n63062), .A2(n56784), .B1(n63064), 
+        .B2(n56783), .C1(n56782), .C2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[3]), .Y(n68107) );
+  sky130_fd_sc_hd__o22ai_1 U76436 ( .A1(n67361), .A2(n56787), .B1(n56786), 
+        .B2(n56785), .Y(n56801) );
+  sky130_fd_sc_hd__a22oi_1 U76437 ( .A1(n81273), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[6]), 
+        .B1(n81274), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[30]), 
+        .Y(n56790) );
+  sky130_fd_sc_hd__a22oi_1 U76438 ( .A1(n56792), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[22]), 
+        .B1(n56791), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[14]), 
+        .Y(n56789) );
+  sky130_fd_sc_hd__a21oi_1 U76439 ( .A1(n56790), .A2(n56789), .B1(n56788), .Y(
+        n56800) );
+  sky130_fd_sc_hd__a22oi_1 U76440 ( .A1(n56792), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[54]), 
+        .B1(n56791), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[46]), 
+        .Y(n56795) );
+  sky130_fd_sc_hd__a22oi_1 U76441 ( .A1(n81273), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[38]), 
+        .B1(n81274), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram[62]), 
+        .Y(n56794) );
+  sky130_fd_sc_hd__a21oi_1 U76442 ( .A1(n56795), .A2(n56794), .B1(n56793), .Y(
+        n56799) );
+  sky130_fd_sc_hd__nor2_1 U76443 ( .A(n56797), .B(n56796), .Y(n56798) );
+  sky130_fd_sc_hd__nor4_1 U76444 ( .A(n56801), .B(n56800), .C(n56799), .D(
+        n56798), .Y(n56814) );
+  sky130_fd_sc_hd__a22oi_1 U76445 ( .A1(n51688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[6]), .B1(n36990), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[6]), 
+        .Y(n56808) );
+  sky130_fd_sc_hd__a22oi_1 U76446 ( .A1(n56802), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[6]), 
+        .B1(n86736), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[6]), 
+        .Y(n56807) );
+  sky130_fd_sc_hd__a22oi_1 U76447 ( .A1(n56803), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[6]), 
+        .B1(n48207), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[6]), 
+        .Y(n56806) );
+  sky130_fd_sc_hd__a22oi_1 U76448 ( .A1(n56804), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[6]), 
+        .B1(n56123), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[6]), 
+        .Y(n56805) );
+  sky130_fd_sc_hd__nand4_1 U76449 ( .A(n56808), .B(n56807), .C(n56806), .D(
+        n56805), .Y(n56809) );
+  sky130_fd_sc_hd__a21oi_1 U76450 ( .A1(n49014), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[6]), 
+        .B1(n56809), .Y(n56813) );
+  sky130_fd_sc_hd__a22oi_1 U76451 ( .A1(n56811), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[6]), 
+        .B1(n56810), .B2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_out_f_data[6]), 
+        .Y(n56812) );
+  sky130_fd_sc_hd__a22oi_1 U76452 ( .A1(n56817), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[6]), 
+        .B1(n56816), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[6]), 
+        .Y(n56825) );
+  sky130_fd_sc_hd__a22oi_1 U76453 ( .A1(n48974), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[6]), 
+        .B1(n48976), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[6]), 
+        .Y(n56824) );
+  sky130_fd_sc_hd__a22oi_1 U76454 ( .A1(n56819), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[6]), .B1(n56818), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T[6]), .Y(n56823) );
+  sky130_fd_sc_hd__a22oi_1 U76455 ( .A1(n56821), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T_16[6]), .B1(n56820), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_0[6]), .Y(n56822) );
+  sky130_fd_sc_hd__nand4_1 U76456 ( .A(n56825), .B(n56824), .C(n56823), .D(
+        n56822), .Y(n56938) );
+  sky130_fd_sc_hd__a22oi_1 U76457 ( .A1(n80688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[62]), .B1(n56829), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[54]), .Y(n56828) );
+  sky130_fd_sc_hd__a22oi_1 U76458 ( .A1(n56830), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[46]), .B1(n80692), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[38]), .Y(n56827) );
+  sky130_fd_sc_hd__a21oi_1 U76459 ( .A1(n56828), .A2(n56827), .B1(n56826), .Y(
+        n56852) );
+  sky130_fd_sc_hd__a22oi_1 U76460 ( .A1(n56830), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[14]), .B1(n56829), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[22]), .Y(n56833) );
+  sky130_fd_sc_hd__a22oi_1 U76461 ( .A1(n80688), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[30]), .B1(n80692), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram[6]), .Y(n56832) );
+  sky130_fd_sc_hd__a21oi_1 U76462 ( .A1(n56833), .A2(n56832), .B1(n56831), .Y(
+        n56851) );
+  sky130_fd_sc_hd__a22oi_1 U76463 ( .A1(n80990), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[62]), .B1(n56834), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[46]), .Y(n56838) );
+  sky130_fd_sc_hd__a22oi_1 U76464 ( .A1(n80993), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[38]), .B1(n56835), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram[54]), .Y(n56837) );
+  sky130_fd_sc_hd__a21oi_1 U76465 ( .A1(n56838), .A2(n56837), .B1(n56836), .Y(
+        n56839) );
+  sky130_fd_sc_hd__a21oi_1 U76466 ( .A1(n56840), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[6]), .B1(n56839), .Y(n56846) );
+  sky130_fd_sc_hd__a22oi_1 U76467 ( .A1(n56842), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[6]), .B1(n56841), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[6]), 
+        .Y(n56845) );
+  sky130_fd_sc_hd__nand2_1 U76468 ( .A(n56843), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[6]), 
+        .Y(n56844) );
+  sky130_fd_sc_hd__nand3_1 U76469 ( .A(n56846), .B(n56845), .C(n56844), .Y(
+        n56847) );
+  sky130_fd_sc_hd__a21oi_1 U76470 ( .A1(n48944), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[6]), .B1(n56847), .Y(n56848) );
+  sky130_fd_sc_hd__o21ai_1 U76471 ( .A1(n56849), .A2(n78053), .B1(n56848), .Y(
+        n56850) );
+  sky130_fd_sc_hd__nor3_1 U76472 ( .A(n56852), .B(n56851), .C(n56850), .Y(
+        n56874) );
+  sky130_fd_sc_hd__a22oi_1 U76473 ( .A1(n51804), .A2(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_6_o_oe), .B1(n56853), .B2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_out_prepend_1[6]), .Y(n56873) );
+  sky130_fd_sc_hd__a21oi_1 U76474 ( .A1(n56857), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[22]), 
+        .B1(n63497), .Y(n56856) );
+  sky130_fd_sc_hd__a22oi_1 U76475 ( .A1(n81193), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[30]), 
+        .B1(n81194), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[6]), 
+        .Y(n56855) );
+  sky130_fd_sc_hd__nand2_1 U76476 ( .A(n56858), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[14]), 
+        .Y(n56854) );
+  sky130_fd_sc_hd__nand3_1 U76477 ( .A(n56856), .B(n56855), .C(n56854), .Y(
+        n56868) );
+  sky130_fd_sc_hd__a21oi_1 U76478 ( .A1(n56857), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[54]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_io_deq_bits_MPORT_addr[2]), .Y(n56861) );
+  sky130_fd_sc_hd__a22oi_1 U76479 ( .A1(n81193), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[62]), 
+        .B1(n81194), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[38]), 
+        .Y(n56860) );
+  sky130_fd_sc_hd__nand2_1 U76480 ( .A(n56858), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram[46]), 
+        .Y(n56859) );
+  sky130_fd_sc_hd__nand3_1 U76481 ( .A(n56861), .B(n56860), .C(n56859), .Y(
+        n56867) );
+  sky130_fd_sc_hd__a22oi_1 U76482 ( .A1(n81129), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[38]), 
+        .B1(n81126), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[62]), 
+        .Y(n56865) );
+  sky130_fd_sc_hd__nand2_1 U76483 ( .A(n56888), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[54]), 
+        .Y(n56864) );
+  sky130_fd_sc_hd__nand2_1 U76484 ( .A(n56889), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[46]), 
+        .Y(n56863) );
+  sky130_fd_sc_hd__a31oi_1 U76485 ( .A1(n56865), .A2(n56864), .A3(n56863), 
+        .B1(n56862), .Y(n56866) );
+  sky130_fd_sc_hd__a31oi_1 U76486 ( .A1(n56869), .A2(n56868), .A3(n56867), 
+        .B1(n56866), .Y(n56872) );
+  sky130_fd_sc_hd__nand2_1 U76487 ( .A(n56870), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__receivedData_T[7]), .Y(n56871) );
+  sky130_fd_sc_hd__nand4_1 U76488 ( .A(n56874), .B(n56873), .C(n56872), .D(
+        n56871), .Y(n56937) );
+  sky130_fd_sc_hd__a22oi_1 U76489 ( .A1(n56876), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[6]), 
+        .B1(n56875), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[6]), 
+        .Y(n56883) );
+  sky130_fd_sc_hd__a222oi_1 U76490 ( .A1(n56881), .A2(n56880), .B1(n56879), 
+        .B2(n56878), .C1(n56877), .C2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__countReset_feed_T_1[3]), .Y(n73383) );
+  sky130_fd_sc_hd__a22oi_1 U76491 ( .A1(n49103), .A2(n73383), .B1(n49121), 
+        .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[6]), 
+        .Y(n56882) );
+  sky130_fd_sc_hd__a22oi_1 U76492 ( .A1(n81367), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[38]), 
+        .B1(n81364), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[62]), 
+        .Y(n56887) );
+  sky130_fd_sc_hd__nand2_1 U76493 ( .A(n56894), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[54]), 
+        .Y(n56886) );
+  sky130_fd_sc_hd__nand2_1 U76494 ( .A(n56893), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[46]), 
+        .Y(n56885) );
+  sky130_fd_sc_hd__a31oi_1 U76495 ( .A1(n56887), .A2(n56886), .A3(n56885), 
+        .B1(n56884), .Y(n56925) );
+  sky130_fd_sc_hd__a22oi_1 U76496 ( .A1(n81126), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[30]), 
+        .B1(n56888), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[22]), 
+        .Y(n56892) );
+  sky130_fd_sc_hd__a22oi_1 U76497 ( .A1(n56889), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[14]), 
+        .B1(n81129), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram[6]), 
+        .Y(n56891) );
+  sky130_fd_sc_hd__a21oi_1 U76498 ( .A1(n56892), .A2(n56891), .B1(n56890), .Y(
+        n56924) );
+  sky130_fd_sc_hd__a22oi_1 U76499 ( .A1(n56893), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[14]), 
+        .B1(n81364), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[30]), 
+        .Y(n56897) );
+  sky130_fd_sc_hd__a22oi_1 U76500 ( .A1(n56894), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[22]), 
+        .B1(n81367), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram[6]), 
+        .Y(n56896) );
+  sky130_fd_sc_hd__a21oi_1 U76501 ( .A1(n56897), .A2(n56896), .B1(n56895), .Y(
+        n56923) );
+  sky130_fd_sc_hd__a22oi_1 U76502 ( .A1(n56940), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[46]), 
+        .B1(n81043), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[62]), 
+        .Y(n56900) );
+  sky130_fd_sc_hd__a22oi_1 U76503 ( .A1(n81046), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[38]), 
+        .B1(n56941), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[54]), 
+        .Y(n56899) );
+  sky130_fd_sc_hd__a21oi_1 U76504 ( .A1(n56900), .A2(n56899), .B1(n56898), .Y(
+        n56901) );
+  sky130_fd_sc_hd__a31oi_1 U76505 ( .A1(n56902), .A2(n67355), .A3(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_out_prepend_1[6]), .B1(n56901), .Y(n56921) );
+  sky130_fd_sc_hd__a22oi_1 U76506 ( .A1(n80383), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[30]), .B1(n56909), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[14]), .Y(n56905) );
+  sky130_fd_sc_hd__a22oi_1 U76507 ( .A1(n80386), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[6]), 
+        .B1(n56908), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[22]), .Y(n56904) );
+  sky130_fd_sc_hd__a21oi_1 U76508 ( .A1(n56905), .A2(n56904), .B1(n56903), .Y(
+        n56906) );
+  sky130_fd_sc_hd__a21oi_1 U76509 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_0[6]), .A2(n56907), .B1(n56906), .Y(n56917) );
+  sky130_fd_sc_hd__a22oi_1 U76510 ( .A1(n80386), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[38]), .B1(n56908), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[54]), .Y(n56912) );
+  sky130_fd_sc_hd__a22oi_1 U76511 ( .A1(n80383), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[62]), .B1(n56909), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram[46]), .Y(n56911) );
+  sky130_fd_sc_hd__a21oi_1 U76512 ( .A1(n56912), .A2(n56911), .B1(n56910), .Y(
+        n56913) );
+  sky130_fd_sc_hd__a21oi_1 U76513 ( .A1(n59967), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_1[6]), .B1(n56913), .Y(n56916) );
+  sky130_fd_sc_hd__a22oi_1 U76514 ( .A1(n59966), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_10[6]), .B1(n63157), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[6]), .Y(n56915) );
+  sky130_fd_sc_hd__a31oi_1 U76515 ( .A1(n56917), .A2(n56916), .A3(n56915), 
+        .B1(n56914), .Y(n56918) );
+  sky130_fd_sc_hd__a21oi_1 U76516 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[6]), .A2(n56919), .B1(n56918), .Y(n56920) );
+  sky130_fd_sc_hd__nand2_1 U76517 ( .A(n56921), .B(n56920), .Y(n56922) );
+  sky130_fd_sc_hd__nor4_1 U76518 ( .A(n56925), .B(n56924), .C(n56923), .D(
+        n56922), .Y(n56936) );
+  sky130_fd_sc_hd__a222oi_1 U76519 ( .A1(n56928), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[3]), .B1(n67466), .B2(n56927), .C1(n67464), .C2(n56926), .Y(n67915) );
+  sky130_fd_sc_hd__a22oi_1 U76520 ( .A1(n56930), .A2(n67915), .B1(n56929), 
+        .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[6]), 
+        .Y(n56935) );
+  sky130_fd_sc_hd__a22oi_1 U76521 ( .A1(n49008), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[6]), 
+        .B1(n49086), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[6]), 
+        .Y(n56934) );
+  sky130_fd_sc_hd__a22oi_1 U76522 ( .A1(n56932), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[6]), 
+        .B1(n49101), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[6]), 
+        .Y(n56933) );
+  sky130_fd_sc_hd__nand4bb_1 U76523 ( .A_N(n56938), .B_N(n56937), .C(n38370), 
+        .D(n38458), .Y(n56939) );
+  sky130_fd_sc_hd__a22oi_1 U76524 ( .A1(n56940), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[14]), 
+        .B1(n81046), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[6]), 
+        .Y(n56944) );
+  sky130_fd_sc_hd__a22oi_1 U76525 ( .A1(n81043), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[30]), 
+        .B1(n56941), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram[22]), 
+        .Y(n56943) );
+  sky130_fd_sc_hd__a21oi_1 U76526 ( .A1(n56944), .A2(n56943), .B1(n56942), .Y(
+        n56945) );
+  sky130_fd_sc_hd__nand3_1 U76527 ( .A(n37127), .B(n56947), .C(n56946), .Y(
+        n85308) );
+  sky130_fd_sc_hd__mux2_2 U76528 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[38]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_ram_data[6]), .S(n36973), .X(n85309) );
+  sky130_fd_sc_hd__nand2_1 U76529 ( .A(n85309), .B(n56950), .Y(n56948) );
+  sky130_fd_sc_hd__a22oi_1 U76531 ( .A1(n56525), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__6[2]), .B1(n41794), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[18]), .Y(n56977) );
+  sky130_fd_sc_hd__a22oi_1 U76532 ( .A1(n57571), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[50]), .B1(n38443), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[18]), .Y(n56976) );
+  sky130_fd_sc_hd__a21oi_1 U76533 ( .A1(n38397), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[18]), 
+        .B1(n56951), .Y(n56966) );
+  sky130_fd_sc_hd__a22oi_1 U76534 ( .A1(n82296), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[18]), 
+        .B1(n38396), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[18]), 
+        .Y(n56956) );
+  sky130_fd_sc_hd__a22oi_1 U76535 ( .A1(n82284), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[18]), 
+        .B1(n82278), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[18]), 
+        .Y(n56955) );
+  sky130_fd_sc_hd__nand2_1 U76536 ( .A(n56953), .B(n56952), .Y(n57277) );
+  sky130_fd_sc_hd__nand2_1 U76537 ( .A(n38401), .B(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[18]), 
+        .Y(n56954) );
+  sky130_fd_sc_hd__nand4_1 U76538 ( .A(n56956), .B(n56955), .C(n57277), .D(
+        n56954), .Y(n56957) );
+  sky130_fd_sc_hd__a21oi_1 U76539 ( .A1(n82333), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[18]), 
+        .B1(n56957), .Y(n56965) );
+  sky130_fd_sc_hd__a22oi_1 U76540 ( .A1(n82339), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[18]), 
+        .B1(n82361), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[18]), 
+        .Y(n56961) );
+  sky130_fd_sc_hd__a22oi_1 U76541 ( .A1(n82350), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[18]), 
+        .B1(n82290), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[18]), 
+        .Y(n56960) );
+  sky130_fd_sc_hd__a22oi_1 U76542 ( .A1(n82315), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[18]), 
+        .B1(n82321), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[18]), 
+        .Y(n56959) );
+  sky130_fd_sc_hd__a22oi_1 U76543 ( .A1(n82303), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[18]), 
+        .B1(n82327), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[18]), 
+        .Y(n56958) );
+  sky130_fd_sc_hd__nand4_1 U76544 ( .A(n56961), .B(n56960), .C(n56959), .D(
+        n56958), .Y(n56962) );
+  sky130_fd_sc_hd__a21oi_1 U76545 ( .A1(n57586), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[18]), 
+        .B1(n56962), .Y(n56964) );
+  sky130_fd_sc_hd__nand2_1 U76546 ( .A(n82309), .B(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[18]), 
+        .Y(n56963) );
+  sky130_fd_sc_hd__nand4_1 U76547 ( .A(n56966), .B(n56965), .C(n56964), .D(
+        n56963), .Y(n56968) );
+  sky130_fd_sc_hd__nand2_1 U76548 ( .A(n56968), .B(n56967), .Y(n56972) );
+  sky130_fd_sc_hd__a22oi_1 U76549 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[17]), 
+        .A2(n57560), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[17]), 
+        .B2(n57559), .Y(n56971) );
+  sky130_fd_sc_hd__a22oi_1 U76550 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[49]), 
+        .A2(n57562), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[3]), .B2(n57561), .Y(n56970) );
+  sky130_fd_sc_hd__a22oi_1 U76551 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[49]), 
+        .A2(n57564), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[49]), 
+        .B2(n57563), .Y(n56969) );
+  sky130_fd_sc_hd__nand4_1 U76552 ( .A(n56972), .B(n56971), .C(n56970), .D(
+        n56969), .Y(n56973) );
+  sky130_fd_sc_hd__a21oi_1 U76553 ( .A1(n57570), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[2]), .B1(n56973), .Y(
+        n56975) );
+  sky130_fd_sc_hd__a22oi_1 U76554 ( .A1(n57588), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[2]), .B1(n57587), .B2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__2[2]), .Y(n56974) );
+  sky130_fd_sc_hd__nand4_1 U76555 ( .A(n56977), .B(n56976), .C(n56975), .D(
+        n56974), .Y(n57099) );
+  sky130_fd_sc_hd__a21oi_1 U76556 ( .A1(n57496), .A2(n56979), .B1(n56978), .Y(
+        n56984) );
+  sky130_fd_sc_hd__nand2_1 U76557 ( .A(n56981), .B(n56980), .Y(n56982) );
+  sky130_fd_sc_hd__a31oi_1 U76558 ( .A1(n56984), .A2(n56983), .A3(n56982), 
+        .B1(n57325), .Y(n57032) );
+  sky130_fd_sc_hd__o22ai_1 U76559 ( .A1(n57164), .A2(n56986), .B1(n56985), 
+        .B2(n57437), .Y(n56987) );
+  sky130_fd_sc_hd__a21oi_1 U76560 ( .A1(n56989), .A2(n56988), .B1(n56987), .Y(
+        n56990) );
+  sky130_fd_sc_hd__o21ai_0 U76561 ( .A1(n56992), .A2(n56991), .B1(n56990), .Y(
+        n57031) );
+  sky130_fd_sc_hd__o22ai_1 U76562 ( .A1(n57123), .A2(n56995), .B1(n56994), 
+        .B2(n56993), .Y(n56998) );
+  sky130_fd_sc_hd__o22ai_1 U76563 ( .A1(n57143), .A2(n56996), .B1(n57485), 
+        .B2(n57159), .Y(n56997) );
+  sky130_fd_sc_hd__a21oi_1 U76564 ( .A1(n56999), .A2(n56998), .B1(n56997), .Y(
+        n57029) );
+  sky130_fd_sc_hd__nor2_1 U76565 ( .A(n57000), .B(n57448), .Y(n57008) );
+  sky130_fd_sc_hd__a21oi_1 U76566 ( .A1(n57003), .A2(n57002), .B1(n57001), .Y(
+        n57007) );
+  sky130_fd_sc_hd__a21oi_1 U76567 ( .A1(n57445), .A2(n57005), .B1(n57004), .Y(
+        n57006) );
+  sky130_fd_sc_hd__nor4_1 U76568 ( .A(n57009), .B(n57008), .C(n57007), .D(
+        n57006), .Y(n57028) );
+  sky130_fd_sc_hd__nor2_1 U76569 ( .A(n57011), .B(n57010), .Y(n57025) );
+  sky130_fd_sc_hd__o22ai_1 U76570 ( .A1(n57014), .A2(n57444), .B1(n57013), 
+        .B2(n57012), .Y(n57024) );
+  sky130_fd_sc_hd__o22ai_1 U76571 ( .A1(n57018), .A2(n57017), .B1(n57016), 
+        .B2(n57015), .Y(n57023) );
+  sky130_fd_sc_hd__o22ai_1 U76572 ( .A1(n57021), .A2(n57020), .B1(n57409), 
+        .B2(n57019), .Y(n57022) );
+  sky130_fd_sc_hd__nor4_1 U76573 ( .A(n57025), .B(n57024), .C(n57023), .D(
+        n57022), .Y(n57027) );
+  sky130_fd_sc_hd__nand4_1 U76574 ( .A(n57029), .B(n57028), .C(n57027), .D(
+        n57026), .Y(n57030) );
+  sky130_fd_sc_hd__nor4b_1 U76575 ( .D_N(n57033), .A(n57032), .B(n57031), .C(
+        n57030), .Y(n57094) );
+  sky130_fd_sc_hd__nor2_1 U76576 ( .A(n57450), .B(n36838), .Y(n57034) );
+  sky130_fd_sc_hd__nor4bb_1 U76577 ( .C_N(n57205), .D_N(n57513), .A(n57034), 
+        .B(n57311), .Y(n57037) );
+  sky130_fd_sc_hd__a31oi_1 U76578 ( .A1(n57037), .A2(n57036), .A3(n57035), 
+        .B1(n57313), .Y(n57048) );
+  sky130_fd_sc_hd__o22ai_1 U76579 ( .A1(n57453), .A2(n57039), .B1(n57449), 
+        .B2(n57038), .Y(n57047) );
+  sky130_fd_sc_hd__nand2_1 U76580 ( .A(n57041), .B(n57040), .Y(n57042) );
+  sky130_fd_sc_hd__nand4_1 U76581 ( .A(n57045), .B(n57044), .C(n57043), .D(
+        n57042), .Y(n57046) );
+  sky130_fd_sc_hd__nor4_1 U76582 ( .A(n57049), .B(n57048), .C(n57047), .D(
+        n57046), .Y(n57093) );
+  sky130_fd_sc_hd__o22ai_1 U76583 ( .A1(n57053), .A2(n57052), .B1(n57051), 
+        .B2(n57050), .Y(n57054) );
+  sky130_fd_sc_hd__a21oi_1 U76584 ( .A1(n57435), .A2(n57055), .B1(n57054), .Y(
+        n57060) );
+  sky130_fd_sc_hd__nor3_1 U76585 ( .A(n57133), .B(n57057), .C(n57056), .Y(
+        n57059) );
+  sky130_fd_sc_hd__a31oi_1 U76586 ( .A1(n57060), .A2(n57059), .A3(n57058), 
+        .B1(n57155), .Y(n57084) );
+  sky130_fd_sc_hd__a31oi_1 U76587 ( .A1(n57064), .A2(n57063), .A3(n57062), 
+        .B1(n57061), .Y(n57083) );
+  sky130_fd_sc_hd__nor4_1 U76588 ( .A(n57068), .B(n57067), .C(n57066), .D(
+        n57065), .Y(n57081) );
+  sky130_fd_sc_hd__o22ai_1 U76589 ( .A1(n57072), .A2(n57071), .B1(n57070), 
+        .B2(n57069), .Y(n57076) );
+  sky130_fd_sc_hd__o21ai_1 U76590 ( .A1(n57549), .A2(n57074), .B1(n57073), .Y(
+        n57075) );
+  sky130_fd_sc_hd__nor4_1 U76591 ( .A(n57078), .B(n57077), .C(n57076), .D(
+        n57075), .Y(n57080) );
+  sky130_fd_sc_hd__o22ai_1 U76592 ( .A1(n57081), .A2(n57427), .B1(n57080), 
+        .B2(n57079), .Y(n57082) );
+  sky130_fd_sc_hd__nor3_1 U76593 ( .A(n57084), .B(n57083), .C(n57082), .Y(
+        n57092) );
+  sky130_fd_sc_hd__o31ai_1 U76595 ( .A1(n57090), .A2(n57089), .A3(n57263), 
+        .B1(n57088), .Y(n57091) );
+  sky130_fd_sc_hd__nand4_1 U76596 ( .A(n57094), .B(n57093), .C(n57092), .D(
+        n57091), .Y(n57095) );
+  sky130_fd_sc_hd__nand2_1 U76597 ( .A(n57096), .B(n57095), .Y(n57098) );
+  sky130_fd_sc_hd__a22oi_1 U76598 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[50]), .A2(n37065), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[18]), .B2(n57558), .Y(n57097) );
+  sky130_fd_sc_hd__nand3b_1 U76599 ( .A_N(n57099), .B(n57098), .C(n57097), .Y(
+        n85311) );
+  sky130_fd_sc_hd__nand2_1 U76600 ( .A(n57367), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_10[0]), .Y(
+        n57104) );
+  sky130_fd_sc_hd__nand2_1 U76601 ( .A(n57600), .B(n85313), .Y(n57103) );
+  sky130_fd_sc_hd__o221ai_1 U76602 ( .A1(n83298), .A2(n85315), .B1(n57101), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_1[2]), .C1(n57100), .Y(n57102) );
+  sky130_fd_sc_hd__nand3_1 U76603 ( .A(n57104), .B(n57103), .C(n57102), .Y(
+        n85314) );
+  sky130_fd_sc_hd__a22oi_1 U76604 ( .A1(n37065), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[51]), .B1(n38443), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[19]), .Y(n57249) );
+  sky130_fd_sc_hd__a22oi_1 U76605 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__2[3]), .A2(n57587), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[19]), .B2(n57558), .Y(n57248) );
+  sky130_fd_sc_hd__a22oi_1 U76606 ( .A1(n82284), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[19]), 
+        .B1(n38401), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[19]), 
+        .Y(n57108) );
+  sky130_fd_sc_hd__a22oi_1 U76607 ( .A1(n82327), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[19]), 
+        .B1(n82361), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[19]), 
+        .Y(n57107) );
+  sky130_fd_sc_hd__a22oi_1 U76608 ( .A1(n82315), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[19]), 
+        .B1(n82303), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[19]), 
+        .Y(n57106) );
+  sky130_fd_sc_hd__a22oi_1 U76609 ( .A1(n38397), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[19]), 
+        .B1(n82290), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[19]), 
+        .Y(n57105) );
+  sky130_fd_sc_hd__nand4_1 U76610 ( .A(n57108), .B(n57107), .C(n57106), .D(
+        n57105), .Y(n57114) );
+  sky130_fd_sc_hd__a22oi_1 U76611 ( .A1(n38396), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[19]), 
+        .B1(n82321), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[19]), 
+        .Y(n57112) );
+  sky130_fd_sc_hd__a22oi_1 U76612 ( .A1(n82296), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[19]), 
+        .B1(n82350), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[19]), 
+        .Y(n57111) );
+  sky130_fd_sc_hd__a22oi_1 U76613 ( .A1(n82309), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[19]), 
+        .B1(n82278), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[19]), 
+        .Y(n57110) );
+  sky130_fd_sc_hd__a22oi_1 U76614 ( .A1(n82339), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[19]), 
+        .B1(n82333), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[19]), 
+        .Y(n57109) );
+  sky130_fd_sc_hd__nand4_1 U76615 ( .A(n57112), .B(n57111), .C(n57110), .D(
+        n57109), .Y(n57113) );
+  sky130_fd_sc_hd__a211oi_1 U76616 ( .A1(n57586), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[19]), 
+        .B1(n57114), .C1(n57113), .Y(n57116) );
+  sky130_fd_sc_hd__a22oi_1 U76617 ( .A1(n56525), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__6[3]), .B1(n41794), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[19]), .Y(n57115) );
+  sky130_fd_sc_hd__o21ai_0 U76618 ( .A1(n57116), .A2(n43381), .B1(n57115), .Y(
+        n57226) );
+  sky130_fd_sc_hd__a21oi_1 U76619 ( .A1(n36797), .A2(n57118), .B1(n57117), .Y(
+        n57119) );
+  sky130_fd_sc_hd__o22ai_1 U76621 ( .A1(n57123), .A2(n57122), .B1(n57121), 
+        .B2(n57120), .Y(n57131) );
+  sky130_fd_sc_hd__nand2_1 U76622 ( .A(n57125), .B(n57124), .Y(n57127) );
+  sky130_fd_sc_hd__nand4_1 U76623 ( .A(n57129), .B(n57128), .C(n57127), .D(
+        n57126), .Y(n57130) );
+  sky130_fd_sc_hd__nor4_1 U76624 ( .A(n57133), .B(n57132), .C(n57131), .D(
+        n57130), .Y(n57156) );
+  sky130_fd_sc_hd__o22ai_1 U76625 ( .A1(n57136), .A2(n57135), .B1(n57134), 
+        .B2(n57300), .Y(n57150) );
+  sky130_fd_sc_hd__a21oi_1 U76626 ( .A1(n57139), .A2(n57138), .B1(n57137), .Y(
+        n57147) );
+  sky130_fd_sc_hd__a21oi_1 U76627 ( .A1(n57188), .A2(n57140), .B1(n57441), .Y(
+        n57141) );
+  sky130_fd_sc_hd__a211oi_1 U76628 ( .A1(n57144), .A2(n57143), .B1(n57142), 
+        .C1(n57141), .Y(n57146) );
+  sky130_fd_sc_hd__nand4_1 U76629 ( .A(n57148), .B(n57147), .C(n57146), .D(
+        n57145), .Y(n57149) );
+  sky130_fd_sc_hd__nor4_1 U76630 ( .A(n57152), .B(n57151), .C(n57150), .D(
+        n57149), .Y(n57154) );
+  sky130_fd_sc_hd__o22ai_1 U76631 ( .A1(n57156), .A2(n57155), .B1(n57154), 
+        .B2(n57153), .Y(n57214) );
+  sky130_fd_sc_hd__o22ai_1 U76632 ( .A1(n57160), .A2(n57159), .B1(n57158), 
+        .B2(n57157), .Y(n57213) );
+  sky130_fd_sc_hd__a211oi_1 U76633 ( .A1(n57164), .A2(n57163), .B1(n57162), 
+        .C1(n57161), .Y(n57187) );
+  sky130_fd_sc_hd__o22ai_1 U76634 ( .A1(n52744), .A2(n57166), .B1(n57319), 
+        .B2(n57165), .Y(n57171) );
+  sky130_fd_sc_hd__o21ai_1 U76635 ( .A1(n57169), .A2(n57168), .B1(n57167), .Y(
+        n57170) );
+  sky130_fd_sc_hd__nor4_1 U76636 ( .A(n57173), .B(n57172), .C(n57171), .D(
+        n57170), .Y(n57175) );
+  sky130_fd_sc_hd__o22ai_1 U76637 ( .A1(n57181), .A2(n57180), .B1(n57179), 
+        .B2(n57178), .Y(n57182) );
+  sky130_fd_sc_hd__a21oi_1 U76638 ( .A1(n57184), .A2(n57183), .B1(n57182), .Y(
+        n57185) );
+  sky130_fd_sc_hd__o21ai_1 U76639 ( .A1(n57187), .A2(n57186), .B1(n57185), .Y(
+        n57212) );
+  sky130_fd_sc_hd__o22ai_1 U76640 ( .A1(n41626), .A2(n57190), .B1(n57189), 
+        .B2(n57188), .Y(n57202) );
+  sky130_fd_sc_hd__a31oi_1 U76641 ( .A1(n41772), .A2(n37012), .A3(n57192), 
+        .B1(n57191), .Y(n57194) );
+  sky130_fd_sc_hd__o21ai_0 U76642 ( .A1(n57196), .A2(n57195), .B1(n57194), .Y(
+        n57201) );
+  sky130_fd_sc_hd__o21ai_0 U76643 ( .A1(n57199), .A2(n57198), .B1(n57197), .Y(
+        n57200) );
+  sky130_fd_sc_hd__nor4_1 U76644 ( .A(n57203), .B(n57202), .C(n57201), .D(
+        n57200), .Y(n57210) );
+  sky130_fd_sc_hd__nand3b_1 U76645 ( .A_N(n57206), .B(n57205), .C(n57204), .Y(
+        n57310) );
+  sky130_fd_sc_hd__a211oi_1 U76646 ( .A1(n57208), .A2(n41626), .B1(n57310), 
+        .C1(n57207), .Y(n57209) );
+  sky130_fd_sc_hd__o22ai_1 U76647 ( .A1(n57210), .A2(n57427), .B1(n57209), 
+        .B2(n57313), .Y(n57211) );
+  sky130_fd_sc_hd__nor4_1 U76648 ( .A(n57214), .B(n57213), .C(n57212), .D(
+        n57211), .Y(n57224) );
+  sky130_fd_sc_hd__nor4_1 U76650 ( .A(n57221), .B(n57220), .C(n57219), .D(
+        n57218), .Y(n57223) );
+  sky130_fd_sc_hd__o22ai_1 U76651 ( .A1(n57517), .A2(n57224), .B1(n57223), 
+        .B2(n57222), .Y(n57225) );
+  sky130_fd_sc_hd__a211oi_1 U76652 ( .A1(n57571), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[51]), .B1(n57226), .C1(n57225), .Y(n57247) );
+  sky130_fd_sc_hd__a21oi_1 U76653 ( .A1(n57229), .A2(n57228), .B1(n57227), .Y(
+        n57230) );
+  sky130_fd_sc_hd__a21oi_1 U76654 ( .A1(n57231), .A2(n57230), .B1(n57267), .Y(
+        n57244) );
+  sky130_fd_sc_hd__a22oi_1 U76655 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[18]), 
+        .A2(n57560), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[18]), 
+        .B2(n57559), .Y(n57234) );
+  sky130_fd_sc_hd__a22oi_1 U76656 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[50]), 
+        .A2(n57564), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[50]), 
+        .B2(n57563), .Y(n57233) );
+  sky130_fd_sc_hd__a22oi_1 U76657 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[50]), 
+        .A2(n57562), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[4]), .B2(n57561), .Y(n57232) );
+  sky130_fd_sc_hd__nand3_1 U76658 ( .A(n57234), .B(n57233), .C(n57232), .Y(
+        n57235) );
+  sky130_fd_sc_hd__a21oi_1 U76659 ( .A1(n57570), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[3]), .B1(n57235), .Y(
+        n57236) );
+  sky130_fd_sc_hd__o22ai_1 U76661 ( .A1(n57241), .A2(n57240), .B1(n57239), 
+        .B2(n57238), .Y(n57242) );
+  sky130_fd_sc_hd__nor4_1 U76662 ( .A(n57245), .B(n57244), .C(n57243), .D(
+        n57242), .Y(n57246) );
+  sky130_fd_sc_hd__nand4_1 U76663 ( .A(n57249), .B(n57248), .C(n57247), .D(
+        n57246), .Y(n85316) );
+  sky130_fd_sc_hd__o2bb2ai_1 U76664 ( .B1(n83298), .B2(n57258), .A1_N(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_1[3]), .A2_N(n57368), .Y(n57250) );
+  sky130_fd_sc_hd__a21oi_1 U76665 ( .A1(n57600), .A2(n85318), .B1(n57250), .Y(
+        n57251) );
+  sky130_fd_sc_hd__o21ai_1 U76666 ( .A1(n57253), .A2(n57252), .B1(n57251), .Y(
+        n85319) );
+  sky130_fd_sc_hd__nand2_1 U76667 ( .A(n57367), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_3[0]), .Y(
+        n57256) );
+  sky130_fd_sc_hd__nand2_1 U76668 ( .A(n57600), .B(n85321), .Y(n57255) );
+  sky130_fd_sc_hd__nand2_1 U76669 ( .A(n57602), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_0[3]), .Y(n57254) );
+  sky130_fd_sc_hd__and3_1 U76670 ( .A(n57256), .B(n57255), .C(n57254), .X(
+        n57257) );
+  sky130_fd_sc_hd__o21ai_1 U76671 ( .A1(n83299), .A2(n57258), .B1(n57257), .Y(
+        n85322) );
+  sky130_fd_sc_hd__nand2_1 U76672 ( .A(n57367), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_0[0]), .Y(
+        n57261) );
+  sky130_fd_sc_hd__nand2_1 U76673 ( .A(n57600), .B(n85323), .Y(n57260) );
+  sky130_fd_sc_hd__a22oi_1 U76674 ( .A1(n57602), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_0[0]), .B1(n85324), .B2(n57601), .Y(n57259) );
+  sky130_fd_sc_hd__nand3_1 U76675 ( .A(n57261), .B(n57260), .C(n57259), .Y(
+        n85325) );
+  sky130_fd_sc_hd__nor2_1 U76676 ( .A(n57415), .B(n57262), .Y(n57266) );
+  sky130_fd_sc_hd__nor4_1 U76677 ( .A(n57266), .B(n57265), .C(n57264), .D(
+        n57263), .Y(n57270) );
+  sky130_fd_sc_hd__a31oi_1 U76678 ( .A1(n57270), .A2(n57269), .A3(n57268), 
+        .B1(n57267), .Y(n57351) );
+  sky130_fd_sc_hd__a22oi_1 U76679 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[13]), 
+        .A2(n57560), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[13]), 
+        .B2(n57559), .Y(n57273) );
+  sky130_fd_sc_hd__a22oi_1 U76680 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[3]), .A2(n57562), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[45]), 
+        .B2(n57564), .Y(n57272) );
+  sky130_fd_sc_hd__a22oi_1 U76681 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[45]), 
+        .A2(n57563), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[13]), .B2(n57561), .Y(n57271) );
+  sky130_fd_sc_hd__nand3_1 U76682 ( .A(n57273), .B(n57272), .C(n57271), .Y(
+        n57274) );
+  sky130_fd_sc_hd__a21oi_1 U76683 ( .A1(n57570), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[6]), .B1(n57274), .Y(
+        n57275) );
+  sky130_fd_sc_hd__a22oi_1 U76685 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__5[6]), .A2(n56525), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[14]), .B2(n57558), .Y(n57294) );
+  sky130_fd_sc_hd__a22oi_1 U76686 ( .A1(n41794), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[14]), .B1(n38443), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[14]), .Y(n57293) );
+  sky130_fd_sc_hd__a22oi_1 U76687 ( .A1(n57571), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[46]), .B1(n57587), .B2(MarmotCaravelChip_dut_sys_clint_oldBytes__1[6]), .Y(n57292)
+         );
+  sky130_fd_sc_hd__a22oi_1 U76688 ( .A1(n38397), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[14]), 
+        .B1(n82350), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[14]), 
+        .Y(n57289) );
+  sky130_fd_sc_hd__a22oi_1 U76689 ( .A1(n82339), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[14]), 
+        .B1(n82303), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[14]), 
+        .Y(n57288) );
+  sky130_fd_sc_hd__a22oi_1 U76690 ( .A1(n82333), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[14]), 
+        .B1(n82361), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[14]), 
+        .Y(n57279) );
+  sky130_fd_sc_hd__a22oi_1 U76691 ( .A1(n82296), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[14]), 
+        .B1(n82315), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[14]), 
+        .Y(n57278) );
+  sky130_fd_sc_hd__nand4b_1 U76692 ( .A_N(n57280), .B(n57279), .C(n57278), .D(
+        n57277), .Y(n57286) );
+  sky130_fd_sc_hd__a22oi_1 U76693 ( .A1(n82327), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[14]), 
+        .B1(n82321), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[14]), 
+        .Y(n57284) );
+  sky130_fd_sc_hd__a22oi_1 U76694 ( .A1(n38396), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[14]), 
+        .B1(n38401), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[14]), 
+        .Y(n57283) );
+  sky130_fd_sc_hd__a22oi_1 U76695 ( .A1(n82284), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[14]), 
+        .B1(n82278), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[14]), 
+        .Y(n57282) );
+  sky130_fd_sc_hd__a22oi_1 U76696 ( .A1(n82309), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[14]), 
+        .B1(n82290), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[14]), 
+        .Y(n57281) );
+  sky130_fd_sc_hd__nand4_1 U76697 ( .A(n57284), .B(n57283), .C(n57282), .D(
+        n57281), .Y(n57285) );
+  sky130_fd_sc_hd__a211oi_1 U76698 ( .A1(n57586), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[14]), 
+        .B1(n57286), .C1(n57285), .Y(n57287) );
+  sky130_fd_sc_hd__a31oi_1 U76699 ( .A1(n57289), .A2(n57288), .A3(n57287), 
+        .B1(n43381), .Y(n57290) );
+  sky130_fd_sc_hd__a21oi_1 U76700 ( .A1(n37065), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[46]), .B1(n57290), .Y(n57291) );
+  sky130_fd_sc_hd__nand4_1 U76701 ( .A(n57294), .B(n57293), .C(n57292), .D(
+        n57291), .Y(n57349) );
+  sky130_fd_sc_hd__o21ai_1 U76702 ( .A1(n57297), .A2(n57296), .B1(n57295), .Y(
+        n57299) );
+  sky130_fd_sc_hd__a21oi_1 U76703 ( .A1(n57485), .A2(n57299), .B1(n57298), .Y(
+        n57308) );
+  sky130_fd_sc_hd__o21ai_0 U76704 ( .A1(n57302), .A2(n57301), .B1(n57300), .Y(
+        n57303) );
+  sky130_fd_sc_hd__a21oi_1 U76705 ( .A1(n57305), .A2(n57304), .B1(n57303), .Y(
+        n57307) );
+  sky130_fd_sc_hd__a31oi_1 U76706 ( .A1(n57308), .A2(n57307), .A3(n57306), 
+        .B1(n57438), .Y(n57332) );
+  sky130_fd_sc_hd__nor4b_1 U76707 ( .D_N(n57312), .A(n57311), .B(n57310), .C(
+        n57309), .Y(n57314) );
+  sky130_fd_sc_hd__a21oi_1 U76708 ( .A1(n57315), .A2(n57314), .B1(n57313), .Y(
+        n57331) );
+  sky130_fd_sc_hd__nor2_1 U76709 ( .A(n57317), .B(n57316), .Y(n57323) );
+  sky130_fd_sc_hd__o21ai_1 U76710 ( .A1(n57320), .A2(n57319), .B1(n57318), .Y(
+        n57321) );
+  sky130_fd_sc_hd__nor4_1 U76711 ( .A(n57324), .B(n57323), .C(n57322), .D(
+        n57321), .Y(n57328) );
+  sky130_fd_sc_hd__a31oi_1 U76712 ( .A1(n57328), .A2(n57327), .A3(n57326), 
+        .B1(n57325), .Y(n57330) );
+  sky130_fd_sc_hd__nor4_1 U76713 ( .A(n57332), .B(n57331), .C(n57330), .D(
+        n57329), .Y(n57346) );
+  sky130_fd_sc_hd__o22ai_1 U76714 ( .A1(n57509), .A2(n57334), .B1(n41606), 
+        .B2(n57333), .Y(n57341) );
+  sky130_fd_sc_hd__nand3_1 U76715 ( .A(n57123), .B(n57336), .C(n57335), .Y(
+        n57337) );
+  sky130_fd_sc_hd__o21ai_1 U76716 ( .A1(n57339), .A2(n57338), .B1(n57337), .Y(
+        n57340) );
+  sky130_fd_sc_hd__nor4_1 U76717 ( .A(n57343), .B(n57342), .C(n57341), .D(
+        n57340), .Y(n57345) );
+  sky130_fd_sc_hd__o22ai_1 U76718 ( .A1(n57517), .A2(n57346), .B1(n57345), 
+        .B2(n57344), .Y(n57348) );
+  sky130_fd_sc_hd__nor4_1 U76719 ( .A(n57351), .B(n57350), .C(n57349), .D(
+        n57348), .Y(n57366) );
+  sky130_fd_sc_hd__a31oi_1 U76720 ( .A1(n57354), .A2(n57353), .A3(n57352), 
+        .B1(n57549), .Y(n57363) );
+  sky130_fd_sc_hd__a211oi_1 U76721 ( .A1(n57496), .A2(n57357), .B1(n57356), 
+        .C1(n57355), .Y(n57359) );
+  sky130_fd_sc_hd__nand4_1 U76722 ( .A(n57360), .B(n57359), .C(n57502), .D(
+        n57358), .Y(n57362) );
+  sky130_fd_sc_hd__nand3_1 U76724 ( .A(n57366), .B(n57365), .C(n57364), .Y(
+        n85326) );
+  sky130_fd_sc_hd__mux2_2 U76725 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[46]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_data[14]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .X(n85327) );
+  sky130_fd_sc_hd__nand2_1 U76726 ( .A(n57367), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_14[0]), .Y(
+        n57372) );
+  sky130_fd_sc_hd__nand2_1 U76727 ( .A(n57600), .B(n85327), .Y(n57371) );
+  sky130_fd_sc_hd__a22oi_1 U76728 ( .A1(n85328), .A2(n57369), .B1(n57368), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_1[6]), .Y(n57370) );
+  sky130_fd_sc_hd__nand3_1 U76729 ( .A(n57372), .B(n57371), .C(n57370), .Y(
+        n85329) );
+  sky130_fd_sc_hd__or2_2 U76730 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_entry[4]), .B(n72128), .X(n85663) );
+  sky130_fd_sc_hd__mux2_2 U76731 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_entry[4]), .A1(n85663), .S(n37899), .X(n85664) );
+  sky130_fd_sc_hd__a21oi_1 U76732 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_respPipe_bits_taken), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_respPipe_valid), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_valid), .Y(n61446) );
+  sky130_fd_sc_hd__nor2_1 U76733 ( .A(n37038), .B(n61446), .Y(n82580) );
+  sky130_fd_sc_hd__nand2_1 U76734 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_prediction_entry[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_prediction_entry[4]), .Y(n57377) );
+  sky130_fd_sc_hd__nand2_1 U76735 ( .A(n59730), .B(n57374), .Y(n59734) );
+  sky130_fd_sc_hd__nand2_1 U76736 ( .A(n59734), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_prediction_entry[4]), .Y(n63979) );
+  sky130_fd_sc_hd__nand2_1 U76737 ( .A(n72374), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_respPipe_bits_entry[4]), .Y(n57375) );
+  sky130_fd_sc_hd__o21a_1 U76738 ( .A1(n72374), .A2(n63979), .B1(n57375), .X(
+        n82542) );
+  sky130_fd_sc_hd__nand2_1 U76739 ( .A(n82580), .B(n82542), .Y(n82557) );
+  sky130_fd_sc_hd__nand2_1 U76740 ( .A(n64068), .B(n63999), .Y(n60801) );
+  sky130_fd_sc_hd__nand2_1 U76741 ( .A(n60790), .B(n60789), .Y(n60822) );
+  sky130_fd_sc_hd__nand2b_1 U76742 ( .A_N(n60822), .B(n63995), .Y(n60802) );
+  sky130_fd_sc_hd__nor2_1 U76743 ( .A(n60801), .B(n60802), .Y(n60788) );
+  sky130_fd_sc_hd__nand2_1 U76744 ( .A(n60788), .B(n63988), .Y(n60851) );
+  sky130_fd_sc_hd__nand2_1 U76745 ( .A(n60813), .B(n60812), .Y(n60830) );
+  sky130_fd_sc_hd__nand3_1 U76746 ( .A(n60841), .B(n60783), .C(n60839), .Y(
+        n85332) );
+  sky130_fd_sc_hd__or2_2 U76747 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_entry[3]), .B(n72128), .X(n85659) );
+  sky130_fd_sc_hd__mux2_2 U76748 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_entry[3]), .A1(n85659), .S(n57376), .X(n85660) );
+  sky130_fd_sc_hd__nand3_1 U76749 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[10]), .B(n59730), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__waddr_T_39_4_), .Y(n59744) );
+  sky130_fd_sc_hd__nand2_1 U76751 ( .A(n57377), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_prediction_entry[3]), .Y(n57378) );
+  sky130_fd_sc_hd__nand2b_1 U76752 ( .A_N(n57379), .B(n57378), .Y(n63923) );
+  sky130_fd_sc_hd__nand2_1 U76753 ( .A(n63980), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_valid), .Y(n60031) );
+  sky130_fd_sc_hd__o21a_1 U76754 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_valid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_respPipe_bits_entry[3]), .B1(n60031), .X(n82584) );
+  sky130_fd_sc_hd__nand2_1 U76755 ( .A(n82570), .B(n82580), .Y(n82589) );
+  sky130_fd_sc_hd__nand2_1 U76756 ( .A(n60798), .B(n60797), .Y(n60792) );
+  sky130_fd_sc_hd__nand2b_1 U76757 ( .A_N(n60792), .B(n57386), .Y(n60824) );
+  sky130_fd_sc_hd__nor3_1 U76758 ( .A(n73298), .B(n73272), .C(n60822), .Y(
+        n57380) );
+  sky130_fd_sc_hd__nand4_1 U76759 ( .A(n57381), .B(n60782), .C(n60839), .D(
+        n57380), .Y(n85336) );
+  sky130_fd_sc_hd__or2_2 U76760 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_bits_entry[2]), .B(n72128), .X(n85646) );
+  sky130_fd_sc_hd__mux2_2 U76761 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_entry[2]), .A1(n85646), .S(n37899), .X(n85647) );
+  sky130_fd_sc_hd__nand4_1 U76762 ( .A(n60813), .B(n60842), .C(n63995), .D(
+        n60814), .Y(n57382) );
+  sky130_fd_sc_hd__nor4_1 U76763 ( .A(n73275), .B(n73284), .C(n73288), .D(
+        n57382), .Y(n57389) );
+  sky130_fd_sc_hd__nand4_1 U76764 ( .A(n57383), .B(n60793), .C(n60798), .D(
+        n63999), .Y(n57384) );
+  sky130_fd_sc_hd__nor4_1 U76765 ( .A(n73273), .B(n73283), .C(n72722), .D(
+        n57384), .Y(n57385) );
+  sky130_fd_sc_hd__nand2_1 U76766 ( .A(n57389), .B(n57385), .Y(n85337) );
+  sky130_fd_sc_hd__mux2_2 U76767 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_entry[0]), .A1(n85648), .S(n37899), .X(n85649) );
+  sky130_fd_sc_hd__nand4_1 U76768 ( .A(n72752), .B(n60794), .C(n57386), .D(
+        n60812), .Y(n57387) );
+  sky130_fd_sc_hd__nor4_1 U76769 ( .A(n73277), .B(n73282), .C(n72721), .D(
+        n57387), .Y(n57388) );
+  sky130_fd_sc_hd__nand2_1 U76770 ( .A(n57389), .B(n57388), .Y(n85338) );
+  sky130_fd_sc_hd__mux2_2 U76771 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_0_btb_entry[1]), .A1(n85754), .S(n37899), .X(n85755) );
+  sky130_fd_sc_hd__nand2_1 U76772 ( .A(n57390), .B(n70399), .Y(n57393) );
+  sky130_fd_sc_hd__nor2_1 U76773 ( .A(n57392), .B(n55614), .Y(n57391) );
+  sky130_fd_sc_hd__nand2_1 U76774 ( .A(n85541), .B(n72568), .Y(n64971) );
+  sky130_fd_sc_hd__nand2_1 U76775 ( .A(n57394), .B(n70399), .Y(n57397) );
+  sky130_fd_sc_hd__nor2_1 U76776 ( .A(n57396), .B(n55614), .Y(n57395) );
+  sky130_fd_sc_hd__nor2_1 U76777 ( .A(n57607), .B(n57398), .Y(n57400) );
+  sky130_fd_sc_hd__mux2_2 U76778 ( .A0(n55614), .A1(n57400), .S(n57399), .X(
+        n85604) );
+  sky130_fd_sc_hd__nor2_1 U76779 ( .A(n57607), .B(n57401), .Y(n57403) );
+  sky130_fd_sc_hd__mux2_2 U76780 ( .A0(n55614), .A1(n57403), .S(n57402), .X(
+        n85628) );
+  sky130_fd_sc_hd__nand2_1 U76782 ( .A(n57408), .B(n57407), .Y(n57411) );
+  sky130_fd_sc_hd__a21oi_1 U76783 ( .A1(n57411), .A2(n57410), .B1(n57409), .Y(
+        n57470) );
+  sky130_fd_sc_hd__o22ai_1 U76784 ( .A1(n57415), .A2(n57414), .B1(n57413), 
+        .B2(n57412), .Y(n57416) );
+  sky130_fd_sc_hd__nor4_1 U76785 ( .A(n57419), .B(n57418), .C(n57417), .D(
+        n57416), .Y(n57430) );
+  sky130_fd_sc_hd__o21ai_1 U76786 ( .A1(n57422), .A2(n57421), .B1(n57420), .Y(
+        n57423) );
+  sky130_fd_sc_hd__nor4_1 U76787 ( .A(n57426), .B(n57425), .C(n57424), .D(
+        n57423), .Y(n57428) );
+  sky130_fd_sc_hd__o22ai_1 U76788 ( .A1(n57430), .A2(n57429), .B1(n57428), 
+        .B2(n57427), .Y(n57469) );
+  sky130_fd_sc_hd__a21oi_1 U76790 ( .A1(n57193), .A2(n57435), .B1(n57434), .Y(
+        n57439) );
+  sky130_fd_sc_hd__o22ai_1 U76791 ( .A1(n57439), .A2(n57438), .B1(n57437), 
+        .B2(n57436), .Y(n57468) );
+  sky130_fd_sc_hd__a21oi_1 U76792 ( .A1(n57442), .A2(n57441), .B1(n57440), .Y(
+        n57466) );
+  sky130_fd_sc_hd__o22ai_1 U76793 ( .A1(n57446), .A2(n57445), .B1(n57444), 
+        .B2(n57443), .Y(n57462) );
+  sky130_fd_sc_hd__o22ai_1 U76794 ( .A1(n57450), .A2(n57449), .B1(n57448), 
+        .B2(n57447), .Y(n57461) );
+  sky130_fd_sc_hd__o22ai_1 U76795 ( .A1(n57454), .A2(n57453), .B1(n57452), 
+        .B2(n57451), .Y(n57460) );
+  sky130_fd_sc_hd__o22ai_1 U76796 ( .A1(n57458), .A2(n57457), .B1(n57456), 
+        .B2(n57455), .Y(n57459) );
+  sky130_fd_sc_hd__nor4_1 U76797 ( .A(n57462), .B(n57461), .C(n57460), .D(
+        n57459), .Y(n57465) );
+  sky130_fd_sc_hd__nand4_1 U76798 ( .A(n57466), .B(n57465), .C(n57464), .D(
+        n57463), .Y(n57467) );
+  sky130_fd_sc_hd__nor4_1 U76799 ( .A(n57470), .B(n57469), .C(n57468), .D(
+        n57467), .Y(n57520) );
+  sky130_fd_sc_hd__a21oi_1 U76800 ( .A1(n57473), .A2(n57472), .B1(n57471), .Y(
+        n57479) );
+  sky130_fd_sc_hd__nor3_1 U76801 ( .A(n57475), .B(n41630), .C(n57474), .Y(
+        n57478) );
+  sky130_fd_sc_hd__a31oi_1 U76802 ( .A1(n57479), .A2(n57478), .A3(n57477), 
+        .B1(n57476), .Y(n57506) );
+  sky130_fd_sc_hd__nor2_1 U76803 ( .A(n57481), .B(n57480), .Y(n57499) );
+  sky130_fd_sc_hd__o22ai_1 U76804 ( .A1(n57485), .A2(n57484), .B1(n57483), 
+        .B2(n57482), .Y(n57498) );
+  sky130_fd_sc_hd__nor3_1 U76805 ( .A(n57488), .B(n57487), .C(n57486), .Y(
+        n57495) );
+  sky130_fd_sc_hd__nand2_1 U76806 ( .A(n57490), .B(n57489), .Y(n57492) );
+  sky130_fd_sc_hd__a22oi_1 U76807 ( .A1(n57493), .A2(n57492), .B1(n57491), 
+        .B2(n52744), .Y(n57494) );
+  sky130_fd_sc_hd__o21ai_1 U76808 ( .A1(n57496), .A2(n57495), .B1(n57494), .Y(
+        n57497) );
+  sky130_fd_sc_hd__nor4_1 U76809 ( .A(n57500), .B(n57499), .C(n57498), .D(
+        n57497), .Y(n57503) );
+  sky130_fd_sc_hd__a31oi_1 U76810 ( .A1(n57504), .A2(n57503), .A3(n57502), 
+        .B1(n57501), .Y(n57505) );
+  sky130_fd_sc_hd__o21ai_1 U76811 ( .A1(n57507), .A2(n57506), .B1(n57505), .Y(
+        n57519) );
+  sky130_fd_sc_hd__nand2_1 U76812 ( .A(n57509), .B(n57508), .Y(n57511) );
+  sky130_fd_sc_hd__nand4_1 U76813 ( .A(n57513), .B(n57512), .C(n57511), .D(
+        n57510), .Y(n57515) );
+  sky130_fd_sc_hd__a31oi_1 U76815 ( .A1(n57520), .A2(n57519), .A3(n57518), 
+        .B1(n57517), .Y(n57597) );
+  sky130_fd_sc_hd__a31oi_1 U76816 ( .A1(n57523), .A2(n57522), .A3(n57521), 
+        .B1(n57549), .Y(n57532) );
+  sky130_fd_sc_hd__o21ai_1 U76817 ( .A1(n57525), .A2(n57524), .B1(n41630), .Y(
+        n57531) );
+  sky130_fd_sc_hd__o22ai_1 U76818 ( .A1(n57529), .A2(n57528), .B1(n57527), 
+        .B2(n57526), .Y(n57530) );
+  sky130_fd_sc_hd__nor4_1 U76819 ( .A(n57533), .B(n57532), .C(n57531), .D(
+        n57530), .Y(n57534) );
+  sky130_fd_sc_hd__nand2_1 U76820 ( .A(n57535), .B(n57534), .Y(n57556) );
+  sky130_fd_sc_hd__a31oi_1 U76821 ( .A1(n57538), .A2(n57537), .A3(n57536), 
+        .B1(n57549), .Y(n57547) );
+  sky130_fd_sc_hd__o2bb2ai_1 U76822 ( .B1(n52744), .B2(n57541), .A1_N(n57540), 
+        .A2_N(n57539), .Y(n57546) );
+  sky130_fd_sc_hd__nor4_1 U76824 ( .A(n57548), .B(n57547), .C(n57546), .D(
+        n57545), .Y(n57554) );
+  sky130_fd_sc_hd__o21ai_0 U76825 ( .A1(n57551), .A2(n57550), .B1(n57549), .Y(
+        n57553) );
+  sky130_fd_sc_hd__a21oi_1 U76826 ( .A1(n57554), .A2(n57553), .B1(n57552), .Y(
+        n57555) );
+  sky130_fd_sc_hd__o21ai_1 U76827 ( .A1(n57557), .A2(n57556), .B1(n57555), .Y(
+        n57595) );
+  sky130_fd_sc_hd__a22oi_1 U76828 ( .A1(n56525), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__6[1]), .B1(n41794), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[17]), .Y(n57594) );
+  sky130_fd_sc_hd__nand2_1 U76829 ( .A(n57558), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_data[17]), .Y(n57568) );
+  sky130_fd_sc_hd__a22oi_1 U76830 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[16]), 
+        .A2(n57560), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[16]), 
+        .B2(n57559), .Y(n57567) );
+  sky130_fd_sc_hd__a22oi_1 U76831 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[6]), .A2(n57562), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[2]), .B2(n57561), .Y(n57566) );
+  sky130_fd_sc_hd__a22oi_1 U76832 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[48]), 
+        .A2(n57564), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[48]), 
+        .B2(n57563), .Y(n57565) );
+  sky130_fd_sc_hd__nand4_1 U76833 ( .A(n57568), .B(n57567), .C(n57566), .D(
+        n57565), .Y(n57569) );
+  sky130_fd_sc_hd__a21oi_1 U76834 ( .A1(n57570), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[1]), .B1(n57569), .Y(
+        n57575) );
+  sky130_fd_sc_hd__nand2_1 U76835 ( .A(n38443), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[17]), .Y(n57573) );
+  sky130_fd_sc_hd__nand2_1 U76836 ( .A(n57571), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_data[49]), .Y(n57572) );
+  sky130_fd_sc_hd__nand4_1 U76837 ( .A(n57575), .B(n57574), .C(n57573), .D(
+        n57572), .Y(n57592) );
+  sky130_fd_sc_hd__a22oi_1 U76838 ( .A1(n82296), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_55[17]), 
+        .B1(n82350), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_61[17]), 
+        .Y(n57579) );
+  sky130_fd_sc_hd__a22oi_1 U76839 ( .A1(n82309), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_2[17]), 
+        .B1(n38396), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_70[17]), 
+        .Y(n57578) );
+  sky130_fd_sc_hd__a22oi_1 U76840 ( .A1(n38397), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_22[17]), 
+        .B1(n82278), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_76[17]), 
+        .Y(n57577) );
+  sky130_fd_sc_hd__a22oi_1 U76841 ( .A1(n82303), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_64[17]), 
+        .B1(n82361), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_16[17]), 
+        .Y(n57576) );
+  sky130_fd_sc_hd__nand4_1 U76842 ( .A(n57579), .B(n57578), .C(n57577), .D(
+        n57576), .Y(n57585) );
+  sky130_fd_sc_hd__a22oi_1 U76843 ( .A1(n82339), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_7[17]), 
+        .B1(n82315), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_19[17]), 
+        .Y(n57583) );
+  sky130_fd_sc_hd__a22oi_1 U76844 ( .A1(n82333), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_13[17]), 
+        .B1(n82327), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_58[17]), 
+        .Y(n57582) );
+  sky130_fd_sc_hd__a22oi_1 U76845 ( .A1(n82284), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_10[17]), 
+        .B1(n82321), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_67[17]), 
+        .Y(n57581) );
+  sky130_fd_sc_hd__a22oi_1 U76846 ( .A1(n82290), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_28[17]), 
+        .B1(n38401), .B2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_25[17]), 
+        .Y(n57580) );
+  sky130_fd_sc_hd__nand4_1 U76847 ( .A(n57583), .B(n57582), .C(n57581), .D(
+        n57580), .Y(n57584) );
+  sky130_fd_sc_hd__a211oi_1 U76848 ( .A1(n57586), .A2(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_out_prepend_73[17]), 
+        .B1(n57585), .C1(n57584), .Y(n57590) );
+  sky130_fd_sc_hd__a22oi_1 U76849 ( .A1(n57588), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[1]), .B1(n57587), .B2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__2[1]), .Y(n57589) );
+  sky130_fd_sc_hd__o21ai_0 U76850 ( .A1(n43381), .A2(n57590), .B1(n57589), .Y(
+        n57591) );
+  sky130_fd_sc_hd__a211oi_1 U76851 ( .A1(n37065), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_data[49]), .B1(n57592), .C1(n57591), .Y(n57593) );
+  sky130_fd_sc_hd__nand3_1 U76852 ( .A(n57595), .B(n57594), .C(n57593), .Y(
+        n57596) );
+  sky130_fd_sc_hd__nor2_1 U76853 ( .A(n57597), .B(n57596), .Y(n57598) );
+  sky130_fd_sc_hd__nand2_1 U76854 ( .A(n57599), .B(n57598), .Y(n85340) );
+  sky130_fd_sc_hd__nand2_1 U76855 ( .A(n57367), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_1[0]), .Y(
+        n57605) );
+  sky130_fd_sc_hd__nand2_1 U76856 ( .A(n57600), .B(n85342), .Y(n57604) );
+  sky130_fd_sc_hd__a22oi_1 U76857 ( .A1(n57602), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_0[1]), .B1(n57601), .B2(n85343), .Y(n57603) );
+  sky130_fd_sc_hd__nand3_1 U76858 ( .A(n57605), .B(n57604), .C(n57603), .Y(
+        n85344) );
+  sky130_fd_sc_hd__nor2_1 U76859 ( .A(n57607), .B(n57606), .Y(n57608) );
+  sky130_fd_sc_hd__mux2_2 U76860 ( .A0(n57608), .A1(n55614), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[16]), .X(n85741) );
+  sky130_fd_sc_hd__nand2_1 U76861 ( .A(n57609), .B(n70399), .Y(n57612) );
+  sky130_fd_sc_hd__nor2_1 U76862 ( .A(n57611), .B(n55614), .Y(n57610) );
+  sky130_fd_sc_hd__a22oi_1 U76863 ( .A1(n64084), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_x), .B1(n60104), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mscratch[26]), .Y(n57617) );
+  sky130_fd_sc_hd__a22oi_1 U76864 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[26]), .A2(n60093), .B1(n60103), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dscratch[26]), .Y(n57616) );
+  sky130_fd_sc_hd__a22oi_1 U76865 ( .A1(n57613), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[26]), .B1(n64223), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_cfg_x), .Y(n57615) );
+  sky130_fd_sc_hd__a22oi_1 U76866 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[26]), .A2(n60100), .B1(n64977), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[26]), .Y(n57614) );
+  sky130_fd_sc_hd__and4_1 U76867 ( .A(n57617), .B(n57616), .C(n57615), .D(
+        n57614), .X(n57641) );
+  sky130_fd_sc_hd__a22oi_1 U76868 ( .A1(n57618), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[26]), .B1(n60025), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sscratch[26]), .Y(n57640) );
+  sky130_fd_sc_hd__nand2_1 U76869 ( .A(n57619), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[58]), .Y(n57638) );
+  sky130_fd_sc_hd__o22ai_1 U76870 ( .A1(n57621), .A2(n57620), .B1(n74823), 
+        .B2(n60043), .Y(n57624) );
+  sky130_fd_sc_hd__o2bb2ai_1 U76871 ( .B1(n71172), .B2(n60034), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[26]), .A2_N(n57622), .Y(n57623) );
+  sky130_fd_sc_hd__nor2_1 U76872 ( .A(n57624), .B(n57623), .Y(n57637) );
+  sky130_fd_sc_hd__nand2_1 U76873 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[26]), .B(n64980), .Y(n57628) );
+  sky130_fd_sc_hd__a22oi_1 U76874 ( .A1(n65637), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[26]), .B1(n65602), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[26]), .Y(n57627) );
+  sky130_fd_sc_hd__a22oi_1 U76875 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[26]), .A2(n60019), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[26]), .B2(n57625), .Y(n57626) );
+  sky130_fd_sc_hd__nand3_1 U76876 ( .A(n57628), .B(n57627), .C(n57626), .Y(
+        n57629) );
+  sky130_fd_sc_hd__a21oi_1 U76877 ( .A1(n57630), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[26]), .B1(n57629), .Y(n57632) );
+  sky130_fd_sc_hd__nand2_1 U76878 ( .A(n64975), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[26]), .Y(n57631) );
+  sky130_fd_sc_hd__o211ai_1 U76879 ( .A1(n74434), .A2(n60030), .B1(n57632), 
+        .C1(n57631), .Y(n57633) );
+  sky130_fd_sc_hd__a21oi_1 U76880 ( .A1(n57634), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[26]), .B1(n57633), .Y(n57636) );
+  sky130_fd_sc_hd__a22oi_1 U76881 ( .A1(n60091), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[26]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[26]), .B2(n60096), .Y(n57635) );
+  sky130_fd_sc_hd__and4_1 U76882 ( .A(n57638), .B(n57637), .C(n57636), .D(
+        n57635), .X(n57639) );
+  sky130_fd_sc_hd__nand3_1 U76883 ( .A(n57641), .B(n57640), .C(n57639), .Y(
+        n57664) );
+  sky130_fd_sc_hd__nand2_1 U76884 ( .A(n57664), .B(n70399), .Y(n57644) );
+  sky130_fd_sc_hd__nor2_1 U76885 ( .A(n57643), .B(n55614), .Y(n57642) );
+  sky130_fd_sc_hd__nand2_1 U76886 ( .A(n57646), .B(n57645), .Y(n57648) );
+  sky130_fd_sc_hd__nor2_1 U76887 ( .A(n57648), .B(n57647), .Y(n57650) );
+  sky130_fd_sc_hd__nor2_1 U76888 ( .A(n57650), .B(n57649), .Y(n57657) );
+  sky130_fd_sc_hd__nand2_1 U76889 ( .A(n57663), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[15]), .Y(n57656) );
+  sky130_fd_sc_hd__nand2_1 U76890 ( .A(n57665), .B(n57651), .Y(n57655) );
+  sky130_fd_sc_hd__nand2_1 U76891 ( .A(n65309), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[15]), .Y(n57653) );
+  sky130_fd_sc_hd__nand2_1 U76892 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[47]), .B(n57658), .Y(n57652) );
+  sky130_fd_sc_hd__nand2_1 U76893 ( .A(n57653), .B(n57652), .Y(n66313) );
+  sky130_fd_sc_hd__nand2_1 U76894 ( .A(n57662), .B(n66313), .Y(n57654) );
+  sky130_fd_sc_hd__nand4_1 U76895 ( .A(n57657), .B(n57656), .C(n57655), .D(
+        n57654), .Y(n85593) );
+  sky130_fd_sc_hd__nand2_1 U76896 ( .A(n65309), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[26]), .Y(n57660) );
+  sky130_fd_sc_hd__nand2_1 U76897 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[58]), .B(n57658), .Y(n57659) );
+  sky130_fd_sc_hd__nand2_1 U76898 ( .A(n57660), .B(n57659), .Y(n65658) );
+  sky130_fd_sc_hd__a21oi_1 U76899 ( .A1(n57662), .A2(n65658), .B1(n57661), .Y(
+        n57667) );
+  sky130_fd_sc_hd__a22oi_1 U76900 ( .A1(n57665), .A2(n57664), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[26]), .B2(n57663), .Y(n57666) );
+  sky130_fd_sc_hd__o211ai_1 U76901 ( .A1(n75980), .A2(n57668), .B1(n57667), 
+        .C1(n57666), .Y(n85607) );
+  sky130_fd_sc_hd__nor2b_1 U76902 ( .B_N(n36798), .A(n57669), .Y(n57671) );
+  sky130_fd_sc_hd__nor2_1 U76903 ( .A(n36798), .B(n57670), .Y(n57672) );
+  sky130_fd_sc_hd__nor2_1 U76904 ( .A(n57671), .B(n57672), .Y(n85360) );
+  sky130_fd_sc_hd__xor2_1 U76905 ( .A(n57673), .B(n57672), .X(n85361) );
+  sky130_fd_sc_hd__inv_1 U76906 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_branch), .Y(n72810) );
+  sky130_fd_sc_hd__or3_4 U76907 ( .A(n72915), .B(n72810), .C(n57674), .X(
+        n72994) );
+  sky130_fd_sc_hd__buf_2 U76908 ( .A(n57676), .X(n85365) );
+  sky130_fd_sc_hd__buf_2 U76909 ( .A(n57676), .X(n85363) );
+  sky130_fd_sc_hd__nor2_1 U76910 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_opcode_2_), .B(n76236), .Y(n85588) );
+  sky130_fd_sc_hd__nand2_1 U76911 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[43]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[1]), .Y(n57701) );
+  sky130_fd_sc_hd__nand2b_1 U76912 ( .A_N(n57678), .B(n57677), .Y(n57682) );
+  sky130_fd_sc_hd__nand4_1 U76913 ( .A(n57679), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_43[2]), 
+        .C(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[43]), 
+        .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[1]), .Y(n57680) );
+  sky130_fd_sc_hd__nand2_1 U76914 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[44]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[2]), .Y(n57702) );
+  sky130_fd_sc_hd__nor2b_1 U76915 ( .B_N(n57680), .A(n57702), .Y(n57681) );
+  sky130_fd_sc_hd__nand2_1 U76916 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[45]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[3]), .Y(n57697) );
+  sky130_fd_sc_hd__nand2_1 U76917 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[46]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[4]), .Y(n57698) );
+  sky130_fd_sc_hd__mux2i_1 U76918 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_46[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_45[0]), 
+        .S(n57686), .Y(n57729) );
+  sky130_fd_sc_hd__mux2i_1 U76919 ( .A0(n57687), .A1(n57688), .S(n59367), .Y(
+        n57725) );
+  sky130_fd_sc_hd__inv_1 U76920 ( .A(n57725), .Y(n57693) );
+  sky130_fd_sc_hd__nand2_1 U76921 ( .A(n57686), .B(n57689), .Y(n57692) );
+  sky130_fd_sc_hd__nand2_1 U76922 ( .A(n59365), .B(n57690), .Y(n57691) );
+  sky130_fd_sc_hd__nand2_1 U76923 ( .A(n57692), .B(n57691), .Y(n57694) );
+  sky130_fd_sc_hd__nor2_1 U76925 ( .A(n57693), .B(n57724), .Y(n57696) );
+  sky130_fd_sc_hd__mux2i_1 U76926 ( .A0(n57700), .A1(n57699), .S(n59367), .Y(
+        n57728) );
+  sky130_fd_sc_hd__nand2_1 U76927 ( .A(n57702), .B(n57701), .Y(n57741) );
+  sky130_fd_sc_hd__nand2_2 U76928 ( .A(n59366), .B(n57741), .Y(n58845) );
+  sky130_fd_sc_hd__nand2_1 U76929 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[41]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[13]), .Y(n57746) );
+  sky130_fd_sc_hd__nand2_1 U76930 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[42]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[0]), .Y(n57747) );
+  sky130_fd_sc_hd__nand2_1 U76931 ( .A(n37047), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_42[2]), 
+        .Y(n57706) );
+  sky130_fd_sc_hd__o21a_1 U76932 ( .A1(n37047), .A2(n38618), .B1(n57706), .X(
+        n57708) );
+  sky130_fd_sc_hd__nand2_1 U76933 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[39]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[11]), .Y(n57748) );
+  sky130_fd_sc_hd__nand2_1 U76934 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[40]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[12]), .Y(n57749) );
+  sky130_fd_sc_hd__o21a_2 U76935 ( .A1(n57748), .A2(n37178), .B1(n57707), .X(
+        n59330) );
+  sky130_fd_sc_hd__mux2i_1 U76936 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_39[2]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_40[2]), 
+        .S(n59330), .Y(n57714) );
+  sky130_fd_sc_hd__nand2_1 U76937 ( .A(n57722), .B(n57708), .Y(n57717) );
+  sky130_fd_sc_hd__mux2i_1 U76938 ( .A0(n57712), .A1(n57711), .S(n59330), .Y(
+        n57715) );
+  sky130_fd_sc_hd__nand2_1 U76939 ( .A(n57727), .B(n57715), .Y(n57713) );
+  sky130_fd_sc_hd__mux2_2 U76940 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_41[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_42[0]), 
+        .S(n37047), .X(n57733) );
+  sky130_fd_sc_hd__mux2i_1 U76941 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_39[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_40[0]), 
+        .S(n59330), .Y(n57731) );
+  sky130_fd_sc_hd__nand4_1 U76942 ( .A(n57717), .B(n57713), .C(n57733), .D(
+        n57731), .Y(n57720) );
+  sky130_fd_sc_hd__a22oi_1 U76943 ( .A1(n57749), .A2(n57748), .B1(n57714), 
+        .B2(n57723), .Y(n57719) );
+  sky130_fd_sc_hd__nand3_1 U76944 ( .A(n57717), .B(n57716), .C(n57726), .Y(
+        n57718) );
+  sky130_fd_sc_hd__nand3_1 U76945 ( .A(n57720), .B(n57719), .C(n57718), .Y(
+        n59332) );
+  sky130_fd_sc_hd__nand2_1 U76946 ( .A(n57747), .B(n57746), .Y(n57721) );
+  sky130_fd_sc_hd__mux2i_1 U76947 ( .A0(n57725), .A1(n57724), .S(n58845), .Y(
+        n57735) );
+  sky130_fd_sc_hd__mux2i_1 U76948 ( .A0(n57730), .A1(n57729), .S(n58845), .Y(
+        n57919) );
+  sky130_fd_sc_hd__mux2i_1 U76949 ( .A0(n57733), .A1(n57732), .S(n59331), .Y(
+        n57921) );
+  sky130_fd_sc_hd__nand3_1 U76950 ( .A(n57734), .B(n57919), .C(n57921), .Y(
+        n57740) );
+  sky130_fd_sc_hd__inv_1 U76951 ( .A(n57735), .Y(n57826) );
+  sky130_fd_sc_hd__nand2_1 U76952 ( .A(n57736), .B(n57826), .Y(n57739) );
+  sky130_fd_sc_hd__nand2_1 U76953 ( .A(n57737), .B(n57751), .Y(n57738) );
+  sky130_fd_sc_hd__nand3_1 U76954 ( .A(n57740), .B(n57739), .C(n57738), .Y(
+        n57745) );
+  sky130_fd_sc_hd__nand2_1 U76955 ( .A(n57743), .B(n57742), .Y(n57816) );
+  sky130_fd_sc_hd__o21a_1 U76956 ( .A1(n57751), .A2(n57737), .B1(n57816), .X(
+        n57744) );
+  sky130_fd_sc_hd__nand2_1 U76957 ( .A(n57745), .B(n57744), .Y(n57750) );
+  sky130_fd_sc_hd__nand4_1 U76958 ( .A(n57749), .B(n57748), .C(n57747), .D(
+        n57746), .Y(n57817) );
+  sky130_fd_sc_hd__nand2_2 U76959 ( .A(n57750), .B(n57817), .Y(n58846) );
+  sky130_fd_sc_hd__inv_2 U76960 ( .A(n57833), .Y(n58832) );
+  sky130_fd_sc_hd__nand2_1 U76961 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[37]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[9]), 
+        .Y(n57763) );
+  sky130_fd_sc_hd__nand2_1 U76962 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[38]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[10]), .Y(n57764) );
+  sky130_fd_sc_hd__nand2_1 U76963 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[36]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[8]), 
+        .Y(n57766) );
+  sky130_fd_sc_hd__nand2_1 U76964 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[35]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo[7]), 
+        .Y(n57767) );
+  sky130_fd_sc_hd__mux2i_1 U76965 ( .A0(n38227), .A1(n37622), .S(n59354), .Y(
+        n57798) );
+  sky130_fd_sc_hd__nand2_1 U76967 ( .A(n57798), .B(n57758), .Y(n57757) );
+  sky130_fd_sc_hd__mux2_2 U76968 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_37[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_38[0]), 
+        .S(n59352), .X(n57801) );
+  sky130_fd_sc_hd__mux2i_1 U76969 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_36[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_35[0]), 
+        .S(n59354), .Y(n57803) );
+  sky130_fd_sc_hd__nand3_1 U76970 ( .A(n57757), .B(n57801), .C(n57803), .Y(
+        n57762) );
+  sky130_fd_sc_hd__nand2_1 U76971 ( .A(n57765), .B(n57768), .Y(n57761) );
+  sky130_fd_sc_hd__nand2_1 U76973 ( .A(n57759), .B(n57797), .Y(n57760) );
+  sky130_fd_sc_hd__nand2_1 U76974 ( .A(n57764), .B(n57763), .Y(n57810) );
+  sky130_fd_sc_hd__nand2_1 U76975 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[31]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[3]), .Y(n57791) );
+  sky130_fd_sc_hd__nand3_1 U76976 ( .A(n57769), .B(n57771), .C(n57770), .Y(
+        n57775) );
+  sky130_fd_sc_hd__nand2_1 U76977 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[32]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[4]), .Y(n57792) );
+  sky130_fd_sc_hd__nand2_1 U76978 ( .A(n57772), .B(n57771), .Y(n57773) );
+  sky130_fd_sc_hd__nand2_1 U76980 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[33]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[5]), .Y(n57790) );
+  sky130_fd_sc_hd__nand3_1 U76981 ( .A(n57777), .B(n57778), .C(n57776), .Y(
+        n57781) );
+  sky130_fd_sc_hd__nand2_1 U76982 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[34]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[6]), .Y(n57789) );
+  sky130_fd_sc_hd__nand2_1 U76983 ( .A(n37166), .B(n57778), .Y(n57779) );
+  sky130_fd_sc_hd__mux2i_1 U76984 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_34[2]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_33[2]), 
+        .S(n59410), .Y(n57793) );
+  sky130_fd_sc_hd__nand2_1 U76985 ( .A(n59409), .B(n57783), .Y(n57784) );
+  sky130_fd_sc_hd__o21ai_1 U76986 ( .A1(n45547), .A2(n59409), .B1(n57784), .Y(
+        n57787) );
+  sky130_fd_sc_hd__mux2i_1 U76987 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_32[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_31[0]), 
+        .S(n59409), .Y(n57806) );
+  sky130_fd_sc_hd__nand2_1 U76988 ( .A(n57790), .B(n57789), .Y(n57807) );
+  sky130_fd_sc_hd__nand2_1 U76989 ( .A(n57792), .B(n57791), .Y(n57808) );
+  sky130_fd_sc_hd__inv_1 U76990 ( .A(n57809), .Y(n57824) );
+  sky130_fd_sc_hd__nand2_1 U76991 ( .A(n59353), .B(n57798), .Y(n57799) );
+  sky130_fd_sc_hd__inv_1 U76992 ( .A(n57825), .Y(n57800) );
+  sky130_fd_sc_hd__mux2i_1 U76993 ( .A0(n57803), .A1(n57802), .S(n58841), .Y(
+        n57820) );
+  sky130_fd_sc_hd__mux2_2 U76994 ( .A0(n57806), .A1(n57805), .S(n59412), .X(
+        n57821) );
+  sky130_fd_sc_hd__nor2_1 U76995 ( .A(n57808), .B(n57807), .Y(n57832) );
+  sky130_fd_sc_hd__nand2_1 U76996 ( .A(n57819), .B(n57818), .Y(n57929) );
+  sky130_fd_sc_hd__mux2i_1 U76997 ( .A0(n57822), .A1(n57821), .S(n58837), .Y(
+        n57922) );
+  sky130_fd_sc_hd__mux2i_1 U76998 ( .A0(n57823), .A1(n57919), .S(n58846), .Y(
+        n57829) );
+  sky130_fd_sc_hd__nand2_1 U76999 ( .A(n58846), .B(n57826), .Y(n57827) );
+  sky130_fd_sc_hd__inv_1 U77000 ( .A(n57912), .Y(n57831) );
+  sky130_fd_sc_hd__inv_1 U77001 ( .A(n57830), .Y(n57914) );
+  sky130_fd_sc_hd__nand2_1 U77002 ( .A(n37216), .B(n57832), .Y(n57930) );
+  sky130_fd_sc_hd__nand2_1 U77003 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[49]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[49]), .Y(
+        n57890) );
+  sky130_fd_sc_hd__nand2_1 U77004 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[50]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[50]), .Y(
+        n57891) );
+  sky130_fd_sc_hd__mux2_2 U77005 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_49[2]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_50[2]), 
+        .S(n59403), .X(n57846) );
+  sky130_fd_sc_hd__nand2_1 U77006 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[48]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[6]), .Y(n57892) );
+  sky130_fd_sc_hd__nand2_1 U77007 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[47]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_hi_lo[5]), .Y(n57893) );
+  sky130_fd_sc_hd__nand2_1 U77009 ( .A(n59399), .B(n57840), .Y(n57841) );
+  sky130_fd_sc_hd__o21a_1 U77010 ( .A1(n59399), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_48[1]), 
+        .B1(n57841), .X(n57843) );
+  sky130_fd_sc_hd__mux2i_1 U77011 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_48[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_47[0]), 
+        .S(n59399), .Y(n57848) );
+  sky130_fd_sc_hd__inv_1 U77012 ( .A(n57843), .Y(n57876) );
+  sky130_fd_sc_hd__nand2_1 U77013 ( .A(n57891), .B(n57890), .Y(n57845) );
+  sky130_fd_sc_hd__inv_1 U77014 ( .A(n57894), .Y(n57899) );
+  sky130_fd_sc_hd__mux2_2 U77015 ( .A0(n57849), .A1(n57848), .S(n59401), .X(
+        n57902) );
+  sky130_fd_sc_hd__nand2_1 U77016 ( .A(n57851), .B(n57850), .Y(n57854) );
+  sky130_fd_sc_hd__nand2_1 U77017 ( .A(n57854), .B(n57853), .Y(n57856) );
+  sky130_fd_sc_hd__nand2_1 U77018 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[53]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[53]), .Y(
+        n57882) );
+  sky130_fd_sc_hd__nand2_1 U77019 ( .A(n57856), .B(n57855), .Y(n57858) );
+  sky130_fd_sc_hd__nand2_1 U77020 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[54]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[54]), .Y(
+        n57883) );
+  sky130_fd_sc_hd__nand2_1 U77021 ( .A(n57858), .B(n57857), .Y(n59356) );
+  sky130_fd_sc_hd__mux2i_1 U77022 ( .A0(n57860), .A1(n57859), .S(n59356), .Y(
+        n57875) );
+  sky130_fd_sc_hd__nand2_1 U77023 ( .A(n57862), .B(n57861), .Y(n57864) );
+  sky130_fd_sc_hd__nand2_1 U77024 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[51]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[51]), .Y(
+        n57884) );
+  sky130_fd_sc_hd__nand2_1 U77025 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[52]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt[52]), .Y(
+        n57885) );
+  sky130_fd_sc_hd__mux2i_1 U77026 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_52[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_51[0]), 
+        .S(n36845), .Y(n57865) );
+  sky130_fd_sc_hd__mux2i_1 U77027 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_54[1]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_53[1]), 
+        .S(n59356), .Y(n57867) );
+  sky130_fd_sc_hd__mux2_2 U77028 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_51[1]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_52[1]), 
+        .S(n59357), .X(n57878) );
+  sky130_fd_sc_hd__nand2_1 U77029 ( .A(n57867), .B(n57878), .Y(n57866) );
+  sky130_fd_sc_hd__nand2_1 U77030 ( .A(n57883), .B(n57882), .Y(n57873) );
+  sky130_fd_sc_hd__mux2_2 U77031 ( .A0(n57875), .A1(n57874), .S(n59358), .X(
+        n57903) );
+  sky130_fd_sc_hd__nand2_1 U77032 ( .A(n57902), .B(n57903), .Y(n57881) );
+  sky130_fd_sc_hd__mux2_2 U77034 ( .A0(n57879), .A1(n57878), .S(n59358), .X(
+        n57888) );
+  sky130_fd_sc_hd__nand2_1 U77035 ( .A(n57901), .B(n57888), .Y(n57880) );
+  sky130_fd_sc_hd__nand4_1 U77036 ( .A(n57885), .B(n57884), .C(n57883), .D(
+        n57882), .Y(n57906) );
+  sky130_fd_sc_hd__nand4_1 U77037 ( .A(n57893), .B(n57892), .C(n57891), .D(
+        n57890), .Y(n57907) );
+  sky130_fd_sc_hd__nand3_1 U77038 ( .A(n57899), .B(n57906), .C(n57897), .Y(
+        n57896) );
+  sky130_fd_sc_hd__nand2_1 U77040 ( .A(n57915), .B(n57917), .Y(n57905) );
+  sky130_fd_sc_hd__mux2i_1 U77041 ( .A0(n57904), .A1(n57903), .S(n58858), .Y(
+        n57926) );
+  sky130_fd_sc_hd__nand3_1 U77042 ( .A(n57905), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_55[0]), 
+        .C(n57926), .Y(n57909) );
+  sky130_fd_sc_hd__o21a_1 U77043 ( .A1(n57915), .A2(n57917), .B1(n38461), .X(
+        n57908) );
+  sky130_fd_sc_hd__nand2_1 U77044 ( .A(n68061), .B(n57915), .Y(n57916) );
+  sky130_fd_sc_hd__inv_1 U77045 ( .A(n57919), .Y(n57920) );
+  sky130_fd_sc_hd__mux2i_1 U77046 ( .A0(n57921), .A1(n57920), .S(n58846), .Y(
+        n57925) );
+  sky130_fd_sc_hd__inv_1 U77047 ( .A(n57922), .Y(n57923) );
+  sky130_fd_sc_hd__nand2_1 U77048 ( .A(n58860), .B(n57923), .Y(n57924) );
+  sky130_fd_sc_hd__o21ai_1 U77049 ( .A1(n57925), .A2(n58860), .B1(n57924), .Y(
+        n58292) );
+  sky130_fd_sc_hd__inv_1 U77050 ( .A(n57926), .Y(n57927) );
+  sky130_fd_sc_hd__nand2_1 U77051 ( .A(n68061), .B(n57927), .Y(n57928) );
+  sky130_fd_sc_hd__nand2b_1 U77053 ( .A_N(n57930), .B(n58831), .Y(n58312) );
+  sky130_fd_sc_hd__nor2_1 U77054 ( .A(n57931), .B(n38461), .Y(n58313) );
+  sky130_fd_sc_hd__nand2_1 U77055 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[19]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[5]), .Y(n57947) );
+  sky130_fd_sc_hd__nand2_1 U77056 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[20]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[6]), .Y(n57946) );
+  sky130_fd_sc_hd__mux2i_1 U77058 ( .A0(n57936), .A1(n57935), .S(n59415), .Y(
+        n57959) );
+  sky130_fd_sc_hd__nand2_1 U77059 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[21]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[21]), 
+        .Y(n57954) );
+  sky130_fd_sc_hd__nand2_1 U77060 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[22]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[22]), 
+        .Y(n57955) );
+  sky130_fd_sc_hd__mux2i_1 U77061 ( .A0(n57942), .A1(n57941), .S(n59415), .Y(
+        n59418) );
+  sky130_fd_sc_hd__nand2_1 U77062 ( .A(n59423), .B(n57945), .Y(n57948) );
+  sky130_fd_sc_hd__nand2_1 U77063 ( .A(n57947), .B(n57946), .Y(n57988) );
+  sky130_fd_sc_hd__nand2_1 U77064 ( .A(n57948), .B(n57988), .Y(n57957) );
+  sky130_fd_sc_hd__mux2_2 U77065 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_21[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_22[0]), 
+        .S(n59416), .X(n57992) );
+  sky130_fd_sc_hd__mux2i_1 U77066 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_19[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_20[0]), 
+        .S(n59415), .Y(n57994) );
+  sky130_fd_sc_hd__nand3_1 U77067 ( .A(n57950), .B(n57992), .C(n57994), .Y(
+        n57953) );
+  sky130_fd_sc_hd__inv_1 U77068 ( .A(n57959), .Y(n57951) );
+  sky130_fd_sc_hd__nand2_1 U77069 ( .A(n57951), .B(n57958), .Y(n57952) );
+  sky130_fd_sc_hd__nand2_1 U77070 ( .A(n57953), .B(n57952), .Y(n59422) );
+  sky130_fd_sc_hd__a31oi_1 U77071 ( .A1(n59418), .A2(n59417), .A3(n57988), 
+        .B1(n57989), .Y(n57956) );
+  sky130_fd_sc_hd__nand2_1 U77073 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[15]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[1]), .Y(n57978) );
+  sky130_fd_sc_hd__nand2_1 U77074 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[16]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[2]), .Y(n57977) );
+  sky130_fd_sc_hd__mux2_2 U77075 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_15[1]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_16[1]), 
+        .S(n59391), .X(n57966) );
+  sky130_fd_sc_hd__nand2_1 U77076 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[17]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[3]), .Y(n57974) );
+  sky130_fd_sc_hd__nand2_1 U77077 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[18]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[4]), .Y(n57973) );
+  sky130_fd_sc_hd__mux2_2 U77078 ( .A0(n57965), .A1(n57964), .S(n59391), .X(
+        n57981) );
+  sky130_fd_sc_hd__nand2_1 U77079 ( .A(n57966), .B(n57979), .Y(n57969) );
+  sky130_fd_sc_hd__mux2_2 U77080 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_17[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_18[0]), 
+        .S(n59388), .X(n57996) );
+  sky130_fd_sc_hd__mux2_2 U77081 ( .A0(n57968), .A1(n57967), .S(n59391), .X(
+        n57995) );
+  sky130_fd_sc_hd__nand3_1 U77082 ( .A(n57969), .B(n57996), .C(n57995), .Y(
+        n57972) );
+  sky130_fd_sc_hd__nand2_1 U77083 ( .A(n57970), .B(n57980), .Y(n57971) );
+  sky130_fd_sc_hd__nand2_1 U77084 ( .A(n57974), .B(n57973), .Y(n57984) );
+  sky130_fd_sc_hd__nand2_1 U77085 ( .A(n57978), .B(n57977), .Y(n57983) );
+  sky130_fd_sc_hd__mux2i_1 U77086 ( .A0(n57980), .A1(n57979), .S(n59389), .Y(
+        n57991) );
+  sky130_fd_sc_hd__nand2_1 U77087 ( .A(n57986), .B(n57985), .Y(n58000) );
+  sky130_fd_sc_hd__mux2i_1 U77088 ( .A0(n59423), .A1(n59417), .S(n59427), .Y(
+        n58094) );
+  sky130_fd_sc_hd__nor2_1 U77089 ( .A(n58097), .B(n58094), .Y(n57987) );
+  sky130_fd_sc_hd__nand2_1 U77090 ( .A(n58093), .B(n57987), .Y(n57990) );
+  sky130_fd_sc_hd__nand2_1 U77091 ( .A(n59421), .B(n57989), .Y(n58095) );
+  sky130_fd_sc_hd__mux2i_1 U77092 ( .A0(n57994), .A1(n57993), .S(n59427), .Y(
+        n58005) );
+  sky130_fd_sc_hd__mux2i_1 U77093 ( .A0(n57997), .A1(n57996), .S(n59389), .Y(
+        n58006) );
+  sky130_fd_sc_hd__nand3_1 U77094 ( .A(n57998), .B(n58005), .C(n58006), .Y(
+        n58003) );
+  sky130_fd_sc_hd__nand2_1 U77095 ( .A(n58004), .B(n38337), .Y(n58002) );
+  sky130_fd_sc_hd__nand2_1 U77096 ( .A(n57999), .B(n58094), .Y(n58001) );
+  sky130_fd_sc_hd__mux2i_1 U77097 ( .A0(n58007), .A1(n58006), .S(n58850), .Y(
+        n58271) );
+  sky130_fd_sc_hd__nand2_1 U77098 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[28]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[0]), .Y(n58019) );
+  sky130_fd_sc_hd__o21a_2 U77099 ( .A1(n58010), .A2(n58009), .B1(n58008), .X(
+        n59368) );
+  sky130_fd_sc_hd__mux2i_1 U77100 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_27[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_28[0]), 
+        .S(n59368), .Y(n58037) );
+  sky130_fd_sc_hd__nand2_1 U77101 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[29]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[1]), .Y(n58031) );
+  sky130_fd_sc_hd__nand2_1 U77102 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[30]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_hi_lo_lo[2]), .Y(n58032) );
+  sky130_fd_sc_hd__mux2_2 U77103 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_29[0]), 
+        .A1(n58013), .S(n59369), .X(n58025) );
+  sky130_fd_sc_hd__mux2i_1 U77104 ( .A0(n58017), .A1(n58016), .S(n59368), .Y(
+        n59373) );
+  sky130_fd_sc_hd__nand2_1 U77105 ( .A(n58073), .B(n59377), .Y(n58020) );
+  sky130_fd_sc_hd__nand2_1 U77106 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[27]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[27]), 
+        .Y(n58018) );
+  sky130_fd_sc_hd__nand2_1 U77107 ( .A(n58019), .B(n58018), .Y(n59371) );
+  sky130_fd_sc_hd__nand2_1 U77108 ( .A(n58020), .B(n59371), .Y(n58035) );
+  sky130_fd_sc_hd__nand2_1 U77109 ( .A(n58066), .B(n58027), .Y(n58026) );
+  sky130_fd_sc_hd__nand3_1 U77110 ( .A(n58026), .B(n58025), .C(n58037), .Y(
+        n58030) );
+  sky130_fd_sc_hd__nand2_1 U77111 ( .A(n58028), .B(n58067), .Y(n58029) );
+  sky130_fd_sc_hd__nand2_1 U77112 ( .A(n58030), .B(n58029), .Y(n59370) );
+  sky130_fd_sc_hd__nand2_1 U77113 ( .A(n58032), .B(n58031), .Y(n58080) );
+  sky130_fd_sc_hd__a31oi_1 U77114 ( .A1(n59372), .A2(n59373), .A3(n59371), 
+        .B1(n58033), .Y(n58034) );
+  sky130_fd_sc_hd__o21a_2 U77115 ( .A1(n58035), .A2(n59370), .B1(n58034), .X(
+        n59381) );
+  sky130_fd_sc_hd__mux2i_1 U77116 ( .A0(n58037), .A1(n58036), .S(n59381), .Y(
+        n58084) );
+  sky130_fd_sc_hd__nand2_1 U77117 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[25]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[25]), 
+        .Y(n58063) );
+  sky130_fd_sc_hd__nand2_1 U77118 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[26]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[26]), 
+        .Y(n58064) );
+  sky130_fd_sc_hd__nand4_1 U77119 ( .A(n58059), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[25]), 
+        .C(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_25[2]), 
+        .D(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[25]), 
+        .Y(n58041) );
+  sky130_fd_sc_hd__nand2_1 U77120 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[23]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[23]), 
+        .Y(n58062) );
+  sky130_fd_sc_hd__nand2_1 U77121 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[24]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[24]), 
+        .Y(n58061) );
+  sky130_fd_sc_hd__mux2_2 U77122 ( .A0(n58047), .A1(n58046), .S(n59383), .X(
+        n58065) );
+  sky130_fd_sc_hd__mux2_2 U77123 ( .A0(n58049), .A1(n58048), .S(n59383), .X(
+        n58070) );
+  sky130_fd_sc_hd__mux2i_1 U77124 ( .A0(n58051), .A1(n58050), .S(n59382), .Y(
+        n58052) );
+  sky130_fd_sc_hd__nand3_1 U77125 ( .A(n58065), .B(n58053), .C(n58052), .Y(
+        n58057) );
+  sky130_fd_sc_hd__inv_1 U77126 ( .A(n58069), .Y(n58055) );
+  sky130_fd_sc_hd__nand2_1 U77127 ( .A(n58068), .B(n58055), .Y(n58056) );
+  sky130_fd_sc_hd__nand2_1 U77128 ( .A(n58057), .B(n58056), .Y(n58060) );
+  sky130_fd_sc_hd__mux2i_1 U77129 ( .A0(n58059), .A1(n58058), .S(n59382), .Y(
+        n58072) );
+  sky130_fd_sc_hd__nand2_1 U77130 ( .A(n58062), .B(n58061), .Y(n58077) );
+  sky130_fd_sc_hd__nand2_1 U77131 ( .A(n58064), .B(n58063), .Y(n58078) );
+  sky130_fd_sc_hd__mux2i_1 U77132 ( .A0(n59373), .A1(n58073), .S(n59381), .Y(
+        n58091) );
+  sky130_fd_sc_hd__nand2_1 U77133 ( .A(n58076), .B(n58091), .Y(n58075) );
+  sky130_fd_sc_hd__nor2_1 U77134 ( .A(n58078), .B(n58077), .Y(n58089) );
+  sky130_fd_sc_hd__a21oi_1 U77135 ( .A1(n58092), .A2(n58079), .B1(n58089), .Y(
+        n58081) );
+  sky130_fd_sc_hd__nor2_1 U77136 ( .A(n59371), .B(n58080), .Y(n58090) );
+  sky130_fd_sc_hd__a21oi_2 U77137 ( .A1(n58082), .A2(n58081), .B1(n58090), .Y(
+        n58849) );
+  sky130_fd_sc_hd__nor2_1 U77138 ( .A(n58086), .B(n58849), .Y(n58087) );
+  sky130_fd_sc_hd__nor2_1 U77139 ( .A(n36775), .B(n58087), .Y(n58098) );
+  sky130_fd_sc_hd__inv_1 U77140 ( .A(n58098), .Y(n58088) );
+  sky130_fd_sc_hd__nand2_1 U77141 ( .A(n58090), .B(n58089), .Y(n58822) );
+  sky130_fd_sc_hd__nand2_1 U77142 ( .A(n58097), .B(n58096), .Y(n58282) );
+  sky130_fd_sc_hd__nand2_1 U77143 ( .A(n58848), .B(n58088), .Y(n58099) );
+  sky130_fd_sc_hd__nand2_1 U77145 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[12]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[12]), .Y(n58123) );
+  sky130_fd_sc_hd__o21a_2 U77146 ( .A1(n58103), .A2(n58102), .B1(n58101), .X(
+        n59336) );
+  sky130_fd_sc_hd__mux2i_1 U77147 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_11[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_12[0]), 
+        .S(n59336), .Y(n58125) );
+  sky130_fd_sc_hd__nand2_1 U77148 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[13]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[13]), .Y(n58115) );
+  sky130_fd_sc_hd__nand2_1 U77149 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[14]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_hi_lo[0]), .Y(n58114) );
+  sky130_fd_sc_hd__mux2i_1 U77151 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_11[2]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_12[2]), 
+        .S(n59336), .Y(n58161) );
+  sky130_fd_sc_hd__mux2_2 U77152 ( .A0(n58107), .A1(n58106), .S(n59333), .X(
+        n58149) );
+  sky130_fd_sc_hd__mux2i_1 U77153 ( .A0(n37857), .A1(n58108), .S(n59336), .Y(
+        n58111) );
+  sky130_fd_sc_hd__nand2_1 U77154 ( .A(n58149), .B(n58111), .Y(n58110) );
+  sky130_fd_sc_hd__nand2_1 U77155 ( .A(n58112), .B(n58150), .Y(n58113) );
+  sky130_fd_sc_hd__nand2_1 U77156 ( .A(n58115), .B(n58114), .Y(n58167) );
+  sky130_fd_sc_hd__nand2_1 U77157 ( .A(n58116), .B(n58161), .Y(n58119) );
+  sky130_fd_sc_hd__mux2_2 U77158 ( .A0(n58118), .A1(n58117), .S(n59333), .X(
+        n58162) );
+  sky130_fd_sc_hd__nand2_1 U77159 ( .A(n58119), .B(n58162), .Y(n58120) );
+  sky130_fd_sc_hd__nand2_1 U77160 ( .A(n58121), .B(n58120), .Y(n59335) );
+  sky130_fd_sc_hd__nand2_1 U77161 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[11]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[11]), .Y(n58122) );
+  sky130_fd_sc_hd__nand2_1 U77162 ( .A(n58123), .B(n58122), .Y(n58168) );
+  sky130_fd_sc_hd__mux2i_1 U77163 ( .A0(n58125), .A1(n58124), .S(n59334), .Y(
+        n58175) );
+  sky130_fd_sc_hd__nand2_1 U77164 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[9]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[9]), 
+        .Y(n58143) );
+  sky130_fd_sc_hd__nand2_1 U77165 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[10]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[10]), .Y(n58144) );
+  sky130_fd_sc_hd__mux2_2 U77166 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_9[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_10[0]), 
+        .S(n59345), .X(n58134) );
+  sky130_fd_sc_hd__nand2_1 U77167 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[7]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[7]), 
+        .Y(n58140) );
+  sky130_fd_sc_hd__nand2_1 U77168 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[8]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo[8]), 
+        .Y(n58141) );
+  sky130_fd_sc_hd__mux2i_1 U77169 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_8[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_7[0]), 
+        .S(n58130), .Y(n58145) );
+  sky130_fd_sc_hd__mux2_2 U77170 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_7[1]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_8[1]), 
+        .S(n59343), .X(n58136) );
+  sky130_fd_sc_hd__mux2_2 U77171 ( .A0(n39077), .A1(n58133), .S(n59345), .X(
+        n58148) );
+  sky130_fd_sc_hd__nand2_1 U77172 ( .A(n58136), .B(n58148), .Y(n58135) );
+  sky130_fd_sc_hd__nand2_1 U77173 ( .A(n58147), .B(n58137), .Y(n58138) );
+  sky130_fd_sc_hd__mux2_2 U77174 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_9[2]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_10[2]), 
+        .S(n59345), .X(n58158) );
+  sky130_fd_sc_hd__nand2_1 U77175 ( .A(n58141), .B(n58140), .Y(n58171) );
+  sky130_fd_sc_hd__nand2_1 U77176 ( .A(n58144), .B(n58143), .Y(n58170) );
+  sky130_fd_sc_hd__mux2_2 U77177 ( .A0(n58146), .A1(n58145), .S(n58843), .X(
+        n58151) );
+  sky130_fd_sc_hd__mux2i_1 U77178 ( .A0(n58150), .A1(n58149), .S(n59334), .Y(
+        n58154) );
+  sky130_fd_sc_hd__nand2_1 U77179 ( .A(n58176), .B(n58154), .Y(n58153) );
+  sky130_fd_sc_hd__nand2_1 U77180 ( .A(n58151), .B(n58175), .Y(n58152) );
+  sky130_fd_sc_hd__nand2_1 U77181 ( .A(n58153), .B(n58152), .Y(n58157) );
+  sky130_fd_sc_hd__nand2_1 U77182 ( .A(n58155), .B(n58177), .Y(n58156) );
+  sky130_fd_sc_hd__nand2_1 U77183 ( .A(n58157), .B(n58156), .Y(n58165) );
+  sky130_fd_sc_hd__mux2_2 U77184 ( .A0(n58160), .A1(n58159), .S(n58843), .X(
+        n58166) );
+  sky130_fd_sc_hd__nand2_1 U77185 ( .A(n59334), .B(n58162), .Y(n58163) );
+  sky130_fd_sc_hd__nand2_1 U77186 ( .A(n58166), .B(n58256), .Y(n58164) );
+  sky130_fd_sc_hd__nor2_1 U77187 ( .A(n58168), .B(n58167), .Y(n58263) );
+  sky130_fd_sc_hd__a21oi_1 U77188 ( .A1(n58169), .A2(n58255), .B1(n58263), .Y(
+        n58172) );
+  sky130_fd_sc_hd__nor2_1 U77189 ( .A(n58171), .B(n58170), .Y(n58264) );
+  sky130_fd_sc_hd__nand2_1 U77190 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[2]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[2]), .Y(n58196) );
+  sky130_fd_sc_hd__o21a_1 U77191 ( .A1(n58180), .A2(n58179), .B1(n58178), .X(
+        n59351) );
+  sky130_fd_sc_hd__mux2i_1 U77192 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_1[1]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_2[1]), 
+        .S(n59351), .Y(n58200) );
+  sky130_fd_sc_hd__mux2i_1 U77193 ( .A0(n58182), .A1(n58181), .S(n59351), .Y(
+        n58240) );
+  sky130_fd_sc_hd__nand2_1 U77194 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[0]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[0]), .Y(n58192) );
+  sky130_fd_sc_hd__nand2_1 U77195 ( .A(n58198), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_0[0]), 
+        .Y(n58183) );
+  sky130_fd_sc_hd__nand2_1 U77196 ( .A(n58240), .B(n58183), .Y(n58186) );
+  sky130_fd_sc_hd__mux2i_1 U77197 ( .A0(n58185), .A1(n58184), .S(n59351), .Y(
+        n58227) );
+  sky130_fd_sc_hd__o21ai_1 U77198 ( .A1(n58200), .A2(n58186), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_0[1]), 
+        .Y(n58187) );
+  sky130_fd_sc_hd__nor2_1 U77200 ( .A(n58192), .B(n38989), .Y(n59348) );
+  sky130_fd_sc_hd__nand2_1 U77201 ( .A(n58188), .B(n59348), .Y(n58189) );
+  sky130_fd_sc_hd__o21ai_1 U77202 ( .A1(n58191), .A2(n58190), .B1(n58189), .Y(
+        n58194) );
+  sky130_fd_sc_hd__nand2_1 U77204 ( .A(n58194), .B(n58193), .Y(n59350) );
+  sky130_fd_sc_hd__nand2_1 U77205 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[1]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[1]), .Y(n58195) );
+  sky130_fd_sc_hd__nand2_1 U77206 ( .A(n58196), .B(n58195), .Y(n58197) );
+  sky130_fd_sc_hd__nand2_1 U77207 ( .A(n59350), .B(n58197), .Y(n59349) );
+  sky130_fd_sc_hd__nand2_1 U77208 ( .A(n59349), .B(n58198), .Y(n58241) );
+  sky130_fd_sc_hd__o22a_1 U77209 ( .A1(n58200), .A2(n59349), .B1(n58199), .B2(
+        n58241), .X(n58236) );
+  sky130_fd_sc_hd__nand2_1 U77210 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[3]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[3]), .Y(n58221) );
+  sky130_fd_sc_hd__nand2_1 U77211 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[4]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[4]), .Y(n58222) );
+  sky130_fd_sc_hd__mux2_2 U77212 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_3[1]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_4[1]), 
+        .S(n37109), .X(n58209) );
+  sky130_fd_sc_hd__nand2_1 U77213 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[5]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[5]), .Y(n58219) );
+  sky130_fd_sc_hd__nor2b_1 U77214 ( .B_N(n58202), .A(n58219), .Y(n58203) );
+  sky130_fd_sc_hd__nand2_1 U77215 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[6]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo_lo_lo[6]), .Y(n58220) );
+  sky130_fd_sc_hd__nand2_1 U77216 ( .A(n58207), .B(n58206), .Y(n59339) );
+  sky130_fd_sc_hd__mux2_2 U77217 ( .A0(n58208), .A1(n37773), .S(n59339), .X(
+        n58223) );
+  sky130_fd_sc_hd__nand2_1 U77218 ( .A(n58209), .B(n58223), .Y(n58211) );
+  sky130_fd_sc_hd__mux2_2 U77219 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_6[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_5[0]), 
+        .S(n59339), .X(n58237) );
+  sky130_fd_sc_hd__mux2i_1 U77220 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_4[0]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_3[0]), 
+        .S(n58210), .Y(n58239) );
+  sky130_fd_sc_hd__nand3_1 U77221 ( .A(n58211), .B(n58237), .C(n58239), .Y(
+        n58214) );
+  sky130_fd_sc_hd__nand2_1 U77222 ( .A(n58224), .B(n58212), .Y(n58213) );
+  sky130_fd_sc_hd__mux2_2 U77223 ( .A0(n38076), .A1(n58215), .S(n37109), .X(
+        n58226) );
+  sky130_fd_sc_hd__mux2_2 U77224 ( .A0(n58217), .A1(n58216), .S(n59339), .X(
+        n58225) );
+  sky130_fd_sc_hd__nand2_1 U77225 ( .A(n58220), .B(n58219), .Y(n58231) );
+  sky130_fd_sc_hd__nand2_1 U77226 ( .A(n58222), .B(n58221), .Y(n58230) );
+  sky130_fd_sc_hd__mux2i_1 U77227 ( .A0(n58224), .A1(n58223), .S(n59341), .Y(
+        n58250) );
+  sky130_fd_sc_hd__o22a_1 U77228 ( .A1(n58229), .A2(n59349), .B1(n58228), .B2(
+        n58241), .X(n58259) );
+  sky130_fd_sc_hd__nand2_1 U77229 ( .A(n58233), .B(n58232), .Y(n58257) );
+  sky130_fd_sc_hd__nand2_1 U77230 ( .A(n58235), .B(n58251), .Y(n58249) );
+  sky130_fd_sc_hd__nand2_1 U77231 ( .A(n58250), .B(n58236), .Y(n58245) );
+  sky130_fd_sc_hd__mux2i_1 U77232 ( .A0(n58239), .A1(n58238), .S(n59341), .Y(
+        n58265) );
+  sky130_fd_sc_hd__o22a_1 U77233 ( .A1(n58243), .A2(n59349), .B1(n58242), .B2(
+        n58241), .X(n58266) );
+  sky130_fd_sc_hd__nand2_1 U77234 ( .A(n58265), .B(n58266), .Y(n58244) );
+  sky130_fd_sc_hd__nand2_1 U77235 ( .A(n58245), .B(n58244), .Y(n58248) );
+  sky130_fd_sc_hd__mux2i_1 U77236 ( .A0(n58265), .A1(n58252), .S(n58820), .Y(
+        n58253) );
+  sky130_fd_sc_hd__nand2_1 U77237 ( .A(n58258), .B(n58257), .Y(n58260) );
+  sky130_fd_sc_hd__nand2_1 U77238 ( .A(n58260), .B(n58259), .Y(n58274) );
+  sky130_fd_sc_hd__nand2_1 U77239 ( .A(n58264), .B(n58263), .Y(n58275) );
+  sky130_fd_sc_hd__nand2_1 U77240 ( .A(n58815), .B(n38375), .Y(n58268) );
+  sky130_fd_sc_hd__nand2_1 U77241 ( .A(n58848), .B(n37063), .Y(n58270) );
+  sky130_fd_sc_hd__nand2_1 U77242 ( .A(n76496), .B(n76493), .Y(n58281) );
+  sky130_fd_sc_hd__a21oi_1 U77243 ( .A1(n58276), .A2(n58275), .B1(n58274), .Y(
+        n58305) );
+  sky130_fd_sc_hd__nand2_1 U77244 ( .A(n58304), .B(n58305), .Y(n58288) );
+  sky130_fd_sc_hd__nand2_1 U77245 ( .A(n58285), .B(n58286), .Y(n58280) );
+  sky130_fd_sc_hd__nand2_1 U77246 ( .A(n58284), .B(n58283), .Y(n58303) );
+  sky130_fd_sc_hd__mux2i_1 U77247 ( .A0(n76493), .A1(n58290), .S(n58829), .Y(
+        n58296) );
+  sky130_fd_sc_hd__nand2_1 U77248 ( .A(n58817), .B(n58291), .Y(n58295) );
+  sky130_fd_sc_hd__nand3_1 U77249 ( .A(n58297), .B(n58296), .C(n76492), .Y(
+        n58310) );
+  sky130_fd_sc_hd__inv_1 U77250 ( .A(n58298), .Y(n76498) );
+  sky130_fd_sc_hd__inv_1 U77251 ( .A(n76499), .Y(n58299) );
+  sky130_fd_sc_hd__nand2_1 U77252 ( .A(n76498), .B(n58299), .Y(n58309) );
+  sky130_fd_sc_hd__nand2_1 U77253 ( .A(n58311), .B(n76500), .Y(n58308) );
+  sky130_fd_sc_hd__nand3_1 U77254 ( .A(n58310), .B(n58309), .C(n58308), .Y(
+        n58314) );
+  sky130_fd_sc_hd__a22oi_1 U77255 ( .A1(n73325), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24[8]), .B1(n61937), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[9]), .Y(n58363) );
+  sky130_fd_sc_hd__a22oi_1 U77256 ( .A1(n58462), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[9]), .B1(n73326), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26[8]), .Y(n58362) );
+  sky130_fd_sc_hd__a22oi_1 U77257 ( .A1(n72252), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[9]), .B1(n42989), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25[8]), .Y(n58361) );
+  sky130_fd_sc_hd__a22oi_1 U77258 ( .A1(n73271), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8[8]), .B1(n73270), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11[8]), .Y(n58319) );
+  sky130_fd_sc_hd__a22oi_1 U77259 ( .A1(n73272), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20[8]), .B1(n73273), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1[8]), .Y(n58318) );
+  sky130_fd_sc_hd__a22oi_1 U77260 ( .A1(n73276), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0[8]), .B1(n73277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2[8]), .Y(n58317) );
+  sky130_fd_sc_hd__a22oi_1 U77261 ( .A1(n73274), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16[8]), .B1(n73275), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3[8]), .Y(n58316) );
+  sky130_fd_sc_hd__nand4_1 U77262 ( .A(n58319), .B(n58318), .C(n58317), .D(
+        n58316), .Y(n58330) );
+  sky130_fd_sc_hd__a22oi_1 U77263 ( .A1(n73283), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13[8]), .B1(n73282), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10[8]), .Y(n58323) );
+  sky130_fd_sc_hd__a22oi_1 U77264 ( .A1(n73285), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12[8]), .B1(n73284), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23[8]), .Y(n58322) );
+  sky130_fd_sc_hd__a22oi_1 U77265 ( .A1(n73287), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22[8]), .B1(n73286), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21[8]), .Y(n58321) );
+  sky130_fd_sc_hd__a22oi_1 U77266 ( .A1(n73288), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19[8]), .B1(n73289), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17[8]), .Y(n58320) );
+  sky130_fd_sc_hd__nand4_1 U77267 ( .A(n58323), .B(n58322), .C(n58321), .D(
+        n58320), .Y(n58329) );
+  sky130_fd_sc_hd__a22oi_1 U77268 ( .A1(n73295), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18[8]), .B1(n73294), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4[8]), .Y(n58327) );
+  sky130_fd_sc_hd__a22oi_1 U77269 ( .A1(n73297), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5[8]), .B1(n73296), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6[8]), .Y(n58326) );
+  sky130_fd_sc_hd__a22oi_1 U77270 ( .A1(n73299), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14[8]), .B1(n73298), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7[8]), .Y(n58325) );
+  sky130_fd_sc_hd__a22oi_1 U77271 ( .A1(n73301), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15[8]), .B1(n73300), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9[8]), .Y(n58324) );
+  sky130_fd_sc_hd__nand4_1 U77272 ( .A(n58327), .B(n58326), .C(n58325), .D(
+        n58324), .Y(n58328) );
+  sky130_fd_sc_hd__nor3_1 U77273 ( .A(n58330), .B(n58329), .C(n58328), .Y(
+        n58359) );
+  sky130_fd_sc_hd__nand2_1 U77274 ( .A(n58331), .B(n61140), .Y(n58333) );
+  sky130_fd_sc_hd__xnor2_1 U77275 ( .A(n58333), .B(n58332), .Y(n58334) );
+  sky130_fd_sc_hd__nand2_1 U77276 ( .A(n58334), .B(n73312), .Y(n58346) );
+  sky130_fd_sc_hd__nor2_1 U77277 ( .A(n58335), .B(n58497), .Y(n61952) );
+  sky130_fd_sc_hd__nand2_1 U77278 ( .A(n61952), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n58337) );
+  sky130_fd_sc_hd__xor2_1 U77279 ( .A(n58337), .B(n58336), .X(n58344) );
+  sky130_fd_sc_hd__a21oi_1 U77280 ( .A1(n61946), .A2(n58340), .B1(n58339), .Y(
+        n61135) );
+  sky130_fd_sc_hd__nand2_1 U77281 ( .A(n58341), .B(n61134), .Y(n58342) );
+  sky130_fd_sc_hd__xor2_1 U77282 ( .A(n61135), .B(n58342), .X(n58343) );
+  sky130_fd_sc_hd__a22oi_1 U77283 ( .A1(n73229), .A2(n58344), .B1(n58343), 
+        .B2(n73319), .Y(n58345) );
+  sky130_fd_sc_hd__nand2_1 U77284 ( .A(n58346), .B(n58345), .Y(n58347) );
+  sky130_fd_sc_hd__nand2_1 U77285 ( .A(n69216), .B(n58347), .Y(n58358) );
+  sky130_fd_sc_hd__nand2_1 U77286 ( .A(n73192), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[9]), .Y(n58352) );
+  sky130_fd_sc_hd__a22oi_1 U77287 ( .A1(n78262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[9]), .B1(n73261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[9]), .Y(n58351) );
+  sky130_fd_sc_hd__nand2_1 U77288 ( .A(n73187), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[9]), .Y(n58350) );
+  sky130_fd_sc_hd__nand2_1 U77289 ( .A(n78265), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[9]), .Y(n58349) );
+  sky130_fd_sc_hd__nand4_1 U77290 ( .A(n58352), .B(n58351), .C(n58350), .D(
+        n58349), .Y(n58353) );
+  sky130_fd_sc_hd__a21oi_1 U77291 ( .A1(n73097), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[9]), .B1(n58353), .Y(n58354) );
+  sky130_fd_sc_hd__a21oi_1 U77292 ( .A1(n58356), .A2(n72458), .B1(n58355), .Y(
+        n58357) );
+  sky130_fd_sc_hd__o211ai_1 U77293 ( .A1(n58359), .A2(n53246), .B1(n58358), 
+        .C1(n58357), .Y(n58360) );
+  sky130_fd_sc_hd__a22oi_1 U77294 ( .A1(n36816), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[9]), .B1(n72202), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[9]), .Y(n58365) );
+  sky130_fd_sc_hd__a22oi_1 U77295 ( .A1(n43127), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27[8]), .B1(n72419), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[9]), .Y(n58364) );
+  sky130_fd_sc_hd__nand3_2 U77296 ( .A(n58366), .B(n58365), .C(n58364), .Y(
+        n85772) );
+  sky130_fd_sc_hd__a22oi_1 U77297 ( .A1(n78262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[5]), .B1(n73261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[5]), .Y(n58367) );
+  sky130_fd_sc_hd__o21ai_1 U77298 ( .A1(n70398), .A2(n58762), .B1(n58367), .Y(
+        n58368) );
+  sky130_fd_sc_hd__a21oi_1 U77299 ( .A1(n58522), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[5]), .B1(n58368), .Y(n58369) );
+  sky130_fd_sc_hd__a21oi_1 U77301 ( .A1(n58528), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[5]), .B1(n58371), .Y(n58372) );
+  sky130_fd_sc_hd__a21oi_1 U77303 ( .A1(n73267), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[5]), .B1(n58374), .Y(n58375) );
+  sky130_fd_sc_hd__o21ai_1 U77304 ( .A1(n58376), .A2(n43065), .B1(n58375), .Y(
+        n58411) );
+  sky130_fd_sc_hd__a22oi_1 U77305 ( .A1(n73274), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16[4]), .B1(n73272), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20[4]), .Y(n58378) );
+  sky130_fd_sc_hd__a22oi_1 U77306 ( .A1(n73275), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3[4]), .B1(n73273), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1[4]), .Y(n58377) );
+  sky130_fd_sc_hd__nand2_1 U77307 ( .A(n58378), .B(n58377), .Y(n58393) );
+  sky130_fd_sc_hd__a22oi_1 U77308 ( .A1(n73277), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2[4]), .B1(n73276), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0[4]), .Y(n58381) );
+  sky130_fd_sc_hd__a22oi_1 U77309 ( .A1(n73283), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13[4]), .B1(n73282), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10[4]), .Y(n58380) );
+  sky130_fd_sc_hd__a22oi_1 U77310 ( .A1(n73285), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12[4]), .B1(n72721), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26[4]), .Y(n58379) );
+  sky130_fd_sc_hd__nand3_1 U77311 ( .A(n58381), .B(n58380), .C(n58379), .Y(
+        n58392) );
+  sky130_fd_sc_hd__a22oi_1 U77312 ( .A1(n73287), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22[4]), .B1(n73284), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23[4]), .Y(n58385) );
+  sky130_fd_sc_hd__a22oi_1 U77313 ( .A1(n73286), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21[4]), .B1(n73295), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18[4]), .Y(n58384) );
+  sky130_fd_sc_hd__a22oi_1 U77314 ( .A1(n73289), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17[4]), .B1(n72727), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24[4]), .Y(n58383) );
+  sky130_fd_sc_hd__a22oi_1 U77315 ( .A1(n73288), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19[4]), .B1(n73297), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5[4]), .Y(n58382) );
+  sky130_fd_sc_hd__nand4_1 U77316 ( .A(n58385), .B(n58384), .C(n58383), .D(
+        n58382), .Y(n58391) );
+  sky130_fd_sc_hd__a22oi_1 U77317 ( .A1(n73294), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4[4]), .B1(n73296), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6[4]), .Y(n58389) );
+  sky130_fd_sc_hd__a22oi_1 U77318 ( .A1(n73301), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15[4]), .B1(n73299), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14[4]), .Y(n58388) );
+  sky130_fd_sc_hd__a22oi_1 U77319 ( .A1(n73298), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7[4]), .B1(n73270), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11[4]), .Y(n58387) );
+  sky130_fd_sc_hd__a22oi_1 U77320 ( .A1(n73300), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9[4]), .B1(n73271), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8[4]), .Y(n58386) );
+  sky130_fd_sc_hd__nand4_1 U77321 ( .A(n58389), .B(n58388), .C(n58387), .D(
+        n58386), .Y(n58390) );
+  sky130_fd_sc_hd__nor4_1 U77322 ( .A(n58393), .B(n58392), .C(n58391), .D(
+        n58390), .Y(n58408) );
+  sky130_fd_sc_hd__nand2_1 U77323 ( .A(n58396), .B(n58395), .Y(n58397) );
+  sky130_fd_sc_hd__xor2_1 U77324 ( .A(n58398), .B(n58397), .X(n58406) );
+  sky130_fd_sc_hd__nand2_1 U77325 ( .A(n58399), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .Y(n58400) );
+  sky130_fd_sc_hd__xor2_1 U77326 ( .A(n58400), .B(n71585), .X(n58405) );
+  sky130_fd_sc_hd__nand2_1 U77327 ( .A(n58402), .B(n58401), .Y(n58403) );
+  sky130_fd_sc_hd__xnor2_1 U77328 ( .A(n58403), .B(n58504), .Y(n58404) );
+  sky130_fd_sc_hd__a222oi_1 U77329 ( .A1(n73319), .A2(n58406), .B1(n73229), 
+        .B2(n58405), .C1(n58404), .C2(n73312), .Y(n58407) );
+  sky130_fd_sc_hd__o22ai_1 U77330 ( .A1(n58408), .A2(n53246), .B1(n58407), 
+        .B2(n73322), .Y(n58410) );
+  sky130_fd_sc_hd__a22oi_1 U77332 ( .A1(n72252), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[5]), .B1(n42989), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25[4]), .Y(n58415) );
+  sky130_fd_sc_hd__a22oi_1 U77333 ( .A1(n53348), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[5]), .B1(n72510), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[5]), .Y(n58414) );
+  sky130_fd_sc_hd__a22oi_1 U77334 ( .A1(n36816), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[5]), .B1(n43127), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27[4]), .Y(n58413) );
+  sky130_fd_sc_hd__nand2_1 U77335 ( .A(n58418), .B(n58417), .Y(n58419) );
+  sky130_fd_sc_hd__xnor2_1 U77336 ( .A(n58420), .B(n58419), .Y(n58428) );
+  sky130_fd_sc_hd__xnor2_1 U77337 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .B(n41845), .Y(n58427) );
+  sky130_fd_sc_hd__nand2_1 U77338 ( .A(n58423), .B(n58422), .Y(n58424) );
+  sky130_fd_sc_hd__xor2_1 U77339 ( .A(n58425), .B(n58424), .X(n58426) );
+  sky130_fd_sc_hd__a222oi_1 U77340 ( .A1(n73319), .A2(n58428), .B1(n73229), 
+        .B2(n58427), .C1(n58426), .C2(n73312), .Y(n58460) );
+  sky130_fd_sc_hd__nand2_1 U77341 ( .A(n58522), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[3]), .Y(n58434) );
+  sky130_fd_sc_hd__nor3_1 U77342 ( .A(n58429), .B(n74165), .C(n64073), .Y(
+        n58430) );
+  sky130_fd_sc_hd__a21oi_1 U77343 ( .A1(n78262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[3]), .B1(n58430), .Y(n58433) );
+  sky130_fd_sc_hd__nand2_1 U77344 ( .A(n78265), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[3]), .Y(n58432) );
+  sky130_fd_sc_hd__nand2_1 U77345 ( .A(n73261), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[3]), .Y(n58431) );
+  sky130_fd_sc_hd__nand4_1 U77346 ( .A(n58434), .B(n58433), .C(n58432), .D(
+        n58431), .Y(n58435) );
+  sky130_fd_sc_hd__a21oi_1 U77347 ( .A1(n58521), .A2(n36653), .B1(n58435), .Y(
+        n58440) );
+  sky130_fd_sc_hd__nand2_1 U77348 ( .A(n58528), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[3]), .Y(n58438) );
+  sky130_fd_sc_hd__a31oi_1 U77349 ( .A1(n58440), .A2(n58439), .A3(n58438), 
+        .B1(n72712), .Y(n58441) );
+  sky130_fd_sc_hd__a21oi_1 U77350 ( .A1(n73267), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[3]), .B1(n58441), .Y(n58459) );
+  sky130_fd_sc_hd__a22oi_1 U77351 ( .A1(n73285), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12[2]), .B1(n73284), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23[2]), .Y(n58445) );
+  sky130_fd_sc_hd__a22oi_1 U77352 ( .A1(n73287), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22[2]), .B1(n73286), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21[2]), .Y(n58444) );
+  sky130_fd_sc_hd__a22oi_1 U77353 ( .A1(n73289), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17[2]), .B1(n73288), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19[2]), .Y(n58443) );
+  sky130_fd_sc_hd__a22oi_1 U77354 ( .A1(n73295), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18[2]), .B1(n72727), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24[2]), .Y(n58442) );
+  sky130_fd_sc_hd__nand4_1 U77355 ( .A(n58445), .B(n58444), .C(n58443), .D(
+        n58442), .Y(n58457) );
+  sky130_fd_sc_hd__a22o_1 U77356 ( .A1(n73283), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13[2]), .B1(n73282), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10[2]), .X(n58446) );
+  sky130_fd_sc_hd__a21oi_1 U77357 ( .A1(n73276), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0[2]), .B1(n58446), .Y(n58450) );
+  sky130_fd_sc_hd__a22oi_1 U77358 ( .A1(n73271), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8[2]), .B1(n73274), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16[2]), .Y(n58449) );
+  sky130_fd_sc_hd__a22oi_1 U77359 ( .A1(n73275), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3[2]), .B1(n73272), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20[2]), .Y(n58448) );
+  sky130_fd_sc_hd__a22oi_1 U77360 ( .A1(n73273), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1[2]), .B1(n73277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2[2]), .Y(n58447) );
+  sky130_fd_sc_hd__nand4_1 U77361 ( .A(n58450), .B(n58449), .C(n58448), .D(
+        n58447), .Y(n58456) );
+  sky130_fd_sc_hd__a22oi_1 U77362 ( .A1(n73297), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5[2]), .B1(n73294), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4[2]), .Y(n58454) );
+  sky130_fd_sc_hd__a22oi_1 U77363 ( .A1(n73296), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6[2]), .B1(n73301), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15[2]), .Y(n58453) );
+  sky130_fd_sc_hd__a22oi_1 U77364 ( .A1(n73299), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14[2]), .B1(n73298), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7[2]), .Y(n58452) );
+  sky130_fd_sc_hd__a22oi_1 U77365 ( .A1(n73300), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9[2]), .B1(n73270), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11[2]), .Y(n58451) );
+  sky130_fd_sc_hd__nand4_1 U77366 ( .A(n58454), .B(n58453), .C(n58452), .D(
+        n58451), .Y(n58455) );
+  sky130_fd_sc_hd__o31ai_1 U77367 ( .A1(n58457), .A2(n58456), .A3(n58455), 
+        .B1(n61936), .Y(n58458) );
+  sky130_fd_sc_hd__o211ai_1 U77368 ( .A1(n58460), .A2(n73322), .B1(n58459), 
+        .C1(n58458), .Y(n58461) );
+  sky130_fd_sc_hd__a21oi_1 U77369 ( .A1(n72510), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[3]), .B1(n58461), .Y(n58465) );
+  sky130_fd_sc_hd__a22oi_1 U77370 ( .A1(n61937), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[3]), .B1(n73326), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26[2]), .Y(n58464) );
+  sky130_fd_sc_hd__a22oi_1 U77371 ( .A1(n58462), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[3]), .B1(n42989), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25[2]), .Y(n58463) );
+  sky130_fd_sc_hd__and3_1 U77372 ( .A(n58465), .B(n58464), .C(n58463), .X(
+        n58468) );
+  sky130_fd_sc_hd__a22oi_1 U77373 ( .A1(n72357), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[3]), .B1(n69413), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[3]), .Y(n58467) );
+  sky130_fd_sc_hd__a22oi_1 U77374 ( .A1(n72202), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[3]), .B1(n43127), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27[2]), .Y(n58466) );
+  sky130_fd_sc_hd__a22oi_1 U77375 ( .A1(n58462), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[7]), .B1(n72357), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[7]), .Y(n58517) );
+  sky130_fd_sc_hd__a22oi_1 U77376 ( .A1(n73325), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24[6]), .B1(n61937), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[7]), .Y(n58516) );
+  sky130_fd_sc_hd__nand2_1 U77377 ( .A(n42989), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25[6]), .Y(n58515) );
+  sky130_fd_sc_hd__nand2_1 U77378 ( .A(n73192), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[7]), .Y(n58472) );
+  sky130_fd_sc_hd__a22oi_1 U77379 ( .A1(n78262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[7]), .B1(n73261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[7]), .Y(n58471) );
+  sky130_fd_sc_hd__nand2_1 U77380 ( .A(n73187), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[7]), .Y(n58470) );
+  sky130_fd_sc_hd__nand2_1 U77381 ( .A(n78265), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[7]), .Y(n58469) );
+  sky130_fd_sc_hd__nand4_1 U77382 ( .A(n58472), .B(n58471), .C(n58470), .D(
+        n58469), .Y(n58473) );
+  sky130_fd_sc_hd__a21oi_1 U77383 ( .A1(n73097), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[7]), .B1(n58473), .Y(n58474) );
+  sky130_fd_sc_hd__o21ai_0 U77384 ( .A1(n54966), .A2(n73154), .B1(n58474), .Y(
+        n58478) );
+  sky130_fd_sc_hd__nand2_1 U77385 ( .A(n72458), .B(n58476), .Y(n58477) );
+  sky130_fd_sc_hd__nand2b_1 U77386 ( .A_N(n58478), .B(n58477), .Y(n58513) );
+  sky130_fd_sc_hd__a22oi_1 U77387 ( .A1(n73285), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12[6]), .B1(n72721), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26[6]), .Y(n58482) );
+  sky130_fd_sc_hd__a22oi_1 U77388 ( .A1(n73287), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22[6]), .B1(n73284), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23[6]), .Y(n58481) );
+  sky130_fd_sc_hd__a22oi_1 U77389 ( .A1(n73286), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21[6]), .B1(n73295), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18[6]), .Y(n58480) );
+  sky130_fd_sc_hd__a22oi_1 U77390 ( .A1(n73289), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17[6]), .B1(n73288), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19[6]), .Y(n58479) );
+  sky130_fd_sc_hd__nand4_1 U77391 ( .A(n58482), .B(n58481), .C(n58480), .D(
+        n58479), .Y(n58494) );
+  sky130_fd_sc_hd__a22oi_1 U77392 ( .A1(n73297), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5[6]), .B1(n73294), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4[6]), .Y(n58486) );
+  sky130_fd_sc_hd__a22oi_1 U77393 ( .A1(n73296), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6[6]), .B1(n73301), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15[6]), .Y(n58485) );
+  sky130_fd_sc_hd__a22oi_1 U77394 ( .A1(n73299), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14[6]), .B1(n73298), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7[6]), .Y(n58484) );
+  sky130_fd_sc_hd__a22oi_1 U77395 ( .A1(n73300), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9[6]), .B1(n73270), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11[6]), .Y(n58483) );
+  sky130_fd_sc_hd__nand4_1 U77396 ( .A(n58486), .B(n58485), .C(n58484), .D(
+        n58483), .Y(n58493) );
+  sky130_fd_sc_hd__a22o_1 U77397 ( .A1(n73283), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13[6]), .B1(n73282), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10[6]), .X(n58487) );
+  sky130_fd_sc_hd__a21oi_1 U77398 ( .A1(n73276), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0[6]), .B1(n58487), .Y(n58491) );
+  sky130_fd_sc_hd__a22oi_1 U77399 ( .A1(n73271), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8[6]), .B1(n73274), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16[6]), .Y(n58490) );
+  sky130_fd_sc_hd__a22oi_1 U77400 ( .A1(n73275), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3[6]), .B1(n73272), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20[6]), .Y(n58489) );
+  sky130_fd_sc_hd__a22oi_1 U77401 ( .A1(n73273), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1[6]), .B1(n73277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2[6]), .Y(n58488) );
+  sky130_fd_sc_hd__nand4_1 U77402 ( .A(n58491), .B(n58490), .C(n58489), .D(
+        n58488), .Y(n58492) );
+  sky130_fd_sc_hd__nor3_1 U77403 ( .A(n58494), .B(n58493), .C(n58492), .Y(
+        n58511) );
+  sky130_fd_sc_hd__nand2_1 U77404 ( .A(n61945), .B(n61943), .Y(n58496) );
+  sky130_fd_sc_hd__xnor2_1 U77405 ( .A(n58496), .B(n61946), .Y(n58509) );
+  sky130_fd_sc_hd__nor2_1 U77406 ( .A(n58498), .B(n58497), .Y(n58499) );
+  sky130_fd_sc_hd__xnor2_1 U77407 ( .A(n64007), .B(n58499), .Y(n58508) );
+  sky130_fd_sc_hd__a21oi_1 U77408 ( .A1(n58504), .A2(n58503), .B1(n58502), .Y(
+        n61955) );
+  sky130_fd_sc_hd__nand2_1 U77409 ( .A(n58505), .B(n61954), .Y(n58506) );
+  sky130_fd_sc_hd__xor2_1 U77410 ( .A(n61955), .B(n58506), .X(n58507) );
+  sky130_fd_sc_hd__a222oi_1 U77411 ( .A1(n73319), .A2(n58509), .B1(n73229), 
+        .B2(n58508), .C1(n58507), .C2(n73312), .Y(n58510) );
+  sky130_fd_sc_hd__o22ai_1 U77412 ( .A1(n58511), .A2(n53246), .B1(n58510), 
+        .B2(n73322), .Y(n58512) );
+  sky130_fd_sc_hd__nand4_1 U77413 ( .A(n58517), .B(n58516), .C(n58515), .D(
+        n58514), .Y(n58520) );
+  sky130_fd_sc_hd__a22oi_1 U77414 ( .A1(n69413), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[7]), .B1(n72202), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[7]), .Y(n58519) );
+  sky130_fd_sc_hd__a22oi_1 U77415 ( .A1(n43127), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27[6]), .B1(n72419), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[7]), .Y(n58518) );
+  sky130_fd_sc_hd__nand2_1 U77416 ( .A(n58521), .B(n78279), .Y(n58526) );
+  sky130_fd_sc_hd__nand2_1 U77417 ( .A(n58522), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[2]), .Y(n58525) );
+  sky130_fd_sc_hd__a22oi_1 U77418 ( .A1(n78262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[2]), .B1(n73261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[2]), .Y(n58524) );
+  sky130_fd_sc_hd__nand2_1 U77419 ( .A(n78265), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[2]), .Y(n58523) );
+  sky130_fd_sc_hd__nand4_1 U77420 ( .A(n58526), .B(n58525), .C(n58524), .D(
+        n58523), .Y(n58527) );
+  sky130_fd_sc_hd__a21oi_1 U77421 ( .A1(n58528), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[2]), .B1(n58527), .Y(n58529) );
+  sky130_fd_sc_hd__a21oi_1 U77423 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[2]), .A2(n73267), .B1(n58531), .Y(n58532) );
+  sky130_fd_sc_hd__a22oi_1 U77425 ( .A1(n73285), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12[1]), .B1(n73284), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23[1]), .Y(n58537) );
+  sky130_fd_sc_hd__a22oi_1 U77426 ( .A1(n73287), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22[1]), .B1(n73286), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21[1]), .Y(n58536) );
+  sky130_fd_sc_hd__a22oi_1 U77427 ( .A1(n73289), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17[1]), .B1(n73288), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19[1]), .Y(n58535) );
+  sky130_fd_sc_hd__a22oi_1 U77428 ( .A1(n73295), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18[1]), .B1(n72727), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24[1]), .Y(n58534) );
+  sky130_fd_sc_hd__nand4_1 U77429 ( .A(n58537), .B(n58536), .C(n58535), .D(
+        n58534), .Y(n58549) );
+  sky130_fd_sc_hd__a22oi_1 U77430 ( .A1(n73297), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5[1]), .B1(n73294), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4[1]), .Y(n58541) );
+  sky130_fd_sc_hd__a22oi_1 U77431 ( .A1(n73296), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6[1]), .B1(n73301), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15[1]), .Y(n58540) );
+  sky130_fd_sc_hd__a22oi_1 U77432 ( .A1(n73299), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14[1]), .B1(n73298), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7[1]), .Y(n58539) );
+  sky130_fd_sc_hd__a22oi_1 U77433 ( .A1(n73300), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9[1]), .B1(n73270), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11[1]), .Y(n58538) );
+  sky130_fd_sc_hd__nand4_1 U77434 ( .A(n58541), .B(n58540), .C(n58539), .D(
+        n58538), .Y(n58548) );
+  sky130_fd_sc_hd__a22o_1 U77435 ( .A1(n73283), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13[1]), .B1(n73282), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10[1]), .X(n58542) );
+  sky130_fd_sc_hd__a21oi_1 U77436 ( .A1(n73276), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0[1]), .B1(n58542), .Y(n58546) );
+  sky130_fd_sc_hd__a22oi_1 U77437 ( .A1(n73271), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8[1]), .B1(n73274), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16[1]), .Y(n58545) );
+  sky130_fd_sc_hd__a22oi_1 U77438 ( .A1(n73275), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3[1]), .B1(n73272), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20[1]), .Y(n58544) );
+  sky130_fd_sc_hd__a22oi_1 U77439 ( .A1(n73273), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1[1]), .B1(n73277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2[1]), .Y(n58543) );
+  sky130_fd_sc_hd__nand4_1 U77440 ( .A(n58546), .B(n58545), .C(n58544), .D(
+        n58543), .Y(n58547) );
+  sky130_fd_sc_hd__nor3_1 U77441 ( .A(n58549), .B(n58548), .C(n58547), .Y(
+        n58562) );
+  sky130_fd_sc_hd__nand2_1 U77442 ( .A(n58552), .B(n58551), .Y(n58553) );
+  sky130_fd_sc_hd__xor2_1 U77443 ( .A(n58553), .B(n73316), .X(n58560) );
+  sky130_fd_sc_hd__nand2_1 U77444 ( .A(n58556), .B(n58555), .Y(n58557) );
+  sky130_fd_sc_hd__xor2_1 U77445 ( .A(n58557), .B(n73310), .X(n58558) );
+  sky130_fd_sc_hd__a222oi_1 U77446 ( .A1(n73319), .A2(n58560), .B1(n73229), 
+        .B2(n58559), .C1(n58558), .C2(n73312), .Y(n58561) );
+  sky130_fd_sc_hd__o22ai_1 U77447 ( .A1(n58562), .A2(n53246), .B1(n58561), 
+        .B2(n73322), .Y(n58563) );
+  sky130_fd_sc_hd__a211oi_1 U77448 ( .A1(n72510), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[2]), .B1(n58564), .C1(n58563), .Y(n58567) );
+  sky130_fd_sc_hd__a22oi_1 U77449 ( .A1(n72504), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[2]), .B1(n73326), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26[1]), .Y(n58566) );
+  sky130_fd_sc_hd__a22oi_1 U77450 ( .A1(n72203), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[2]), .B1(n42989), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25[1]), .Y(n58565) );
+  sky130_fd_sc_hd__a22oi_1 U77451 ( .A1(n72357), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[2]), .B1(n69413), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[2]), .Y(n58569) );
+  sky130_fd_sc_hd__a22oi_1 U77452 ( .A1(n53348), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[2]), .B1(n43127), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27[1]), .Y(n58568) );
+  sky130_fd_sc_hd__nand3_1 U77453 ( .A(n58570), .B(n58569), .C(n58568), .Y(
+        n85420) );
+  sky130_fd_sc_hd__nand2_1 U77454 ( .A(n73283), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_13[0]), .Y(n58574) );
+  sky130_fd_sc_hd__nand2_1 U77455 ( .A(n72721), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_26[0]), .Y(n58573) );
+  sky130_fd_sc_hd__nand2_1 U77456 ( .A(n73282), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_10[0]), .Y(n58572) );
+  sky130_fd_sc_hd__nand2_1 U77457 ( .A(n72732), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_27[0]), .Y(n58571) );
+  sky130_fd_sc_hd__nand4_1 U77458 ( .A(n58574), .B(n58573), .C(n58572), .D(
+        n58571), .Y(n58580) );
+  sky130_fd_sc_hd__nand2_1 U77459 ( .A(n73297), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_5[0]), .Y(n58578) );
+  sky130_fd_sc_hd__nand2_1 U77460 ( .A(n73273), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_1[0]), .Y(n58577) );
+  sky130_fd_sc_hd__nand2_1 U77461 ( .A(n73274), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_16[0]), .Y(n58576) );
+  sky130_fd_sc_hd__nand2_1 U77462 ( .A(n73275), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_3[0]), .Y(n58575) );
+  sky130_fd_sc_hd__nand4_1 U77463 ( .A(n58578), .B(n58577), .C(n58576), .D(
+        n58575), .Y(n58579) );
+  sky130_fd_sc_hd__nor2_1 U77464 ( .A(n58580), .B(n58579), .Y(n58608) );
+  sky130_fd_sc_hd__nand2_1 U77465 ( .A(n73287), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_22[0]), .Y(n58584) );
+  sky130_fd_sc_hd__nand2_1 U77466 ( .A(n72722), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_25[0]), .Y(n58583) );
+  sky130_fd_sc_hd__nand2_1 U77467 ( .A(n73294), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_4[0]), .Y(n58582) );
+  sky130_fd_sc_hd__nand2_1 U77468 ( .A(n72727), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_24[0]), .Y(n58581) );
+  sky130_fd_sc_hd__nand4_1 U77469 ( .A(n58584), .B(n58583), .C(n58582), .D(
+        n58581), .Y(n58590) );
+  sky130_fd_sc_hd__nand2_1 U77470 ( .A(n73298), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_7[0]), .Y(n58588) );
+  sky130_fd_sc_hd__nand2_1 U77471 ( .A(n73299), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_14[0]), .Y(n58587) );
+  sky130_fd_sc_hd__nand2_1 U77472 ( .A(n73300), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_9[0]), .Y(n58586) );
+  sky130_fd_sc_hd__nand2_1 U77473 ( .A(n73285), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_12[0]), .Y(n58585) );
+  sky130_fd_sc_hd__nand4_1 U77474 ( .A(n58588), .B(n58587), .C(n58586), .D(
+        n58585), .Y(n58589) );
+  sky130_fd_sc_hd__nor2_1 U77475 ( .A(n58590), .B(n58589), .Y(n58607) );
+  sky130_fd_sc_hd__nand2_1 U77476 ( .A(n73288), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_19[0]), .Y(n58594) );
+  sky130_fd_sc_hd__nand2_1 U77477 ( .A(n73286), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_21[0]), .Y(n58593) );
+  sky130_fd_sc_hd__nand2_1 U77478 ( .A(n73271), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_8[0]), .Y(n58592) );
+  sky130_fd_sc_hd__nand2_1 U77479 ( .A(n73301), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_15[0]), .Y(n58591) );
+  sky130_fd_sc_hd__and4_1 U77480 ( .A(n58594), .B(n58593), .C(n58592), .D(
+        n58591), .X(n58606) );
+  sky130_fd_sc_hd__nand2_1 U77481 ( .A(n73272), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_20[0]), .Y(n58598) );
+  sky130_fd_sc_hd__nand2_1 U77482 ( .A(n73289), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_17[0]), .Y(n58597) );
+  sky130_fd_sc_hd__nand2_1 U77483 ( .A(n73284), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_23[0]), .Y(n58596) );
+  sky130_fd_sc_hd__nand2_1 U77484 ( .A(n73296), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_6[0]), .Y(n58595) );
+  sky130_fd_sc_hd__nand4_1 U77485 ( .A(n58598), .B(n58597), .C(n58596), .D(
+        n58595), .Y(n58604) );
+  sky130_fd_sc_hd__nand2_1 U77486 ( .A(n73295), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_18[0]), .Y(n58602) );
+  sky130_fd_sc_hd__nand2_1 U77487 ( .A(n73270), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_11[0]), .Y(n58601) );
+  sky130_fd_sc_hd__nand2_1 U77488 ( .A(n73277), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_2[0]), .Y(n58600) );
+  sky130_fd_sc_hd__nand2_1 U77489 ( .A(n73276), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_0[0]), .Y(n58599) );
+  sky130_fd_sc_hd__nand4_1 U77490 ( .A(n58602), .B(n58601), .C(n58600), .D(
+        n58599), .Y(n58603) );
+  sky130_fd_sc_hd__nor2_1 U77491 ( .A(n58604), .B(n58603), .Y(n58605) );
+  sky130_fd_sc_hd__nand4_1 U77492 ( .A(n58608), .B(n58607), .C(n58606), .D(
+        n58605), .Y(n58803) );
+  sky130_fd_sc_hd__nand2_1 U77493 ( .A(n73275), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_3[2]), .Y(n58612) );
+  sky130_fd_sc_hd__nand2_1 U77494 ( .A(n73273), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_1[2]), .Y(n58611) );
+  sky130_fd_sc_hd__nand2_1 U77495 ( .A(n73277), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_2[2]), .Y(n58610) );
+  sky130_fd_sc_hd__nand2_1 U77496 ( .A(n73276), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_0[2]), .Y(n58609) );
+  sky130_fd_sc_hd__nand4_1 U77497 ( .A(n58612), .B(n58611), .C(n58610), .D(
+        n58609), .Y(n58618) );
+  sky130_fd_sc_hd__a22oi_1 U77498 ( .A1(n73283), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_13[2]), .B1(n73282), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_10[2]), .Y(n58616) );
+  sky130_fd_sc_hd__a22oi_1 U77499 ( .A1(n73287), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_22[2]), .B1(n72721), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_26[2]), .Y(n58615) );
+  sky130_fd_sc_hd__a22oi_1 U77500 ( .A1(n72722), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_25[2]), .B1(n73285), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_12[2]), .Y(n58614) );
+  sky130_fd_sc_hd__a22oi_1 U77501 ( .A1(n73286), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_21[2]), .B1(n73284), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_23[2]), .Y(n58613) );
+  sky130_fd_sc_hd__nand4_1 U77502 ( .A(n58616), .B(n58615), .C(n58614), .D(
+        n58613), .Y(n58617) );
+  sky130_fd_sc_hd__nor2_1 U77503 ( .A(n58618), .B(n58617), .Y(n58629) );
+  sky130_fd_sc_hd__a22oi_1 U77504 ( .A1(n73295), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_18[2]), .B1(n73289), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_17[2]), .Y(n58622) );
+  sky130_fd_sc_hd__a22oi_1 U77505 ( .A1(n73288), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_19[2]), .B1(n72727), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_24[2]), .Y(n58621) );
+  sky130_fd_sc_hd__a22oi_1 U77506 ( .A1(n73297), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_5[2]), .B1(n73294), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_4[2]), .Y(n58620) );
+  sky130_fd_sc_hd__a22oi_1 U77507 ( .A1(n73296), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_6[2]), .B1(n73301), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_15[2]), .Y(n58619) );
+  sky130_fd_sc_hd__and4_1 U77508 ( .A(n58622), .B(n58621), .C(n58620), .D(
+        n58619), .X(n58628) );
+  sky130_fd_sc_hd__a22oi_1 U77509 ( .A1(n73299), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_14[2]), .B1(n73300), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_9[2]), .Y(n58626) );
+  sky130_fd_sc_hd__a22oi_1 U77510 ( .A1(n73298), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_7[2]), .B1(n73271), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_8[2]), .Y(n58625) );
+  sky130_fd_sc_hd__a22oi_1 U77511 ( .A1(n73270), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_11[2]), .B1(n72732), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_27[2]), .Y(n58624) );
+  sky130_fd_sc_hd__a22oi_1 U77512 ( .A1(n73274), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_16[2]), .B1(n73272), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_20[2]), .Y(n58623) );
+  sky130_fd_sc_hd__and4_1 U77513 ( .A(n58626), .B(n58625), .C(n58624), .D(
+        n58623), .X(n58627) );
+  sky130_fd_sc_hd__nand3_1 U77514 ( .A(n58629), .B(n58628), .C(n58627), .Y(
+        n58794) );
+  sky130_fd_sc_hd__nand2_1 U77515 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n58630) );
+  sky130_fd_sc_hd__nor2_1 U77516 ( .A(n58631), .B(n58630), .Y(n72272) );
+  sky130_fd_sc_hd__nand2_1 U77517 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .Y(n72274) );
+  sky130_fd_sc_hd__nor2_1 U77518 ( .A(n72276), .B(n72274), .Y(n58632) );
+  sky130_fd_sc_hd__nand2_1 U77519 ( .A(n72272), .B(n58632), .Y(n58633) );
+  sky130_fd_sc_hd__nor2_1 U77520 ( .A(n58634), .B(n58633), .Y(n66437) );
+  sky130_fd_sc_hd__xor2_1 U77521 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_req_bits_vaddr_31_), .B(n58635), .X(n58636) );
+  sky130_fd_sc_hd__nand2_1 U77522 ( .A(n58636), .B(n73229), .Y(n58757) );
+  sky130_fd_sc_hd__clkbuf_1 U77523 ( .A(n58637), .X(n58664) );
+  sky130_fd_sc_hd__nand2_1 U77524 ( .A(n58664), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[31]), .Y(n58638) );
+  sky130_fd_sc_hd__nand2_1 U77525 ( .A(n58639), .B(n58638), .Y(n58667) );
+  sky130_fd_sc_hd__nor2_1 U77526 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[30]), .B(n58664), .Y(n73076) );
+  sky130_fd_sc_hd__nor2_1 U77527 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[28]), .B(n58664), .Y(n72441) );
+  sky130_fd_sc_hd__nor2_1 U77528 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[26]), .B(n58664), .Y(n69389) );
+  sky130_fd_sc_hd__nor2_1 U77529 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[24]), .B(n58664), .Y(n72178) );
+  sky130_fd_sc_hd__nor2_1 U77530 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[21]), .B(n58664), .Y(n70584) );
+  sky130_fd_sc_hd__nor2_1 U77531 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[20]), .B(n58664), .Y(n69007) );
+  sky130_fd_sc_hd__nor2_1 U77532 ( .A(n70584), .B(n69007), .Y(n64995) );
+  sky130_fd_sc_hd__nand2_1 U77533 ( .A(n64995), .B(n64997), .Y(n58660) );
+  sky130_fd_sc_hd__nor2_1 U77535 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[17]), .B(n58648), .Y(n66443) );
+  sky130_fd_sc_hd__o21ai_1 U77536 ( .A1(n68440), .A2(n58641), .B1(n58640), .Y(
+        n58647) );
+  sky130_fd_sc_hd__nor2_1 U77537 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[16]), .B(n58647), .Y(n66441) );
+  sky130_fd_sc_hd__nor2_1 U77538 ( .A(n66443), .B(n66441), .Y(n68943) );
+  sky130_fd_sc_hd__o21ai_0 U77539 ( .A1(n76216), .A2(n58641), .B1(n58640), .Y(
+        n58650) );
+  sky130_fd_sc_hd__nor2_1 U77540 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[19]), .B(n58650), .Y(n72227) );
+  sky130_fd_sc_hd__o21ai_0 U77541 ( .A1(n76214), .A2(n58641), .B1(n58640), .Y(
+        n58649) );
+  sky130_fd_sc_hd__nor2_1 U77542 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[18]), .B(n58649), .Y(n68942) );
+  sky130_fd_sc_hd__nor2_1 U77543 ( .A(n72227), .B(n68942), .Y(n58652) );
+  sky130_fd_sc_hd__nand2_1 U77544 ( .A(n68943), .B(n58652), .Y(n58654) );
+  sky130_fd_sc_hd__nor2_1 U77546 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[13]), .B(n58642), .Y(n73219) );
+  sky130_fd_sc_hd__nor2_1 U77547 ( .A(n73219), .B(n73224), .Y(n72327) );
+  sky130_fd_sc_hd__nor2_1 U77549 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[15]), .B(n58644), .Y(n72333) );
+  sky130_fd_sc_hd__o21ai_0 U77550 ( .A1(n76208), .A2(n58641), .B1(n58640), .Y(
+        n58643) );
+  sky130_fd_sc_hd__nor2_1 U77551 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[14]), .B(n58643), .Y(n72331) );
+  sky130_fd_sc_hd__nor2_1 U77552 ( .A(n72333), .B(n72331), .Y(n58646) );
+  sky130_fd_sc_hd__nand2_1 U77553 ( .A(n72327), .B(n58646), .Y(n66440) );
+  sky130_fd_sc_hd__nor2_1 U77554 ( .A(n58654), .B(n66440), .Y(n58657) );
+  sky130_fd_sc_hd__nand2_1 U77555 ( .A(n58642), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[13]), .Y(n73220) );
+  sky130_fd_sc_hd__o21ai_1 U77556 ( .A1(n73222), .A2(n73219), .B1(n73220), .Y(
+        n72328) );
+  sky130_fd_sc_hd__nand2_1 U77557 ( .A(n58643), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[14]), .Y(n72395) );
+  sky130_fd_sc_hd__nand2_1 U77558 ( .A(n58644), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[15]), .Y(n72334) );
+  sky130_fd_sc_hd__a21oi_1 U77560 ( .A1(n58646), .A2(n72328), .B1(n58645), .Y(
+        n66439) );
+  sky130_fd_sc_hd__nand2_1 U77561 ( .A(n58647), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[16]), .Y(n72278) );
+  sky130_fd_sc_hd__nand2_1 U77562 ( .A(n58648), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[17]), .Y(n66444) );
+  sky130_fd_sc_hd__o21ai_1 U77563 ( .A1(n72278), .A2(n66443), .B1(n66444), .Y(
+        n68945) );
+  sky130_fd_sc_hd__nand2_1 U77564 ( .A(n58649), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[18]), .Y(n72223) );
+  sky130_fd_sc_hd__nand2_1 U77565 ( .A(n58650), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[19]), .Y(n72228) );
+  sky130_fd_sc_hd__o21ai_1 U77566 ( .A1(n72223), .A2(n72227), .B1(n72228), .Y(
+        n58651) );
+  sky130_fd_sc_hd__a21oi_1 U77567 ( .A1(n58652), .A2(n68945), .B1(n58651), .Y(
+        n58653) );
+  sky130_fd_sc_hd__o21ai_1 U77568 ( .A1(n58654), .A2(n66439), .B1(n58653), .Y(
+        n58655) );
+  sky130_fd_sc_hd__a21oi_1 U77569 ( .A1(n58657), .A2(n58656), .B1(n58655), .Y(
+        n64993) );
+  sky130_fd_sc_hd__nand2_1 U77570 ( .A(n58664), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[21]), .Y(n70585) );
+  sky130_fd_sc_hd__nand2_1 U77571 ( .A(n58664), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[20]), .Y(n70580) );
+  sky130_fd_sc_hd__nand2_1 U77572 ( .A(n70585), .B(n70580), .Y(n64994) );
+  sky130_fd_sc_hd__nand2_1 U77573 ( .A(n58664), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[22]), .Y(n64996) );
+  sky130_fd_sc_hd__clkinv_1 U77574 ( .A(n64996), .Y(n58658) );
+  sky130_fd_sc_hd__nor2_1 U77575 ( .A(n64994), .B(n58658), .Y(n58659) );
+  sky130_fd_sc_hd__o21ai_1 U77576 ( .A1(n58660), .A2(n64993), .B1(n58659), .Y(
+        n68825) );
+  sky130_fd_sc_hd__nand2_1 U77577 ( .A(n58664), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[23]), .Y(n68823) );
+  sky130_fd_sc_hd__a21oi_1 U77578 ( .A1(n68825), .A2(n68824), .B1(n58661), .Y(
+        n72182) );
+  sky130_fd_sc_hd__nand2_1 U77579 ( .A(n58664), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[24]), .Y(n72179) );
+  sky130_fd_sc_hd__o21ai_1 U77580 ( .A1(n72178), .A2(n72182), .B1(n72179), .Y(
+        n69226) );
+  sky130_fd_sc_hd__nand2_1 U77581 ( .A(n58664), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[25]), .Y(n69224) );
+  sky130_fd_sc_hd__a21oi_1 U77582 ( .A1(n69226), .A2(n69225), .B1(n58662), .Y(
+        n69393) );
+  sky130_fd_sc_hd__nand2_1 U77583 ( .A(n58664), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[26]), .Y(n69390) );
+  sky130_fd_sc_hd__o21ai_1 U77584 ( .A1(n69389), .A2(n69393), .B1(n69390), .Y(
+        n70541) );
+  sky130_fd_sc_hd__nand2_1 U77585 ( .A(n58664), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[27]), .Y(n70539) );
+  sky130_fd_sc_hd__nand2_1 U77586 ( .A(n58664), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[28]), .Y(n72442) );
+  sky130_fd_sc_hd__o21ai_1 U77587 ( .A1(n72441), .A2(n72445), .B1(n72442), .Y(
+        n72486) );
+  sky130_fd_sc_hd__nand2_1 U77588 ( .A(n58664), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[29]), .Y(n72484) );
+  sky130_fd_sc_hd__a21oi_1 U77589 ( .A1(n72486), .A2(n72485), .B1(n58665), .Y(
+        n73080) );
+  sky130_fd_sc_hd__nand2_1 U77590 ( .A(n58664), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[30]), .Y(n73077) );
+  sky130_fd_sc_hd__xnor2_1 U77592 ( .A(n58667), .B(n58666), .Y(n58668) );
+  sky130_fd_sc_hd__nand2_1 U77593 ( .A(n58668), .B(n73312), .Y(n58756) );
+  sky130_fd_sc_hd__nand2b_1 U77594 ( .A_N(n85492), .B(n59770), .Y(n58748) );
+  sky130_fd_sc_hd__xor2_1 U77595 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[31]), .B(n58748), .X(n58670) );
+  sky130_fd_sc_hd__xor2_1 U77596 ( .A(n58670), .B(n58669), .X(n58753) );
+  sky130_fd_sc_hd__nor2_1 U77597 ( .A(n58746), .B(n58747), .Y(n72479) );
+  sky130_fd_sc_hd__nor2_1 U77598 ( .A(n58741), .B(n58742), .Y(n70533) );
+  sky130_fd_sc_hd__nor2_1 U77599 ( .A(n58731), .B(n58732), .Y(n72172) );
+  sky130_fd_sc_hd__mux2_2 U77600 ( .A0(n85492), .A1(n76210), .S(n73315), .X(
+        n58674) );
+  sky130_fd_sc_hd__mux2_2 U77602 ( .A0(n85492), .A1(n58671), .S(n73315), .X(
+        n58673) );
+  sky130_fd_sc_hd__nor2_1 U77603 ( .A(n58719), .B(n58720), .Y(n69001) );
+  sky130_fd_sc_hd__o21ai_1 U77604 ( .A1(n58688), .A2(n76186), .B1(n58687), .Y(
+        n58672) );
+  sky130_fd_sc_hd__mux2_2 U77605 ( .A0(n85492), .A1(n58672), .S(n73315), .X(
+        n58690) );
+  sky130_fd_sc_hd__fa_1 U77606 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[19]), .B(n73315), .CIN(n58673), .COUT(n58720), .SUM(n58718) );
+  sky130_fd_sc_hd__nor2_1 U77607 ( .A(n58717), .B(n58718), .Y(n68999) );
+  sky130_fd_sc_hd__nor2_1 U77608 ( .A(n69001), .B(n68999), .Y(n64988) );
+  sky130_fd_sc_hd__ha_1 U77609 ( .A(n58748), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[23]), .COUT(n58731), .SUM(n58728) );
+  sky130_fd_sc_hd__nor2_1 U77610 ( .A(n58727), .B(n58728), .Y(n68817) );
+  sky130_fd_sc_hd__ha_1 U77611 ( .A(n58674), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[20]), .COUT(n58722), .SUM(n58719) );
+  sky130_fd_sc_hd__nor2_1 U77612 ( .A(n58721), .B(n58722), .Y(n64989) );
+  sky130_fd_sc_hd__ha_1 U77613 ( .A(n58748), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[21]), .COUT(n58723), .SUM(n58721) );
+  sky130_fd_sc_hd__ha_1 U77614 ( .A(n58748), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[22]), .COUT(n58727), .SUM(n58724) );
+  sky130_fd_sc_hd__nand2_1 U77615 ( .A(n70576), .B(n64985), .Y(n68813) );
+  sky130_fd_sc_hd__nor2_1 U77616 ( .A(n68817), .B(n68813), .Y(n58730) );
+  sky130_fd_sc_hd__nand2_1 U77617 ( .A(n64988), .B(n58730), .Y(n72168) );
+  sky130_fd_sc_hd__nor2_1 U77618 ( .A(n72172), .B(n72168), .Y(n69218) );
+  sky130_fd_sc_hd__ha_1 U77619 ( .A(n58748), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[24]), .COUT(n58733), .SUM(n58732) );
+  sky130_fd_sc_hd__nand2_1 U77620 ( .A(n69218), .B(n69220), .Y(n58737) );
+  sky130_fd_sc_hd__nand2_1 U77621 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[13]), .Y(n58675) );
+  sky130_fd_sc_hd__nand2_1 U77622 ( .A(n58687), .B(n58675), .Y(n58676) );
+  sky130_fd_sc_hd__mux2_2 U77623 ( .A0(n85492), .A1(n58676), .S(n73315), .X(
+        n58680) );
+  sky130_fd_sc_hd__nand2_1 U77624 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_taken_rviBits[14]), .Y(n58677) );
+  sky130_fd_sc_hd__nand2_1 U77625 ( .A(n58687), .B(n58677), .Y(n58678) );
+  sky130_fd_sc_hd__mux2_2 U77626 ( .A0(n85492), .A1(n58678), .S(n73315), .X(
+        n58685) );
+  sky130_fd_sc_hd__nor2_1 U77627 ( .A(n58698), .B(n58699), .Y(n72389) );
+  sky130_fd_sc_hd__fa_1 U77628 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[12]), .B(n73315), .CIN(n58679), .COUT(n58696), .SUM(n53310) );
+  sky130_fd_sc_hd__fa_1 U77629 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[13]), .B(n73315), .CIN(n58680), .COUT(n58698), .SUM(n58697) );
+  sky130_fd_sc_hd__nor2_1 U77630 ( .A(n58696), .B(n58697), .Y(n72387) );
+  sky130_fd_sc_hd__nor2_1 U77631 ( .A(n72389), .B(n72387), .Y(n58701) );
+  sky130_fd_sc_hd__nor2_1 U77632 ( .A(n58681), .B(n58694), .Y(n72382) );
+  sky130_fd_sc_hd__nand2_1 U77633 ( .A(n58701), .B(n72382), .Y(n66432) );
+  sky130_fd_sc_hd__mux2_2 U77635 ( .A0(n85492), .A1(n58683), .S(n73315), .X(
+        n58686) );
+  sky130_fd_sc_hd__o21ai_1 U77636 ( .A1(n58688), .A2(n37894), .B1(n58687), .Y(
+        n58684) );
+  sky130_fd_sc_hd__mux2_2 U77637 ( .A0(n85492), .A1(n58684), .S(n73315), .X(
+        n58691) );
+  sky130_fd_sc_hd__nor2_1 U77638 ( .A(n58704), .B(n58705), .Y(n72267) );
+  sky130_fd_sc_hd__fa_1 U77639 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[14]), .B(n73315), .CIN(n58685), .COUT(n58702), .SUM(n58699) );
+  sky130_fd_sc_hd__fa_1 U77640 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[15]), .B(n73315), .CIN(n58686), .COUT(n58704), .SUM(n58703) );
+  sky130_fd_sc_hd__nor2_1 U77641 ( .A(n58702), .B(n58703), .Y(n72264) );
+  sky130_fd_sc_hd__nor2_1 U77642 ( .A(n72267), .B(n72264), .Y(n66430) );
+  sky130_fd_sc_hd__o21ai_1 U77643 ( .A1(n58688), .A2(n76184), .B1(n58687), .Y(
+        n58689) );
+  sky130_fd_sc_hd__mux2_2 U77644 ( .A0(n85492), .A1(n58689), .S(n73315), .X(
+        n58692) );
+  sky130_fd_sc_hd__fa_1 U77645 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[18]), .B(n73315), .CIN(n58690), .COUT(n58717), .SUM(n58709) );
+  sky130_fd_sc_hd__nor2_1 U77646 ( .A(n58708), .B(n58709), .Y(n68937) );
+  sky130_fd_sc_hd__fa_1 U77647 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[16]), .B(n73315), .CIN(n58691), .COUT(n58706), .SUM(n58705) );
+  sky130_fd_sc_hd__fa_1 U77648 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[17]), .B(n73315), .CIN(n58692), .COUT(n58708), .SUM(n58707) );
+  sky130_fd_sc_hd__nor2_1 U77649 ( .A(n58706), .B(n58707), .Y(n66429) );
+  sky130_fd_sc_hd__nor2_1 U77650 ( .A(n68937), .B(n66429), .Y(n58711) );
+  sky130_fd_sc_hd__nand2_1 U77651 ( .A(n66430), .B(n58711), .Y(n58713) );
+  sky130_fd_sc_hd__nor2_1 U77652 ( .A(n66432), .B(n58713), .Y(n58715) );
+  sky130_fd_sc_hd__o21ai_1 U77653 ( .A1(n58695), .A2(n58694), .B1(n58693), .Y(
+        n72383) );
+  sky130_fd_sc_hd__nand2_1 U77654 ( .A(n58697), .B(n58696), .Y(n73213) );
+  sky130_fd_sc_hd__nand2_1 U77655 ( .A(n58699), .B(n58698), .Y(n72390) );
+  sky130_fd_sc_hd__a21oi_1 U77657 ( .A1(n58701), .A2(n72383), .B1(n58700), .Y(
+        n66431) );
+  sky130_fd_sc_hd__nand2_1 U77658 ( .A(n58703), .B(n58702), .Y(n72321) );
+  sky130_fd_sc_hd__nand2_1 U77659 ( .A(n58705), .B(n58704), .Y(n72268) );
+  sky130_fd_sc_hd__nand2_1 U77661 ( .A(n58707), .B(n58706), .Y(n68933) );
+  sky130_fd_sc_hd__nand2_1 U77662 ( .A(n58709), .B(n58708), .Y(n68938) );
+  sky130_fd_sc_hd__o21ai_1 U77663 ( .A1(n68933), .A2(n68937), .B1(n68938), .Y(
+        n58710) );
+  sky130_fd_sc_hd__a21oi_1 U77664 ( .A1(n58711), .A2(n66433), .B1(n58710), .Y(
+        n58712) );
+  sky130_fd_sc_hd__a21oi_1 U77666 ( .A1(n58716), .A2(n58715), .B1(n58714), .Y(
+        n64986) );
+  sky130_fd_sc_hd__nand2_1 U77667 ( .A(n58718), .B(n58717), .Y(n72218) );
+  sky130_fd_sc_hd__nand2_1 U77668 ( .A(n58720), .B(n58719), .Y(n69002) );
+  sky130_fd_sc_hd__nand2_1 U77670 ( .A(n58722), .B(n58721), .Y(n70575) );
+  sky130_fd_sc_hd__clkinv_1 U77671 ( .A(n70575), .Y(n58726) );
+  sky130_fd_sc_hd__nand2_1 U77672 ( .A(n58724), .B(n58723), .Y(n64984) );
+  sky130_fd_sc_hd__clkinv_1 U77673 ( .A(n64984), .Y(n58725) );
+  sky130_fd_sc_hd__a21oi_1 U77674 ( .A1(n64985), .A2(n58726), .B1(n58725), .Y(
+        n68814) );
+  sky130_fd_sc_hd__nand2_1 U77675 ( .A(n58728), .B(n58727), .Y(n68818) );
+  sky130_fd_sc_hd__a21oi_1 U77677 ( .A1(n64987), .A2(n58730), .B1(n58729), .Y(
+        n72169) );
+  sky130_fd_sc_hd__nand2_1 U77678 ( .A(n58732), .B(n58731), .Y(n72173) );
+  sky130_fd_sc_hd__nand2_1 U77680 ( .A(n58734), .B(n58733), .Y(n69219) );
+  sky130_fd_sc_hd__a21oi_1 U77681 ( .A1(n69217), .A2(n69220), .B1(n58735), .Y(
+        n58736) );
+  sky130_fd_sc_hd__ha_1 U77683 ( .A(n58748), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[25]), .COUT(n58738), .SUM(n58734) );
+  sky130_fd_sc_hd__ha_1 U77684 ( .A(n58748), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[26]), .COUT(n58741), .SUM(n58739) );
+  sky130_fd_sc_hd__nand2_1 U77685 ( .A(n58739), .B(n58738), .Y(n69384) );
+  sky130_fd_sc_hd__a21oi_1 U77686 ( .A1(n69386), .A2(n69385), .B1(n58740), .Y(
+        n70537) );
+  sky130_fd_sc_hd__nand2_1 U77687 ( .A(n58742), .B(n58741), .Y(n70534) );
+  sky130_fd_sc_hd__ha_1 U77689 ( .A(n58748), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[27]), .COUT(n58743), .SUM(n58742) );
+  sky130_fd_sc_hd__ha_1 U77690 ( .A(n58748), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[28]), .COUT(n58746), .SUM(n58744) );
+  sky130_fd_sc_hd__nand2_1 U77691 ( .A(n58744), .B(n58743), .Y(n72436) );
+  sky130_fd_sc_hd__a21oi_1 U77692 ( .A1(n72438), .A2(n72437), .B1(n58745), .Y(
+        n72483) );
+  sky130_fd_sc_hd__nand2_1 U77693 ( .A(n58747), .B(n58746), .Y(n72480) );
+  sky130_fd_sc_hd__o21ai_1 U77694 ( .A1(n72479), .A2(n72483), .B1(n72480), .Y(
+        n73084) );
+  sky130_fd_sc_hd__ha_1 U77695 ( .A(n58748), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[29]), .COUT(n58749), .SUM(n58747) );
+  sky130_fd_sc_hd__ha_1 U77696 ( .A(n58748), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[30]), .COUT(n58669), .SUM(n58750) );
+  sky130_fd_sc_hd__nand2_1 U77697 ( .A(n58750), .B(n58749), .Y(n73082) );
+  sky130_fd_sc_hd__a21o_1 U77698 ( .A1(n73084), .A2(n73083), .B1(n58751), .X(
+        n58752) );
+  sky130_fd_sc_hd__xor2_1 U77699 ( .A(n58753), .B(n58752), .X(n58754) );
+  sky130_fd_sc_hd__nand2_1 U77700 ( .A(n58754), .B(n73319), .Y(n58755) );
+  sky130_fd_sc_hd__nand2_1 U77701 ( .A(n58757), .B(n38484), .Y(n58770) );
+  sky130_fd_sc_hd__inv_1 U77702 ( .A(n58758), .Y(n58766) );
+  sky130_fd_sc_hd__a22oi_1 U77703 ( .A1(n78262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[31]), .B1(n73261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[31]), .Y(n58760) );
+  sky130_fd_sc_hd__nand2_1 U77704 ( .A(n73192), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[31]), .Y(n58759) );
+  sky130_fd_sc_hd__o211ai_1 U77705 ( .A1(n58762), .A2(n58761), .B1(n58760), 
+        .C1(n58759), .Y(n58763) );
+  sky130_fd_sc_hd__a21oi_1 U77706 ( .A1(n73097), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[31]), .B1(n58763), .Y(n58764) );
+  sky130_fd_sc_hd__o21ai_0 U77707 ( .A1(n63899), .A2(n73154), .B1(n58764), .Y(
+        n58765) );
+  sky130_fd_sc_hd__a21oi_1 U77708 ( .A1(n58766), .A2(n72499), .B1(n58765), .Y(
+        n58767) );
+  sky130_fd_sc_hd__nor2_1 U77709 ( .A(n72310), .B(n58803), .Y(n58792) );
+  sky130_fd_sc_hd__a22oi_1 U77710 ( .A1(n73286), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_21[1]), .B1(n73283), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_13[1]), .Y(n58776) );
+  sky130_fd_sc_hd__a22oi_1 U77711 ( .A1(n73287), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_22[1]), .B1(n73288), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_19[1]), .Y(n58775) );
+  sky130_fd_sc_hd__a22oi_1 U77712 ( .A1(n73276), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_0[1]), .B1(n72727), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_24[1]), .Y(n58774) );
+  sky130_fd_sc_hd__a22oi_1 U77713 ( .A1(n73295), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_18[1]), .B1(n73270), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_11[1]), .Y(n58773) );
+  sky130_fd_sc_hd__nand4_1 U77714 ( .A(n58776), .B(n58775), .C(n58774), .D(
+        n58773), .Y(n58779) );
+  sky130_fd_sc_hd__a22oi_1 U77715 ( .A1(n73285), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_12[1]), .B1(n73296), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_6[1]), .Y(n58778) );
+  sky130_fd_sc_hd__a22oi_1 U77716 ( .A1(n73274), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_16[1]), .B1(n73298), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_7[1]), .Y(n58777) );
+  sky130_fd_sc_hd__nand3b_1 U77717 ( .A_N(n58779), .B(n58778), .C(n58777), .Y(
+        n58791) );
+  sky130_fd_sc_hd__a22oi_1 U77718 ( .A1(n73297), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_5[1]), .B1(n73294), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_4[1]), .Y(n58783) );
+  sky130_fd_sc_hd__a22oi_1 U77719 ( .A1(n73301), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_15[1]), .B1(n73271), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_8[1]), .Y(n58782) );
+  sky130_fd_sc_hd__a22oi_1 U77720 ( .A1(n72722), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_25[1]), .B1(n72721), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_26[1]), .Y(n58781) );
+  sky130_fd_sc_hd__a22oi_1 U77721 ( .A1(n73272), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_20[1]), .B1(n73282), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_10[1]), .Y(n58780) );
+  sky130_fd_sc_hd__nand4_1 U77722 ( .A(n58783), .B(n58782), .C(n58781), .D(
+        n58780), .Y(n58789) );
+  sky130_fd_sc_hd__a22oi_1 U77723 ( .A1(n73277), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_2[1]), .B1(n73289), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_17[1]), .Y(n58787) );
+  sky130_fd_sc_hd__a22oi_1 U77724 ( .A1(n73284), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_23[1]), .B1(n73300), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_9[1]), .Y(n58786) );
+  sky130_fd_sc_hd__a22oi_1 U77725 ( .A1(n73299), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_14[1]), .B1(n72732), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_27[1]), .Y(n58785) );
+  sky130_fd_sc_hd__a22oi_1 U77726 ( .A1(n73275), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_3[1]), .B1(n73273), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgtPages_1[1]), .Y(n58784) );
+  sky130_fd_sc_hd__nand4_1 U77727 ( .A(n58787), .B(n58786), .C(n58785), .D(
+        n58784), .Y(n58788) );
+  sky130_fd_sc_hd__nor2_1 U77728 ( .A(n58791), .B(n58790), .Y(n58805) );
+  sky130_fd_sc_hd__nand3_1 U77729 ( .A(n58806), .B(n58792), .C(n58799), .Y(
+        n58793) );
+  sky130_fd_sc_hd__nor4_1 U77730 ( .A(n58796), .B(n58795), .C(n72425), .D(
+        n53246), .Y(n58797) );
+  sky130_fd_sc_hd__a22o_1 U77731 ( .A1(n72419), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[31]), .B1(n73111), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[17]), .X(n58798) );
+  sky130_fd_sc_hd__a21oi_1 U77732 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[17]), .A2(n73113), .B1(n58798), .Y(n58814) );
+  sky130_fd_sc_hd__nand3_1 U77733 ( .A(n58806), .B(n58800), .C(n58799), .Y(
+        n73073) );
+  sky130_fd_sc_hd__nand3_1 U77734 ( .A(n58806), .B(n58805), .C(n58801), .Y(
+        n58802) );
+  sky130_fd_sc_hd__nor2_1 U77735 ( .A(n72369), .B(n58803), .Y(n58804) );
+  sky130_fd_sc_hd__nand3_1 U77736 ( .A(n58806), .B(n58805), .C(n58804), .Y(
+        n70572) );
+  sky130_fd_sc_hd__o22ai_1 U77737 ( .A1(n58808), .A2(n58802), .B1(n58807), 
+        .B2(n70572), .Y(n58811) );
+  sky130_fd_sc_hd__a22oi_1 U77738 ( .A1(n69413), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[31]), .B1(n72509), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[31]), .Y(n58810) );
+  sky130_fd_sc_hd__a22oi_1 U77739 ( .A1(n73327), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[31]), .B1(n72357), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[31]), .Y(n58809) );
+  sky130_fd_sc_hd__nand3b_1 U77740 ( .A_N(n58811), .B(n58810), .C(n58809), .Y(
+        n58812) );
+  sky130_fd_sc_hd__o22a_1 U77741 ( .A1(n58819), .A2(n68050), .B1(n59331), .B2(
+        n59338), .X(n58867) );
+  sky130_fd_sc_hd__nand2_1 U77742 ( .A(n58821), .B(n58820), .Y(n59363) );
+  sky130_fd_sc_hd__nand2_1 U77743 ( .A(n58823), .B(n58822), .Y(n58828) );
+  sky130_fd_sc_hd__a22oi_1 U77744 ( .A1(n58273), .A2(n58826), .B1(n58825), 
+        .B2(n58824), .Y(n58827) );
+  sky130_fd_sc_hd__o21ai_1 U77745 ( .A1(n58828), .A2(n58827), .B1(n36793), .Y(
+        n68044) );
+  sky130_fd_sc_hd__inv_1 U77746 ( .A(n58829), .Y(n58830) );
+  sky130_fd_sc_hd__a21oi_1 U77747 ( .A1(n58833), .A2(n58834), .B1(n58831), .Y(
+        n58836) );
+  sky130_fd_sc_hd__o21ai_1 U77748 ( .A1(n58834), .A2(n58833), .B1(n58832), .Y(
+        n58835) );
+  sky130_fd_sc_hd__nand2_1 U77749 ( .A(n58836), .B(n58835), .Y(n58839) );
+  sky130_fd_sc_hd__nand2_1 U77751 ( .A(n58839), .B(n58838), .Y(n58840) );
+  sky130_fd_sc_hd__o21a_1 U77752 ( .A1(n59349), .A2(n59363), .B1(n58842), .X(
+        n58866) );
+  sky130_fd_sc_hd__o22a_1 U77754 ( .A1(n58843), .A2(n59346), .B1(n58847), .B2(
+        n68049), .X(n58865) );
+  sky130_fd_sc_hd__clkbuf_1 U77755 ( .A(n58848), .X(n68058) );
+  sky130_fd_sc_hd__nand2_1 U77756 ( .A(n68058), .B(n58849), .Y(n68043) );
+  sky130_fd_sc_hd__nand2_1 U77757 ( .A(n58850), .B(n59389), .Y(n58851) );
+  sky130_fd_sc_hd__nand2_1 U77758 ( .A(n68058), .B(n38336), .Y(n59394) );
+  sky130_fd_sc_hd__o22ai_1 U77759 ( .A1(n68058), .A2(n58851), .B1(n59385), 
+        .B2(n59394), .Y(n58852) );
+  sky130_fd_sc_hd__a21oi_1 U77760 ( .A1(n59381), .A2(n59398), .B1(n58852), .Y(
+        n58854) );
+  sky130_fd_sc_hd__nand3_1 U77761 ( .A(n68059), .B(n58853), .C(n68061), .Y(
+        n59404) );
+  sky130_fd_sc_hd__nand2_1 U77762 ( .A(n59337), .B(n59334), .Y(n58857) );
+  sky130_fd_sc_hd__nand2_1 U77763 ( .A(n36776), .B(n58857), .Y(n58863) );
+  sky130_fd_sc_hd__o22ai_1 U77764 ( .A1(n59358), .A2(n68042), .B1(n58861), 
+        .B2(n59429), .Y(n58862) );
+  sky130_fd_sc_hd__nor2_1 U77765 ( .A(n58863), .B(n58862), .Y(n58864) );
+  sky130_fd_sc_hd__nand4_1 U77766 ( .A(n58867), .B(n58866), .C(n58865), .D(
+        n58864), .Y(n86097) );
+  sky130_fd_sc_hd__nor2_1 U77767 ( .A(n65105), .B(n73345), .Y(n63941) );
+  sky130_fd_sc_hd__nor2_1 U77768 ( .A(n72137), .B(n37000), .Y(n64158) );
+  sky130_fd_sc_hd__nor2_1 U77769 ( .A(n55663), .B(n85367), .Y(n58876) );
+  sky130_fd_sc_hd__a21oi_1 U77770 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[30]), .A2(n39847), .B1(n58876), .Y(n58881) );
+  sky130_fd_sc_hd__nand2_1 U77771 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[29]), .B(n39852), .Y(n58878) );
+  sky130_fd_sc_hd__o211ai_1 U77772 ( .A1(n85379), .A2(n58868), .B1(n58881), 
+        .C1(n58878), .Y(n58958) );
+  sky130_fd_sc_hd__nand2_1 U77773 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[25]), .B(n58870), .Y(n58948) );
+  sky130_fd_sc_hd__nand2_1 U77774 ( .A(n85390), .B(n58948), .Y(n58869) );
+  sky130_fd_sc_hd__o22ai_1 U77775 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[25]), .A2(n58870), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[24]), .B2(n58869), .Y(n58875) );
+  sky130_fd_sc_hd__nor2_1 U77776 ( .A(n58874), .B(n85388), .Y(n58871) );
+  sky130_fd_sc_hd__a21oi_1 U77777 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[26]), .A2(n58872), .B1(n58871), .Y(n58950) );
+  sky130_fd_sc_hd__nor3_1 U77778 ( .A(n58872), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[26]), .C(n58871), .Y(n58873) );
+  sky130_fd_sc_hd__a221oi_1 U77779 ( .A1(n58875), .A2(n58950), .B1(n85388), 
+        .B2(n58874), .C1(n58873), .Y(n58957) );
+  sky130_fd_sc_hd__nor3_1 U77780 ( .A(n39847), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[30]), .C(n58876), .Y(n58877) );
+  sky130_fd_sc_hd__a21oi_1 U77781 ( .A1(n85367), .A2(n55663), .B1(n58877), .Y(
+        n58956) );
+  sky130_fd_sc_hd__nand2_1 U77782 ( .A(n85379), .B(n58878), .Y(n58879) );
+  sky130_fd_sc_hd__o22ai_1 U77783 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[29]), .A2(n39852), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[28]), .B2(n58879), .Y(n58880) );
+  sky130_fd_sc_hd__nand2_1 U77784 ( .A(n58881), .B(n58880), .Y(n58955) );
+  sky130_fd_sc_hd__nor2_1 U77785 ( .A(n55668), .B(n85371), .Y(n58892) );
+  sky130_fd_sc_hd__a21oi_1 U77786 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[22]), .A2(n58893), .B1(n58892), .Y(n58896) );
+  sky130_fd_sc_hd__nand2_1 U77787 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[21]), .B(n58891), .Y(n58889) );
+  sky130_fd_sc_hd__o211ai_1 U77788 ( .A1(n85380), .A2(n58882), .B1(n58896), 
+        .C1(n58889), .Y(n58944) );
+  sky130_fd_sc_hd__nor2_1 U77789 ( .A(n58887), .B(n85375), .Y(n58884) );
+  sky130_fd_sc_hd__a21oi_1 U77790 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[18]), .A2(n58885), .B1(n58884), .Y(n58899) );
+  sky130_fd_sc_hd__nand2_1 U77791 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[17]), .B(n39888), .Y(n58947) );
+  sky130_fd_sc_hd__nand2_1 U77792 ( .A(n85387), .B(n58947), .Y(n58883) );
+  sky130_fd_sc_hd__o22ai_1 U77793 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[17]), .A2(n39888), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[16]), .B2(n58883), .Y(n58888) );
+  sky130_fd_sc_hd__nor3_1 U77794 ( .A(n58885), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[18]), .C(n58884), .Y(n58886) );
+  sky130_fd_sc_hd__a221oi_1 U77795 ( .A1(n58899), .A2(n58888), .B1(n85375), 
+        .B2(n58887), .C1(n58886), .Y(n58898) );
+  sky130_fd_sc_hd__nand2_1 U77796 ( .A(n85380), .B(n58889), .Y(n58890) );
+  sky130_fd_sc_hd__o22ai_1 U77797 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[21]), .A2(n58891), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[20]), .B2(n58890), .Y(n58895) );
+  sky130_fd_sc_hd__nor3_1 U77798 ( .A(n58893), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[22]), .C(n58892), .Y(n58894) );
+  sky130_fd_sc_hd__a221oi_1 U77799 ( .A1(n58896), .A2(n58895), .B1(n85371), 
+        .B2(n55668), .C1(n58894), .Y(n58897) );
+  sky130_fd_sc_hd__nor2b_1 U77802 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[13]), .A(n85384), .Y(n58935) );
+  sky130_fd_sc_hd__nand2_1 U77803 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[15]), .B(n39857), .Y(n58933) );
+  sky130_fd_sc_hd__o21ai_1 U77804 ( .A1(n85395), .A2(n58901), .B1(n58933), .Y(
+        n58936) );
+  sky130_fd_sc_hd__a211oi_1 U77805 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[12]), .A2(n59083), .B1(n58935), .C1(n58936), .Y(n58943) );
+  sky130_fd_sc_hd__nor2_1 U77806 ( .A(n55690), .B(n85383), .Y(n58930) );
+  sky130_fd_sc_hd__nor3b_1 U77807 ( .C_N(n85382), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[8]), .B(n58930), .Y(n58902) );
+  sky130_fd_sc_hd__a21oi_1 U77808 ( .A1(n85383), .A2(n55690), .B1(n58902), .Y(
+        n58906) );
+  sky130_fd_sc_hd__nand2_1 U77809 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[11]), .B(n58907), .Y(n58903) );
+  sky130_fd_sc_hd__o21ai_1 U77810 ( .A1(n85385), .A2(n58904), .B1(n58903), .Y(
+        n58929) );
+  sky130_fd_sc_hd__nand3_1 U77811 ( .A(n58904), .B(n58903), .C(n85385), .Y(
+        n58905) );
+  sky130_fd_sc_hd__o221ai_1 U77812 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[11]), .A2(n58907), .B1(n58906), .B2(n58929), .C1(n58905), .Y(n58942) );
+  sky130_fd_sc_hd__nor2_1 U77813 ( .A(n85372), .B(n58908), .Y(n58925) );
+  sky130_fd_sc_hd__nor2_1 U77814 ( .A(n58925), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[6]), .Y(n58909) );
+  sky130_fd_sc_hd__a22o_1 U77815 ( .A1(n85381), .A2(n58909), .B1(n85372), .B2(
+        n58908), .X(n58928) );
+  sky130_fd_sc_hd__nand2_1 U77816 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[5]), .B(n58917), .Y(n58923) );
+  sky130_fd_sc_hd__nand2b_1 U77817 ( .A_N(n85377), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[4]), .Y(n58922) );
+  sky130_fd_sc_hd__nand2_1 U77819 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[1]), .B(n59057), .Y(n58910) );
+  sky130_fd_sc_hd__nand2_1 U77820 ( .A(n58911), .B(n58910), .Y(n58913) );
+  sky130_fd_sc_hd__nor2_1 U77821 ( .A(n85389), .B(n59005), .Y(n58915) );
+  sky130_fd_sc_hd__nor2_1 U77822 ( .A(n58915), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[2]), .Y(n58912) );
+  sky130_fd_sc_hd__a22oi_1 U77823 ( .A1(n85389), .A2(n59005), .B1(n85397), 
+        .B2(n58912), .Y(n58914) );
+  sky130_fd_sc_hd__nand2_1 U77824 ( .A(n58913), .B(n58914), .Y(n58921) );
+  sky130_fd_sc_hd__nor2b_1 U77825 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[2]), .A(n85397), .Y(n58916) );
+  sky130_fd_sc_hd__nand2_1 U77827 ( .A(n85377), .B(n58923), .Y(n58918) );
+  sky130_fd_sc_hd__o22ai_1 U77828 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[4]), .A2(n58918), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[5]), .B2(n58917), .Y(n58919) );
+  sky130_fd_sc_hd__a41oi_1 U77829 ( .A1(n58923), .A2(n58922), .A3(n58921), 
+        .A4(n58920), .B1(n58919), .Y(n58926) );
+  sky130_fd_sc_hd__nor2b_1 U77830 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[6]), .A(n85381), .Y(n58924) );
+  sky130_fd_sc_hd__nor3_1 U77831 ( .A(n58926), .B(n58925), .C(n58924), .Y(
+        n58927) );
+  sky130_fd_sc_hd__o21ai_1 U77832 ( .A1(n58928), .A2(n58927), .B1(n58943), .Y(
+        n58932) );
+  sky130_fd_sc_hd__nor2b_1 U77833 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[8]), .A(n85382), .Y(n58931) );
+  sky130_fd_sc_hd__nor4_1 U77834 ( .A(n58932), .B(n58931), .C(n58930), .D(
+        n58929), .Y(n58941) );
+  sky130_fd_sc_hd__nand2_1 U77835 ( .A(n85395), .B(n58933), .Y(n58934) );
+  sky130_fd_sc_hd__o22ai_1 U77836 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[15]), .A2(n39857), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[14]), .B2(n58934), .Y(n58940) );
+  sky130_fd_sc_hd__nand2b_1 U77837 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[13]), .B(n85384), .Y(n58938) );
+  sky130_fd_sc_hd__or3_1 U77838 ( .A(n58935), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[12]), .C(n59083), .X(n58937) );
+  sky130_fd_sc_hd__a21oi_1 U77839 ( .A1(n58938), .A2(n58937), .B1(n58936), .Y(
+        n58939) );
+  sky130_fd_sc_hd__a2111oi_0 U77840 ( .A1(n58943), .A2(n58942), .B1(n58941), 
+        .C1(n58940), .D1(n58939), .Y(n58945) );
+  sky130_fd_sc_hd__nor4b_1 U77841 ( .D_N(n58947), .A(n58946), .B(n58945), .C(
+        n58944), .Y(n58952) );
+  sky130_fd_sc_hd__nand2b_1 U77842 ( .A_N(n85390), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[24]), .Y(n58949) );
+  sky130_fd_sc_hd__and4b_1 U77843 ( .B(n58950), .C(n58949), .D(n58948), .A_N(
+        n58958), .X(n58951) );
+  sky130_fd_sc_hd__o2111ai_1 U77845 ( .A1(n58958), .A2(n58957), .B1(n58956), 
+        .C1(n58955), .D1(n58954), .Y(n58961) );
+  sky130_fd_sc_hd__o21ai_1 U77846 ( .A1(n58961), .A2(n58960), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_tmatch[1]), .Y(n58959) );
+  sky130_fd_sc_hd__a21oi_1 U77847 ( .A1(n58961), .A2(n58960), .B1(n58959), .Y(
+        n59023) );
+  sky130_fd_sc_hd__xnor2_1 U77848 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[12]), .B(n85369), .Y(n58963) );
+  sky130_fd_sc_hd__xnor2_1 U77849 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[26]), .B(n85374), .Y(n58962) );
+  sky130_fd_sc_hd__xnor2_1 U77850 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[28]), .B(n85379), .Y(n58965) );
+  sky130_fd_sc_hd__xnor2_1 U77851 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[23]), .B(n85371), .Y(n58964) );
+  sky130_fd_sc_hd__xnor2_1 U77852 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[24]), .B(n85390), .Y(n58967) );
+  sky130_fd_sc_hd__xnor2_1 U77853 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[25]), .B(n85392), .Y(n58966) );
+  sky130_fd_sc_hd__xnor2_1 U77854 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[16]), .B(n85387), .Y(n58969) );
+  sky130_fd_sc_hd__xnor2_1 U77855 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[11]), .B(n85378), .Y(n58968) );
+  sky130_fd_sc_hd__nand4_1 U77856 ( .A(n58973), .B(n58972), .C(n58971), .D(
+        n58970), .Y(n59019) );
+  sky130_fd_sc_hd__xnor2_1 U77857 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[19]), .B(n85375), .Y(n58975) );
+  sky130_fd_sc_hd__xnor2_1 U77858 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[18]), .B(n85373), .Y(n58974) );
+  sky130_fd_sc_hd__xnor2_1 U77859 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[31]), .B(n85367), .Y(n58977) );
+  sky130_fd_sc_hd__xnor2_1 U77860 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[7]), .B(n85372), .Y(n58976) );
+  sky130_fd_sc_hd__xnor2_1 U77861 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[17]), .B(n85376), .Y(n58979) );
+  sky130_fd_sc_hd__xnor2_1 U77862 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[20]), .B(n85380), .Y(n58978) );
+  sky130_fd_sc_hd__xnor2_1 U77863 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[4]), .B(n85377), .Y(n58981) );
+  sky130_fd_sc_hd__xnor2_1 U77864 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[6]), .B(n85381), .Y(n58980) );
+  sky130_fd_sc_hd__nand4_1 U77865 ( .A(n58985), .B(n58984), .C(n58983), .D(
+        n58982), .Y(n59018) );
+  sky130_fd_sc_hd__xnor2_1 U77866 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[21]), .B(n85396), .Y(n58987) );
+  sky130_fd_sc_hd__xnor2_1 U77867 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[9]), .B(n85383), .Y(n58986) );
+  sky130_fd_sc_hd__xnor2_1 U77868 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[15]), .B(n85368), .Y(n58989) );
+  sky130_fd_sc_hd__xnor2_1 U77869 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[14]), .B(n85395), .Y(n58988) );
+  sky130_fd_sc_hd__xnor2_1 U77870 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[22]), .B(n85393), .Y(n58991) );
+  sky130_fd_sc_hd__xnor2_1 U77871 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[5]), .B(n85386), .Y(n58990) );
+  sky130_fd_sc_hd__xor2_1 U77872 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[1]), .B(n85394), .X(n58993) );
+  sky130_fd_sc_hd__nand2_1 U77873 ( .A(n58993), .B(n58992), .Y(n58994) );
+  sky130_fd_sc_hd__nand4_1 U77874 ( .A(n58997), .B(n58996), .C(n58995), .D(
+        n58994), .Y(n59017) );
+  sky130_fd_sc_hd__xnor2_1 U77875 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[30]), .B(n85370), .Y(n58999) );
+  sky130_fd_sc_hd__xnor2_1 U77876 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[10]), .B(n85385), .Y(n58998) );
+  sky130_fd_sc_hd__o211a_2 U77877 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_tmatch[0]), .A2(n59000), .B1(n58999), .C1(n58998), .X(n59015) );
+  sky130_fd_sc_hd__xnor2_1 U77878 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[27]), .B(n85388), .Y(n59002) );
+  sky130_fd_sc_hd__xnor2_1 U77879 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[13]), .B(n85384), .Y(n59001) );
+  sky130_fd_sc_hd__xnor2_1 U77880 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[8]), .B(n85382), .Y(n59004) );
+  sky130_fd_sc_hd__xnor2_1 U77881 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[29]), .B(n85391), .Y(n59003) );
+  sky130_fd_sc_hd__xnor2_1 U77882 ( .A(n59005), .B(n85389), .Y(n59011) );
+  sky130_fd_sc_hd__xnor2_1 U77883 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[2]), .B(n85397), .Y(n59006) );
+  sky130_fd_sc_hd__nor2_1 U77884 ( .A(n59007), .B(n59006), .Y(n59010) );
+  sky130_fd_sc_hd__o22ai_1 U77885 ( .A1(n59011), .A2(n59010), .B1(n59009), 
+        .B2(n59008), .Y(n59012) );
+  sky130_fd_sc_hd__nand4_1 U77886 ( .A(n59015), .B(n59014), .C(n59013), .D(
+        n59012), .Y(n59016) );
+  sky130_fd_sc_hd__nor4_1 U77887 ( .A(n59019), .B(n59018), .C(n59017), .D(
+        n59016), .Y(n59020) );
+  sky130_fd_sc_hd__nor3_1 U77889 ( .A(n59023), .B(n59022), .C(n59021), .Y(
+        n59308) );
+  sky130_fd_sc_hd__nor2_1 U77890 ( .A(n59031), .B(n85367), .Y(n59029) );
+  sky130_fd_sc_hd__a21oi_1 U77891 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[30]), .A2(n39847), .B1(n59029), .Y(n59035) );
+  sky130_fd_sc_hd__nand2_1 U77892 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[29]), .B(n39852), .Y(n59032) );
+  sky130_fd_sc_hd__o211ai_1 U77893 ( .A1(n85379), .A2(n59024), .B1(n59035), 
+        .C1(n59032), .Y(n59107) );
+  sky130_fd_sc_hd__nand2_1 U77894 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[25]), .B(n58870), .Y(n59097) );
+  sky130_fd_sc_hd__nand2_1 U77895 ( .A(n85390), .B(n59097), .Y(n59025) );
+  sky130_fd_sc_hd__o22ai_1 U77896 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[25]), .A2(n58870), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[24]), .B2(n59025), .Y(n59028) );
+  sky130_fd_sc_hd__nor2_1 U77897 ( .A(n43431), .B(n85388), .Y(n59026) );
+  sky130_fd_sc_hd__a21oi_1 U77898 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[26]), .A2(n58872), .B1(n59026), .Y(n59099) );
+  sky130_fd_sc_hd__nor3_1 U77899 ( .A(n58872), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[26]), .C(n59026), .Y(n59027) );
+  sky130_fd_sc_hd__a221oi_1 U77900 ( .A1(n59028), .A2(n59099), .B1(n85388), 
+        .B2(n43431), .C1(n59027), .Y(n59106) );
+  sky130_fd_sc_hd__nor3_1 U77901 ( .A(n39847), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[30]), .C(n59029), .Y(n59030) );
+  sky130_fd_sc_hd__a21oi_1 U77902 ( .A1(n85367), .A2(n59031), .B1(n59030), .Y(
+        n59105) );
+  sky130_fd_sc_hd__nand2_1 U77903 ( .A(n85379), .B(n59032), .Y(n59033) );
+  sky130_fd_sc_hd__o22ai_1 U77904 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[29]), .A2(n39852), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[28]), .B2(n59033), .Y(n59034) );
+  sky130_fd_sc_hd__nand2_1 U77905 ( .A(n59035), .B(n59034), .Y(n59104) );
+  sky130_fd_sc_hd__nor2_1 U77906 ( .A(n59114), .B(n85371), .Y(n59043) );
+  sky130_fd_sc_hd__a21oi_1 U77907 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[22]), .A2(n58893), .B1(n59043), .Y(n59046) );
+  sky130_fd_sc_hd__nand2_1 U77908 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[21]), .B(n58891), .Y(n59041) );
+  sky130_fd_sc_hd__o211ai_1 U77909 ( .A1(n85380), .A2(n59036), .B1(n59046), 
+        .C1(n59041), .Y(n59093) );
+  sky130_fd_sc_hd__nor2_1 U77910 ( .A(n55849), .B(n85375), .Y(n59038) );
+  sky130_fd_sc_hd__a21oi_1 U77911 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[18]), .A2(n58885), .B1(n59038), .Y(n59049) );
+  sky130_fd_sc_hd__nand2_1 U77912 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[17]), .B(n39888), .Y(n59096) );
+  sky130_fd_sc_hd__nand2_1 U77913 ( .A(n85387), .B(n59096), .Y(n59037) );
+  sky130_fd_sc_hd__o22ai_1 U77914 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[17]), .A2(n39888), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[16]), .B2(n59037), .Y(n59040) );
+  sky130_fd_sc_hd__nor3_1 U77915 ( .A(n58885), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[18]), .C(n59038), .Y(n59039) );
+  sky130_fd_sc_hd__a221oi_1 U77916 ( .A1(n59049), .A2(n59040), .B1(n85375), 
+        .B2(n55849), .C1(n59039), .Y(n59048) );
+  sky130_fd_sc_hd__nand2_1 U77917 ( .A(n85380), .B(n59041), .Y(n59042) );
+  sky130_fd_sc_hd__o22ai_1 U77918 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[21]), .A2(n58891), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[20]), .B2(n59042), .Y(n59045) );
+  sky130_fd_sc_hd__nor3_1 U77919 ( .A(n58893), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[22]), .C(n59043), .Y(n59044) );
+  sky130_fd_sc_hd__a221oi_1 U77920 ( .A1(n59046), .A2(n59045), .B1(n85371), 
+        .B2(n59114), .C1(n59044), .Y(n59047) );
+  sky130_fd_sc_hd__nor2b_1 U77923 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[13]), .A(n85384), .Y(n59084) );
+  sky130_fd_sc_hd__nand2_1 U77924 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[15]), .B(n39857), .Y(n59081) );
+  sky130_fd_sc_hd__o21ai_1 U77925 ( .A1(n85395), .A2(n59050), .B1(n59081), .Y(
+        n59085) );
+  sky130_fd_sc_hd__a211oi_1 U77926 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[12]), .A2(n59083), .B1(n59084), .C1(n59085), .Y(n59092) );
+  sky130_fd_sc_hd__nor2_1 U77927 ( .A(n44767), .B(n85383), .Y(n59078) );
+  sky130_fd_sc_hd__nor3b_1 U77928 ( .C_N(n85382), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[8]), .B(n59078), .Y(n59051) );
+  sky130_fd_sc_hd__a21oi_1 U77929 ( .A1(n85383), .A2(n44767), .B1(n59051), .Y(
+        n59055) );
+  sky130_fd_sc_hd__nand2_1 U77930 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[11]), .B(n58907), .Y(n59052) );
+  sky130_fd_sc_hd__o21ai_1 U77931 ( .A1(n85385), .A2(n59053), .B1(n59052), .Y(
+        n59077) );
+  sky130_fd_sc_hd__nand3_1 U77932 ( .A(n59053), .B(n59052), .C(n85385), .Y(
+        n59054) );
+  sky130_fd_sc_hd__o221ai_1 U77933 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[11]), .A2(n58907), .B1(n59055), .B2(n59077), .C1(n59054), .Y(n59091) );
+  sky130_fd_sc_hd__nor2_1 U77934 ( .A(n85372), .B(n59115), .Y(n59073) );
+  sky130_fd_sc_hd__nor2_1 U77935 ( .A(n59073), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[6]), .Y(n59056) );
+  sky130_fd_sc_hd__a22o_1 U77936 ( .A1(n85381), .A2(n59056), .B1(n85372), .B2(
+        n59115), .X(n59076) );
+  sky130_fd_sc_hd__nand2_1 U77937 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[5]), .B(n58917), .Y(n59071) );
+  sky130_fd_sc_hd__nand2b_1 U77938 ( .A_N(n85377), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[4]), .Y(n59070) );
+  sky130_fd_sc_hd__nand2_1 U77940 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[1]), .B(n59057), .Y(n59058) );
+  sky130_fd_sc_hd__nand2_1 U77941 ( .A(n59059), .B(n59058), .Y(n59062) );
+  sky130_fd_sc_hd__nor2_1 U77942 ( .A(n85389), .B(n59061), .Y(n59064) );
+  sky130_fd_sc_hd__nor2_1 U77943 ( .A(n59064), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[2]), .Y(n59060) );
+  sky130_fd_sc_hd__a22oi_1 U77944 ( .A1(n85389), .A2(n59061), .B1(n85397), 
+        .B2(n59060), .Y(n59063) );
+  sky130_fd_sc_hd__nand2_1 U77945 ( .A(n59062), .B(n59063), .Y(n59069) );
+  sky130_fd_sc_hd__nor2b_1 U77946 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[2]), .A(n85397), .Y(n59065) );
+  sky130_fd_sc_hd__o21ai_1 U77947 ( .A1(n59065), .A2(n59064), .B1(n59063), .Y(
+        n59068) );
+  sky130_fd_sc_hd__nand2_1 U77948 ( .A(n85377), .B(n59071), .Y(n59066) );
+  sky130_fd_sc_hd__o22ai_1 U77949 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[4]), .A2(n59066), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[5]), .B2(n58917), .Y(n59067) );
+  sky130_fd_sc_hd__a41oi_1 U77950 ( .A1(n59071), .A2(n59070), .A3(n59069), 
+        .A4(n59068), .B1(n59067), .Y(n59074) );
+  sky130_fd_sc_hd__nor2b_1 U77951 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[6]), .A(n85381), .Y(n59072) );
+  sky130_fd_sc_hd__nor3_1 U77952 ( .A(n59074), .B(n59073), .C(n59072), .Y(
+        n59075) );
+  sky130_fd_sc_hd__nor2b_1 U77954 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[8]), .A(n85382), .Y(n59079) );
+  sky130_fd_sc_hd__nor4_1 U77955 ( .A(n59080), .B(n59079), .C(n59078), .D(
+        n59077), .Y(n59090) );
+  sky130_fd_sc_hd__nand2_1 U77956 ( .A(n85395), .B(n59081), .Y(n59082) );
+  sky130_fd_sc_hd__o22ai_1 U77957 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[15]), .A2(n39857), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[14]), .B2(n59082), .Y(n59089) );
+  sky130_fd_sc_hd__nand2b_1 U77958 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[13]), .B(n85384), .Y(n59087) );
+  sky130_fd_sc_hd__or3_1 U77959 ( .A(n59084), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[12]), .C(n59083), .X(n59086) );
+  sky130_fd_sc_hd__a21oi_1 U77960 ( .A1(n59087), .A2(n59086), .B1(n59085), .Y(
+        n59088) );
+  sky130_fd_sc_hd__a2111oi_0 U77961 ( .A1(n59092), .A2(n59091), .B1(n59090), 
+        .C1(n59089), .D1(n59088), .Y(n59094) );
+  sky130_fd_sc_hd__nor4b_1 U77962 ( .D_N(n59096), .A(n59095), .B(n59094), .C(
+        n59093), .Y(n59101) );
+  sky130_fd_sc_hd__nand2b_1 U77963 ( .A_N(n85390), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[24]), .Y(n59098) );
+  sky130_fd_sc_hd__and4b_1 U77964 ( .B(n59099), .C(n59098), .D(n59097), .A_N(
+        n59107), .X(n59100) );
+  sky130_fd_sc_hd__o2111ai_1 U77966 ( .A1(n59107), .A2(n59106), .B1(n59105), 
+        .C1(n59104), .D1(n59103), .Y(n59109) );
+  sky130_fd_sc_hd__o21ai_1 U77967 ( .A1(n59109), .A2(n59158), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_tmatch[1]), .Y(n59108) );
+  sky130_fd_sc_hd__a21oi_1 U77968 ( .A1(n59109), .A2(n59158), .B1(n59108), .Y(
+        n59174) );
+  sky130_fd_sc_hd__xnor2_1 U77969 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[31]), .B(n85367), .Y(n59111) );
+  sky130_fd_sc_hd__xnor2_1 U77970 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[15]), .B(n85368), .Y(n59110) );
+  sky130_fd_sc_hd__xnor2_1 U77971 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[12]), .B(n85369), .Y(n59113) );
+  sky130_fd_sc_hd__xnor2_1 U77972 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[30]), .B(n85370), .Y(n59112) );
+  sky130_fd_sc_hd__xnor2_1 U77973 ( .A(n59114), .B(n85371), .Y(n59117) );
+  sky130_fd_sc_hd__xnor2_1 U77974 ( .A(n59115), .B(n85372), .Y(n59116) );
+  sky130_fd_sc_hd__nor2_1 U77975 ( .A(n59117), .B(n59116), .Y(n59122) );
+  sky130_fd_sc_hd__xnor2_1 U77976 ( .A(n59118), .B(n85373), .Y(n59120) );
+  sky130_fd_sc_hd__xor2_1 U77977 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[26]), .B(n85374), .X(n59119) );
+  sky130_fd_sc_hd__nor2_1 U77978 ( .A(n59120), .B(n59119), .Y(n59121) );
+  sky130_fd_sc_hd__nand4_1 U77979 ( .A(n59124), .B(n59123), .C(n59122), .D(
+        n59121), .Y(n59170) );
+  sky130_fd_sc_hd__xnor2_1 U77980 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[19]), .B(n85375), .Y(n59126) );
+  sky130_fd_sc_hd__xnor2_1 U77981 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[17]), .B(n85376), .Y(n59125) );
+  sky130_fd_sc_hd__xnor2_1 U77982 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[4]), .B(n85377), .Y(n59128) );
+  sky130_fd_sc_hd__xnor2_1 U77983 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[11]), .B(n85378), .Y(n59127) );
+  sky130_fd_sc_hd__xnor2_1 U77984 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[28]), .B(n85379), .Y(n59130) );
+  sky130_fd_sc_hd__xnor2_1 U77985 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[20]), .B(n85380), .Y(n59129) );
+  sky130_fd_sc_hd__xnor2_1 U77986 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[6]), .B(n85381), .Y(n59132) );
+  sky130_fd_sc_hd__xnor2_1 U77987 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[8]), .B(n85382), .Y(n59131) );
+  sky130_fd_sc_hd__nand4_1 U77988 ( .A(n59136), .B(n59135), .C(n59134), .D(
+        n59133), .Y(n59169) );
+  sky130_fd_sc_hd__xnor2_1 U77989 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[9]), .B(n85383), .Y(n59138) );
+  sky130_fd_sc_hd__xnor2_1 U77990 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[13]), .B(n85384), .Y(n59137) );
+  sky130_fd_sc_hd__xnor2_1 U77991 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[10]), .B(n85385), .Y(n59140) );
+  sky130_fd_sc_hd__xnor2_1 U77992 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[5]), .B(n85386), .Y(n59139) );
+  sky130_fd_sc_hd__xnor2_1 U77993 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[16]), .B(n85387), .Y(n59142) );
+  sky130_fd_sc_hd__xnor2_1 U77994 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[27]), .B(n85388), .Y(n59141) );
+  sky130_fd_sc_hd__xor2_1 U77995 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[3]), .B(n85389), .X(n59144) );
+  sky130_fd_sc_hd__nand2_1 U77996 ( .A(n59144), .B(n59143), .Y(n59145) );
+  sky130_fd_sc_hd__nand4_1 U77997 ( .A(n59148), .B(n59147), .C(n59146), .D(
+        n59145), .Y(n59168) );
+  sky130_fd_sc_hd__xnor2_1 U77998 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[24]), .B(n85390), .Y(n59150) );
+  sky130_fd_sc_hd__xnor2_1 U77999 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[29]), .B(n85391), .Y(n59149) );
+  sky130_fd_sc_hd__xnor2_1 U78000 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[25]), .B(n85392), .Y(n59152) );
+  sky130_fd_sc_hd__xnor2_1 U78001 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[22]), .B(n85393), .Y(n59151) );
+  sky130_fd_sc_hd__xnor2_1 U78002 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[1]), .B(n85394), .Y(n59156) );
+  sky130_fd_sc_hd__xnor2_1 U78003 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[14]), .B(n85395), .Y(n59154) );
+  sky130_fd_sc_hd__xnor2_1 U78004 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[21]), .B(n85396), .Y(n59153) );
+  sky130_fd_sc_hd__o21ai_1 U78005 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[0]), .A2(n59156), .B1(n59155), .Y(n59157) );
+  sky130_fd_sc_hd__a21oi_1 U78006 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[0]), .A2(n59158), .B1(n59157), .Y(n59164) );
+  sky130_fd_sc_hd__xnor2_1 U78007 ( .A(n59159), .B(n85397), .Y(n59162) );
+  sky130_fd_sc_hd__nand2_1 U78008 ( .A(n59162), .B(n59161), .Y(n59163) );
+  sky130_fd_sc_hd__nand4_1 U78009 ( .A(n59166), .B(n59165), .C(n59164), .D(
+        n59163), .Y(n59167) );
+  sky130_fd_sc_hd__nor4_1 U78010 ( .A(n59170), .B(n59169), .C(n59168), .D(
+        n59167), .Y(n59171) );
+  sky130_fd_sc_hd__nor3_1 U78012 ( .A(n59174), .B(n59173), .C(n59172), .Y(
+        n59309) );
+  sky130_fd_sc_hd__nand3_1 U78014 ( .A(n59308), .B(n59175), .C(n59307), .Y(
+        n59176) );
+  sky130_fd_sc_hd__o22a_1 U78015 ( .A1(n59310), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_action), .B1(n59309), .B2(n59176), .X(n76270) );
+  sky130_fd_sc_hd__mux2i_1 U78016 ( .A0(n85398), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_buf__xcpt_ae_inst), .S(n59177), .Y(n59178) );
+  sky130_fd_sc_hd__nand2_1 U78017 ( .A(n76270), .B(n59178), .Y(n64165) );
+  sky130_fd_sc_hd__nand2_1 U78018 ( .A(n59278), .B(n59179), .Y(n59180) );
+  sky130_fd_sc_hd__nor2_1 U78019 ( .A(n85400), .B(n59180), .Y(n59195) );
+  sky130_fd_sc_hd__nand2_1 U78020 ( .A(n59219), .B(n72707), .Y(n59200) );
+  sky130_fd_sc_hd__nand2_1 U78021 ( .A(n85543), .B(n85415), .Y(n59181) );
+  sky130_fd_sc_hd__nand2_1 U78022 ( .A(n59233), .B(n85530), .Y(n59182) );
+  sky130_fd_sc_hd__nand3_1 U78023 ( .A(n85543), .B(n59205), .C(n85544), .Y(
+        n59223) );
+  sky130_fd_sc_hd__nand2_1 U78024 ( .A(n59259), .B(n65105), .Y(n59192) );
+  sky130_fd_sc_hd__nand2_1 U78025 ( .A(n59260), .B(n59265), .Y(n59187) );
+  sky130_fd_sc_hd__nor2_1 U78026 ( .A(n59187), .B(n59186), .Y(n59189) );
+  sky130_fd_sc_hd__nand2_1 U78027 ( .A(n59188), .B(n59211), .Y(n59231) );
+  sky130_fd_sc_hd__o22ai_1 U78028 ( .A1(n59211), .A2(n85400), .B1(n59189), 
+        .B2(n59231), .Y(n59190) );
+  sky130_fd_sc_hd__o21a_1 U78029 ( .A1(n59265), .A2(n59259), .B1(n59278), .X(
+        n59193) );
+  sky130_fd_sc_hd__nand2_1 U78030 ( .A(n59191), .B(n65105), .Y(n59196) );
+  sky130_fd_sc_hd__o21a_1 U78031 ( .A1(n59265), .A2(n59192), .B1(n59196), .X(
+        n59202) );
+  sky130_fd_sc_hd__nand3_1 U78032 ( .A(n63132), .B(n85415), .C(n85399), .Y(
+        n59194) );
+  sky130_fd_sc_hd__nand3b_1 U78033 ( .A_N(n59183), .B(n63870), .C(n37540), .Y(
+        n59291) );
+  sky130_fd_sc_hd__nor2_1 U78034 ( .A(n59194), .B(n59291), .Y(n59215) );
+  sky130_fd_sc_hd__nand4_1 U78035 ( .A(n59269), .B(n37540), .C(n59195), .D(
+        n59183), .Y(n63863) );
+  sky130_fd_sc_hd__nor2_1 U78036 ( .A(n63132), .B(n63863), .Y(n59221) );
+  sky130_fd_sc_hd__nor2_1 U78037 ( .A(n59221), .B(n59197), .Y(n59237) );
+  sky130_fd_sc_hd__nand3_1 U78038 ( .A(n59185), .B(n65105), .C(n59269), .Y(
+        n59232) );
+  sky130_fd_sc_hd__nand2_1 U78039 ( .A(n59232), .B(n72710), .Y(n59199) );
+  sky130_fd_sc_hd__a21oi_1 U78040 ( .A1(n59200), .A2(n59278), .B1(n59199), .Y(
+        n59201) );
+  sky130_fd_sc_hd__nand3_1 U78041 ( .A(n59202), .B(n59238), .C(n59201), .Y(
+        n59203) );
+  sky130_fd_sc_hd__nor2_1 U78042 ( .A(n59263), .B(n59215), .Y(n59204) );
+  sky130_fd_sc_hd__nand2_1 U78043 ( .A(n73346), .B(n85414), .Y(n59207) );
+  sky130_fd_sc_hd__mux2i_1 U78044 ( .A0(n59207), .A1(n59206), .S(n59205), .Y(
+        n59217) );
+  sky130_fd_sc_hd__o21ai_1 U78045 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_1_status_prv[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_scounteren[0]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_mcounteren[0]), .Y(n59209) );
+  sky130_fd_sc_hd__mux2i_1 U78047 ( .A0(n59209), .A1(n59208), .S(n85402), .Y(
+        n59210) );
+  sky130_fd_sc_hd__nand3_1 U78048 ( .A(n59211), .B(n59264), .C(n59210), .Y(
+        n59214) );
+  sky130_fd_sc_hd__o211ai_1 U78049 ( .A1(n78268), .A2(n85543), .B1(n64074), 
+        .C1(n85544), .Y(n59213) );
+  sky130_fd_sc_hd__nand2_1 U78050 ( .A(n85543), .B(n78268), .Y(n59212) );
+  sky130_fd_sc_hd__nand2_1 U78051 ( .A(n59213), .B(n59212), .Y(n59244) );
+  sky130_fd_sc_hd__a31oi_1 U78052 ( .A1(n59215), .A2(n78268), .A3(n59214), 
+        .B1(n59244), .Y(n59216) );
+  sky130_fd_sc_hd__a21oi_1 U78054 ( .A1(n59220), .A2(n59219), .B1(n59218), .Y(
+        n59242) );
+  sky130_fd_sc_hd__nor2_1 U78055 ( .A(n65105), .B(n85401), .Y(n59222) );
+  sky130_fd_sc_hd__nand4b_1 U78056 ( .A_N(n59223), .B(n59183), .C(n85545), .D(
+        n59222), .Y(n59224) );
+  sky130_fd_sc_hd__nand4_1 U78057 ( .A(n59225), .B(n59265), .C(n85403), .D(
+        n59224), .Y(n59227) );
+  sky130_fd_sc_hd__nor3_1 U78058 ( .A(n59227), .B(n59226), .C(n59231), .Y(
+        n59228) );
+  sky130_fd_sc_hd__nand2_1 U78059 ( .A(n59228), .B(n72706), .Y(n59241) );
+  sky130_fd_sc_hd__nor2_1 U78060 ( .A(n85403), .B(n59231), .Y(n59236) );
+  sky130_fd_sc_hd__nand2_1 U78061 ( .A(n59233), .B(n59232), .Y(n59234) );
+  sky130_fd_sc_hd__nand2_1 U78062 ( .A(n59234), .B(n85400), .Y(n59235) );
+  sky130_fd_sc_hd__nand4_1 U78063 ( .A(n59238), .B(n59237), .C(n59236), .D(
+        n59235), .Y(n59239) );
+  sky130_fd_sc_hd__o21ai_0 U78064 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_status_debug), .A2(n65105), .B1(n59245), .Y(n59248) );
+  sky130_fd_sc_hd__nand2_1 U78065 ( .A(n36841), .B(n73264), .Y(n63046) );
+  sky130_fd_sc_hd__a21oi_1 U78066 ( .A1(n59248), .A2(n63864), .B1(n59247), .Y(
+        n59256) );
+  sky130_fd_sc_hd__o22ai_1 U78067 ( .A1(n85402), .A2(n59250), .B1(n59249), 
+        .B2(n85530), .Y(n59251) );
+  sky130_fd_sc_hd__nand4_1 U78068 ( .A(n63864), .B(n78268), .C(n85544), .D(
+        n59251), .Y(n59255) );
+  sky130_fd_sc_hd__nand2_1 U78069 ( .A(n85537), .B(n59252), .Y(n63048) );
+  sky130_fd_sc_hd__nand2_1 U78070 ( .A(n59254), .B(n59253), .Y(n63047) );
+  sky130_fd_sc_hd__nand2_1 U78071 ( .A(n85399), .B(n64156), .Y(n59257) );
+  sky130_fd_sc_hd__nor3_1 U78072 ( .A(n59257), .B(n72706), .C(n85403), .Y(
+        n59258) );
+  sky130_fd_sc_hd__nand4_1 U78073 ( .A(n59259), .B(n59263), .C(n59258), .D(
+        n85400), .Y(n59275) );
+  sky130_fd_sc_hd__nor2_1 U78074 ( .A(n63134), .B(n59261), .Y(n59288) );
+  sky130_fd_sc_hd__nor3_1 U78075 ( .A(n85402), .B(n85401), .C(n85400), .Y(
+        n59262) );
+  sky130_fd_sc_hd__nand3_1 U78076 ( .A(n59288), .B(n59263), .C(n59262), .Y(
+        n59274) );
+  sky130_fd_sc_hd__nand2_1 U78077 ( .A(n59264), .B(n85402), .Y(n59267) );
+  sky130_fd_sc_hd__nand4_1 U78078 ( .A(n85530), .B(n59265), .C(n72706), .D(
+        n85403), .Y(n59266) );
+  sky130_fd_sc_hd__o21ai_0 U78079 ( .A1(n59267), .A2(n85530), .B1(n59266), .Y(
+        n59272) );
+  sky130_fd_sc_hd__nor2_1 U78080 ( .A(n64157), .B(n59268), .Y(n59270) );
+  sky130_fd_sc_hd__nand4_1 U78081 ( .A(n59272), .B(n59271), .C(n59270), .D(
+        n59269), .Y(n59273) );
+  sky130_fd_sc_hd__nand3_1 U78082 ( .A(n59275), .B(n59274), .C(n59273), .Y(
+        n59284) );
+  sky130_fd_sc_hd__nor3_1 U78083 ( .A(n85406), .B(n85405), .C(n85404), .Y(
+        n59283) );
+  sky130_fd_sc_hd__nor2_1 U78084 ( .A(n85408), .B(n85407), .Y(n59282) );
+  sky130_fd_sc_hd__nor3_1 U78085 ( .A(n85534), .B(n85411), .C(n85410), .Y(
+        n59276) );
+  sky130_fd_sc_hd__nand3b_1 U78086 ( .A_N(n85412), .B(n59277), .C(n59276), .Y(
+        n59280) );
+  sky130_fd_sc_hd__nand3b_1 U78087 ( .A_N(n85413), .B(n62961), .C(n59278), .Y(
+        n59279) );
+  sky130_fd_sc_hd__nor2_1 U78088 ( .A(n59280), .B(n59279), .Y(n59281) );
+  sky130_fd_sc_hd__nand4_1 U78089 ( .A(n59284), .B(n59283), .C(n59282), .D(
+        n59281), .Y(n59286) );
+  sky130_fd_sc_hd__nor2_1 U78090 ( .A(n73345), .B(n85546), .Y(n63945) );
+  sky130_fd_sc_hd__a21oi_1 U78091 ( .A1(n63945), .A2(n64156), .B1(n85414), .Y(
+        n59285) );
+  sky130_fd_sc_hd__nand2_1 U78092 ( .A(n59286), .B(n59285), .Y(n59287) );
+  sky130_fd_sc_hd__nand4_1 U78093 ( .A(n59287), .B(n64155), .C(n65106), .D(
+        n64167), .Y(n59306) );
+  sky130_fd_sc_hd__o21ai_0 U78094 ( .A1(n65106), .A2(n37540), .B1(n59288), .Y(
+        n59289) );
+  sky130_fd_sc_hd__o211ai_1 U78095 ( .A1(n65106), .A2(n63945), .B1(n64155), 
+        .C1(n59289), .Y(n59300) );
+  sky130_fd_sc_hd__nand2_1 U78096 ( .A(n62961), .B(n64157), .Y(n59290) );
+  sky130_fd_sc_hd__nor2_1 U78097 ( .A(n39534), .B(n59290), .Y(n59299) );
+  sky130_fd_sc_hd__inv_1 U78098 ( .A(n63934), .Y(n59292) );
+  sky130_fd_sc_hd__mux2i_1 U78099 ( .A0(n64155), .A1(n59292), .S(n64159), .Y(
+        n59297) );
+  sky130_fd_sc_hd__nand3_1 U78100 ( .A(n64163), .B(n65106), .C(n64156), .Y(
+        n59296) );
+  sky130_fd_sc_hd__nor2_1 U78101 ( .A(n85415), .B(n63134), .Y(n63133) );
+  sky130_fd_sc_hd__mux2_2 U78102 ( .A0(n63945), .A1(n59292), .S(n64159), .X(
+        n59293) );
+  sky130_fd_sc_hd__nand4_1 U78103 ( .A(n63133), .B(n59299), .C(n59294), .D(
+        n59293), .Y(n59295) );
+  sky130_fd_sc_hd__o21ai_1 U78104 ( .A1(n59297), .A2(n59296), .B1(n59295), .Y(
+        n59298) );
+  sky130_fd_sc_hd__a21oi_1 U78105 ( .A1(n59300), .A2(n59299), .B1(n59298), .Y(
+        n59305) );
+  sky130_fd_sc_hd__nand3_1 U78106 ( .A(n85538), .B(n64369), .C(n59314), .Y(
+        n59303) );
+  sky130_fd_sc_hd__nand2_1 U78107 ( .A(n72708), .B(n59301), .Y(n59302) );
+  sky130_fd_sc_hd__mux2_2 U78108 ( .A0(n59303), .A1(n59302), .S(n64368), .X(
+        n59304) );
+  sky130_fd_sc_hd__nand4b_1 U78109 ( .A_N(n85416), .B(n59306), .C(n59305), .D(
+        n59304), .Y(n63051) );
+  sky130_fd_sc_hd__buf_6 U78110 ( .A(n62976), .X(n70075) );
+  sky130_fd_sc_hd__nor2_1 U78111 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_chain), .B(n59307), .Y(n60558) );
+  sky130_fd_sc_hd__nand3b_1 U78112 ( .A_N(n59309), .B(n59308), .C(n60558), .Y(
+        n59313) );
+  sky130_fd_sc_hd__nand2_1 U78113 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_action), .B(n59311), .Y(n59312) );
+  sky130_fd_sc_hd__nand3_1 U78114 ( .A(n59313), .B(n59312), .C(n63820), .Y(
+        n76267) );
+  sky130_fd_sc_hd__nor2_4 U78115 ( .A(n70075), .B(n76267), .Y(n70198) );
+  sky130_fd_sc_hd__a21oi_1 U78116 ( .A1(n37540), .A2(n64157), .B1(n64368), .Y(
+        n59315) );
+  sky130_fd_sc_hd__nand2_1 U78117 ( .A(n62961), .B(n64155), .Y(n63933) );
+  sky130_fd_sc_hd__nor3_1 U78118 ( .A(n59316), .B(n59315), .C(n63933), .Y(
+        n63944) );
+  sky130_fd_sc_hd__nand2_1 U78119 ( .A(n64163), .B(n64156), .Y(n64216) );
+  sky130_fd_sc_hd__nor2_1 U78120 ( .A(n64159), .B(n64216), .Y(n63942) );
+  sky130_fd_sc_hd__o21ai_1 U78121 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[6]), .A2(n59318), .B1(n86595), .Y(n59320) );
+  sky130_fd_sc_hd__nand2_1 U78122 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .Y(n59319) );
+  sky130_fd_sc_hd__nand2_1 U78123 ( .A(n59320), .B(n59319), .Y(n59321) );
+  sky130_fd_sc_hd__nor2_1 U78124 ( .A(n59321), .B(n38425), .Y(n59322) );
+  sky130_fd_sc_hd__nand2_1 U78125 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_MPORT_addr[1]), .B(n81064), .Y(n62973) );
+  sky130_fd_sc_hd__o211ai_1 U78127 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_io_full), .A2(n60307), .B1(n59327), .C1(n73538), .Y(n59328) );
+  sky130_fd_sc_hd__or3_4 U78128 ( .A(n59329), .B(n59328), .C(n81350), .X(
+        n81361) );
+  sky130_fd_sc_hd__nand2_2 U78129 ( .A(n81361), .B(n86402), .Y(n36108) );
+  sky130_fd_sc_hd__a22oi_1 U78130 ( .A1(n59345), .A2(n59344), .B1(n58843), 
+        .B2(n59343), .Y(n59347) );
+  sky130_fd_sc_hd__a22oi_1 U78131 ( .A1(n59351), .A2(n59350), .B1(n59349), 
+        .B2(n59348), .Y(n59364) );
+  sky130_fd_sc_hd__inv_1 U78132 ( .A(n59352), .Y(n59355) );
+  sky130_fd_sc_hd__mux2i_1 U78133 ( .A0(n59355), .A1(n59354), .S(n59353), .Y(
+        n59362) );
+  sky130_fd_sc_hd__a22oi_1 U78134 ( .A1(n59360), .A2(n59359), .B1(n59358), 
+        .B2(n59357), .Y(n59361) );
+  sky130_fd_sc_hd__a22oi_1 U78135 ( .A1(n59367), .A2(n59366), .B1(n58845), 
+        .B2(n59365), .Y(n59408) );
+  sky130_fd_sc_hd__inv_1 U78136 ( .A(n59370), .Y(n59374) );
+  sky130_fd_sc_hd__a21oi_1 U78137 ( .A1(n59374), .A2(n59373), .B1(n59372), .Y(
+        n59375) );
+  sky130_fd_sc_hd__a211oi_1 U78138 ( .A1(n59377), .A2(n59370), .B1(n59376), 
+        .C1(n59375), .Y(n59378) );
+  sky130_fd_sc_hd__o22ai_1 U78139 ( .A1(n59381), .A2(n59380), .B1(n59379), 
+        .B2(n59378), .Y(n59397) );
+  sky130_fd_sc_hd__a22oi_1 U78140 ( .A1(n59387), .A2(n59386), .B1(n59385), 
+        .B2(n59384), .Y(n59395) );
+  sky130_fd_sc_hd__a22oi_1 U78141 ( .A1(n59391), .A2(n59390), .B1(n59389), 
+        .B2(n59388), .Y(n59392) );
+  sky130_fd_sc_hd__or3_1 U78142 ( .A(n36793), .B(n59392), .C(n68058), .X(
+        n59393) );
+  sky130_fd_sc_hd__a21oi_1 U78144 ( .A1(n59398), .A2(n59397), .B1(n59396), .Y(
+        n59406) );
+  sky130_fd_sc_hd__a22oi_1 U78145 ( .A1(n59403), .A2(n59402), .B1(n59401), 
+        .B2(n59400), .Y(n59405) );
+  sky130_fd_sc_hd__a22oi_1 U78146 ( .A1(n59414), .A2(n59413), .B1(n59412), 
+        .B2(n59411), .Y(n59430) );
+  sky130_fd_sc_hd__a21oi_1 U78147 ( .A1(n59419), .A2(n59418), .B1(n59417), .Y(
+        n59420) );
+  sky130_fd_sc_hd__a211oi_1 U78148 ( .A1(n59423), .A2(n59422), .B1(n59421), 
+        .C1(n59420), .Y(n59424) );
+  sky130_fd_sc_hd__o22ai_1 U78149 ( .A1(n59427), .A2(n59426), .B1(n59425), 
+        .B2(n59424), .Y(n59428) );
+  sky130_fd_sc_hd__nand2_1 U78150 ( .A(n59438), .B(n73140), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_csb0[2]) );
+  sky130_fd_sc_hd__nand2_1 U78151 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_MPORT_addr[1]), .B(n80707), .Y(n61889) );
+  sky130_fd_sc_hd__a22oi_1 U78152 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[7]), .B1(n86595), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[7]), .Y(n59440) );
+  sky130_fd_sc_hd__clkbuf_1 U78153 ( .A(n59441), .X(n59457) );
+  sky130_fd_sc_hd__nor2_1 U78154 ( .A(n59552), .B(n62949), .Y(n59447) );
+  sky130_fd_sc_hd__nor2_1 U78155 ( .A(n59447), .B(n59446), .Y(n59487) );
+  sky130_fd_sc_hd__inv_1 U78156 ( .A(n59539), .Y(n59451) );
+  sky130_fd_sc_hd__inv_1 U78157 ( .A(n59490), .Y(n59450) );
+  sky130_fd_sc_hd__o22ai_1 U78158 ( .A1(n59535), .A2(n59543), .B1(n59451), 
+        .B2(n62947), .Y(n59470) );
+  sky130_fd_sc_hd__nand2_1 U78159 ( .A(n59453), .B(n38279), .Y(n59614) );
+  sky130_fd_sc_hd__maj3_1 U78160 ( .A(n59456), .B(n59454), .C(n59455), .X(
+        n59459) );
+  sky130_fd_sc_hd__maj3_1 U78161 ( .A(n59463), .B(n59462), .C(n59461), .X(
+        n59465) );
+  sky130_fd_sc_hd__nor2_1 U78162 ( .A(n59470), .B(n59469), .Y(n59486) );
+  sky130_fd_sc_hd__mux2_2 U78163 ( .A0(n59572), .A1(n59472), .S(n62944), .X(
+        n59479) );
+  sky130_fd_sc_hd__o22ai_1 U78164 ( .A1(n59477), .A2(n59593), .B1(n59588), 
+        .B2(n59591), .Y(n59478) );
+  sky130_fd_sc_hd__a211oi_2 U78165 ( .A1(n62945), .A2(n59479), .B1(n59509), 
+        .C1(n59478), .Y(n59485) );
+  sky130_fd_sc_hd__nand2_1 U78166 ( .A(n59482), .B(n38130), .Y(n62946) );
+  sky130_fd_sc_hd__nand4_1 U78167 ( .A(n59487), .B(n59486), .C(n59485), .D(
+        n38464), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_dev[1]) );
+  sky130_fd_sc_hd__nand2_2 U78168 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_MPORT_addr[1]), .B(n61155), .Y(n81011) );
+  sky130_fd_sc_hd__nor3_2 U78169 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_MPORT_addr[2]), .B(n81013), .C(n81011), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N32)
+         );
+  sky130_fd_sc_hd__nand3b_1 U78170 ( .A_N(n62945), .B(n59491), .C(n38423), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_dev[3]) );
+  sky130_fd_sc_hd__a21oi_1 U78171 ( .A1(n85419), .A2(n86596), .B1(n59493), .Y(
+        n59494) );
+  sky130_fd_sc_hd__nand2_1 U78172 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_MPORT_addr[1]), .B(n61152), .Y(n80409) );
+  sky130_fd_sc_hd__nor3_2 U78173 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_MPORT_addr[2]), .B(n80407), .C(n80409), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N32) );
+  sky130_fd_sc_hd__nand2_1 U78174 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_MPORT_addr[1]), .B(n59496), .Y(n62957) );
+  sky130_fd_sc_hd__or4_1 U78175 ( .A(n85422), .B(n85421), .C(n85443), .D(
+        n85446), .X(n59497) );
+  sky130_fd_sc_hd__o31ai_1 U78176 ( .A1(n85445), .A2(n85444), .A3(n59497), 
+        .B1(n85734), .Y(n74043) );
+  sky130_fd_sc_hd__nand2_1 U78177 ( .A(n59701), .B(n59498), .Y(n59979) );
+  sky130_fd_sc_hd__nand3_1 U78178 ( .A(n74012), .B(n78346), .C(n59979), .Y(
+        n83312) );
+  sky130_fd_sc_hd__nor2_4 U78179 ( .A(n74043), .B(n83312), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7) );
+  sky130_fd_sc_hd__a21o_4 U78180 ( .A1(n36843), .A2(n85424), .B1(n59501), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_addr[1]) );
+  sky130_fd_sc_hd__and3_1 U78181 ( .A(n81013), .B(n59504), .C(n59503), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N35)
+         );
+  sky130_fd_sc_hd__and3_1 U78182 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_MPORT_addr[0]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_MPORT_addr[2]), .C(n59503), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N30)
+         );
+  sky130_fd_sc_hd__nand2_1 U78183 ( .A(n59506), .B(n61152), .Y(n80406) );
+  sky130_fd_sc_hd__and3_1 U78184 ( .A(n80408), .B(n80407), .C(n59507), .X(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N35) );
+  sky130_fd_sc_hd__and3_1 U78185 ( .A(n80407), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_MPORT_addr[2]), .C(n59507), .X(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N31) );
+  sky130_fd_sc_hd__o21ai_1 U78186 ( .A1(n59510), .A2(n59513), .B1(n59511), .Y(
+        n59516) );
+  sky130_fd_sc_hd__a21oi_1 U78187 ( .A1(n59510), .A2(n59513), .B1(n59512), .Y(
+        n59515) );
+  sky130_fd_sc_hd__a21oi_1 U78188 ( .A1(n59516), .A2(n59515), .B1(n59514), .Y(
+        n59517) );
+  sky130_fd_sc_hd__a21oi_1 U78189 ( .A1(n59519), .A2(n59518), .B1(n59517), .Y(
+        n59532) );
+  sky130_fd_sc_hd__nand2_1 U78191 ( .A(n59522), .B(n59521), .Y(n59524) );
+  sky130_fd_sc_hd__a31oi_1 U78192 ( .A1(n59526), .A2(n59525), .A3(n59524), 
+        .B1(n38305), .Y(n59527) );
+  sky130_fd_sc_hd__a21oi_1 U78193 ( .A1(n59529), .A2(n59528), .B1(n59527), .Y(
+        n59531) );
+  sky130_fd_sc_hd__o22ai_1 U78194 ( .A1(n59532), .A2(n62946), .B1(n59531), 
+        .B2(n59530), .Y(n59533) );
+  sky130_fd_sc_hd__nor2_1 U78195 ( .A(n37129), .B(n59533), .Y(n59618) );
+  sky130_fd_sc_hd__a22oi_1 U78196 ( .A1(n59537), .A2(n59536), .B1(n59535), 
+        .B2(n59534), .Y(n59544) );
+  sky130_fd_sc_hd__mux2i_1 U78197 ( .A0(n59541), .A1(n59540), .S(n59539), .Y(
+        n59542) );
+  sky130_fd_sc_hd__mux2i_1 U78198 ( .A0(n59547), .A1(n59546), .S(n59545), .Y(
+        n59548) );
+  sky130_fd_sc_hd__nand2_1 U78199 ( .A(n59549), .B(n59548), .Y(n59550) );
+  sky130_fd_sc_hd__nand2_1 U78200 ( .A(n59551), .B(n59550), .Y(n59569) );
+  sky130_fd_sc_hd__a21oi_1 U78202 ( .A1(n59556), .A2(n59555), .B1(n59554), .Y(
+        n59559) );
+  sky130_fd_sc_hd__a21oi_1 U78203 ( .A1(n59560), .A2(n59559), .B1(n59558), .Y(
+        n59561) );
+  sky130_fd_sc_hd__a21oi_1 U78204 ( .A1(n59562), .A2(n38283), .B1(n59561), .Y(
+        n59567) );
+  sky130_fd_sc_hd__nand2_1 U78205 ( .A(n59564), .B(n59563), .Y(n59566) );
+  sky130_fd_sc_hd__o22ai_1 U78206 ( .A1(n59567), .A2(n62949), .B1(n59566), 
+        .B2(n59565), .Y(n59568) );
+  sky130_fd_sc_hd__nor2_1 U78207 ( .A(n59569), .B(n59568), .Y(n59617) );
+  sky130_fd_sc_hd__a22oi_1 U78208 ( .A1(n59574), .A2(n59573), .B1(n59572), 
+        .B2(n59571), .Y(n59585) );
+  sky130_fd_sc_hd__maj3_1 U78209 ( .A(n59577), .B(n59576), .C(n59575), .X(
+        n59579) );
+  sky130_fd_sc_hd__nand2_1 U78210 ( .A(n59579), .B(n59578), .Y(n59582) );
+  sky130_fd_sc_hd__a21oi_1 U78211 ( .A1(n59583), .A2(n59582), .B1(n59581), .Y(
+        n59584) );
+  sky130_fd_sc_hd__mux2i_1 U78212 ( .A0(n59585), .A1(n59584), .S(n62944), .Y(
+        n59596) );
+  sky130_fd_sc_hd__mux2i_1 U78213 ( .A0(n59590), .A1(n59589), .S(n59588), .Y(
+        n59592) );
+  sky130_fd_sc_hd__o22ai_1 U78214 ( .A1(n59594), .A2(n59593), .B1(n59592), 
+        .B2(n59591), .Y(n59595) );
+  sky130_fd_sc_hd__a21oi_1 U78215 ( .A1(n62945), .A2(n59596), .B1(n59595), .Y(
+        n59616) );
+  sky130_fd_sc_hd__a22oi_1 U78216 ( .A1(n59600), .A2(n59599), .B1(n36977), 
+        .B2(n59597), .Y(n59615) );
+  sky130_fd_sc_hd__mux2i_1 U78217 ( .A0(n59602), .A1(n59601), .S(n38872), .Y(
+        n59612) );
+  sky130_fd_sc_hd__clkinv_1 U78218 ( .A(n59604), .Y(n59608) );
+  sky130_fd_sc_hd__a21oi_1 U78219 ( .A1(n59608), .A2(n59607), .B1(n59606), .Y(
+        n59609) );
+  sky130_fd_sc_hd__nand4_1 U78220 ( .A(n59618), .B(n59617), .C(n59616), .D(
+        n38468), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_dev[0]) );
+  sky130_fd_sc_hd__nand3_1 U78221 ( .A(n59621), .B(n59620), .C(n59619), .Y(
+        n59623) );
+  sky130_fd_sc_hd__nand2_1 U78222 ( .A(n62859), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_io_full), .Y(n63499) );
+  sky130_fd_sc_hd__o21ai_2 U78223 ( .A1(n63500), .A2(n60348), .B1(n63499), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__gang_T_3)
+         );
+  sky130_fd_sc_hd__nand2_1 U78224 ( .A(n73373), .B(n59624), .Y(n59669) );
+  sky130_fd_sc_hd__nand2_2 U78225 ( .A(n73373), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[19]), .Y(n59670) );
+  sky130_fd_sc_hd__xor2_1 U78226 ( .A(n59670), .B(n73376), .X(n59667) );
+  sky130_fd_sc_hd__xor2_1 U78227 ( .A(n59670), .B(n73377), .X(n59665) );
+  sky130_fd_sc_hd__xor2_1 U78228 ( .A(n59670), .B(n73428), .X(n59663) );
+  sky130_fd_sc_hd__xor2_1 U78229 ( .A(n59670), .B(n73429), .X(n59661) );
+  sky130_fd_sc_hd__xor2_1 U78230 ( .A(n59670), .B(n73430), .X(n59656) );
+  sky130_fd_sc_hd__xnor2_1 U78231 ( .A(n73378), .B(n73379), .Y(n73424) );
+  sky130_fd_sc_hd__a21oi_1 U78232 ( .A1(n73420), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[8]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[9]), 
+        .Y(n59654) );
+  sky130_fd_sc_hd__xnor2_1 U78233 ( .A(n59670), .B(n73379), .Y(n59625) );
+  sky130_fd_sc_hd__xor2_1 U78234 ( .A(n59670), .B(n73378), .X(n59626) );
+  sky130_fd_sc_hd__o22ai_1 U78235 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[8]), 
+        .A2(n59625), .B1(n59626), .B2(n73424), .Y(n59653) );
+  sky130_fd_sc_hd__o22ai_1 U78236 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[8]), 
+        .A2(n59626), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[9]), 
+        .B2(n59625), .Y(n59652) );
+  sky130_fd_sc_hd__xor2_1 U78237 ( .A(n59670), .B(n73383), .X(n59647) );
+  sky130_fd_sc_hd__clkinv_1 U78238 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[5]), 
+        .Y(n59644) );
+  sky130_fd_sc_hd__xor2_1 U78239 ( .A(n73408), .B(n59670), .X(n59627) );
+  sky130_fd_sc_hd__xor2_1 U78240 ( .A(n59670), .B(n73384), .X(n59640) );
+  sky130_fd_sc_hd__o22ai_1 U78241 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[5]), 
+        .A2(n59627), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[4]), 
+        .B2(n59640), .Y(n59642) );
+  sky130_fd_sc_hd__xor2_1 U78242 ( .A(n59628), .B(n73388), .X(n59630) );
+  sky130_fd_sc_hd__clkinv_1 U78243 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[1]), 
+        .Y(n59632) );
+  sky130_fd_sc_hd__xnor2_1 U78244 ( .A(n73385), .B(n59670), .Y(n59629) );
+  sky130_fd_sc_hd__xnor2_1 U78246 ( .A(n59670), .B(n73393), .Y(n59634) );
+  sky130_fd_sc_hd__xnor2_1 U78248 ( .A(n59670), .B(n73394), .Y(n59636) );
+  sky130_fd_sc_hd__a22oi_1 U78249 ( .A1(n59636), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[3]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[2]), 
+        .B2(n59635), .Y(n59637) );
+  sky130_fd_sc_hd__a2bb2oi_1 U78250 ( .B1(n59638), .B2(n59637), .A1_N(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[3]), 
+        .A2_N(n59636), .Y(n59639) );
+  sky130_fd_sc_hd__a21oi_1 U78251 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[4]), 
+        .A2(n59640), .B1(n59639), .Y(n59641) );
+  sky130_fd_sc_hd__o22ai_1 U78252 ( .A1(n59644), .A2(n59643), .B1(n59642), 
+        .B2(n59641), .Y(n59645) );
+  sky130_fd_sc_hd__xnor2_1 U78254 ( .A(n59670), .B(n73382), .Y(n59648) );
+  sky130_fd_sc_hd__maj3_1 U78255 ( .A(n59650), .B(n59649), .C(n59648), .X(
+        n59651) );
+  sky130_fd_sc_hd__o22ai_1 U78256 ( .A1(n59654), .A2(n59653), .B1(n59652), 
+        .B2(n59651), .Y(n59655) );
+  sky130_fd_sc_hd__o21ai_1 U78257 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[10]), .A2(n59656), .B1(n59655), .Y(n59657) );
+  sky130_fd_sc_hd__o21ai_1 U78258 ( .A1(n59659), .A2(n59658), .B1(n59657), .Y(
+        n59660) );
+  sky130_fd_sc_hd__fah_1 U78259 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[11]), .B(n59661), .CI(n59660), .COUT(n59662), .SUM() );
+  sky130_fd_sc_hd__fah_1 U78260 ( .A(n59667), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[14]), .CI(n59666), .COUT(n59668), .SUM() );
+  sky130_fd_sc_hd__nor2b_1 U78261 ( .B_N(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_ip_doSticky), .A(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__gang_T_3), 
+        .Y(n73374) );
+  sky130_fd_sc_hd__nand3_1 U78262 ( .A(n73374), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_23[31]), .C(n59670), .Y(n59672) );
+  sky130_fd_sc_hd__nand2_1 U78263 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__gang_T_3), 
+        .B(n79917), .Y(n59671) );
+  sky130_fd_sc_hd__nand2_1 U78264 ( .A(n59672), .B(n59671), .Y(n59673) );
+  sky130_fd_sc_hd__o21bai_1 U78265 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__gang_T_3), 
+        .A2(n59674), .B1_N(n59673), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N69) );
+  sky130_fd_sc_hd__nor2_1 U78266 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_fmt_proto[0]), .B(n80541), .Y(n80542) );
+  sky130_fd_sc_hd__nand2_1 U78267 ( .A(n80542), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_fmt_iodir), .Y(io_oeb[25]) );
+  sky130_fd_sc_hd__nor2_1 U78268 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_fmt_proto[0]), .B(n61857), .Y(n80849) );
+  sky130_fd_sc_hd__nand2_1 U78269 ( .A(n80849), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_fmt_iodir), .Y(io_oeb[13]) );
+  sky130_fd_sc_hd__nor2_1 U78270 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[10]), .B(n61249), .Y(n59677) );
+  sky130_fd_sc_hd__nor2_1 U78271 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[9]), .B(n61247), .Y(n59676) );
+  sky130_fd_sc_hd__o2bb2ai_1 U78272 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[4]), .B2(n82710), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[11]), .A2_N(n61251), .Y(n59683) );
+  sky130_fd_sc_hd__o21ai_1 U78273 ( .A1(n59677), .A2(n59676), .B1(n59675), .Y(
+        n59688) );
+  sky130_fd_sc_hd__nor2_1 U78274 ( .A(n82623), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[0]), .Y(n59679) );
+  sky130_fd_sc_hd__nand2_1 U78275 ( .A(n59678), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[1]), .Y(n59682) );
+  sky130_fd_sc_hd__nand2_1 U78276 ( .A(n59679), .B(n61241), .Y(n59680) );
+  sky130_fd_sc_hd__nand2_1 U78277 ( .A(n59680), .B(n82625), .Y(n59681) );
+  sky130_fd_sc_hd__o211ai_1 U78278 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[8]), .A2(n61242), .B1(n59682), .C1(n59681), .Y(n59686) );
+  sky130_fd_sc_hd__o22ai_1 U78279 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[3]), .A2(n82635), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[2]), .B2(n82628), .Y(n59684) );
+  sky130_fd_sc_hd__nor2_1 U78280 ( .A(n59684), .B(n59683), .Y(n59685) );
+  sky130_fd_sc_hd__nand2_1 U78281 ( .A(n59686), .B(n59685), .Y(n59687) );
+  sky130_fd_sc_hd__o211ai_1 U78282 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[11]), .A2(n61251), .B1(n59688), .C1(n59687), .Y(n59689) );
+  sky130_fd_sc_hd__a21oi_1 U78284 ( .A1(n59689), .A2(n82652), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[6]), .Y(n59690) );
+  sky130_fd_sc_hd__or4_1 U78285 ( .A(n85441), .B(n85442), .C(n85440), .D(
+        n85784), .X(n59693) );
+  sky130_fd_sc_hd__nand2_1 U78286 ( .A(n85425), .B(n59693), .Y(n83373) );
+  sky130_fd_sc_hd__nor3_1 U78287 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_full), .B(n63702), .C(n83373), .Y(n59694) );
+  sky130_fd_sc_hd__nand2_1 U78288 ( .A(n80349), .B(n80362), .Y(n84123) );
+  sky130_fd_sc_hd__nand2_1 U78289 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_state[0]), 
+        .B(n59699), .Y(n79462) );
+  sky130_fd_sc_hd__nor3_1 U78290 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_10[21]), .B(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_10[23]), 
+        .C(n79462), .Y(n59696) );
+  sky130_fd_sc_hd__nor4_1 U78291 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_10[16]), .B(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_10[17]), 
+        .C(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_10[18]), .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_10[19]), 
+        .Y(n59695) );
+  sky130_fd_sc_hd__nand4_1 U78292 ( .A(n59696), .B(n59695), .C(n79438), .D(
+        n79454), .Y(n80357) );
+  sky130_fd_sc_hd__a22oi_1 U78293 ( .A1(n80349), .A2(n59697), .B1(n84123), 
+        .B2(n80357), .Y(n59698) );
+  sky130_fd_sc_hd__nor2_1 U78294 ( .A(n59698), .B(n80369), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N170) );
+  sky130_fd_sc_hd__nor2_1 U78295 ( .A(n59699), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_state[0]), 
+        .Y(n80354) );
+  sky130_fd_sc_hd__a21oi_1 U78296 ( .A1(n59699), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_cs_assert), 
+        .B1(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_state[0]), .Y(n84120) );
+  sky130_fd_sc_hd__o211ai_1 U78297 ( .A1(n80362), .A2(n80354), .B1(n84120), 
+        .C1(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N170), .Y(n80247) );
+  sky130_fd_sc_hd__nor3_1 U78298 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[2]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[1]), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[0]), .Y(n60392) );
+  sky130_fd_sc_hd__nor2_1 U78299 ( .A(n81318), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[3]), .Y(n81317) );
+  sky130_fd_sc_hd__nor2_1 U78300 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[4]), .B(n81321), .Y(n81328) );
+  sky130_fd_sc_hd__nand2_1 U78301 ( .A(n81328), .B(n81327), .Y(n81326) );
+  sky130_fd_sc_hd__nor2_1 U78302 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[6]), .B(n81326), .Y(n81332) );
+  sky130_fd_sc_hd__nand2_1 U78303 ( .A(n81332), .B(n81331), .Y(n81330) );
+  sky130_fd_sc_hd__nor2_1 U78304 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[8]), .B(n81330), .Y(n81336) );
+  sky130_fd_sc_hd__nand2_1 U78305 ( .A(n81336), .B(n81335), .Y(n81334) );
+  sky130_fd_sc_hd__nand2_1 U78306 ( .A(n81340), .B(n81339), .Y(n81338) );
+  sky130_fd_sc_hd__nor2_1 U78307 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[12]), .B(n81338), .Y(n81344) );
+  sky130_fd_sc_hd__nand2_1 U78308 ( .A(n81344), .B(n81343), .Y(n81342) );
+  sky130_fd_sc_hd__nor2_1 U78309 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[14]), .B(n81342), .Y(n60397) );
+  sky130_fd_sc_hd__or3_1 U78310 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_counter[2]), .B(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_counter[1]), 
+        .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_counter[0]), .X(n81310) );
+  sky130_fd_sc_hd__nor2_1 U78311 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_counter[3]), .B(n81310), .Y(n61445) );
+  sky130_fd_sc_hd__nor2_1 U78312 ( .A(n61445), .B(n60015), .Y(n60394) );
+  sky130_fd_sc_hd__nand2_1 U78313 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[15]), .B(n60394), .Y(n59700) );
+  sky130_fd_sc_hd__nor2b_1 U78314 ( .B_N(n60397), .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[15]), .Y(n81311) );
+  sky130_fd_sc_hd__nand2_1 U78315 ( .A(n81311), .B(n60394), .Y(n81322) );
+  sky130_fd_sc_hd__a21oi_1 U78316 ( .A1(n59701), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_maybe_full), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .Y(n84073) );
+  sky130_fd_sc_hd__o21ai_1 U78317 ( .A1(n83111), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state_1_0), 
+        .B1(n84073), .Y(n59703) );
+  sky130_fd_sc_hd__a21oi_1 U78318 ( .A1(n59704), .A2(n59703), .B1(n59702), .Y(
+        n61472) );
+  sky130_fd_sc_hd__o21ai_1 U78319 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_param[3]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_param[2]), .B1(n59705), .Y(n59707) );
+  sky130_fd_sc_hd__nand4_1 U78321 ( .A(n83099), .B(n61472), .C(n59707), .D(
+        n59706), .Y(n77583) );
+  sky130_fd_sc_hd__o22ai_1 U78322 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[9]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[7]), .B1(n82590), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[8]), .Y(n59708) );
+  sky130_fd_sc_hd__nor2_1 U78323 ( .A(n59744), .B(n59708), .Y(n59709) );
+  sky130_fd_sc_hd__a21o_1 U78324 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_prediction_entry[0]), .A2(n59738), .B1(n59709), .X(n59724) );
+  sky130_fd_sc_hd__o22ai_1 U78325 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[5]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[3]), .B1(n82579), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[4]), .Y(n59722) );
+  sky130_fd_sc_hd__nand2_1 U78326 ( .A(n59730), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__waddr_T_39_4_), .Y(n59710) );
+  sky130_fd_sc_hd__nor3_1 U78327 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[10]), .B(n59710), .C(n82583), .Y(n59731) );
+  sky130_fd_sc_hd__nor3_1 U78328 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[6]), .C(n59710), .Y(n59739) );
+  sky130_fd_sc_hd__o221ai_1 U78329 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[2]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[0]), .B1(n82573), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[1]), .C1(n59739), .Y(n59721) );
+  sky130_fd_sc_hd__o22ai_1 U78330 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[5]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[3]), .B1(n82541), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[4]), .Y(n59712) );
+  sky130_fd_sc_hd__o22ai_1 U78331 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[2]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[0]), .B1(n82530), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[1]), .Y(n59711) );
+  sky130_fd_sc_hd__a221oi_1 U78332 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[6]), .A2(n59712), .B1(n59733), .B2(n59711), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[14]), .Y(n59719) );
+  sky130_fd_sc_hd__nand2_1 U78333 ( .A(n82559), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[14]), .Y(n59725) );
+  sky130_fd_sc_hd__o22ai_1 U78334 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[9]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[7]), .B1(n59713), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[8]), .Y(n59716) );
+  sky130_fd_sc_hd__nand2_1 U78335 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[13]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[14]), .Y(n59715) );
+  sky130_fd_sc_hd__o22ai_1 U78336 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[12]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[10]), .B1(n61203), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[11]), .Y(n59714) );
+  sky130_fd_sc_hd__o22ai_1 U78337 ( .A1(n59725), .A2(n59716), .B1(n59715), 
+        .B2(n59714), .Y(n59718) );
+  sky130_fd_sc_hd__o211ai_1 U78339 ( .A1(n59722), .A2(n59742), .B1(n59721), 
+        .C1(n59720), .Y(n59723) );
+  sky130_fd_sc_hd__nor2_1 U78340 ( .A(n59724), .B(n59723), .Y(n60354) );
+  sky130_fd_sc_hd__nor2_1 U78341 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[6]), .Y(n59727) );
+  sky130_fd_sc_hd__o22ai_1 U78342 ( .A1(n59730), .A2(n59729), .B1(n59734), 
+        .B2(n59728), .Y(n59732) );
+  sky130_fd_sc_hd__nor2_1 U78343 ( .A(n59732), .B(n59731), .Y(n64013) );
+  sky130_fd_sc_hd__nand3_1 U78344 ( .A(n60048), .B(n64013), .C(n59747), .Y(
+        n60041) );
+  sky130_fd_sc_hd__o221ai_1 U78345 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[6]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[2]), .B1(n59733), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[5]), .C1(n82561), .Y(n59736) );
+  sky130_fd_sc_hd__o221ai_1 U78346 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[13]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[9]), .B1(n82559), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[12]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[14]), .Y(n59735) );
+  sky130_fd_sc_hd__a21oi_1 U78347 ( .A1(n59736), .A2(n59735), .B1(n59734), .Y(
+        n59737) );
+  sky130_fd_sc_hd__a21oi_1 U78348 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_prediction_entry[1]), .A2(n59738), .B1(n59737), .Y(n59741) );
+  sky130_fd_sc_hd__nand2_1 U78349 ( .A(n59739), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[2]), .Y(n59740) );
+  sky130_fd_sc_hd__o211ai_1 U78350 ( .A1(n82579), .A2(n59742), .B1(n59741), 
+        .C1(n59740), .Y(n59743) );
+  sky130_fd_sc_hd__nand2_1 U78351 ( .A(n59804), .B(n63913), .Y(n60362) );
+  sky130_fd_sc_hd__nor2_1 U78352 ( .A(n60041), .B(n60362), .Y(n86326) );
+  sky130_fd_sc_hd__nand2_1 U78353 ( .A(n59804), .B(n63979), .Y(n60037) );
+  sky130_fd_sc_hd__nor2_1 U78354 ( .A(n60041), .B(n60037), .Y(n86313) );
+  sky130_fd_sc_hd__nand3_1 U78355 ( .A(n60048), .B(n59747), .C(n63922), .Y(
+        n60371) );
+  sky130_fd_sc_hd__nor2_1 U78356 ( .A(n60371), .B(n60037), .Y(n86321) );
+  sky130_fd_sc_hd__nor3_1 U78357 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[2]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[1]), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[0]), .Y(n81159) );
+  sky130_fd_sc_hd__nor2_1 U78358 ( .A(n81164), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[3]), .Y(n81163) );
+  sky130_fd_sc_hd__nand2_1 U78359 ( .A(n81171), .B(n81170), .Y(n81169) );
+  sky130_fd_sc_hd__nor2_1 U78360 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[6]), .B(n81169), .Y(n81175) );
+  sky130_fd_sc_hd__nand2_1 U78361 ( .A(n81175), .B(n81174), .Y(n81173) );
+  sky130_fd_sc_hd__nor2_1 U78362 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[8]), .B(n81173), .Y(n81179) );
+  sky130_fd_sc_hd__nand2_1 U78363 ( .A(n81179), .B(n81178), .Y(n81177) );
+  sky130_fd_sc_hd__nor2_1 U78364 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[10]), .B(n81177), .Y(n81183) );
+  sky130_fd_sc_hd__nand2_1 U78365 ( .A(n81183), .B(n81182), .Y(n81181) );
+  sky130_fd_sc_hd__nor2_1 U78366 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[12]), .B(n81181), .Y(n81187) );
+  sky130_fd_sc_hd__nand2_1 U78367 ( .A(n81187), .B(n81186), .Y(n81185) );
+  sky130_fd_sc_hd__nor2_1 U78368 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[14]), .B(n81185), .Y(n60420) );
+  sky130_fd_sc_hd__or3_1 U78369 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_counter[2]), .B(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_counter[1]), 
+        .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_counter[0]), .X(n81154) );
+  sky130_fd_sc_hd__nor2_1 U78370 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_counter[3]), .B(n81154), .Y(n61218) );
+  sky130_fd_sc_hd__nor2_1 U78371 ( .A(n61218), .B(n37038), .Y(n60416) );
+  sky130_fd_sc_hd__nand2_1 U78372 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[15]), .B(n60416), .Y(n59749) );
+  sky130_fd_sc_hd__nand2b_1 U78373 ( .A_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[15]), .B(n60420), .Y(n81157) );
+  sky130_fd_sc_hd__nor3_1 U78374 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[2]), .C(n60027), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N148) );
+  sky130_fd_sc_hd__nor3_1 U78375 ( .A(n83689), .B(n83692), .C(n60027), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N142) );
+  sky130_fd_sc_hd__nor3_1 U78376 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[1]), .B(n83692), .C(n60027), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N144) );
+  sky130_fd_sc_hd__nand2_1 U78377 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[0]), .B(n60427), .Y(n83687) );
+  sky130_fd_sc_hd__nor3_1 U78378 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[1]), .B(n83692), .C(n83687), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N143) );
+  sky130_fd_sc_hd__nor3_1 U78379 ( .A(n83689), .B(n83692), .C(n83687), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N141) );
+  sky130_fd_sc_hd__nor3_1 U78380 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[2]), .C(n83687), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N147) );
+  sky130_fd_sc_hd__nor3_1 U78381 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_slave_in_d_bits_source[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_slave_in_d_bits_source[0]), .C(n85426), .Y(n59750) );
+  sky130_fd_sc_hd__a211oi_1 U78382 ( .A1(n82517), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_slave_in_d_bits_source[5]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_opcode_2_), .C1(n59750), .Y(n59752) );
+  sky130_fd_sc_hd__nor3b_1 U78383 ( .C_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_maybe_full), .A(n59751), .B(n59752), .Y(n82979) );
+  sky130_fd_sc_hd__nor2b_1 U78384 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_slave_in_d_valid), .A(n82979), .Y(n82519) );
+  sky130_fd_sc_hd__nand2b_1 U78385 ( .A_N(n59752), .B(n82519), .Y(n82445) );
+  sky130_fd_sc_hd__nand2_1 U78386 ( .A(n78317), .B(n59753), .Y(n59755) );
+  sky130_fd_sc_hd__nand2_1 U78387 ( .A(n59754), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_maybe_full), .Y(n83993) );
+  sky130_fd_sc_hd__nand3_1 U78388 ( .A(n59756), .B(n59755), .C(n83993), .Y(
+        n83785) );
+  sky130_fd_sc_hd__o211ai_1 U78389 ( .A1(n59758), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_state_0), .B1(n59757), 
+        .C1(n83993), .Y(n83810) );
+  sky130_fd_sc_hd__nand2_1 U78390 ( .A(n83785), .B(n83810), .Y(n83999) );
+  sky130_fd_sc_hd__nor4_1 U78391 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[2]), .C(n60346), .D(n83320), .Y(n59761) );
+  sky130_fd_sc_hd__nor4_1 U78392 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[0]), .C(n60345), .D(n83320), .Y(n59762) );
+  sky130_fd_sc_hd__nor4_1 U78393 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[0]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[2]), .D(n83320), .Y(n59763) );
+  sky130_fd_sc_hd__nand2b_1 U78394 ( .A_N(n63147), .B(n59764), .Y(n59766) );
+  sky130_fd_sc_hd__nand2_1 U78395 ( .A(n59767), .B(n85492), .Y(n59768) );
+  sky130_fd_sc_hd__nand2_1 U78396 ( .A(n59768), .B(n85509), .Y(n59769) );
+  sky130_fd_sc_hd__o2bb2ai_1 U78397 ( .B1(n59772), .B2(n59771), .A1_N(n59770), 
+        .A2_N(n59769), .Y(n59792) );
+  sky130_fd_sc_hd__nor2_1 U78398 ( .A(n59774), .B(n72802), .Y(n59787) );
+  sky130_fd_sc_hd__nand2_1 U78399 ( .A(n59787), .B(n59775), .Y(n59794) );
+  sky130_fd_sc_hd__nand2b_1 U78400 ( .A_N(n59776), .B(n72802), .Y(n59797) );
+  sky130_fd_sc_hd__nor2_1 U78401 ( .A(n76228), .B(n59777), .Y(n59781) );
+  sky130_fd_sc_hd__mux2i_1 U78402 ( .A0(n59781), .A1(n59780), .S(n59779), .Y(
+        n59782) );
+  sky130_fd_sc_hd__o21a_1 U78403 ( .A1(n59783), .A2(n85505), .B1(n59782), .X(
+        n59789) );
+  sky130_fd_sc_hd__nand2b_1 U78404 ( .A_N(n59797), .B(n59789), .Y(n78468) );
+  sky130_fd_sc_hd__o21a_1 U78405 ( .A1(n59792), .A2(n59794), .B1(n78468), .X(
+        n63974) );
+  sky130_fd_sc_hd__nor2_1 U78406 ( .A(n59785), .B(n59792), .Y(n59786) );
+  sky130_fd_sc_hd__nand2_1 U78407 ( .A(n73258), .B(n73134), .Y(n72805) );
+  sky130_fd_sc_hd__a21oi_1 U78408 ( .A1(n59787), .A2(n59786), .B1(n72805), .Y(
+        n78467) );
+  sky130_fd_sc_hd__nand2_1 U78409 ( .A(n63974), .B(n78467), .Y(n63957) );
+  sky130_fd_sc_hd__o21ai_1 U78410 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_partial_insn_valid), .A2(n59791), .B1(n59790), .Y(n59793) );
+  sky130_fd_sc_hd__nor2_1 U78411 ( .A(n59793), .B(n59792), .Y(n59795) );
+  sky130_fd_sc_hd__o22ai_1 U78412 ( .A1(n59797), .A2(n59796), .B1(n59795), 
+        .B2(n59794), .Y(n78463) );
+  sky130_fd_sc_hd__nor2_2 U78413 ( .A(n59798), .B(n60134), .Y(n86343) );
+  sky130_fd_sc_hd__nor2_2 U78414 ( .A(n59799), .B(n60134), .Y(n86344) );
+  sky130_fd_sc_hd__nor2_2 U78415 ( .A(n59800), .B(n60134), .Y(n86342) );
+  sky130_fd_sc_hd__nand2_1 U78416 ( .A(n63958), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pos[2]), .Y(n63969) );
+  sky130_fd_sc_hd__nor2_2 U78417 ( .A(n63969), .B(n60134), .Y(n86345) );
+  sky130_fd_sc_hd__nor2_2 U78418 ( .A(n63954), .B(n60134), .Y(n86346) );
+  sky130_fd_sc_hd__nor2_1 U78419 ( .A(n59802), .B(n59801), .Y(n59914) );
+  sky130_fd_sc_hd__nand2_1 U78420 ( .A(n59914), .B(n59803), .Y(n78537) );
+  sky130_fd_sc_hd__nand2b_2 U78421 ( .A_N(n78537), .B(n72568), .Y(n36581) );
+  sky130_fd_sc_hd__nor2_1 U78422 ( .A(n60354), .B(n59804), .Y(n63982) );
+  sky130_fd_sc_hd__nand2b_1 U78423 ( .A_N(n64013), .B(n63985), .Y(n60864) );
+  sky130_fd_sc_hd__nor2_1 U78424 ( .A(n72374), .B(n60864), .Y(n60049) );
+  sky130_fd_sc_hd__nor2_1 U78425 ( .A(n60048), .B(n59804), .Y(n64044) );
+  sky130_fd_sc_hd__nor2_1 U78426 ( .A(n59805), .B(n83461), .Y(n59806) );
+  sky130_fd_sc_hd__a211oi_1 U78427 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_3), .A2(n61448), .B1(n59807), .C1(n59806), .Y(n60872) );
+  sky130_fd_sc_hd__nand3b_1 U78428 ( .A_N(n60872), .B(n59808), .C(n59809), .Y(
+        n63708) );
+  sky130_fd_sc_hd__nand2_1 U78429 ( .A(n85901), .B(n59809), .Y(n59812) );
+  sky130_fd_sc_hd__a21o_1 U78430 ( .A1(n59812), .A2(n59811), .B1(n59810), .X(
+        n83413) );
+  sky130_fd_sc_hd__nor2_1 U78431 ( .A(n59817), .B(n59815), .Y(n83213) );
+  sky130_fd_sc_hd__nand3_1 U78432 ( .A(n83212), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_MPORT_addr[3]), .C(n83192), .Y(n83214) );
+  sky130_fd_sc_hd__nand2_1 U78433 ( .A(n59817), .B(n59815), .Y(n83209) );
+  sky130_fd_sc_hd__nand2_1 U78434 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_MPORT_addr[1]), .B(n59815), .Y(n60959) );
+  sky130_fd_sc_hd__nand3_1 U78435 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_MPORT_addr[2]), .B(n83192), .C(n83217), .Y(n62862) );
+  sky130_fd_sc_hd__nand2_1 U78436 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_MPORT_addr[0]), .B(n59817), .Y(n60958) );
+  sky130_fd_sc_hd__nand3_1 U78437 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_MPORT_addr[3]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_MPORT_addr[2]), .C(n83192), .Y(n60941) );
+  sky130_fd_sc_hd__nor2_1 U78438 ( .A(n59831), .B(n59821), .Y(n59836) );
+  sky130_fd_sc_hd__o22ai_1 U78439 ( .A1(n59825), .A2(n59824), .B1(n59823), 
+        .B2(n59822), .Y(n59826) );
+  sky130_fd_sc_hd__a211oi_1 U78440 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_1), .A2(n59827), .B1(n78319), .C1(n59826), .Y(n59833) );
+  sky130_fd_sc_hd__a22oi_1 U78441 ( .A1(n59829), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_4), .B1(n59828), .B2(MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_3), .Y(n59832)
+         );
+  sky130_fd_sc_hd__nand2b_1 U78442 ( .A_N(n59830), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_5), .Y(n82442)
+         );
+  sky130_fd_sc_hd__nand2_1 U78443 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_0), .B(n59831), 
+        .Y(n83466) );
+  sky130_fd_sc_hd__nand4_1 U78444 ( .A(n59833), .B(n59832), .C(n82442), .D(
+        n83466), .Y(n59834) );
+  sky130_fd_sc_hd__a21oi_1 U78445 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_7), .A2(n61161), .B1(n59834), .Y(n59835) );
+  sky130_fd_sc_hd__nor2_4 U78446 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N43), 
+        .B(n83890), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16) );
+  sky130_fd_sc_hd__a21oi_1 U78447 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_maybe_full), .A2(n59840), .B1(n59969), .Y(n60885) );
+  sky130_fd_sc_hd__nand2_1 U78448 ( .A(n59841), .B(n83792), .Y(n59968) );
+  sky130_fd_sc_hd__nand2_1 U78449 ( .A(n60885), .B(n59968), .Y(n83782) );
+  sky130_fd_sc_hd__nor2_1 U78450 ( .A(n59843), .B(n59842), .Y(n78356) );
+  sky130_fd_sc_hd__a21oi_1 U78451 ( .A1(n59846), .A2(n59845), .B1(n59844), .Y(
+        n78355) );
+  sky130_fd_sc_hd__nand2b_1 U78452 ( .A_N(n60177), .B(n78355), .Y(n63872) );
+  sky130_fd_sc_hd__nand2b_1 U78453 ( .A_N(n72597), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_waddr[3]), .Y(n59847) );
+  sky130_fd_sc_hd__o21a_1 U78454 ( .A1(n63169), .A2(n72640), .B1(n59847), .X(
+        n62989) );
+  sky130_fd_sc_hd__nand3_1 U78455 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_valid), .B(n70489), .C(n65605), .Y(n70477) );
+  sky130_fd_sc_hd__nor2_1 U78456 ( .A(n63167), .B(n70477), .Y(n59848) );
+  sky130_fd_sc_hd__nor2_1 U78457 ( .A(n72597), .B(n59848), .Y(n62990) );
+  sky130_fd_sc_hd__nor2_1 U78458 ( .A(n72630), .B(n72597), .Y(n59849) );
+  sky130_fd_sc_hd__a21oi_1 U78459 ( .A1(n72648), .A2(n72597), .B1(n59849), .Y(
+        n64302) );
+  sky130_fd_sc_hd__nor2_1 U78460 ( .A(n62990), .B(n64304), .Y(n59856) );
+  sky130_fd_sc_hd__nand2_1 U78461 ( .A(n62989), .B(n59856), .Y(n60986) );
+  sky130_fd_sc_hd__nor2_1 U78462 ( .A(n72580), .B(n72597), .Y(n59850) );
+  sky130_fd_sc_hd__a21oi_1 U78463 ( .A1(n72629), .A2(n72597), .B1(n59850), .Y(
+        n60966) );
+  sky130_fd_sc_hd__nand2b_1 U78464 ( .A_N(n72597), .B(n72601), .Y(n59852) );
+  sky130_fd_sc_hd__nand2_1 U78465 ( .A(n72599), .B(n72597), .Y(n59851) );
+  sky130_fd_sc_hd__nand2_1 U78466 ( .A(n59852), .B(n59851), .Y(n60968) );
+  sky130_fd_sc_hd__nor2_1 U78467 ( .A(n72602), .B(n72597), .Y(n59853) );
+  sky130_fd_sc_hd__nor2_1 U78468 ( .A(n72598), .B(n63169), .Y(n72587) );
+  sky130_fd_sc_hd__nor2_1 U78469 ( .A(n59853), .B(n72587), .Y(n62978) );
+  sky130_fd_sc_hd__nand3_1 U78470 ( .A(n64310), .B(n64307), .C(n64306), .Y(
+        n60971) );
+  sky130_fd_sc_hd__nand3_1 U78471 ( .A(n62978), .B(n64310), .C(n64307), .Y(
+        n60972) );
+  sky130_fd_sc_hd__nand3_1 U78472 ( .A(n60968), .B(n62978), .C(n64310), .Y(
+        n60983) );
+  sky130_fd_sc_hd__nand2_1 U78473 ( .A(n59856), .B(n64301), .Y(n60969) );
+  sky130_fd_sc_hd__nand3_1 U78474 ( .A(n62978), .B(n64307), .C(n60966), .Y(
+        n60984) );
+  sky130_fd_sc_hd__nand3_1 U78475 ( .A(n60968), .B(n64306), .C(n60966), .Y(
+        n60973) );
+  sky130_fd_sc_hd__nor2_1 U78476 ( .A(n62990), .B(n64302), .Y(n59863) );
+  sky130_fd_sc_hd__nand2_1 U78477 ( .A(n59863), .B(n64301), .Y(n60970) );
+  sky130_fd_sc_hd__nand3_1 U78478 ( .A(n64307), .B(n64306), .C(n60966), .Y(
+        n60985) );
+  sky130_fd_sc_hd__nand2_1 U78479 ( .A(n62989), .B(n59863), .Y(n60974) );
+  sky130_fd_sc_hd__nand3_1 U78480 ( .A(n60968), .B(n64310), .C(n64306), .Y(
+        n60982) );
+  sky130_fd_sc_hd__a21oi_1 U78481 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_sent_d), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_got_e), .B1(n59867), .Y(n59869) );
+  sky130_fd_sc_hd__nand2_1 U78482 ( .A(n59869), .B(n59868), .Y(n59875) );
+  sky130_fd_sc_hd__nand2_1 U78483 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_sent_d), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_got_e), .Y(n59885) );
+  sky130_fd_sc_hd__a211oi_2 U78485 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_maybe_full), .A2(n59873), .B1(n83181), .C1(n59872), .Y(n83134) );
+  sky130_fd_sc_hd__nand2_1 U78486 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_MPORT_addr[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_MPORT_addr[1]), .Y(n83132) );
+  sky130_fd_sc_hd__nand2b_1 U78487 ( .A_N(n83132), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_MPORT_addr[2]), .Y(n83133) );
+  sky130_fd_sc_hd__buf_2 U78488 ( .A(n59896), .X(n86444) );
+  sky130_fd_sc_hd__nand2_1 U78489 ( .A(n60952), .B(n60951), .Y(n83165) );
+  sky130_fd_sc_hd__nand2_1 U78490 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_sent_d), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_got_e), .Y(n59876) );
+  sky130_fd_sc_hd__o21bai_1 U78491 ( .A1(n59876), .A2(n59875), .B1_N(n59874), 
+        .Y(n83142) );
+  sky130_fd_sc_hd__o211ai_1 U78492 ( .A1(n59877), .A2(n83265), .B1(n59890), 
+        .C1(n83142), .Y(n59878) );
+  sky130_fd_sc_hd__nor2_1 U78493 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_MPORT_addr[2]), .B(n83829), .Y(n59880) );
+  sky130_fd_sc_hd__nand2_1 U78494 ( .A(n59880), .B(n83173), .Y(n60990) );
+  sky130_fd_sc_hd__nand3_1 U78495 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_MPORT_addr[3]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_MPORT_addr[2]), .C(n83134), .Y(n60998) );
+  sky130_fd_sc_hd__nand2_1 U78496 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_MPORT_addr[0]), .B(n59907), .Y(n83127) );
+  sky130_fd_sc_hd__nand2_1 U78497 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_MPORT_addr[1]), .B(n59908), .Y(n83128) );
+  sky130_fd_sc_hd__nand2_1 U78498 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_sent_d), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_got_e), .Y(n59892) );
+  sky130_fd_sc_hd__a31oi_1 U78499 ( .A1(n59887), .A2(n59886), .A3(n59885), 
+        .B1(n59884), .Y(n83182) );
+  sky130_fd_sc_hd__a21oi_1 U78500 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_maybe_full), .A2(n59888), .B1(n83182), .Y(n59889) );
+  sky130_fd_sc_hd__nand2_1 U78501 ( .A(n59890), .B(n59889), .Y(n59891) );
+  sky130_fd_sc_hd__nand2_1 U78502 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_MPORT_addr[0]), .Y(n83086) );
+  sky130_fd_sc_hd__nor2_1 U78503 ( .A(n83087), .B(n83086), .Y(n83090) );
+  sky130_fd_sc_hd__nand2_1 U78504 ( .A(n83090), .B(n59934), .Y(n59893) );
+  sky130_fd_sc_hd__nand3_1 U78505 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_MPORT_addr[3]), .B(n83134), .C(n83131), .Y(n60999) );
+  sky130_fd_sc_hd__a22oi_1 U78506 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_state_1), 
+        .A2(n84013), .B1(n59898), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_state_0), .Y(
+        n59899) );
+  sky130_fd_sc_hd__nor2_1 U78507 ( .A(n59898), .B(n84013), .Y(n83816) );
+  sky130_fd_sc_hd__nand2_1 U78508 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_MPORT_addr[0]), .B(n59902), .Y(n83083) );
+  sky130_fd_sc_hd__nand3_1 U78509 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_MPORT_addr[2]), .B(n83071), .C(n59934), .Y(n61012) );
+  sky130_fd_sc_hd__nand3_1 U78511 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_MPORT_addr[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_MPORT_addr[3]), .C(n83071), .Y(n61011) );
+  sky130_fd_sc_hd__nand2_1 U78512 ( .A(n59902), .B(n59905), .Y(n61013) );
+  sky130_fd_sc_hd__nand2_1 U78513 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_MPORT_addr[1]), .B(n59905), .Y(n83084) );
+  sky130_fd_sc_hd__nor2_1 U78514 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_MPORT_addr[3]), .B(n83830), .Y(n59916) );
+  sky130_fd_sc_hd__nand2_1 U78515 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_MPORT_addr[2]), .B(n59916), .Y(n59911) );
+  sky130_fd_sc_hd__nand2_1 U78516 ( .A(n59908), .B(n59907), .Y(n61000) );
+  sky130_fd_sc_hd__nand2_1 U78517 ( .A(n59914), .B(n59913), .Y(n69978) );
+  sky130_fd_sc_hd__nand3_1 U78518 ( .A(n59915), .B(n36801), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[7]), .Y(n36580) );
+  sky130_fd_sc_hd__nand2_1 U78519 ( .A(n59916), .B(n83131), .Y(n59917) );
+  sky130_fd_sc_hd__nand2_1 U78520 ( .A(n60987), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_state_1), .Y(n59926) );
+  sky130_fd_sc_hd__o21ai_1 U78521 ( .A1(n59924), .A2(n59923), .B1(n59922), .Y(
+        n59925) );
+  sky130_fd_sc_hd__inv_2 U78522 ( .A(n61164), .Y(n84126) );
+  sky130_fd_sc_hd__nor2_2 U78523 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N43), .B(n84126), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16) );
+  sky130_fd_sc_hd__o211ai_1 U78524 ( .A1(n59931), .A2(n59930), .B1(n59929), 
+        .C1(n59928), .Y(n82450) );
+  sky130_fd_sc_hd__mux2i_1 U78525 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_mask[6]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_mask[2]), .S(n67148), .Y(n68437) );
+  sky130_fd_sc_hd__nor2_1 U78527 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_MPORT_addr[2]), .B(n83831), .Y(n59940) );
+  sky130_fd_sc_hd__nand2_1 U78528 ( .A(n59940), .B(n59934), .Y(n59935) );
+  sky130_fd_sc_hd__nand2_1 U78529 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_MPORT_addr[3]), .B(n59940), .Y(n59944) );
+  sky130_fd_sc_hd__nor3_1 U78530 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_io_output_instruction[3]), .B(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_io_output_instruction[2]), .C(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_io_output_instruction[1]), .Y(n59946) );
+  sky130_fd_sc_hd__nor2b_1 U78531 ( .B_N(n59946), .A(n60776), .Y(n76336) );
+  sky130_fd_sc_hd__nand2_1 U78532 ( .A(n84256), .B(n76336), .Y(n79520) );
+  sky130_fd_sc_hd__nor2b_1 U78533 ( .B_N(n36648), .A(n79520), .Y(n61027) );
+  sky130_fd_sc_hd__a22oi_1 U78534 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[2]), .A2(n62968), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[1]), .B2(n59947), .Y(n59951) );
+  sky130_fd_sc_hd__o22ai_1 U78535 ( .A1(n59948), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[0]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[1]), .B2(n59947), .Y(n59950) );
+  sky130_fd_sc_hd__o22ai_1 U78536 ( .A1(n62968), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[2]), .B1(n76546), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[3]), .Y(n59949) );
+  sky130_fd_sc_hd__a21oi_1 U78537 ( .A1(n59951), .A2(n59950), .B1(n59949), .Y(
+        n59953) );
+  sky130_fd_sc_hd__o22ai_1 U78538 ( .A1(n85430), .A2(n61249), .B1(n85429), 
+        .B2(n61247), .Y(n59952) );
+  sky130_fd_sc_hd__o22ai_1 U78539 ( .A1(n59953), .A2(n59952), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[4]), .B2(n61149), .Y(n59954) );
+  sky130_fd_sc_hd__a22oi_1 U78540 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_opcode[2]), .B1(n83823), .B2(n85434), .Y(n83826) );
+  sky130_fd_sc_hd__a31oi_1 U78541 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_valid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_ctrl_fence_i), .A3(n72674), .B1(n36487), .Y(n82615) );
+  sky130_fd_sc_hd__nor4b_1 U78542 ( .D_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_s_0_state[1]), 
+        .A(MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_s_0_state[0]), 
+        .B(n59959), .C(n77474), .Y(n83533) );
+  sky130_fd_sc_hd__nand2_1 U78543 ( .A(n59960), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_state_1), .Y(n59961)
+         );
+  sky130_fd_sc_hd__nand2_1 U78544 ( .A(n77473), .B(n59961), .Y(n59963) );
+  sky130_fd_sc_hd__nor2_4 U78545 ( .A(n84148), .B(n59965), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N15)
+         );
+  sky130_fd_sc_hd__nand2_1 U78546 ( .A(n83351), .B(n80418), .Y(n36194) );
+  sky130_fd_sc_hd__nand2_1 U78547 ( .A(n36801), .B(n80419), .Y(n36193) );
+  sky130_fd_sc_hd__nor2_1 U78548 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_io_full), .B(n63526), .Y(n62848) );
+  sky130_fd_sc_hd__nand2_1 U78550 ( .A(n81039), .B(n63696), .Y(n59970) );
+  sky130_fd_sc_hd__nand2_1 U78551 ( .A(n49230), .B(n59970), .Y(n36139) );
+  sky130_fd_sc_hd__nand2_1 U78552 ( .A(n79545), .B(n36648), .Y(n60135) );
+  sky130_fd_sc_hd__nor2_1 U78553 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[10]), .Y(n59972) );
+  sky130_fd_sc_hd__nand3_1 U78554 ( .A(n59973), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[11]), .C(n59972), .Y(n78546) );
+  sky130_fd_sc_hd__nand2b_2 U78555 ( .A_N(n78546), .B(n72568), .Y(n36576) );
+  sky130_fd_sc_hd__nand2b_1 U78556 ( .A_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_maybe_full), .B(n59974), .Y(n60426) );
+  sky130_fd_sc_hd__nor2_1 U78557 ( .A(n60426), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .Y(n75817) );
+  sky130_fd_sc_hd__nor2_2 U78559 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N43), 
+        .B(n84148), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N16)
+         );
+  sky130_fd_sc_hd__inv_4 U78560 ( .A(n85883), .Y(n83033) );
+  sky130_fd_sc_hd__nand3_1 U78561 ( .A(n59977), .B(n59976), .C(n83042), .Y(
+        n60328) );
+  sky130_fd_sc_hd__nor3_1 U78562 ( .A(n59978), .B(n78360), .C(n60328), .Y(
+        n74114) );
+  sky130_fd_sc_hd__nor2_1 U78563 ( .A(n78347), .B(n59979), .Y(n73868) );
+  sky130_fd_sc_hd__nor2_1 U78564 ( .A(n83821), .B(n70352), .Y(n68894) );
+  sky130_fd_sc_hd__nor3_1 U78565 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_btb_resp_valid), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .C(n72805), .Y(n59987) );
+  sky130_fd_sc_hd__a21oi_1 U78566 ( .A1(n42921), .A2(n72802), .B1(n59980), .Y(
+        n59981) );
+  sky130_fd_sc_hd__nand2b_1 U78567 ( .A_N(n59982), .B(n59981), .Y(n73130) );
+  sky130_fd_sc_hd__nand2_1 U78568 ( .A(n59983), .B(n37462), .Y(n72751) );
+  sky130_fd_sc_hd__nor3_1 U78569 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_taken), .B(n72810), .C(n72751), .Y(n59986) );
+  sky130_fd_sc_hd__inv_4 U78570 ( .A(n38421), .Y(n73179) );
+  sky130_fd_sc_hd__nand2_1 U78571 ( .A(n66791), .B(n59988), .Y(n59990) );
+  sky130_fd_sc_hd__nand3b_1 U78572 ( .A_N(n84166), .B(n63314), .C(n59989), .Y(
+        n61033) );
+  sky130_fd_sc_hd__nor2_1 U78573 ( .A(n59990), .B(n61033), .Y(n61695) );
+  sky130_fd_sc_hd__nand2_1 U78574 ( .A(n61695), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_repeater_io_full), .Y(n63699) );
+  sky130_fd_sc_hd__nand3_1 U78576 ( .A(n59994), .B(n59993), .C(n63156), .Y(
+        n80423) );
+  sky130_fd_sc_hd__nand2_1 U78577 ( .A(n80423), .B(n86752), .Y(n36187) );
+  sky130_fd_sc_hd__nand2_1 U78578 ( .A(n59995), .B(n63526), .Y(n66788) );
+  sky130_fd_sc_hd__o31a_1 U78579 ( .A1(n62072), .A2(n66788), .A3(n59997), .B1(
+        n59996), .X(n59998) );
+  sky130_fd_sc_hd__nand2_1 U78581 ( .A(n81028), .B(n37037), .Y(n36152) );
+  sky130_fd_sc_hd__nand2_1 U78582 ( .A(n81027), .B(n37039), .Y(n36153) );
+  sky130_fd_sc_hd__nand3_1 U78583 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[2]), .C(n83310), .Y(n60137) );
+  sky130_fd_sc_hd__nor3_1 U78585 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter[6]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter[5]), .Y(n77438) );
+  sky130_fd_sc_hd__and3_1 U78586 ( .A(n60003), .B(n60002), .C(n60001), .X(
+        n60004) );
+  sky130_fd_sc_hd__nand4_1 U78588 ( .A(n77438), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter[0]), .C(n60004), .D(n74044), .Y(n60005) );
+  sky130_fd_sc_hd__nand2_1 U78589 ( .A(n74045), .B(n60005), .Y(n60006) );
+  sky130_fd_sc_hd__mux2_2 U78590 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_saved_opcode[2]), .A1(n61167), .S(n63512), .X(n60007) );
+  sky130_fd_sc_hd__nand2_1 U78591 ( .A(n60008), .B(n60007), .Y(n73758) );
+  sky130_fd_sc_hd__nor4_1 U78592 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_io_full), .B(n60915), .C(n61090), .D(n60009), .Y(n73787) );
+  sky130_fd_sc_hd__nand2b_1 U78593 ( .A_N(n62858), .B(n73777), .Y(n67260) );
+  sky130_fd_sc_hd__nand2_1 U78594 ( .A(n81036), .B(n49230), .Y(n36145) );
+  sky130_fd_sc_hd__nand2_1 U78595 ( .A(n60016), .B(n80736), .Y(n80724) );
+  sky130_fd_sc_hd__nand2_1 U78596 ( .A(n86753), .B(n80724), .Y(n36169) );
+  sky130_fd_sc_hd__clkinv_1 U78597 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_back_io_deq_bits_mask[1]), .Y(
+        n60312) );
+  sky130_fd_sc_hd__nor3_1 U78598 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_bindex[8]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_bindex[9]), .C(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_bindex[7]), .Y(n82222) );
+  sky130_fd_sc_hd__nor3_1 U78599 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_opcode_0_), .B(
+        n81441), .C(n82268), .Y(n84229) );
+  sky130_fd_sc_hd__nand2_1 U78600 ( .A(n84229), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_back_io_deq_bits_mask[0]), .Y(
+        n81498) );
+  sky130_fd_sc_hd__nor2_1 U78601 ( .A(n60312), .B(n81498), .Y(n84227) );
+  sky130_fd_sc_hd__nand3_1 U78602 ( .A(n84227), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_back_io_deq_bits_mask[3]), .C(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_back_io_deq_bits_mask[2]), .Y(
+        n81499) );
+  sky130_fd_sc_hd__nor2_1 U78603 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[5]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[3]), .Y(n77658) );
+  sky130_fd_sc_hd__nand3b_1 U78604 ( .A_N(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[6]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[4]), .C(n77658), .Y(
+        n81512) );
+  sky130_fd_sc_hd__clkinv_1 U78605 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[2]), .Y(n81511) );
+  sky130_fd_sc_hd__clkinv_1 U78606 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[0]), .Y(n81544) );
+  sky130_fd_sc_hd__nand3_1 U78607 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[1]), .B(n81511), .C(
+        n81544), .Y(n81504) );
+  sky130_fd_sc_hd__nor2_1 U78608 ( .A(n81512), .B(n81504), .Y(n82188) );
+  sky130_fd_sc_hd__clkinv_1 U78609 ( .A(n82188), .Y(n81972) );
+  sky130_fd_sc_hd__nor3_1 U78610 ( .A(n85911), .B(n81499), .C(n81972), .Y(
+        n78644) );
+  sky130_fd_sc_hd__clkinv_1 U78611 ( .A(n78644), .Y(n36330) );
+  sky130_fd_sc_hd__nor2_1 U78612 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_cfg_a[1]), .B(n60017), .Y(n74262) );
+  sky130_fd_sc_hd__a21oi_1 U78613 ( .A1(n74262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_cfg_l), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_cfg_l), .Y(n60018) );
+  sky130_fd_sc_hd__and3_1 U78614 ( .A(n60019), .B(n59913), .C(n60018), .X(
+        n86336) );
+  sky130_fd_sc_hd__nor2_1 U78615 ( .A(n85475), .B(n85476), .Y(n60021) );
+  sky130_fd_sc_hd__nand3_1 U78616 ( .A(n82501), .B(n60021), .C(n60020), .Y(
+        n82499) );
+  sky130_fd_sc_hd__nand2_1 U78617 ( .A(n83823), .B(n85434), .Y(n60022) );
+  sky130_fd_sc_hd__nor2_1 U78618 ( .A(n60022), .B(n82447), .Y(n60023) );
+  sky130_fd_sc_hd__and3_1 U78619 ( .A(n82499), .B(n60024), .C(n60023), .X(
+        n85947) );
+  sky130_fd_sc_hd__nand2b_1 U78620 ( .A_N(n83821), .B(n60025), .Y(n60026) );
+  sky130_fd_sc_hd__nand2_1 U78621 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[1]), .B(n83692), .Y(n83690) );
+  sky130_fd_sc_hd__nor2_1 U78622 ( .A(n60027), .B(n83690), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N146) );
+  sky130_fd_sc_hd__clkbuf_1 U78623 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N146), .X(n86460) );
+  sky130_fd_sc_hd__nor2_1 U78624 ( .A(n83687), .B(n83690), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N145) );
+  sky130_fd_sc_hd__clkbuf_1 U78625 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N145), .X(n86459) );
+  sky130_fd_sc_hd__and3_1 U78626 ( .A(n64013), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_valid), .C(n63923), .X(n60036) );
+  sky130_fd_sc_hd__nand2_1 U78627 ( .A(n60036), .B(n60354), .Y(n60361) );
+  sky130_fd_sc_hd__nor2_1 U78628 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_cfg_a[1]), .B(n60028), .Y(n74497) );
+  sky130_fd_sc_hd__o21ai_1 U78629 ( .A1(n71188), .A2(n71001), .B1(n70792), .Y(
+        n60029) );
+  sky130_fd_sc_hd__nor3_1 U78630 ( .A(n83821), .B(n60030), .C(n60029), .Y(
+        n86489) );
+  sky130_fd_sc_hd__clkbuf_1 U78631 ( .A(n86489), .X(n86389) );
+  sky130_fd_sc_hd__nor2_1 U78632 ( .A(n60031), .B(n60048), .Y(n60032) );
+  sky130_fd_sc_hd__nand2_1 U78633 ( .A(n60032), .B(n63922), .Y(n60039) );
+  sky130_fd_sc_hd__nand2_1 U78634 ( .A(n60032), .B(n64013), .Y(n60040) );
+  sky130_fd_sc_hd__nand2_1 U78635 ( .A(n60033), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_cfg_a[0]), .Y(n74895) );
+  sky130_fd_sc_hd__nor3_1 U78637 ( .A(n83821), .B(n60035), .C(n60034), .Y(
+        n86490) );
+  sky130_fd_sc_hd__clkbuf_1 U78638 ( .A(n86490), .X(n86390) );
+  sky130_fd_sc_hd__nand2_1 U78639 ( .A(n60036), .B(n60048), .Y(n60372) );
+  sky130_fd_sc_hd__nand2_1 U78640 ( .A(n60357), .B(n63913), .Y(n60038) );
+  sky130_fd_sc_hd__nand2_1 U78641 ( .A(n60357), .B(n63979), .Y(n60373) );
+  sky130_fd_sc_hd__nor3_1 U78642 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_a[1]), .B(n60042), .C(n71194), .Y(n60044) );
+  sky130_fd_sc_hd__nor4_1 U78643 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_cfg_l), .B(n60044), .C(n83821), .D(n60043), .Y(n86491) );
+  sky130_fd_sc_hd__clkbuf_1 U78644 ( .A(n86491), .X(n86391) );
+  sky130_fd_sc_hd__nor2_1 U78646 ( .A(n60046), .B(n83887), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N512) );
+  sky130_fd_sc_hd__clkbuf_1 U78647 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N512), .X(n86420) );
+  sky130_fd_sc_hd__nor2_1 U78648 ( .A(n60047), .B(n60015), .Y(n78201) );
+  sky130_fd_sc_hd__nand2b_1 U78649 ( .A_N(n78238), .B(n78201), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N810) );
+  sky130_fd_sc_hd__nor2_1 U78650 ( .A(n60357), .B(n60048), .Y(n63925) );
+  sky130_fd_sc_hd__and2_1 U78651 ( .A(n60049), .B(n63925), .X(n86118) );
+  sky130_fd_sc_hd__nor2_1 U78652 ( .A(n60354), .B(n60357), .Y(n64000) );
+  sky130_fd_sc_hd__and2_1 U78653 ( .A(n60049), .B(n64000), .X(n86119) );
+  sky130_fd_sc_hd__nor2_1 U78655 ( .A(n60367), .B(n85799), .Y(n60050) );
+  sky130_fd_sc_hd__a32oi_1 U78656 ( .A1(n85436), .A2(n78333), .A3(n60051), 
+        .B1(n60050), .B2(n85435), .Y(n60052) );
+  sky130_fd_sc_hd__nor4_1 U78657 ( .A(n60053), .B(n85438), .C(n85437), .D(
+        n60052), .Y(n60054) );
+  sky130_fd_sc_hd__a21oi_1 U78658 ( .A1(n60054), .A2(n78337), .B1(n85439), .Y(
+        n60055) );
+  sky130_fd_sc_hd__nor2_1 U78659 ( .A(n60364), .B(n60055), .Y(n60056) );
+  sky130_fd_sc_hd__o21ai_1 U78660 ( .A1(n60058), .A2(n60057), .B1(n60056), .Y(
+        n83787) );
+  sky130_fd_sc_hd__nor2_2 U78661 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N43), 
+        .B(n83787), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16)
+         );
+  sky130_fd_sc_hd__buf_2 U78662 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N16), 
+        .X(n86465) );
+  sky130_fd_sc_hd__nor2_1 U78663 ( .A(n60059), .B(n83782), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N15)
+         );
+  sky130_fd_sc_hd__clkbuf_1 U78664 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N15), 
+        .X(n86463) );
+  sky130_fd_sc_hd__nor2_1 U78665 ( .A(n60061), .B(n60060), .Y(n86592) );
+  sky130_fd_sc_hd__inv_2 U78666 ( .A(n83807), .Y(n86392) );
+  sky130_fd_sc_hd__nor2_1 U78667 ( .A(n60063), .B(n82450), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N15) );
+  sky130_fd_sc_hd__nand2b_1 U78668 ( .A_N(n68184), .B(n60069), .Y(n60070) );
+  sky130_fd_sc_hd__nand2_1 U78669 ( .A(n60070), .B(n37039), .Y(n36245) );
+  sky130_fd_sc_hd__nand2_1 U78670 ( .A(n60071), .B(n80681), .Y(n60073) );
+  sky130_fd_sc_hd__nand2b_1 U78671 ( .A_N(n62858), .B(n63488), .Y(n63159) );
+  sky130_fd_sc_hd__nand2_1 U78672 ( .A(n60072), .B(n63159), .Y(n67264) );
+  sky130_fd_sc_hd__nand3_1 U78673 ( .A(n60078), .B(n60077), .C(n60076), .Y(
+        n60087) );
+  sky130_fd_sc_hd__nand2_1 U78674 ( .A(n73564), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_12), .Y(n60081) );
+  sky130_fd_sc_hd__nor2_1 U78675 ( .A(n60087), .B(n61174), .Y(n61478) );
+  sky130_fd_sc_hd__nand2_1 U78676 ( .A(n61478), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_io_full), .Y(n63508) );
+  sky130_fd_sc_hd__nand3_1 U78677 ( .A(n72802), .B(n73258), .C(n60089), .Y(
+        n73257) );
+  sky130_fd_sc_hd__nand3_1 U78678 ( .A(n64498), .B(n65310), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_state[0]), .Y(n69712) );
+  sky130_fd_sc_hd__o21ai_1 U78679 ( .A1(n69712), .A2(n60090), .B1(n69709), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N68) );
+  sky130_fd_sc_hd__clkbuf_1 U78680 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N68), .X(n86419) );
+  sky130_fd_sc_hd__and3_1 U78681 ( .A(n60091), .B(n59913), .C(n75631), .X(
+        n85955) );
+  sky130_fd_sc_hd__a31oi_1 U78682 ( .A1(n75496), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_a[0]), .A3(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_l), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_l), .Y(n60092) );
+  sky130_fd_sc_hd__and3_1 U78683 ( .A(n60093), .B(n59913), .C(n60092), .X(
+        n85918) );
+  sky130_fd_sc_hd__a31oi_1 U78684 ( .A1(n75416), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_l), .A3(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_a[0]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_cfg_l), .Y(n60095) );
+  sky130_fd_sc_hd__and3_1 U78685 ( .A(n60096), .B(n59913), .C(n60095), .X(
+        n85951) );
+  sky130_fd_sc_hd__nand2_1 U78686 ( .A(n60097), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_cfg_a[0]), .Y(n75236) );
+  sky130_fd_sc_hd__a21oi_1 U78687 ( .A1(n60098), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_cfg_l), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_l), .Y(n60099) );
+  sky130_fd_sc_hd__and3_1 U78688 ( .A(n60100), .B(n59913), .C(n60099), .X(
+        n85956) );
+  sky130_fd_sc_hd__o21ai_1 U78689 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_status_debug), .A2(n72567), .B1(n59913), .Y(n83885) );
+  sky130_fd_sc_hd__nor2_1 U78690 ( .A(n60101), .B(n83885), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N567) );
+  sky130_fd_sc_hd__clkbuf_1 U78691 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N567), .X(n86421) );
+  sky130_fd_sc_hd__nor3_1 U78692 ( .A(n78403), .B(n61474), .C(n83015), .Y(
+        n60102) );
+  sky130_fd_sc_hd__clkbuf_1 U78693 ( .A(n60102), .X(n86373) );
+  sky130_fd_sc_hd__and2_1 U78694 ( .A(n60103), .B(n59913), .X(n86116) );
+  sky130_fd_sc_hd__and2_1 U78695 ( .A(n60104), .B(n59913), .X(n86117) );
+  sky130_fd_sc_hd__a21oi_1 U78696 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_23[30]), .A2(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_23[25]), .B1(n63130), .Y(n60106) );
+  sky130_fd_sc_hd__a21oi_1 U78698 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[21]), .A2(n60106), .B1(n60105), .Y(n60107) );
+  sky130_fd_sc_hd__a21oi_1 U78699 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[13]), 
+        .A2(n60109), .B1(n60107), .Y(n60108) );
+  sky130_fd_sc_hd__xnor2_1 U78700 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[13]), 
+        .B(n60108), .Y(io_out[27]) );
+  sky130_fd_sc_hd__o32ai_1 U78701 ( .A1(n60109), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[13]), 
+        .A3(n85791), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[13]), .B2(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[13]), 
+        .Y(io_oeb[27]) );
+  sky130_fd_sc_hd__nor2b_1 U78702 ( .B_N(io_out[27]), .A(io_oeb[27]), .Y(
+        la_data_out[13]) );
+  sky130_fd_sc_hd__a21oi_1 U78703 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_23[31]), .A2(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_23[26]), .B1(n60110), .Y(n60112) );
+  sky130_fd_sc_hd__o211ai_1 U78704 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[22]), .A2(n60112), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[14]), 
+        .C1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[14]), 
+        .Y(n60111) );
+  sky130_fd_sc_hd__a21oi_1 U78705 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[22]), .A2(n60112), .B1(n60111), .Y(n60113) );
+  sky130_fd_sc_hd__a21oi_1 U78706 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[14]), 
+        .A2(n60116), .B1(n60113), .Y(n60114) );
+  sky130_fd_sc_hd__xnor2_1 U78707 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[14]), 
+        .B(n60114), .Y(io_out[28]) );
+  sky130_fd_sc_hd__o32ai_1 U78708 ( .A1(n60116), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[14]), 
+        .A3(n60115), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[14]), .B2(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[14]), 
+        .Y(io_oeb[28]) );
+  sky130_fd_sc_hd__nor2b_1 U78709 ( .B_N(io_out[28]), .A(io_oeb[28]), .Y(
+        la_data_out[14]) );
+  sky130_fd_sc_hd__a21oi_1 U78710 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_23[29]), .A2(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[24]), .B1(n67418), .Y(n60118) );
+  sky130_fd_sc_hd__o211ai_1 U78711 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[20]), .A2(n60118), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[12]), 
+        .C1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[12]), 
+        .Y(n60117) );
+  sky130_fd_sc_hd__a21oi_1 U78712 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[20]), .A2(n60118), .B1(n60117), .Y(n60119) );
+  sky130_fd_sc_hd__a21oi_1 U78713 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[12]), 
+        .A2(n60122), .B1(n60119), .Y(n60120) );
+  sky130_fd_sc_hd__xnor2_1 U78714 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[12]), 
+        .B(n60120), .Y(io_out[26]) );
+  sky130_fd_sc_hd__o32ai_1 U78715 ( .A1(n60122), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[12]), 
+        .A3(n60121), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[12]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[12]), .Y(io_oeb[26]) );
+  sky130_fd_sc_hd__nor2b_1 U78716 ( .B_N(io_out[26]), .A(io_oeb[26]), .Y(
+        la_data_out[12]) );
+  sky130_fd_sc_hd__a21oi_1 U78717 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_23[28]), .A2(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_23[27]), .B1(n60123), .Y(n60125) );
+  sky130_fd_sc_hd__o211ai_1 U78718 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[23]), .A2(n60125), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[15]), 
+        .C1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[15]), 
+        .Y(n60124) );
+  sky130_fd_sc_hd__a21oi_1 U78719 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[23]), .A2(n60125), .B1(n60124), .Y(n60126) );
+  sky130_fd_sc_hd__a21oi_1 U78720 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[15]), 
+        .A2(n60128), .B1(n60126), .Y(n60127) );
+  sky130_fd_sc_hd__xnor2_1 U78721 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[15]), 
+        .B(n60127), .Y(io_out[29]) );
+  sky130_fd_sc_hd__o32ai_1 U78722 ( .A1(n60128), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[15]), 
+        .A3(n85792), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[15]), .B2(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[15]), 
+        .Y(io_oeb[29]) );
+  sky130_fd_sc_hd__nor2b_1 U78723 ( .B_N(io_out[29]), .A(io_oeb[29]), .Y(
+        la_data_out[15]) );
+  sky130_fd_sc_hd__nor2b_1 U78724 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_maybe_full), .A(n60130), .Y(n83281) );
+  sky130_fd_sc_hd__nand2b_1 U78725 ( .A_N(n83281), .B(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_valid), .Y(n82461) );
+  sky130_fd_sc_hd__nor2_1 U78726 ( .A(n60131), .B(n82461), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N18) );
+  sky130_fd_sc_hd__clkbuf_1 U78727 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N18), .X(n86415) );
+  sky130_fd_sc_hd__nor2_1 U78728 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N38), .B(n82461), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N19) );
+  sky130_fd_sc_hd__clkbuf_1 U78729 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N19), .X(n86416) );
+  sky130_fd_sc_hd__and2_2 U78730 ( .A(n83999), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_N43), 
+        .X(n85950) );
+  sky130_fd_sc_hd__o21ai_1 U78731 ( .A1(n83821), .A2(n60132), .B1(n36801), .Y(
+        n36558) );
+  sky130_fd_sc_hd__clkbuf_1 U78732 ( .A(n36558), .X(n86401) );
+  sky130_fd_sc_hd__nand2_1 U78733 ( .A(n64498), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_state[1]), .Y(n82472) );
+  sky130_fd_sc_hd__nand2b_1 U78734 ( .A_N(n86393), .B(n82472), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N47) );
+  sky130_fd_sc_hd__o211ai_1 U78735 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_state[2]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[31]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_state[0]), .C1(n65310), .Y(n60133) );
+  sky130_fd_sc_hd__nor3_1 U78736 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pos[2]), .B(n63958), .C(n60134), .Y(n86590) );
+  sky130_fd_sc_hd__nor2_1 U78737 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_io_output_instruction[4]), .B(n60135), .Y(n61165) );
+  sky130_fd_sc_hd__nor2_2 U78738 ( .A(n84126), .B(n60136), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15) );
+  sky130_fd_sc_hd__nand3_1 U78739 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[2]), .B(n83310), .C(n60346), .Y(n60295) );
+  sky130_fd_sc_hd__clkbuf_1 U78740 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_io_deq_bits_deq_bits_reg_io_en), .X(n86403) );
+  sky130_fd_sc_hd__nand3_1 U78741 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[1]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[0]), .C(n81511), .Y(
+        n81481) );
+  sky130_fd_sc_hd__nor2_1 U78742 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[6]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[4]), .Y(n60313) );
+  sky130_fd_sc_hd__nand3_1 U78743 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[5]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[3]), .C(n60313), .Y(
+        n60140) );
+  sky130_fd_sc_hd__nor2_1 U78744 ( .A(n81481), .B(n60140), .Y(n82248) );
+  sky130_fd_sc_hd__clkinv_1 U78745 ( .A(n81499), .Y(n84221) );
+  sky130_fd_sc_hd__and2_1 U78746 ( .A(n82248), .B(n84221), .X(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_69) );
+  sky130_fd_sc_hd__nand3_1 U78747 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[2]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[1]), .C(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[0]), .Y(n81510) );
+  sky130_fd_sc_hd__nor2_1 U78748 ( .A(n60140), .B(n81510), .Y(n82247) );
+  sky130_fd_sc_hd__and2_1 U78749 ( .A(n82247), .B(n84221), .X(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_79) );
+  sky130_fd_sc_hd__nor2_1 U78750 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[1]), .B(n81544), .Y(
+        n60138) );
+  sky130_fd_sc_hd__nand2_1 U78751 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[2]), .B(n60138), .Y(
+        n61181) );
+  sky130_fd_sc_hd__nor2_1 U78752 ( .A(n60140), .B(n61181), .Y(n82249) );
+  sky130_fd_sc_hd__and2_1 U78753 ( .A(n82249), .B(n84221), .X(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_36) );
+  sky130_fd_sc_hd__nand3_1 U78754 ( .A(n81544), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[1]), .C(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[2]), .Y(n78766) );
+  sky130_fd_sc_hd__clkinv_1 U78755 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[3]), .Y(n61187) );
+  sky130_fd_sc_hd__nand3_1 U78756 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[5]), .B(n60313), .C(
+        n61187), .Y(n60139) );
+  sky130_fd_sc_hd__nor2_1 U78757 ( .A(n78766), .B(n60139), .Y(n82260) );
+  sky130_fd_sc_hd__and2_1 U78758 ( .A(n82260), .B(n84221), .X(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_26) );
+  sky130_fd_sc_hd__nor2_1 U78759 ( .A(n81481), .B(n60139), .Y(n82256) );
+  sky130_fd_sc_hd__and2_1 U78760 ( .A(n82256), .B(n84221), .X(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_48) );
+  sky130_fd_sc_hd__nor2_1 U78761 ( .A(n61181), .B(n60139), .Y(n82257) );
+  sky130_fd_sc_hd__and2_1 U78762 ( .A(n82257), .B(n84221), .X(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_20) );
+  sky130_fd_sc_hd__nand2b_1 U78763 ( .A_N(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[1]), .B(n81544), .Y(
+        n60314) );
+  sky130_fd_sc_hd__nor2_1 U78764 ( .A(n81511), .B(n60314), .Y(n81502) );
+  sky130_fd_sc_hd__clkinv_1 U78765 ( .A(n81502), .Y(n84213) );
+  sky130_fd_sc_hd__nor2_1 U78766 ( .A(n84213), .B(n60139), .Y(n82253) );
+  sky130_fd_sc_hd__and2_1 U78767 ( .A(n82253), .B(n84221), .X(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_75) );
+  sky130_fd_sc_hd__nor2_1 U78768 ( .A(n81510), .B(n60139), .Y(n82258) );
+  sky130_fd_sc_hd__and2_1 U78769 ( .A(n82258), .B(n84221), .X(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_44) );
+  sky130_fd_sc_hd__nand2b_1 U78770 ( .A_N(n60140), .B(n81511), .Y(n81837) );
+  sky130_fd_sc_hd__clkinv_1 U78771 ( .A(n60138), .Y(n61180) );
+  sky130_fd_sc_hd__nor2_1 U78772 ( .A(n81837), .B(n61180), .Y(n82259) );
+  sky130_fd_sc_hd__and2_1 U78773 ( .A(n82259), .B(n84221), .X(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_31) );
+  sky130_fd_sc_hd__nor2_1 U78774 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[2]), .B(n60314), .Y(
+        n84214) );
+  sky130_fd_sc_hd__clkinv_1 U78775 ( .A(n84214), .Y(n81503) );
+  sky130_fd_sc_hd__nor2_1 U78776 ( .A(n81503), .B(n60139), .Y(n82193) );
+  sky130_fd_sc_hd__clkinv_1 U78777 ( .A(n82193), .Y(n81663) );
+  sky130_fd_sc_hd__nor2_1 U78778 ( .A(n81499), .B(n81663), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_34) );
+  sky130_fd_sc_hd__clkbuf_1 U78779 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_34), .X(n86408) );
+  sky130_fd_sc_hd__nor2_1 U78780 ( .A(n81504), .B(n60139), .Y(n82255) );
+  sky130_fd_sc_hd__clkinv_1 U78781 ( .A(n82255), .Y(n81838) );
+  sky130_fd_sc_hd__nor2_1 U78782 ( .A(n81499), .B(n81838), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_35) );
+  sky130_fd_sc_hd__clkbuf_1 U78783 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_35), .X(n86409) );
+  sky130_fd_sc_hd__clkinv_1 U78784 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[4]), .Y(n61179) );
+  sky130_fd_sc_hd__or3_1 U78785 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[5]), .B(n61187), .C(
+        n81503), .X(n61182) );
+  sky130_fd_sc_hd__nor3_1 U78786 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[6]), .B(n61179), .C(
+        n61182), .Y(n82192) );
+  sky130_fd_sc_hd__clkinv_1 U78787 ( .A(n82192), .Y(n81942) );
+  sky130_fd_sc_hd__nor2_1 U78788 ( .A(n81499), .B(n81942), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_scale_io_regs_cmp_0_write_valid) );
+  sky130_fd_sc_hd__clkbuf_1 U78789 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_scale_io_regs_cmp_0_write_valid), .X(n86411) );
+  sky130_fd_sc_hd__nand2_1 U78790 ( .A(n60138), .B(n81511), .Y(n81494) );
+  sky130_fd_sc_hd__or2_0 U78791 ( .A(n60139), .B(n81494), .X(n81839) );
+  sky130_fd_sc_hd__nor2_1 U78792 ( .A(n81499), .B(n81839), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_28) );
+  sky130_fd_sc_hd__clkbuf_1 U78793 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_28), .X(n86407) );
+  sky130_fd_sc_hd__nor2_1 U78794 ( .A(n81504), .B(n60140), .Y(n82252) );
+  sky130_fd_sc_hd__nor2b_1 U78795 ( .B_N(n82252), .A(n81499), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_18) );
+  sky130_fd_sc_hd__nor2_1 U78796 ( .A(n84213), .B(n60140), .Y(n82250) );
+  sky130_fd_sc_hd__nor2b_1 U78797 ( .B_N(n82250), .A(n81499), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_39) );
+  sky130_fd_sc_hd__nor2_1 U78798 ( .A(n78766), .B(n60140), .Y(n82251) );
+  sky130_fd_sc_hd__nor2b_1 U78799 ( .B_N(n82251), .A(n81499), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_22) );
+  sky130_fd_sc_hd__nor2_1 U78800 ( .A(n81512), .B(n81481), .Y(n81971) );
+  sky130_fd_sc_hd__clkinv_1 U78801 ( .A(n81971), .Y(n81945) );
+  sky130_fd_sc_hd__nor3_1 U78802 ( .A(n85911), .B(n81499), .C(n81945), .Y(
+        n78726) );
+  sky130_fd_sc_hd__clkinv_1 U78803 ( .A(n78726), .Y(n36331) );
+  sky130_fd_sc_hd__nand4_1 U78804 ( .A(n81281), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_MPORT_addr[0]), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_MPORT_addr[1]), .D(n81283), .Y(n81278) );
+  sky130_fd_sc_hd__nand4_1 U78805 ( .A(n80393), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_MPORT_addr[0]), .C(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_MPORT_addr[1]), .D(n80388), .Y(n80391) );
+  sky130_fd_sc_hd__a22o_1 U78806 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[9]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[41]), .X(n64198) );
+  sky130_fd_sc_hd__a22o_1 U78807 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[8]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[40]), .X(n65078) );
+  sky130_fd_sc_hd__a22o_1 U78808 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[7]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[39]), .X(n64469) );
+  sky130_fd_sc_hd__a22o_1 U78809 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[6]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[38]), .X(n65141) );
+  sky130_fd_sc_hd__a22o_1 U78810 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[5]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[37]), .X(n65226) );
+  sky130_fd_sc_hd__a22o_1 U78811 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[4]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[36]), .X(n64227) );
+  sky130_fd_sc_hd__a22o_1 U78812 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[3]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[35]), .X(n64283) );
+  sky130_fd_sc_hd__a22o_1 U78813 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[2]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[34]), .X(n64414) );
+  sky130_fd_sc_hd__a22o_1 U78814 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[19]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[51]), .X(n78419) );
+  sky130_fd_sc_hd__a22o_1 U78815 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[18]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[50]), .X(n78416) );
+  sky130_fd_sc_hd__a22o_1 U78816 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[17]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[49]), .X(n64205) );
+  sky130_fd_sc_hd__mux2_2 U78817 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[48]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[16]), .S(n36851), .X(n68441) );
+  sky130_fd_sc_hd__a22o_1 U78818 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[15]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[47]), .X(n64464) );
+  sky130_fd_sc_hd__a22o_1 U78819 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[14]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[46]), .X(n65146) );
+  sky130_fd_sc_hd__a22o_1 U78820 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[13]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[45]), .X(n64723) );
+  sky130_fd_sc_hd__a22o_1 U78821 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[12]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[44]), .X(n64175) );
+  sky130_fd_sc_hd__a22o_1 U78822 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[11]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[43]), .X(n64292) );
+  sky130_fd_sc_hd__a22o_1 U78823 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[10]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[42]), .X(n64424) );
+  sky130_fd_sc_hd__a22o_1 U78824 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[31]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[63]), .X(n78457) );
+  sky130_fd_sc_hd__a22o_1 U78825 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[30]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[62]), .X(n78452) );
+  sky130_fd_sc_hd__a22o_1 U78826 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[29]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[61]), .X(n78449) );
+  sky130_fd_sc_hd__a22o_1 U78827 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[28]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[60]), .X(n78446) );
+  sky130_fd_sc_hd__a22o_1 U78828 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[27]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[59]), .X(n78443) );
+  sky130_fd_sc_hd__a22o_1 U78829 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[26]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[58]), .X(n78440) );
+  sky130_fd_sc_hd__a22o_1 U78830 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[25]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[57]), .X(n78437) );
+  sky130_fd_sc_hd__a22o_1 U78831 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[24]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[56]), .X(n78434) );
+  sky130_fd_sc_hd__a22o_1 U78832 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[23]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[55]), .X(n78431) );
+  sky130_fd_sc_hd__a22o_1 U78833 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[22]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[54]), .X(n78428) );
+  sky130_fd_sc_hd__a22o_1 U78834 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[21]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[53]), .X(n78425) );
+  sky130_fd_sc_hd__a22o_1 U78835 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[20]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[52]), .X(n78422) );
+  sky130_fd_sc_hd__a22o_1 U78836 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[1]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[33]), .X(n64189) );
+  sky130_fd_sc_hd__a22o_1 U78837 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[0]), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_data[32]), .X(n70203) );
+  sky130_fd_sc_hd__nor4_1 U78838 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[10]), .B(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[9]), 
+        .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[6]), .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[8]), 
+        .Y(n60144) );
+  sky130_fd_sc_hd__nor4_1 U78839 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[7]), .B(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[3]), 
+        .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[5]), .D(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[4]), 
+        .Y(n60143) );
+  sky130_fd_sc_hd__nor2_1 U78840 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[0]), .B(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[2]), 
+        .Y(n60142) );
+  sky130_fd_sc_hd__nand3_1 U78841 ( .A(n60144), .B(n60143), .C(n60142), .Y(
+        n60145) );
+  sky130_fd_sc_hd__nor4_1 U78842 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[12]), .B(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[11]), .C(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[1]), 
+        .D(n60145), .Y(n61193) );
+  sky130_fd_sc_hd__nand2_1 U78843 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_state), 
+        .B(n61193), .Y(n78954) );
+  sky130_fd_sc_hd__nand2_1 U78844 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[0]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[1]), .Y(n78940) );
+  sky130_fd_sc_hd__nor4_1 U78845 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[3]), .B(n78941), .C(n78954), .D(n78940), .Y(n77779) );
+  sky130_fd_sc_hd__nor4_1 U78846 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[6]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[5]), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[4]), .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[7]), .Y(n78951) );
+  sky130_fd_sc_hd__nor2b_1 U78847 ( .B_N(n77779), .A(n78951), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N77) );
+  sky130_fd_sc_hd__nor4_1 U78848 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[10]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[9]), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[6]), .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[8]), .Y(n60148) );
+  sky130_fd_sc_hd__nor4_1 U78849 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[7]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[3]), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[5]), .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[4]), .Y(n60147) );
+  sky130_fd_sc_hd__nor2_1 U78850 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[0]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[2]), .Y(n60146) );
+  sky130_fd_sc_hd__nand3_1 U78851 ( .A(n60148), .B(n60147), .C(n60146), .Y(
+        n60149) );
+  sky130_fd_sc_hd__nor4_1 U78852 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[12]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[11]), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[1]), .D(n60149), .Y(n77292) );
+  sky130_fd_sc_hd__nand2_1 U78853 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_state), 
+        .B(n77292), .Y(n79133) );
+  sky130_fd_sc_hd__nand2_1 U78854 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[1]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[0]), .Y(n79115) );
+  sky130_fd_sc_hd__nor4_1 U78855 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[3]), .B(n79116), .C(n79133), .D(n79115), .Y(n77799) );
+  sky130_fd_sc_hd__nor4_1 U78856 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[6]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[5]), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[4]), .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[7]), .Y(n79129) );
+  sky130_fd_sc_hd__nor2b_1 U78857 ( .B_N(n77799), .A(n79129), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N77) );
+  sky130_fd_sc_hd__and2_2 U78858 ( .A(n82457), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_N38), .X(n85946) );
+  sky130_fd_sc_hd__a21oi_1 U78859 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_fmt_proto[0]), .A2(n80541), .B1(n80542), .Y(n80527) );
+  sky130_fd_sc_hd__nor2b_1 U78860 ( .B_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_fmt_iodir), .A(n80527), .Y(n77492) );
+  sky130_fd_sc_hd__nand2_1 U78861 ( .A(n73568), .B(n73563), .Y(n83676) );
+  sky130_fd_sc_hd__nand2_1 U78862 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_flush_pipe), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_valid), .Y(n60152) );
+  sky130_fd_sc_hd__and3_1 U78863 ( .A(n37198), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_mem), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_rxs2), .X(n86347) );
+  sky130_fd_sc_hd__a21o_1 U78864 ( .A1(n61857), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_fmt_proto[0]), .B1(n80849), .X(n80838) );
+  sky130_fd_sc_hd__nand2_1 U78865 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_fmt_iodir), .B(n80838), .Y(io_oeb[11]) );
+  sky130_fd_sc_hd__nor2_1 U78866 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_fmt_proto[0]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_fmt_proto[1]), .Y(n80835) );
+  sky130_fd_sc_hd__nor2b_1 U78867 ( .B_N(io_oeb[11]), .A(n80835), .Y(
+        io_oeb[10]) );
+  sky130_fd_sc_hd__nor2b_1 U78868 ( .B_N(n60154), .A(n83676), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_N40) );
+  sky130_fd_sc_hd__nor2_1 U78869 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[0]), 
+        .B(n60155), .Y(n60157) );
+  sky130_fd_sc_hd__o22ai_1 U78870 ( .A1(n60157), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[0]), 
+        .B1(n77524), .B2(MarmotCaravelChip_dut_sys_spi_0_cs_0), .Y(n60156) );
+  sky130_fd_sc_hd__xnor2_1 U78871 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[0]), 
+        .B(n60156), .Y(io_out[14]) );
+  sky130_fd_sc_hd__nor2_1 U78872 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146_0), 
+        .B(n60157), .Y(io_oeb[14]) );
+  sky130_fd_sc_hd__nor2b_1 U78873 ( .B_N(io_out[14]), .A(io_oeb[14]), .Y(
+        la_data_out[0]) );
+  sky130_fd_sc_hd__nor2_1 U78874 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[24]), 
+        .B(n60158), .Y(n60160) );
+  sky130_fd_sc_hd__o22ai_1 U78875 ( .A1(n60160), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[24]), 
+        .B1(n77493), .B2(MarmotCaravelChip_dut_sys_spi_0_cs_1), .Y(n60159) );
+  sky130_fd_sc_hd__xnor2_1 U78876 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[24]), 
+        .B(n60159), .Y(io_out[7]) );
+  sky130_fd_sc_hd__nor2_1 U78877 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[24]), .B(n60160), .Y(io_oeb[7]) );
+  sky130_fd_sc_hd__nor2b_1 U78878 ( .B_N(io_out[7]), .A(io_oeb[7]), .Y(
+        la_data_out[24]) );
+  sky130_fd_sc_hd__nor2_1 U78879 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[1]), 
+        .B(n60161), .Y(n60163) );
+  sky130_fd_sc_hd__o22ai_1 U78880 ( .A1(n60163), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[1]), 
+        .B1(n77523), .B2(MarmotCaravelChip_dut_sys_spi_0_sck), .Y(n60162) );
+  sky130_fd_sc_hd__xnor2_1 U78881 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[1]), 
+        .B(n60162), .Y(io_out[15]) );
+  sky130_fd_sc_hd__nor2_1 U78882 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146_1), 
+        .B(n60163), .Y(io_oeb[15]) );
+  sky130_fd_sc_hd__nor2b_1 U78883 ( .B_N(io_out[15]), .A(io_oeb[15]), .Y(
+        la_data_out[1]) );
+  sky130_fd_sc_hd__nor2_1 U78884 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[4]), 
+        .B(n60164), .Y(n77514) );
+  sky130_fd_sc_hd__o22ai_1 U78885 ( .A1(n77514), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[4]), 
+        .B1(n60166), .B2(MarmotCaravelChip_dut_sys_spi_0_dq_2_o), .Y(n60165)
+         );
+  sky130_fd_sc_hd__xnor2_1 U78886 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[4]), 
+        .B(n60165), .Y(io_out[18]) );
+  sky130_fd_sc_hd__nor2_1 U78887 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_fmt_proto[0]), .B(n80207), .Y(n80239) );
+  sky130_fd_sc_hd__nand2_1 U78888 ( .A(n80239), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_fmt_iodir), .Y(n60169) );
+  sky130_fd_sc_hd__nor2_1 U78889 ( .A(n60169), .B(n60166), .Y(n77516) );
+  sky130_fd_sc_hd__a21oi_1 U78890 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146_4), 
+        .A2(n60166), .B1(n77516), .Y(io_oeb[18]) );
+  sky130_fd_sc_hd__nor2b_1 U78891 ( .B_N(io_out[18]), .A(io_oeb[18]), .Y(
+        la_data_out[4]) );
+  sky130_fd_sc_hd__nor2_1 U78892 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[5]), 
+        .B(n60167), .Y(n77511) );
+  sky130_fd_sc_hd__o22ai_1 U78893 ( .A1(n77511), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[5]), 
+        .B1(n60170), .B2(MarmotCaravelChip_dut_sys_spi_0_dq_3_o), .Y(n60168)
+         );
+  sky130_fd_sc_hd__xnor2_1 U78894 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[5]), 
+        .B(n60168), .Y(io_out[19]) );
+  sky130_fd_sc_hd__nor2_1 U78895 ( .A(n60170), .B(n60169), .Y(n77513) );
+  sky130_fd_sc_hd__a21oi_1 U78896 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146_5), 
+        .A2(n60170), .B1(n77513), .Y(io_oeb[19]) );
+  sky130_fd_sc_hd__nor2b_1 U78897 ( .B_N(io_out[19]), .A(io_oeb[19]), .Y(
+        la_data_out[5]) );
+  sky130_fd_sc_hd__a222oi_1 U78898 ( .A1(n60177), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[9]), .B1(n78453), .B2(n64198), .C1(n78456), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[9]), .Y(n60172) );
+  sky130_fd_sc_hd__a222oi_1 U78899 ( .A1(n60177), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[8]), .B1(n78453), .B2(n65078), .C1(n78456), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[8]), .Y(n60173) );
+  sky130_fd_sc_hd__a222oi_1 U78900 ( .A1(n60177), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[7]), .B1(n78453), .B2(n64469), .C1(n78456), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[7]), .Y(n60174) );
+  sky130_fd_sc_hd__a222oi_1 U78901 ( .A1(n60177), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[6]), .B1(n78453), .B2(n65141), .C1(n78456), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[6]), .Y(n60175) );
+  sky130_fd_sc_hd__a222oi_1 U78902 ( .A1(n60177), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[5]), .B1(n78453), .B2(n65226), .C1(n78456), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[5]), .Y(n60176) );
+  sky130_fd_sc_hd__a222oi_1 U78903 ( .A1(n60177), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[4]), .B1(n78453), .B2(n64227), .C1(n78456), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[4]), .Y(n60178) );
+  sky130_fd_sc_hd__a222oi_1 U78904 ( .A1(n60177), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[3]), .B1(n78453), .B2(n64283), .C1(n78456), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[3]), .Y(n60179) );
+  sky130_fd_sc_hd__a222oi_1 U78905 ( .A1(n60177), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[2]), .B1(n78453), .B2(n64414), .C1(n78456), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[2]), .Y(n60180) );
+  sky130_fd_sc_hd__a222oi_1 U78906 ( .A1(n60177), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[1]), .B1(n78453), .B2(n64189), .C1(n78456), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[1]), .Y(n60181) );
+  sky130_fd_sc_hd__a222oi_1 U78907 ( .A1(n60177), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[17]), .B1(n78453), .B2(n64205), .C1(n78456), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[17]), .Y(n60182) );
+  sky130_fd_sc_hd__a222oi_1 U78908 ( .A1(n60177), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[15]), .B1(n78453), .B2(n64464), .C1(n78456), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[15]), .Y(n60183) );
+  sky130_fd_sc_hd__a222oi_1 U78909 ( .A1(n60177), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[14]), .B1(n78453), .B2(n65146), .C1(n78456), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[14]), .Y(n60184) );
+  sky130_fd_sc_hd__a222oi_1 U78910 ( .A1(n60177), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[13]), .B1(n78453), .B2(n64723), .C1(n78456), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[13]), .Y(n60185) );
+  sky130_fd_sc_hd__a222oi_1 U78911 ( .A1(n60177), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[12]), .B1(n78453), .B2(n64175), .C1(n78456), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[12]), .Y(n60186) );
+  sky130_fd_sc_hd__a222oi_1 U78912 ( .A1(n60177), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[11]), .B1(n78453), .B2(n64292), .C1(n78456), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[11]), .Y(n60187) );
+  sky130_fd_sc_hd__a222oi_1 U78913 ( .A1(n60177), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[10]), .B1(n78453), .B2(n64424), .C1(n78456), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[10]), .Y(n60188) );
+  sky130_fd_sc_hd__a222oi_1 U78914 ( .A1(n60177), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[0]), .B1(n78453), .B2(n70203), .C1(n78456), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[0]), .Y(n60189) );
+  sky130_fd_sc_hd__nor2_1 U78915 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[3]), 
+        .B(n60190), .Y(n77517) );
+  sky130_fd_sc_hd__o22ai_1 U78916 ( .A1(n77517), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[3]), 
+        .B1(n60192), .B2(MarmotCaravelChip_dut_sys_spi_0_dq_1_o), .Y(n60191)
+         );
+  sky130_fd_sc_hd__xnor2_1 U78917 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[3]), 
+        .B(n60191), .Y(io_out[17]) );
+  sky130_fd_sc_hd__nor2_1 U78918 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_fmt_proto[1]), .B(n80185), .Y(n80240) );
+  sky130_fd_sc_hd__nor2_1 U78919 ( .A(n80239), .B(n80240), .Y(n80196) );
+  sky130_fd_sc_hd__nand2b_1 U78920 ( .A_N(n80196), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_fmt_iodir), .Y(n60196) );
+  sky130_fd_sc_hd__nor2_1 U78921 ( .A(n60196), .B(n60192), .Y(n77519) );
+  sky130_fd_sc_hd__a21oi_1 U78922 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146_3), 
+        .A2(n60192), .B1(n77519), .Y(io_oeb[17]) );
+  sky130_fd_sc_hd__nor2b_1 U78923 ( .B_N(io_out[17]), .A(io_oeb[17]), .Y(
+        la_data_out[3]) );
+  sky130_fd_sc_hd__a222oi_1 U78924 ( .A1(n68441), .A2(n78453), .B1(n78456), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[16]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[16]), .C2(n60177), .Y(n60193) );
+  sky130_fd_sc_hd__nor2_1 U78925 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[2]), 
+        .B(n60194), .Y(n77520) );
+  sky130_fd_sc_hd__o22ai_1 U78926 ( .A1(n77520), .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[2]), 
+        .B1(n60197), .B2(MarmotCaravelChip_dut_sys_spi_0_dq_0_o), .Y(n60195)
+         );
+  sky130_fd_sc_hd__xnor2_1 U78927 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[2]), 
+        .B(n60195), .Y(io_out[16]) );
+  sky130_fd_sc_hd__nand2_1 U78928 ( .A(n80207), .B(n80185), .Y(n80193) );
+  sky130_fd_sc_hd__a21oi_1 U78929 ( .A1(n80193), .A2(n60196), .B1(n60197), .Y(
+        n77522) );
+  sky130_fd_sc_hd__a21oi_1 U78930 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146_2), 
+        .A2(n60197), .B1(n77522), .Y(io_oeb[16]) );
+  sky130_fd_sc_hd__nor2b_1 U78931 ( .B_N(io_out[16]), .A(io_oeb[16]), .Y(
+        la_data_out[2]) );
+  sky130_fd_sc_hd__a21oi_1 U78932 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_23[30]), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_23[25]), .B1(n60198), .Y(n60199) );
+  sky130_fd_sc_hd__xnor2_1 U78933 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[21]), .B(n60199), .Y(n60201) );
+  sky130_fd_sc_hd__o21ai_1 U78934 ( .A1(MarmotCaravelChip_dut_sys_uart_1_txd), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[17]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[17]), 
+        .Y(n60200) );
+  sky130_fd_sc_hd__a21oi_1 U78935 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[17]), 
+        .A2(n60201), .B1(n60200), .Y(n60202) );
+  sky130_fd_sc_hd__a21oi_1 U78936 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[17]), 
+        .A2(n77503), .B1(n60202), .Y(n60203) );
+  sky130_fd_sc_hd__xnor2_1 U78937 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[17]), 
+        .B(n60203), .Y(io_out[31]) );
+  sky130_fd_sc_hd__nor2_1 U78938 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[17]), 
+        .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[17]), .Y(io_oeb[31]) );
+  sky130_fd_sc_hd__nor2b_1 U78939 ( .B_N(io_out[31]), .A(io_oeb[31]), .Y(
+        la_data_out[17]) );
+  sky130_fd_sc_hd__a21oi_1 U78940 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_23[28]), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_23[27]), .B1(n60204), .Y(n60205) );
+  sky130_fd_sc_hd__xnor2_1 U78941 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[23]), .B(n60205), .Y(n60207) );
+  sky130_fd_sc_hd__o21ai_1 U78942 ( .A1(MarmotCaravelChip_dut_sys_uart_2_txd), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[19]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[19]), 
+        .Y(n60206) );
+  sky130_fd_sc_hd__a21oi_1 U78943 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[19]), 
+        .A2(n60207), .B1(n60206), .Y(n60208) );
+  sky130_fd_sc_hd__a21oi_1 U78944 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[19]), 
+        .A2(n77500), .B1(n60208), .Y(n60209) );
+  sky130_fd_sc_hd__xnor2_1 U78945 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[19]), 
+        .B(n60209), .Y(io_out[33]) );
+  sky130_fd_sc_hd__nor2_1 U78946 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[19]), .B(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[19]), 
+        .Y(io_oeb[33]) );
+  sky130_fd_sc_hd__nor2b_1 U78947 ( .B_N(io_out[33]), .A(io_oeb[33]), .Y(
+        la_data_out[19]) );
+  sky130_fd_sc_hd__a21oi_1 U78948 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23[28]), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23[27]), .B1(n60210), .Y(n60211) );
+  sky130_fd_sc_hd__xnor2_1 U78949 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_16[23]), .B(n60211), .Y(n60213) );
+  sky130_fd_sc_hd__o21ai_1 U78950 ( .A1(MarmotCaravelChip_dut_sys_uart_4_txd), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[23]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[23]), 
+        .Y(n60212) );
+  sky130_fd_sc_hd__a21oi_1 U78951 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[23]), 
+        .A2(n60213), .B1(n60212), .Y(n60214) );
+  sky130_fd_sc_hd__a21oi_1 U78952 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[23]), 
+        .A2(n77494), .B1(n60214), .Y(n60215) );
+  sky130_fd_sc_hd__xnor2_1 U78953 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[23]), 
+        .B(n60215), .Y(io_out[37]) );
+  sky130_fd_sc_hd__nor2_1 U78954 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[23]), .B(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[23]), 
+        .Y(io_oeb[37]) );
+  sky130_fd_sc_hd__nor2b_1 U78955 ( .B_N(io_out[37]), .A(io_oeb[37]), .Y(
+        la_data_out[23]) );
+  sky130_fd_sc_hd__a21oi_1 U78956 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_23[31]), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_23[26]), .B1(n60216), .Y(n60218) );
+  sky130_fd_sc_hd__o21ai_1 U78957 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[22]), .A2(n60218), .B1(n77502), .Y(n60217) );
+  sky130_fd_sc_hd__a21oi_1 U78958 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[22]), .A2(n60218), .B1(n60217), .Y(n60219) );
+  sky130_fd_sc_hd__a21oi_1 U78959 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[18]), 
+        .A2(n60221), .B1(n60219), .Y(n60220) );
+  sky130_fd_sc_hd__xnor2_1 U78960 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[18]), 
+        .B(n60220), .Y(io_out[32]) );
+  sky130_fd_sc_hd__a21oi_1 U78961 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[18]), .A2(n60221), .B1(n77502), .Y(io_oeb[32]) );
+  sky130_fd_sc_hd__nor2b_1 U78962 ( .B_N(io_out[32]), .A(io_oeb[32]), .Y(
+        la_data_out[18]) );
+  sky130_fd_sc_hd__a21oi_1 U78963 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_23[29]), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[24]), .B1(n60222), .Y(n60224) );
+  sky130_fd_sc_hd__a21oi_1 U78965 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[20]), .A2(n60224), .B1(n60223), .Y(n60225) );
+  sky130_fd_sc_hd__a21oi_1 U78966 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[16]), 
+        .A2(n60227), .B1(n60225), .Y(n60226) );
+  sky130_fd_sc_hd__xnor2_1 U78967 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[16]), 
+        .B(n60226), .Y(io_out[30]) );
+  sky130_fd_sc_hd__a21oi_1 U78968 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[16]), .A2(n60227), .B1(n77505), .Y(io_oeb[30]) );
+  sky130_fd_sc_hd__nor2b_1 U78969 ( .B_N(io_out[30]), .A(io_oeb[30]), .Y(
+        la_data_out[16]) );
+  sky130_fd_sc_hd__a21oi_1 U78970 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23[29]), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_16[24]), .B1(n60228), .Y(n60230) );
+  sky130_fd_sc_hd__o21ai_1 U78971 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_16[20]), .A2(n60230), .B1(n77499), .Y(n60229) );
+  sky130_fd_sc_hd__a21oi_1 U78972 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_16[20]), .A2(n60230), .B1(n60229), .Y(n60231) );
+  sky130_fd_sc_hd__a21oi_1 U78973 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[20]), 
+        .A2(n60233), .B1(n60231), .Y(n60232) );
+  sky130_fd_sc_hd__xnor2_1 U78974 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[20]), 
+        .B(n60232), .Y(io_out[34]) );
+  sky130_fd_sc_hd__a21oi_1 U78975 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[20]), .A2(n60233), .B1(n77499), .Y(io_oeb[34]) );
+  sky130_fd_sc_hd__nor2b_1 U78976 ( .B_N(io_out[34]), .A(io_oeb[34]), .Y(
+        la_data_out[20]) );
+  sky130_fd_sc_hd__a21oi_1 U78977 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23[31]), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23[26]), .B1(n60234), .Y(n60236) );
+  sky130_fd_sc_hd__a21oi_1 U78979 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_16[22]), .A2(n60236), .B1(n60235), .Y(n60237) );
+  sky130_fd_sc_hd__a21oi_1 U78980 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[22]), 
+        .A2(n60239), .B1(n60237), .Y(n60238) );
+  sky130_fd_sc_hd__xnor2_1 U78981 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[22]), 
+        .B(n60238), .Y(io_out[36]) );
+  sky130_fd_sc_hd__a21oi_1 U78982 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[22]), .A2(n60239), .B1(n77496), .Y(io_oeb[36]) );
+  sky130_fd_sc_hd__nor2b_1 U78983 ( .B_N(io_out[36]), .A(io_oeb[36]), .Y(
+        la_data_out[22]) );
+  sky130_fd_sc_hd__a21oi_1 U78984 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23[30]), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23[25]), .B1(n60240), .Y(n60243) );
+  sky130_fd_sc_hd__a21oi_1 U78986 ( .A1(n60243), .A2(n60242), .B1(n60241), .Y(
+        n60245) );
+  sky130_fd_sc_hd__nor2_1 U78987 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[21]), 
+        .B(MarmotCaravelChip_dut_sys_uart_3_txd), .Y(n60244) );
+  sky130_fd_sc_hd__xnor2_1 U78990 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[21]), 
+        .B(n60247), .Y(io_out[35]) );
+  sky130_fd_sc_hd__nor2_1 U78991 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[21]), 
+        .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[21]), .Y(io_oeb[35]) );
+  sky130_fd_sc_hd__nor2b_1 U78992 ( .B_N(io_out[35]), .A(io_oeb[35]), .Y(
+        la_data_out[21]) );
+  sky130_fd_sc_hd__nor4_1 U78993 ( .A(n85479), .B(n85478), .C(n85480), .D(
+        n85780), .Y(n60248) );
+  sky130_fd_sc_hd__a21oi_1 U78994 ( .A1(n63188), .A2(n83875), .B1(n60248), .Y(
+        n60249) );
+  sky130_fd_sc_hd__nor3_1 U78996 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .B(n63703), .C(n83437), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_N7) );
+  sky130_fd_sc_hd__nand2_1 U78997 ( .A(n83032), .B(n78374), .Y(n86369) );
+  sky130_fd_sc_hd__buf_2 U78998 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N16), .X(n86418) );
+  sky130_fd_sc_hd__nand2_1 U78999 ( .A(n60250), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_6), .Y(n60277)
+         );
+  sky130_fd_sc_hd__o2bb2ai_1 U79000 ( .B1(n60253), .B2(n60252), .A1_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_4), .A2_N(
+        n60251), .Y(n60254) );
+  sky130_fd_sc_hd__a21oi_1 U79001 ( .A1(n60255), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_2), .B1(n60254), .Y(n60276) );
+  sky130_fd_sc_hd__o21ai_0 U79002 ( .A1(n60258), .A2(n60257), .B1(n47981), .Y(
+        n60259) );
+  sky130_fd_sc_hd__a21oi_1 U79003 ( .A1(n60260), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_11), .B1(
+        n60259), .Y(n60261) );
+  sky130_fd_sc_hd__o211ai_1 U79004 ( .A1(n60264), .A2(n60263), .B1(n60262), 
+        .C1(n60261), .Y(n60274) );
+  sky130_fd_sc_hd__inv_1 U79005 ( .A(n60265), .Y(n60266) );
+  sky130_fd_sc_hd__nand2_1 U79006 ( .A(n60266), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_14), .Y(n60272) );
+  sky130_fd_sc_hd__nand2_1 U79007 ( .A(n60267), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_0), .Y(n60271)
+         );
+  sky130_fd_sc_hd__nand2_1 U79008 ( .A(n60268), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_10), .Y(n60270) );
+  sky130_fd_sc_hd__nand2_1 U79009 ( .A(n37578), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_12), .Y(n60269) );
+  sky130_fd_sc_hd__nand4_1 U79010 ( .A(n60272), .B(n60271), .C(n60270), .D(
+        n60269), .Y(n60273) );
+  sky130_fd_sc_hd__nor2_1 U79011 ( .A(n60274), .B(n60273), .Y(n60275) );
+  sky130_fd_sc_hd__nand3_1 U79012 ( .A(n60277), .B(n60276), .C(n60275), .Y(
+        n60278) );
+  sky130_fd_sc_hd__a21oi_1 U79013 ( .A1(n60279), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_9), .B1(n60278), .Y(n60284) );
+  sky130_fd_sc_hd__nand2_1 U79014 ( .A(n60280), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_5), .Y(n60283)
+         );
+  sky130_fd_sc_hd__nand2_1 U79015 ( .A(n60281), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_7), .Y(n60282)
+         );
+  sky130_fd_sc_hd__nand3_1 U79016 ( .A(n60284), .B(n60283), .C(n60282), .Y(
+        n60286) );
+  sky130_fd_sc_hd__nand2_1 U79017 ( .A(n60286), .B(n60285), .Y(n60288) );
+  sky130_fd_sc_hd__nor2_2 U79018 ( .A(n60289), .B(n61163), .Y(n86577) );
+  sky130_fd_sc_hd__nand2b_1 U79019 ( .A_N(n68184), .B(n60291), .Y(n60292) );
+  sky130_fd_sc_hd__nand2_1 U79020 ( .A(n60292), .B(n37039), .Y(n36244) );
+  sky130_fd_sc_hd__nand2_1 U79021 ( .A(n63695), .B(n63696), .Y(n60293) );
+  sky130_fd_sc_hd__nand2_1 U79022 ( .A(n67057), .B(n63696), .Y(n60294) );
+  sky130_fd_sc_hd__nand3_1 U79023 ( .A(n60297), .B(n76405), .C(n60296), .Y(
+        n60298) );
+  sky130_fd_sc_hd__nand2_1 U79024 ( .A(n67388), .B(n60299), .Y(n73764) );
+  sky130_fd_sc_hd__nand2b_1 U79025 ( .A_N(n73764), .B(n60301), .Y(n60302) );
+  sky130_fd_sc_hd__nand2_1 U79026 ( .A(n60302), .B(n49230), .Y(n36239) );
+  sky130_fd_sc_hd__nand2b_1 U79027 ( .A_N(n73764), .B(n60304), .Y(n60305) );
+  sky130_fd_sc_hd__nand2_1 U79028 ( .A(n60305), .B(n36801), .Y(n36240) );
+  sky130_fd_sc_hd__nor2_1 U79030 ( .A(n60309), .B(n60308), .Y(n60310) );
+  sky130_fd_sc_hd__nand2_1 U79031 ( .A(n83116), .B(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_slave_reset_catcher__io_sync_reset_WIRE), .Y(n84207) );
+  sky130_fd_sc_hd__clkinv_1 U79032 ( .A(n84207), .Y(n439) );
+  sky130_fd_sc_hd__clkinv_1 U79033 ( .A(n81441), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source__widx_T_1) );
+  sky130_fd_sc_hd__clkinv_1 U79034 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_run), .Y(n77655) );
+  sky130_fd_sc_hd__nand2_1 U79035 ( .A(n77655), .B(n81442), .Y(n86371) );
+  sky130_fd_sc_hd__nand2_1 U79036 ( .A(n77658), .B(n60313), .Y(n84216) );
+  sky130_fd_sc_hd__clkinv_1 U79037 ( .A(n84216), .Y(n84215) );
+  sky130_fd_sc_hd__nand4_1 U79038 ( .A(n84229), .B(n84215), .C(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_472_0_), .D(n84214), .Y(
+        n77770) );
+  sky130_fd_sc_hd__nor2_1 U79039 ( .A(n60312), .B(n77770), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog__countReset_zerocmp_T) );
+  sky130_fd_sc_hd__nand2b_1 U79040 ( .A_N(n61182), .B(n60313), .Y(n84212) );
+  sky130_fd_sc_hd__and3b_1 U79041 ( .B(n84227), .C(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_472_0_), .A_N(n84212), .X(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog__cmp_T) );
+  sky130_fd_sc_hd__nor2_1 U79042 ( .A(n60314), .B(n81837), .Y(n82267) );
+  sky130_fd_sc_hd__and2_1 U79043 ( .A(n82267), .B(n84221), .X(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_70) );
+  sky130_fd_sc_hd__clkbuf_1 U79044 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_18), .X(n86405) );
+  sky130_fd_sc_hd__clkbuf_1 U79045 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_39), .X(n86410) );
+  sky130_fd_sc_hd__clkbuf_1 U79046 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_f_woready_22), .X(n86406) );
+  sky130_fd_sc_hd__inv_2 U79047 ( .A(n84206), .Y(wbs_dat_o[0]) );
+  sky130_fd_sc_hd__nor4_1 U79048 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[10]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[9]), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[6]), .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[8]), .Y(n60317) );
+  sky130_fd_sc_hd__nor4_1 U79049 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[7]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[3]), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[5]), .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[4]), .Y(n60316) );
+  sky130_fd_sc_hd__nor2_1 U79050 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[0]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[2]), .Y(n60315) );
+  sky130_fd_sc_hd__nand3_1 U79051 ( .A(n60317), .B(n60316), .C(n60315), .Y(
+        n60318) );
+  sky130_fd_sc_hd__nor4_1 U79052 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[12]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[11]), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[1]), .D(n60318), .Y(n77252) );
+  sky130_fd_sc_hd__nand2_1 U79053 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_state), 
+        .B(n77252), .Y(n79042) );
+  sky130_fd_sc_hd__nand2_1 U79054 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[0]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[1]), .Y(n79026) );
+  sky130_fd_sc_hd__nor4_1 U79055 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[3]), .B(n79029), .C(n79042), .D(n79026), .Y(n77788) );
+  sky130_fd_sc_hd__nor4_1 U79056 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[6]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[5]), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[4]), .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[7]), .Y(n77789) );
+  sky130_fd_sc_hd__nor4_1 U79057 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[10]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[9]), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[6]), .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[8]), .Y(n60321) );
+  sky130_fd_sc_hd__nor4_1 U79058 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[7]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[3]), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[5]), .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[4]), .Y(n60320) );
+  sky130_fd_sc_hd__nor2_1 U79059 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[0]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[2]), .Y(n60319) );
+  sky130_fd_sc_hd__nand3_1 U79060 ( .A(n60321), .B(n60320), .C(n60319), .Y(
+        n60322) );
+  sky130_fd_sc_hd__nor4_1 U79061 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[12]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[11]), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[1]), .D(n60322), .Y(n77326) );
+  sky130_fd_sc_hd__nand2_1 U79062 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_state), 
+        .B(n77326), .Y(n79223) );
+  sky130_fd_sc_hd__nand2_1 U79063 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[1]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[0]), .Y(n79209) );
+  sky130_fd_sc_hd__nor4_1 U79064 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[3]), .B(n77322), .C(n79223), .D(n79209), .Y(n77807) );
+  sky130_fd_sc_hd__nor4_1 U79065 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[6]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[5]), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[4]), .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[7]), .Y(n77808) );
+  sky130_fd_sc_hd__nor4_1 U79066 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[10]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[9]), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[6]), .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[8]), .Y(n60325) );
+  sky130_fd_sc_hd__nor4_1 U79067 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[7]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[3]), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[5]), .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[4]), .Y(n60324) );
+  sky130_fd_sc_hd__nor2_1 U79068 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[0]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[2]), .Y(n60323) );
+  sky130_fd_sc_hd__nand3_1 U79069 ( .A(n60325), .B(n60324), .C(n60323), .Y(
+        n60326) );
+  sky130_fd_sc_hd__nor4_1 U79070 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[12]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[11]), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[1]), .D(n60326), .Y(n77359) );
+  sky130_fd_sc_hd__nand2_1 U79071 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_state), 
+        .B(n77359), .Y(n79311) );
+  sky130_fd_sc_hd__nand2_1 U79072 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[0]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[1]), .Y(n79295) );
+  sky130_fd_sc_hd__nor4_1 U79073 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[3]), .B(n79298), .C(n79311), .D(n79295), .Y(n77816) );
+  sky130_fd_sc_hd__nor4_1 U79074 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[6]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[5]), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[4]), .D(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[7]), .Y(n77817) );
+  sky130_fd_sc_hd__or3_1 U79075 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_cached_grant_wait), .B(n60328), .C(n60327), .X(n83040) );
+  sky130_fd_sc_hd__nand2_1 U79076 ( .A(n74048), .B(n83040), .Y(n86374) );
+  sky130_fd_sc_hd__o22ai_1 U79077 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N38), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .B1(n83470), .B2(n78323), .Y(n60365) );
+  sky130_fd_sc_hd__a21oi_1 U79078 ( .A1(n60365), .A2(n60329), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_maybe_full), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_ram_opcode_MPORT_en) );
+  sky130_fd_sc_hd__nor2_1 U79079 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[0]), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[1]), .Y(
+        n79797) );
+  sky130_fd_sc_hd__a21oi_1 U79080 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[1]), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[0]), .B1(
+        n79797), .Y(n60331) );
+  sky130_fd_sc_hd__nor2_1 U79081 ( .A(n36802), .B(n60330), .Y(n60530) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79082 ( .B1(n60331), .B2(n79818), .A1_N(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[1]), 
+        .A2_N(n60530), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N354) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79083 ( .B1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[0]), .B2(
+        n79818), .A1_N(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__filterCnt_T[0]), 
+        .A2_N(n60530), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N353) );
+  sky130_fd_sc_hd__buf_2 U79084 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N15), .X(n86417) );
+  sky130_fd_sc_hd__or4_1 U79085 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[2]), .B(n60346), .C(n60345), .D(n83320), .X(n83323) );
+  sky130_fd_sc_hd__nor2_2 U79086 ( .A(n60347), .B(n83787), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N15)
+         );
+  sky130_fd_sc_hd__o21ai_2 U79087 ( .A1(n63509), .A2(n60348), .B1(n63508), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__gang_T_3)
+         );
+  sky130_fd_sc_hd__clkinv_1 U79088 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog__countReset_zerocmp_T), .Y(
+        n84210) );
+  sky130_fd_sc_hd__clkinv_1 U79089 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[12]), .Y(n84232) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79090 ( .B1(n84210), .B2(n84232), .A1_N(n84210), 
+        .A2_N(MarmotCaravelChip_dut_sys_aon_1_aon_out_prepend_7[12]), .Y(n435)
+         );
+  sky130_fd_sc_hd__nor2b_1 U79091 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_d_safe_ridx_valid), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_d_safe_ridx_valid)
+         );
+  sky130_fd_sc_hd__nor2b_1 U79092 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_d_ridx), .A(n85912), .Y(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_d_ridx) );
+  sky130_fd_sc_hd__nor2b_1 U79093 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_safe_widx_valid), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_safe_widx_valid)
+         );
+  sky130_fd_sc_hd__nor2b_1 U79094 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_widx), .A(n85912), .Y(MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_widx) );
+  sky130_fd_sc_hd__nor2b_1 U79095 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[5]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[5]) );
+  sky130_fd_sc_hd__nor2b_1 U79096 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[7]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[7]) );
+  sky130_fd_sc_hd__nor2b_1 U79097 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[12]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[12])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79098 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[6]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[6]) );
+  sky130_fd_sc_hd__nor2b_1 U79099 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[2]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[2]) );
+  sky130_fd_sc_hd__nor2b_1 U79100 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[0]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[0]) );
+  sky130_fd_sc_hd__nor2b_1 U79101 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[9]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[9]) );
+  sky130_fd_sc_hd__nor2b_1 U79102 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[17]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[17])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79103 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[19]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[19])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79104 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[8]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[8]) );
+  sky130_fd_sc_hd__nor2b_1 U79105 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[18]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[18])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79106 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[20]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[20])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79107 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[1]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[1]) );
+  sky130_fd_sc_hd__nor2b_1 U79108 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[22]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[22])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79109 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[10]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[10])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79110 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[23]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[23])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79111 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[16]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[16])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79112 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[11]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[11])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79113 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_address[10]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_address[10]) );
+  sky130_fd_sc_hd__nor2b_1 U79114 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[24]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[24])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79115 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[15]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[15])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79116 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[26]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[26])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79117 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[27]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[27])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79118 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[28]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[28])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79119 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[13]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[13])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79120 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_address[5]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_address[5])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79121 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[29]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[29])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79122 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[3]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[3]) );
+  sky130_fd_sc_hd__nor2b_1 U79123 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[25]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[25])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79124 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[14]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[14])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79125 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[21]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[21])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79126 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[30]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[30])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79127 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[31]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[31])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79128 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_opcode[0]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_opcode[0])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79129 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_mask[0]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_mask[0]) );
+  sky130_fd_sc_hd__nor2b_1 U79130 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_size[0]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_size[0]) );
+  sky130_fd_sc_hd__nor2b_1 U79131 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_size[1]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_size[1]) );
+  sky130_fd_sc_hd__nor2b_1 U79132 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_data[4]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_data[4]) );
+  sky130_fd_sc_hd__nor2b_1 U79133 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_source[6]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_source[6])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79134 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_mask[1]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_mask[1]) );
+  sky130_fd_sc_hd__nor2b_1 U79135 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_source[1]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_source[1])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79136 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_mask[2]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_mask[2]) );
+  sky130_fd_sc_hd__nor2b_1 U79137 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_address[2]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_address[2])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79138 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_address[8]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_address[8])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79139 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_address[3]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_address[3])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79140 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_address[9]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_address[9])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79141 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_address[4]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_address[4])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79142 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_address[11]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_address[11]) );
+  sky130_fd_sc_hd__nor2b_1 U79143 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_opcode[2]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_opcode[2])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79144 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_opcode[1]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_opcode[1])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79145 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_mask[3]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_mask[3]) );
+  sky130_fd_sc_hd__nor2b_1 U79146 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_source[3]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_source[3])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79147 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_address[7]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_address[7])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79148 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_address[6]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_address[6])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79149 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_source[5]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_source[5])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79150 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_source[2]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_source[2])
+         );
+  sky130_fd_sc_hd__nor2b_1 U79151 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_aon_asource_out_a_mem_0_source[0]), .A(n85912), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_isolation_auto_out_a_mem_0_source[0])
+         );
+  sky130_fd_sc_hd__o2bb2ai_1 U79152 ( .B1(n73733), .B2(n77824), .A1_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_io_link_fmt_iodir), .A2_N(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_arb__T_1_1_), 
+        .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_arb_io_outer_fmt_iodir) );
+  sky130_fd_sc_hd__nand2_1 U79153 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_currState[1]), 
+        .B(n60349), .Y(n60351) );
+  sky130_fd_sc_hd__a211oi_1 U79154 ( .A1(n60351), .A2(n60350), .B1(n76335), 
+        .C1(n61166), .Y(n79531) );
+  sky130_fd_sc_hd__nor2b_1 U79155 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_io_update_bits[4]), .A(n79531), .Y(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_N13) );
+  sky130_fd_sc_hd__nor2b_1 U79156 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_io_update_bits[3]), .A(n79531), .Y(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_N12) );
+  sky130_fd_sc_hd__nor2b_1 U79157 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_io_update_bits[2]), .A(n79531), .Y(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_N11) );
+  sky130_fd_sc_hd__nor2b_1 U79158 ( .B_N(n61189), .A(n60352), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_dmOuter_N41) );
+  sky130_fd_sc_hd__nand2_1 U79159 ( .A(n72374), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_respPipe_bits_entry[0]), .Y(n60353) );
+  sky130_fd_sc_hd__o21ai_1 U79160 ( .A1(n72374), .A2(n60354), .B1(n60353), .Y(
+        n82587) );
+  sky130_fd_sc_hd__nor2_1 U79161 ( .A(n82589), .B(n82587), .Y(n82526) );
+  sky130_fd_sc_hd__mux2_2 U79162 ( .A0(n60355), .A1(n64013), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_valid), .X(n82581) );
+  sky130_fd_sc_hd__nor2b_1 U79163 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_respPipe_bits_entry[1]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_valid), .Y(n60356) );
+  sky130_fd_sc_hd__a21oi_1 U79164 ( .A1(n60357), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_valid), .B1(n60356), .Y(n82524) );
+  sky130_fd_sc_hd__nand2_1 U79165 ( .A(n82558), .B(n82524), .Y(n82553) );
+  sky130_fd_sc_hd__a31oi_1 U79166 ( .A1(n82524), .A2(n82570), .A3(n82558), 
+        .B1(n82545), .Y(n82535) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79167 ( .B1(n82575), .B2(n82553), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[3]), .A2_N(n82535), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1620) );
+  sky130_fd_sc_hd__nor2_1 U79168 ( .A(n82524), .B(n82545), .Y(n82585) );
+  sky130_fd_sc_hd__nand2_1 U79169 ( .A(n82584), .B(n82585), .Y(n60359) );
+  sky130_fd_sc_hd__nor2_1 U79170 ( .A(n82570), .B(n82545), .Y(n82564) );
+  sky130_fd_sc_hd__nand2_1 U79171 ( .A(n82564), .B(n82524), .Y(n82588) );
+  sky130_fd_sc_hd__nand2_1 U79172 ( .A(n82589), .B(n82588), .Y(n60358) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79173 ( .B1(n82587), .B2(n60359), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[8]), .A2_N(n60358), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1625) );
+  sky130_fd_sc_hd__nand2_1 U79174 ( .A(n61201), .B(n82581), .Y(n82567) );
+  sky130_fd_sc_hd__nor2_1 U79175 ( .A(n82587), .B(n82557), .Y(n82537) );
+  sky130_fd_sc_hd__nand2_1 U79176 ( .A(n82580), .B(n82567), .Y(n60360) );
+  sky130_fd_sc_hd__nor2_1 U79177 ( .A(n82545), .B(n82542), .Y(n82547) );
+  sky130_fd_sc_hd__nand2_1 U79178 ( .A(n60360), .B(n82562), .Y(n82528) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79179 ( .B1(n82567), .B2(n82552), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[8]), .A2_N(n82528), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1610) );
+  sky130_fd_sc_hd__nand2_1 U79180 ( .A(n82524), .B(n82581), .Y(n82566) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79181 ( .B1(n82557), .B2(n82566), .A1_N(n82528), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[9]), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1611) );
+  sky130_fd_sc_hd__nor2_2 U79182 ( .A(n60361), .B(n60362), .Y(n85952) );
+  sky130_fd_sc_hd__nor2_2 U79183 ( .A(n60372), .B(n60362), .Y(n85953) );
+  sky130_fd_sc_hd__nor2b_1 U79184 ( .B_N(n60363), .A(n60015), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N618) );
+  sky130_fd_sc_hd__a21oi_1 U79185 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_maybe_full), .A2(n60365), .B1(n60364), .Y(n60366) );
+  sky130_fd_sc_hd__nand3_1 U79186 ( .A(n63186), .B(n60367), .C(n60366), .Y(
+        n83469) );
+  sky130_fd_sc_hd__nor2_1 U79187 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N38), .B(n83469), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N15) );
+  sky130_fd_sc_hd__nor2b_1 U79188 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N15), .A(n36802), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N45) );
+  sky130_fd_sc_hd__nor4_1 U79189 ( .A(n60370), .B(n86750), .C(n60369), .D(
+        n60368), .Y(n82453) );
+  sky130_fd_sc_hd__nor2_1 U79190 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_N8), .B(n82455), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_N10) );
+  sky130_fd_sc_hd__nor2b_1 U79191 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_N10), .A(n83631), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_N15) );
+  sky130_fd_sc_hd__nor2_2 U79192 ( .A(n60373), .B(n60371), .Y(n85919) );
+  sky130_fd_sc_hd__nor2_2 U79193 ( .A(n60373), .B(n60372), .Y(n85954) );
+  sky130_fd_sc_hd__nor2b_1 U79194 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N19), .A(n36848), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N45) );
+  sky130_fd_sc_hd__nor2_1 U79195 ( .A(n37041), .B(n82463), .Y(n83000) );
+  sky130_fd_sc_hd__a31oi_1 U79196 ( .A1(n60374), .A2(n78239), .A3(n78241), 
+        .B1(n83030), .Y(n60375) );
+  sky130_fd_sc_hd__a21oi_1 U79197 ( .A1(n78201), .A2(n85817), .B1(n60375), .Y(
+        n60377) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79198 ( .B1(n60377), .B2(n60376), .A1_N(n86752), 
+        .A2_N(n86373), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N488) );
+  sky130_fd_sc_hd__nor2_1 U79199 ( .A(n36802), .B(n78233), .Y(n77612) );
+  sky130_fd_sc_hd__nor2b_1 U79200 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr[11]), .A(n78378), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N19) );
+  sky130_fd_sc_hd__nor2b_1 U79201 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_lo[1]), .A(n78378), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N9) );
+  sky130_fd_sc_hd__nor2b_1 U79202 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr[8]), .A(n78378), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N16) );
+  sky130_fd_sc_hd__nor2b_1 U79203 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_lo[3]), .A(n78378), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N11) );
+  sky130_fd_sc_hd__nor2b_1 U79204 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_lo[2]), .A(n78378), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N10) );
+  sky130_fd_sc_hd__nor2b_1 U79205 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr[14]), .A(n78378), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N22) );
+  sky130_fd_sc_hd__nor2b_1 U79206 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr[9]), .A(n78378), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N17) );
+  sky130_fd_sc_hd__nor2b_1 U79207 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_lo[5]), .A(n78378), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N13) );
+  sky130_fd_sc_hd__nor2b_1 U79208 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_lo[4]), .A(n78378), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N12) );
+  sky130_fd_sc_hd__nor2b_1 U79209 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_lo[7]), .A(n78378), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N15) );
+  sky130_fd_sc_hd__nor2b_1 U79210 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_lo[6]), .A(n78378), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N14) );
+  sky130_fd_sc_hd__nand2_1 U79211 ( .A(n49230), .B(n86453), .Y(n60378) );
+  sky130_fd_sc_hd__nor2b_1 U79212 ( .B_N(n85784), .A(n60378), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_N37) );
+  sky130_fd_sc_hd__nor2b_1 U79213 ( .B_N(n85440), .A(n60378), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_N34) );
+  sky130_fd_sc_hd__nor2b_1 U79214 ( .B_N(n85441), .A(n60378), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_N35) );
+  sky130_fd_sc_hd__nor2b_1 U79215 ( .B_N(n85442), .A(n60378), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_N36) );
+  sky130_fd_sc_hd__nor2b_1 U79216 ( .B_N(n60938), .A(n80498), .Y(n74023) );
+  sky130_fd_sc_hd__nand2_1 U79217 ( .A(n74023), .B(n61631), .Y(n80431) );
+  sky130_fd_sc_hd__nand2b_1 U79218 ( .A_N(n74035), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb_io_outer_cs_hold), .Y(n80484) );
+  sky130_fd_sc_hd__or3b_2 U79219 ( .A(n80484), .B(n60379), .C_N(n60938), .X(
+        n60380) );
+  sky130_fd_sc_hd__nor2_1 U79220 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cnt[0]), .B(n60380), .Y(n80429) );
+  sky130_fd_sc_hd__a21oi_1 U79221 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cnt[0]), .A2(n60380), .B1(n80429), .Y(n60381) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79222 ( .B1(n80432), .B2(n60381), .A1_N(n80432), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[1]), .Y(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N171)
+         );
+  sky130_fd_sc_hd__nor3_1 U79223 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[2]), .B(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[1]), 
+        .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[0]), .Y(n60384) );
+  sky130_fd_sc_hd__nor2_1 U79224 ( .A(n81404), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[3]), .Y(n81403) );
+  sky130_fd_sc_hd__nor2_1 U79225 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[4]), .B(n60387), .Y(n81411) );
+  sky130_fd_sc_hd__nand2_1 U79226 ( .A(n81411), .B(n81410), .Y(n81409) );
+  sky130_fd_sc_hd__nor2_1 U79227 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[6]), .B(n81409), .Y(n81415) );
+  sky130_fd_sc_hd__nand2_1 U79228 ( .A(n81415), .B(n81414), .Y(n81413) );
+  sky130_fd_sc_hd__nor2_1 U79229 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[8]), .B(n81413), .Y(n81419) );
+  sky130_fd_sc_hd__a21oi_1 U79230 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[8]), .A2(n81413), .B1(n81419), .Y(n60382) );
+  sky130_fd_sc_hd__or3_1 U79231 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_counter[2]), .B(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_counter[1]), 
+        .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_counter[0]), .X(n81395) );
+  sky130_fd_sc_hd__nor2_1 U79232 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_counter[3]), .B(n81395), .Y(n77778) );
+  sky130_fd_sc_hd__nor2_1 U79233 ( .A(n77778), .B(n60015), .Y(n81435) );
+  sky130_fd_sc_hd__nand2_1 U79234 ( .A(n81419), .B(n81418), .Y(n81417) );
+  sky130_fd_sc_hd__nor2_1 U79235 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[10]), .B(n81417), .Y(n81423) );
+  sky130_fd_sc_hd__nand2_1 U79236 ( .A(n81423), .B(n81422), .Y(n81421) );
+  sky130_fd_sc_hd__nor2_1 U79237 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[12]), .B(n81421), .Y(n81427) );
+  sky130_fd_sc_hd__nand2_1 U79238 ( .A(n81427), .B(n81426), .Y(n81425) );
+  sky130_fd_sc_hd__nor2_1 U79239 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[14]), .B(n81425), .Y(n81432) );
+  sky130_fd_sc_hd__nand2_1 U79240 ( .A(n81432), .B(n81431), .Y(n77777) );
+  sky130_fd_sc_hd__nand2_1 U79241 ( .A(n81435), .B(n77777), .Y(n81408) );
+  sky130_fd_sc_hd__nand2_1 U79242 ( .A(n81433), .B(n81435), .Y(n81405) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79243 ( .B1(n60382), .B2(n81408), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[8]), .A2_N(n81430), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N36) );
+  sky130_fd_sc_hd__a21oi_1 U79244 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[14]), .A2(n81425), .B1(n81432), .Y(n60383) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79245 ( .B1(n60383), .B2(n81408), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[14]), .A2_N(n81430), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N42) );
+  sky130_fd_sc_hd__nor2_1 U79246 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[1]), .B(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[0]), 
+        .Y(n81400) );
+  sky130_fd_sc_hd__clkinv_1 U79247 ( .A(n81400), .Y(n60385) );
+  sky130_fd_sc_hd__a21oi_1 U79248 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[2]), .A2(n60385), .B1(n60384), .Y(n60386) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79249 ( .B1(n60386), .B2(n81408), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[2]), .A2_N(n81430), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N30) );
+  sky130_fd_sc_hd__a21oi_1 U79250 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[4]), .A2(n60387), .B1(n81411), .Y(n60388) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79251 ( .B1(n60388), .B2(n81408), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[4]), .A2_N(n81430), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N32) );
+  sky130_fd_sc_hd__a21oi_1 U79252 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[12]), .A2(n81421), .B1(n81427), .Y(n60389) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79253 ( .B1(n60389), .B2(n81408), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[12]), .A2_N(n81430), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N40) );
+  sky130_fd_sc_hd__a21oi_1 U79254 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[6]), .A2(n81409), .B1(n81415), .Y(n60390) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79255 ( .B1(n60390), .B2(n81408), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[6]), .A2_N(n81430), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N34) );
+  sky130_fd_sc_hd__a21oi_1 U79256 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[10]), .A2(n81417), .B1(n81423), .Y(n60391) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79257 ( .B1(n60391), .B2(n81408), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[10]), .A2_N(n81430), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N38) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79258 ( .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[0]), .B2(n81408), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[0]), .A2_N(n81430), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N28) );
+  sky130_fd_sc_hd__nor2_1 U79259 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[1]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[0]), .Y(n81314) );
+  sky130_fd_sc_hd__clkinv_1 U79260 ( .A(n81314), .Y(n60393) );
+  sky130_fd_sc_hd__a21oi_1 U79261 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[2]), .A2(n60393), .B1(n60392), .Y(n60395) );
+  sky130_fd_sc_hd__nand2_1 U79262 ( .A(n60394), .B(n61444), .Y(n81325) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79263 ( .B1(n60395), .B2(n81325), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[2]), .A2_N(n81347), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N30) );
+  sky130_fd_sc_hd__a21oi_1 U79264 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[8]), .A2(n81330), .B1(n81336), .Y(n60396) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79265 ( .B1(n60396), .B2(n81325), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[8]), .A2_N(n81347), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N36) );
+  sky130_fd_sc_hd__a21oi_1 U79266 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[14]), .A2(n81342), .B1(n60397), .Y(n60398) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79267 ( .B1(n60398), .B2(n81325), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[14]), .A2_N(n81347), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N42) );
+  sky130_fd_sc_hd__a21oi_1 U79268 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[12]), .A2(n81338), .B1(n81344), .Y(n60399) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79269 ( .B1(n60399), .B2(n81325), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[12]), .A2_N(n81347), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N40) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79270 ( .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[0]), .B2(n81325), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[0]), .A2_N(n81347), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N28) );
+  sky130_fd_sc_hd__a21oi_1 U79271 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[10]), .A2(n81334), .B1(n81340), .Y(n60400) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79272 ( .B1(n60400), .B2(n81325), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[10]), .A2_N(n81347), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N38) );
+  sky130_fd_sc_hd__a21oi_1 U79273 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[6]), .A2(n81326), .B1(n81332), .Y(n60401) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79274 ( .B1(n60401), .B2(n81325), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[6]), .A2_N(n81347), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N34) );
+  sky130_fd_sc_hd__nand2_1 U79275 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_MPORT_addr[1]), .B(n61217), .Y(n81372) );
+  sky130_fd_sc_hd__nand2_1 U79276 ( .A(n86752), .B(n81378), .Y(n60402) );
+  sky130_fd_sc_hd__nor3_1 U79277 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_MPORT_addr[1]), .B(n36848), .C(n81373), .Y(n81369) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79278 ( .B1(n81372), .B2(n60402), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_MPORT_addr[0]), .A2_N(n81369), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N40) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79279 ( .B1(n83176), .B2(n77583), .A1_N(n83178), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_shared), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N25) );
+  sky130_fd_sc_hd__nor3_1 U79280 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[2]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[1]), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[0]), .Y(n81233) );
+  sky130_fd_sc_hd__nor2_1 U79281 ( .A(n81238), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[3]), .Y(n81237) );
+  sky130_fd_sc_hd__nor2_1 U79282 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[4]), .B(n60404), .Y(n81245) );
+  sky130_fd_sc_hd__nand2_1 U79283 ( .A(n81245), .B(n81244), .Y(n81243) );
+  sky130_fd_sc_hd__nor2_1 U79284 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[6]), .B(n81243), .Y(n81249) );
+  sky130_fd_sc_hd__nand2_1 U79285 ( .A(n81249), .B(n81248), .Y(n81247) );
+  sky130_fd_sc_hd__nor2_1 U79286 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[8]), .B(n81247), .Y(n81253) );
+  sky130_fd_sc_hd__nand2_1 U79287 ( .A(n81253), .B(n81252), .Y(n81251) );
+  sky130_fd_sc_hd__nor2_1 U79288 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[10]), .B(n81251), .Y(n81257) );
+  sky130_fd_sc_hd__nand2_1 U79289 ( .A(n81257), .B(n81256), .Y(n81255) );
+  sky130_fd_sc_hd__nor2_1 U79290 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[12]), .B(n81255), .Y(n81261) );
+  sky130_fd_sc_hd__nand2_1 U79291 ( .A(n81261), .B(n81260), .Y(n81259) );
+  sky130_fd_sc_hd__nor2_1 U79292 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[14]), .B(n81259), .Y(n61211) );
+  sky130_fd_sc_hd__a21oi_1 U79293 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[14]), .A2(n81259), .B1(n61211), .Y(n60403) );
+  sky130_fd_sc_hd__or3_1 U79294 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_counter[2]), .B(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_counter[1]), 
+        .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_counter[0]), .X(n81226) );
+  sky130_fd_sc_hd__nor2_1 U79295 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_counter[3]), .B(n81226), .Y(n61219) );
+  sky130_fd_sc_hd__nor2_1 U79296 ( .A(n61219), .B(n36848), .Y(n61213) );
+  sky130_fd_sc_hd__nand2_1 U79297 ( .A(n61211), .B(n61210), .Y(n81229) );
+  sky130_fd_sc_hd__nand2_1 U79298 ( .A(n61213), .B(n81229), .Y(n81242) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79299 ( .B1(n60403), .B2(n81242), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[14]), .A2_N(n81264), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N42) );
+  sky130_fd_sc_hd__a21oi_1 U79300 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[4]), .A2(n60404), .B1(n81245), .Y(n60405) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79301 ( .B1(n60405), .B2(n81242), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[4]), .A2_N(n81264), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N32) );
+  sky130_fd_sc_hd__a21oi_1 U79302 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[10]), .A2(n81251), .B1(n81257), .Y(n60406) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79303 ( .B1(n60406), .B2(n81242), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[10]), .A2_N(n81264), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N38) );
+  sky130_fd_sc_hd__a21oi_1 U79304 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[8]), .A2(n81247), .B1(n81253), .Y(n60407) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79305 ( .B1(n60407), .B2(n81242), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[8]), .A2_N(n81264), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N36) );
+  sky130_fd_sc_hd__a21oi_1 U79306 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[6]), .A2(n81243), .B1(n81249), .Y(n60408) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79307 ( .B1(n60408), .B2(n81242), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[6]), .A2_N(n81264), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N34) );
+  sky130_fd_sc_hd__a21oi_1 U79308 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[12]), .A2(n81255), .B1(n81261), .Y(n60409) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79309 ( .B1(n60409), .B2(n81242), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[12]), .A2_N(n81264), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N40) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79310 ( .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[0]), .B2(n81242), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[0]), .A2_N(n81264), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N28) );
+  sky130_fd_sc_hd__nor3_1 U79311 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[2]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[1]), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[0]), .Y(n81089) );
+  sky130_fd_sc_hd__nand2_1 U79312 ( .A(n81089), .B(n81088), .Y(n81091) );
+  sky130_fd_sc_hd__nor2_1 U79313 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[4]), .B(n81091), .Y(n81096) );
+  sky130_fd_sc_hd__nand2_1 U79314 ( .A(n81096), .B(n81095), .Y(n81094) );
+  sky130_fd_sc_hd__nor2_1 U79315 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[6]), .B(n81094), .Y(n81100) );
+  sky130_fd_sc_hd__nand2_1 U79316 ( .A(n81100), .B(n81099), .Y(n81098) );
+  sky130_fd_sc_hd__nor2_1 U79317 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[8]), .B(n81098), .Y(n81104) );
+  sky130_fd_sc_hd__nand2_1 U79318 ( .A(n81104), .B(n81103), .Y(n81102) );
+  sky130_fd_sc_hd__nor2_1 U79319 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[10]), .B(n81102), .Y(n81107) );
+  sky130_fd_sc_hd__nand2_1 U79320 ( .A(n81107), .B(n81106), .Y(n81109) );
+  sky130_fd_sc_hd__nor2_1 U79321 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[12]), .B(n81109), .Y(n81116) );
+  sky130_fd_sc_hd__nand2_1 U79322 ( .A(n81116), .B(n81115), .Y(n81114) );
+  sky130_fd_sc_hd__nor2_1 U79323 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[14]), .B(n81114), .Y(n61206) );
+  sky130_fd_sc_hd__a21oi_1 U79324 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[14]), .A2(n81114), .B1(n61206), .Y(n60410) );
+  sky130_fd_sc_hd__or3_1 U79325 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_counter[2]), .B(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_counter[1]), 
+        .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_counter[0]), .X(n81081) );
+  sky130_fd_sc_hd__nor2_1 U79326 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_counter[3]), .B(n81081), .Y(n61220) );
+  sky130_fd_sc_hd__nor2_1 U79327 ( .A(n61220), .B(n83631), .Y(n61208) );
+  sky130_fd_sc_hd__nand2_1 U79328 ( .A(n61208), .B(n81084), .Y(n81112) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79329 ( .B1(n60410), .B2(n81112), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[14]), .A2_N(n81119), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N42) );
+  sky130_fd_sc_hd__a21oi_1 U79330 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[6]), .A2(n81094), .B1(n81100), .Y(n60411) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79331 ( .B1(n60411), .B2(n81112), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[6]), .A2_N(n81119), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N34) );
+  sky130_fd_sc_hd__a21oi_1 U79332 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[8]), .A2(n81098), .B1(n81104), .Y(n60412) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79333 ( .B1(n60412), .B2(n81112), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[8]), .A2_N(n81119), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N36) );
+  sky130_fd_sc_hd__nor2_1 U79334 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[1]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[0]), .Y(n81085) );
+  sky130_fd_sc_hd__a21oi_1 U79335 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[2]), .A2(n60413), .B1(n81089), .Y(n60414) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79336 ( .B1(n60414), .B2(n81112), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[2]), .A2_N(n81119), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N30) );
+  sky130_fd_sc_hd__a21oi_1 U79337 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[10]), .A2(n81102), .B1(n81107), .Y(n60415) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79338 ( .B1(n60415), .B2(n81112), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[10]), .A2_N(n81119), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N38) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79339 ( .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[0]), .B2(n81112), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[0]), .A2_N(n81119), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N28) );
+  sky130_fd_sc_hd__nand2_1 U79340 ( .A(n80669), .B(n80660), .Y(n60467) );
+  sky130_fd_sc_hd__nand2_1 U79341 ( .A(n86753), .B(n78233), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N7) );
+  sky130_fd_sc_hd__nand2_1 U79342 ( .A(n86388), .B(n86753), .Y(n83326) );
+  sky130_fd_sc_hd__nor2b_1 U79343 ( .B_N(n85443), .A(n83326), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N39) );
+  sky130_fd_sc_hd__nor2b_1 U79344 ( .B_N(n85444), .A(n83326), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N42) );
+  sky130_fd_sc_hd__nor2b_1 U79345 ( .B_N(n85445), .A(n83326), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N38) );
+  sky130_fd_sc_hd__nor2b_1 U79346 ( .B_N(n85446), .A(n83326), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N43) );
+  sky130_fd_sc_hd__nor2_1 U79347 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[1]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[0]), .Y(n81158) );
+  sky130_fd_sc_hd__a21oi_1 U79348 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[0]), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[1]), .B1(n81158), .Y(n60417) );
+  sky130_fd_sc_hd__nand2_1 U79349 ( .A(n60416), .B(n81157), .Y(n81168) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79350 ( .B1(n60417), .B2(n81168), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[1]), .A2_N(n81190), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N29) );
+  sky130_fd_sc_hd__a21oi_1 U79351 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[10]), .A2(n81177), .B1(n81183), .Y(n60418) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79352 ( .B1(n60418), .B2(n81168), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[10]), .A2_N(n81190), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N38) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79353 ( .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[0]), .B2(n81168), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[0]), .A2_N(n81190), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N28) );
+  sky130_fd_sc_hd__a21oi_1 U79354 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[12]), .A2(n81181), .B1(n81187), .Y(n60419) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79355 ( .B1(n60419), .B2(n81168), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[12]), .A2_N(n81190), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N40) );
+  sky130_fd_sc_hd__a21oi_1 U79356 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[14]), .A2(n81185), .B1(n60420), .Y(n60421) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79357 ( .B1(n60421), .B2(n81168), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[14]), .A2_N(n81190), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N42) );
+  sky130_fd_sc_hd__a21oi_1 U79358 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[4]), .A2(n60422), .B1(n81171), .Y(n60423) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79359 ( .B1(n60423), .B2(n81168), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[4]), .A2_N(n81190), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N32) );
+  sky130_fd_sc_hd__a21oi_1 U79360 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[6]), .A2(n81169), .B1(n81175), .Y(n60424) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79361 ( .B1(n60424), .B2(n81168), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[6]), .A2_N(n81190), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N34) );
+  sky130_fd_sc_hd__a21oi_1 U79362 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[8]), .A2(n81173), .B1(n81179), .Y(n60425) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79363 ( .B1(n60425), .B2(n81168), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[8]), .A2_N(n81190), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N36) );
+  sky130_fd_sc_hd__nand2_1 U79364 ( .A(n83351), .B(n78317), .Y(n36368) );
+  sky130_fd_sc_hd__nand2_1 U79365 ( .A(n83351), .B(n83681), .Y(n36365) );
+  sky130_fd_sc_hd__nand3b_1 U79366 ( .A_N(n73712), .B(n78318), .C(n60426), .Y(
+        n83680) );
+  sky130_fd_sc_hd__nor2_1 U79367 ( .A(n37038), .B(n83680), .Y(n83683) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79368 ( .B1(n60427), .B2(n83680), .A1_N(n36365), 
+        .A2_N(n83686), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N236) );
+  sky130_fd_sc_hd__nand2_1 U79369 ( .A(n60428), .B(n36846), .Y(n63163) );
+  sky130_fd_sc_hd__nand2_1 U79370 ( .A(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_load), .Y(n79723) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79371 ( .B1(n60429), .B2(n63163), .A1_N(n79483), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_transmitData[2]), 
+        .Y(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N277) );
+  sky130_fd_sc_hd__a21oi_1 U79372 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_out_prepend_1[7]), .A2(n60430), .B1(n36848), .Y(n60551) );
+  sky130_fd_sc_hd__o21ai_1 U79373 ( .A1(n79649), .A2(n60431), .B1(n79653), .Y(
+        n60432) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79374 ( .B1(n79693), .B2(n79662), .A1_N(n79660), 
+        .A2_N(n60432), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N363) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79376 ( .B1(n79677), .B2(n79662), .A1_N(n79660), 
+        .A2_N(n60434), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N359) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79378 ( .B1(n79669), .B2(n79662), .A1_N(n79660), 
+        .A2_N(n60436), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N357) );
+  sky130_fd_sc_hd__o21ai_1 U79379 ( .A1(n79652), .A2(n60437), .B1(n79656), .Y(
+        n60438) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79380 ( .B1(n79701), .B2(n79662), .A1_N(n79660), 
+        .A2_N(n60438), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N365) );
+  sky130_fd_sc_hd__o21ai_1 U79381 ( .A1(n79655), .A2(n60439), .B1(n79659), .Y(
+        n60440) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79382 ( .B1(n79708), .B2(n79662), .A1_N(n79660), 
+        .A2_N(n60440), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N367) );
+  sky130_fd_sc_hd__o21ai_1 U79383 ( .A1(n79646), .A2(n60441), .B1(n79650), .Y(
+        n60442) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79384 ( .B1(n79685), .B2(n79662), .A1_N(n79660), 
+        .A2_N(n60442), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N361) );
+  sky130_fd_sc_hd__o211ai_1 U79385 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_maybe_full), .A2(n60444), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_5[0]), .C1(n61220), .Y(n81063) );
+  sky130_fd_sc_hd__nand2_1 U79386 ( .A(n86753), .B(n79229), .Y(n81082) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79387 ( .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_counter[0]), .B2(n81110), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_5[1]), .A2_N(n81065), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N49) );
+  sky130_fd_sc_hd__nor2_1 U79388 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_maybe_full), .B(n60445), .Y(n60446) );
+  sky130_fd_sc_hd__nand3b_1 U79389 ( .A_N(n60448), .B(n60447), .C(n60446), .Y(
+        n60449) );
+  sky130_fd_sc_hd__nand3_1 U79390 ( .A(n61218), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_5[0]), .C(n60449), .Y(n79201) );
+  sky130_fd_sc_hd__nor2_1 U79391 ( .A(n83631), .B(n79201), .Y(n81146) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79392 ( .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_counter[0]), .B2(n81165), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_5[1]), .A2_N(n81146), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N49) );
+  sky130_fd_sc_hd__nand2_1 U79393 ( .A(n80429), .B(n80428), .Y(n80427) );
+  sky130_fd_sc_hd__nand2_1 U79394 ( .A(n80427), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cnt[2]), .Y(n60450) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79395 ( .B1(n80432), .B2(n60450), .A1_N(n80432), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[3]), .Y(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N173)
+         );
+  sky130_fd_sc_hd__nor2b_1 U79396 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_maybe_full), .A(n60451), .Y(n61191) );
+  sky130_fd_sc_hd__nor2_1 U79397 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[6]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[5]), .Y(n77414) );
+  sky130_fd_sc_hd__nor4_1 U79398 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[7]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[4]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[1]), .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[3]), .Y(n60452) );
+  sky130_fd_sc_hd__nand3_1 U79399 ( .A(n77414), .B(n60452), .C(n77413), .Y(
+        n60453) );
+  sky130_fd_sc_hd__nand2_1 U79401 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_auto_in_d_bits_size[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_auto_in_d_bits_size[0]), .Y(n83504) );
+  sky130_fd_sc_hd__nand2b_1 U79402 ( .A_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_auto_in_d_bits_size[2]), .B(n83504), .Y(n60463) );
+  sky130_fd_sc_hd__nand2_1 U79403 ( .A(n60463), .B(n85891), .Y(n83475) );
+  sky130_fd_sc_hd__a21oi_1 U79404 ( .A1(n83476), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[0]), .B1(n83475), .Y(n60458) );
+  sky130_fd_sc_hd__nor4_1 U79405 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[4]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[3]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[7]), .D(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[6]), .Y(n60455) );
+  sky130_fd_sc_hd__nand3_1 U79407 ( .A(n60455), .B(n86751), .C(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[0]), .Y(n60456) );
+  sky130_fd_sc_hd__nand3_1 U79408 ( .A(n60457), .B(n60456), .C(n60463), .Y(
+        n61190) );
+  sky130_fd_sc_hd__nand2_1 U79410 ( .A(n60459), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_maybe_full), .Y(n83472) );
+  sky130_fd_sc_hd__nor2_1 U79411 ( .A(n60015), .B(n83472), .Y(n60461) );
+  sky130_fd_sc_hd__nor2_1 U79412 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[7]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[6]), .Y(n60460) );
+  sky130_fd_sc_hd__nor3_1 U79413 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[1]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[0]), .Y(n83493) );
+  sky130_fd_sc_hd__nor2_1 U79414 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[3]), .B(n83498), .Y(n83497) );
+  sky130_fd_sc_hd__nor2_1 U79415 ( .A(n83502), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[4]), .Y(n83501) );
+  sky130_fd_sc_hd__nor2_1 U79416 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[5]), .B(n77455), .Y(n77469) );
+  sky130_fd_sc_hd__nand2_1 U79417 ( .A(n60460), .B(n77469), .Y(n38424) );
+  sky130_fd_sc_hd__nand2_1 U79418 ( .A(n60461), .B(n38424), .Y(n83505) );
+  sky130_fd_sc_hd__nor3_1 U79419 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_io_deq_bits_opcode[2]), .B(n38424), .C(n60462), .Y(n83490) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79420 ( .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[0]), .B2(n83505), .A1_N(n83490), .A2_N(n60463), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N52) );
+  sky130_fd_sc_hd__nand2_1 U79421 ( .A(n79588), .B(n78098), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N430) );
+  sky130_fd_sc_hd__o21ai_1 U79422 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__nextCmd_T[6]), 
+        .A2(n62903), .B1(n75857), .Y(n78100) );
+  sky130_fd_sc_hd__nor2_1 U79423 ( .A(n79583), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N430), .Y(
+        n79508) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79424 ( .B1(n60464), .B2(n78100), .A1_N(n79508), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmdAck), 
+        .Y(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N416) );
+  sky130_fd_sc_hd__nand2_1 U79425 ( .A(n60465), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_state[1]), .Y(n78144) );
+  sky130_fd_sc_hd__o31a_1 U79426 ( .A1(n60466), .A2(n80659), .A3(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_state[0]), .B1(n78144), .X(n60468) );
+  sky130_fd_sc_hd__nor2_1 U79427 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_state[0]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_io_link_active), .Y(n78142) );
+  sky130_fd_sc_hd__nand2_1 U79428 ( .A(n80676), .B(n78142), .Y(n78141) );
+  sky130_fd_sc_hd__a21oi_1 U79429 ( .A1(n60468), .A2(n78141), .B1(n60467), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N102) );
+  sky130_fd_sc_hd__nor2_1 U79430 ( .A(n85789), .B(n80575), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N268) );
+  sky130_fd_sc_hd__nor2_1 U79431 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[0]), .Y(n80401) );
+  sky130_fd_sc_hd__a21oi_1 U79432 ( .A1(n80401), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[31]), .B1(n80403), .Y(n60477) );
+  sky130_fd_sc_hd__nor2_1 U79433 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[0]), .B(n60469), .Y(n80170) );
+  sky130_fd_sc_hd__nor2_1 U79434 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[1]), .B(n60470), .Y(n80169) );
+  sky130_fd_sc_hd__a22oi_1 U79435 ( .A1(n80170), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[15]), .B1(n80169), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[23]), .Y(n60476) );
+  sky130_fd_sc_hd__nand2_1 U79436 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[0]), .Y(n80402) );
+  sky130_fd_sc_hd__nand2_1 U79437 ( .A(n80404), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[7]), 
+        .Y(n60475) );
+  sky130_fd_sc_hd__nand2_1 U79438 ( .A(n80170), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[47]), .Y(n60472) );
+  sky130_fd_sc_hd__a22oi_1 U79439 ( .A1(n80404), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[39]), .B1(n80401), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[63]), .Y(n60471) );
+  sky130_fd_sc_hd__nand3_1 U79440 ( .A(n60472), .B(n80403), .C(n60471), .Y(
+        n60473) );
+  sky130_fd_sc_hd__a21oi_1 U79441 ( .A1(n80169), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[55]), .B1(n60473), .Y(n60474) );
+  sky130_fd_sc_hd__a31oi_1 U79442 ( .A1(n60477), .A2(n60476), .A3(n60475), 
+        .B1(n60474), .Y(n80183) );
+  sky130_fd_sc_hd__a21oi_1 U79443 ( .A1(n80170), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[8]), 
+        .B1(n80403), .Y(n60484) );
+  sky130_fd_sc_hd__a22oi_1 U79444 ( .A1(n80401), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[24]), .B1(n80169), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[16]), .Y(n60483) );
+  sky130_fd_sc_hd__nand2_1 U79445 ( .A(n80404), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[0]), 
+        .Y(n60482) );
+  sky130_fd_sc_hd__a21oi_1 U79446 ( .A1(n80401), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[56]), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[2]), .Y(n60479) );
+  sky130_fd_sc_hd__a22oi_1 U79447 ( .A1(n80404), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[32]), .B1(n80169), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[48]), .Y(n60478) );
+  sky130_fd_sc_hd__nand2_1 U79448 ( .A(n60479), .B(n60478), .Y(n60480) );
+  sky130_fd_sc_hd__a21oi_1 U79449 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[40]), .A2(n80170), .B1(n60480), .Y(n60481) );
+  sky130_fd_sc_hd__a31oi_1 U79450 ( .A1(n60484), .A2(n60483), .A3(n60482), 
+        .B1(n60481), .Y(n80184) );
+  sky130_fd_sc_hd__o22ai_1 U79451 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_io_link_fmt_endian), .A2(n80183), .B1(n80222), .B2(n80184), .Y(n80242) );
+  sky130_fd_sc_hd__o22ai_1 U79452 ( .A1(n80176), .A2(n60485), .B1(n80249), 
+        .B2(n80242), .Y(n80177) );
+  sky130_fd_sc_hd__o22ai_1 U79453 ( .A1(n80176), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_fmt_proto[0]), .B1(n80249), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_io_link_fmt_proto[0]), .Y(n80155) );
+  sky130_fd_sc_hd__o22ai_1 U79454 ( .A1(n80176), .A2(n80207), .B1(n80249), 
+        .B2(n77953), .Y(n80153) );
+  sky130_fd_sc_hd__nand4_1 U79455 ( .A(n79910), .B(n80155), .C(n80153), .D(
+        n85793), .Y(n80182) );
+  sky130_fd_sc_hd__nor2b_1 U79456 ( .B_N(n80177), .A(n80182), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N251) );
+  sky130_fd_sc_hd__a21oi_1 U79457 ( .A1(n80170), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[14]), .B1(n80403), .Y(n60492) );
+  sky130_fd_sc_hd__a22oi_1 U79458 ( .A1(n80404), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[6]), 
+        .B1(n80169), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[22]), .Y(n60491) );
+  sky130_fd_sc_hd__nand2_1 U79459 ( .A(n80401), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[30]), .Y(n60490) );
+  sky130_fd_sc_hd__nand2_1 U79460 ( .A(n80169), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[54]), .Y(n60487) );
+  sky130_fd_sc_hd__a22oi_1 U79461 ( .A1(n80404), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[38]), .B1(n80401), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[62]), .Y(n60486) );
+  sky130_fd_sc_hd__nand3_1 U79462 ( .A(n60487), .B(n80403), .C(n60486), .Y(
+        n60488) );
+  sky130_fd_sc_hd__a21oi_1 U79463 ( .A1(n80170), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[46]), .B1(n60488), .Y(n60489) );
+  sky130_fd_sc_hd__a31oi_1 U79464 ( .A1(n60492), .A2(n60491), .A3(n60490), 
+        .B1(n60489), .Y(n80200) );
+  sky130_fd_sc_hd__a21oi_1 U79465 ( .A1(n80170), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[9]), 
+        .B1(n80403), .Y(n60499) );
+  sky130_fd_sc_hd__a22oi_1 U79466 ( .A1(n80404), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[1]), 
+        .B1(n80169), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[17]), .Y(n60498) );
+  sky130_fd_sc_hd__nand2_1 U79467 ( .A(n80401), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[25]), .Y(n60497) );
+  sky130_fd_sc_hd__a21oi_1 U79468 ( .A1(n80401), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[57]), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[2]), .Y(n60494) );
+  sky130_fd_sc_hd__a22oi_1 U79469 ( .A1(n80170), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[41]), .B1(n80169), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[49]), .Y(n60493) );
+  sky130_fd_sc_hd__nand2_1 U79470 ( .A(n60494), .B(n60493), .Y(n60495) );
+  sky130_fd_sc_hd__a21oi_1 U79471 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[33]), .A2(n80404), .B1(n60495), .Y(n60496) );
+  sky130_fd_sc_hd__a31oi_1 U79472 ( .A1(n60499), .A2(n60498), .A3(n60497), 
+        .B1(n60496), .Y(n80201) );
+  sky130_fd_sc_hd__o22ai_1 U79473 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_io_link_fmt_endian), .A2(n80200), .B1(n80222), .B2(n80201), .Y(n80236) );
+  sky130_fd_sc_hd__o22ai_1 U79474 ( .A1(n80176), .A2(n60500), .B1(n80249), 
+        .B2(n80236), .Y(n80154) );
+  sky130_fd_sc_hd__nor2b_1 U79475 ( .B_N(n80154), .A(n80182), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N250) );
+  sky130_fd_sc_hd__xor2_1 U79476 ( .A(n60501), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[15]), .X(n60502) );
+  sky130_fd_sc_hd__nand2_1 U79477 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_io_link_active), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb_io_outer_cs_hold), .Y(n73995) );
+  sky130_fd_sc_hd__nand2_1 U79478 ( .A(n36846), .B(n75847), .Y(n78140) );
+  sky130_fd_sc_hd__nor2b_1 U79479 ( .B_N(n60502), .A(n78140), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N206) );
+  sky130_fd_sc_hd__ha_1 U79480 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[4]), .B(n60503), .COUT(n60528), .SUM(n60504) );
+  sky130_fd_sc_hd__nor2b_1 U79481 ( .B_N(n60504), .A(n78140), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N195) );
+  sky130_fd_sc_hd__ha_1 U79482 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[11]), .B(n60505), .COUT(n60507), .SUM(n60506) );
+  sky130_fd_sc_hd__nor2b_1 U79483 ( .B_N(n60506), .A(n78140), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N202) );
+  sky130_fd_sc_hd__ha_1 U79484 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[12]), .B(n60507), .COUT(n60515), .SUM(n60508) );
+  sky130_fd_sc_hd__nor2b_1 U79485 ( .B_N(n60508), .A(n78140), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N203) );
+  sky130_fd_sc_hd__ha_1 U79486 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[10]), .B(n60509), .COUT(n60505), .SUM(n60510) );
+  sky130_fd_sc_hd__nor2b_1 U79487 ( .B_N(n60510), .A(n78140), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N201) );
+  sky130_fd_sc_hd__ha_1 U79488 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[14]), .B(n60511), .COUT(n60501), .SUM(n60512) );
+  sky130_fd_sc_hd__nor2b_1 U79489 ( .B_N(n60512), .A(n78140), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N205) );
+  sky130_fd_sc_hd__ha_1 U79490 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[9]), .B(n60513), .COUT(n60509), .SUM(n60514) );
+  sky130_fd_sc_hd__nor2b_1 U79491 ( .B_N(n60514), .A(n78140), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N200) );
+  sky130_fd_sc_hd__ha_1 U79492 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[13]), .B(n60515), .COUT(n60511), .SUM(n60516) );
+  sky130_fd_sc_hd__nor2b_1 U79493 ( .B_N(n60516), .A(n78140), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N204) );
+  sky130_fd_sc_hd__ha_1 U79494 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[3]), .B(n60517), .COUT(n60503), .SUM(n60518) );
+  sky130_fd_sc_hd__nor2b_1 U79495 ( .B_N(n60518), .A(n78140), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N194) );
+  sky130_fd_sc_hd__ha_1 U79496 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[1]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[0]), .COUT(n60520), .SUM(n60519) );
+  sky130_fd_sc_hd__nor2b_1 U79497 ( .B_N(n60519), .A(n78140), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N192) );
+  sky130_fd_sc_hd__ha_1 U79498 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[2]), .B(n60520), .COUT(n60517), .SUM(n60521) );
+  sky130_fd_sc_hd__nor2b_1 U79499 ( .B_N(n60521), .A(n78140), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N193) );
+  sky130_fd_sc_hd__ha_1 U79500 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[8]), .B(n60522), .COUT(n60513), .SUM(n60523) );
+  sky130_fd_sc_hd__nor2b_1 U79501 ( .B_N(n60523), .A(n78140), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N199) );
+  sky130_fd_sc_hd__ha_1 U79502 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[7]), .B(n60524), .COUT(n60522), .SUM(n60525) );
+  sky130_fd_sc_hd__nor2b_1 U79503 ( .B_N(n60525), .A(n78140), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N198) );
+  sky130_fd_sc_hd__ha_1 U79504 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[6]), .B(n60526), .COUT(n60524), .SUM(n60527) );
+  sky130_fd_sc_hd__nor2b_1 U79505 ( .B_N(n60527), .A(n78140), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N197) );
+  sky130_fd_sc_hd__ha_1 U79506 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[5]), .B(n60528), .COUT(n60526), .SUM(n60529) );
+  sky130_fd_sc_hd__nor2b_1 U79507 ( .B_N(n60529), .A(n78140), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N196) );
+  sky130_fd_sc_hd__o21ai_1 U79508 ( .A1(n79813), .A2(n60531), .B1(n79817), .Y(
+        n60532) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79509 ( .B1(n79862), .B2(n79823), .A1_N(n79821), 
+        .A2_N(n60532), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N365) );
+  sky130_fd_sc_hd__o21ai_1 U79510 ( .A1(n79804), .A2(n60533), .B1(n79808), .Y(
+        n60534) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79511 ( .B1(n79838), .B2(n79823), .A1_N(n79821), 
+        .A2_N(n60534), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N359) );
+  sky130_fd_sc_hd__o21ai_1 U79512 ( .A1(n79807), .A2(n60535), .B1(n79811), .Y(
+        n60536) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79513 ( .B1(n79846), .B2(n79823), .A1_N(n79821), 
+        .A2_N(n60536), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N361) );
+  sky130_fd_sc_hd__o21ai_1 U79514 ( .A1(n79810), .A2(n60537), .B1(n79814), .Y(
+        n60538) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79515 ( .B1(n79854), .B2(n79823), .A1_N(n79821), 
+        .A2_N(n60538), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N363) );
+  sky130_fd_sc_hd__o21ai_1 U79516 ( .A1(n79816), .A2(n60539), .B1(n79820), .Y(
+        n60540) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79517 ( .B1(n79869), .B2(n79823), .A1_N(n79821), 
+        .A2_N(n60540), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N367) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79519 ( .B1(n79830), .B2(n79823), .A1_N(n79821), 
+        .A2_N(n60542), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N357) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79520 ( .B1(n83101), .B2(n77583), .A1_N(n83092), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_shared), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N25) );
+  sky130_fd_sc_hd__nor2_1 U79521 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_acknum[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_acknum[0]), .Y(n60544) );
+  sky130_fd_sc_hd__nand2_1 U79522 ( .A(n60543), .B(n83920), .Y(n83399) );
+  sky130_fd_sc_hd__nand2_1 U79523 ( .A(n60544), .B(n83399), .Y(n83406) );
+  sky130_fd_sc_hd__nor2_1 U79524 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_acknum[2]), .B(n83406), .Y(n83405) );
+  sky130_fd_sc_hd__nand2b_1 U79525 ( .A_N(n83410), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_acknum[3]), .Y(n60545) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79526 ( .B1(n83405), .B2(n60545), .A1_N(n83407), 
+        .A2_N(n85447), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_N24) );
+  sky130_fd_sc_hd__nand2_1 U79527 ( .A(n86753), .B(n86497), .Y(n83694) );
+  sky130_fd_sc_hd__nor2b_1 U79528 ( .B_N(n85469), .A(n83694), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N37) );
+  sky130_fd_sc_hd__nor2b_1 U79529 ( .B_N(n85467), .A(n83694), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N36) );
+  sky130_fd_sc_hd__nor2b_1 U79530 ( .B_N(n85470), .A(n83694), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N35) );
+  sky130_fd_sc_hd__nor2b_1 U79531 ( .B_N(n85468), .A(n83694), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N38) );
+  sky130_fd_sc_hd__nand2_1 U79532 ( .A(n83116), .B(n61445), .Y(n36611) );
+  sky130_fd_sc_hd__nor2_1 U79534 ( .A(n61086), .B(n36611), .Y(n81292) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79535 ( .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_counter[0]), .B2(n81322), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_5[1]), .A2_N(n81292), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N49) );
+  sky130_fd_sc_hd__nand2_1 U79536 ( .A(n86753), .B(n61219), .Y(n36616) );
+  sky130_fd_sc_hd__nor2_1 U79538 ( .A(n61076), .B(n36616), .Y(n61968) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79539 ( .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_counter[0]), .B2(n81239), .A1_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_5[1]), .A2_N(n61968), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N49) );
+  sky130_fd_sc_hd__nor2_1 U79540 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[0]), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[1]), .Y(
+        n79636) );
+  sky130_fd_sc_hd__a21oi_1 U79541 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[1]), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[0]), .B1(
+        n79636), .Y(n60550) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79542 ( .B1(n60550), .B2(n79657), .A1_N(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[1]), .A2_N(n60551), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N354) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79543 ( .B1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[0]), .B2(
+        n79657), .A1_N(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__filterCnt_T[0]), .A2_N(n60551), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N353) );
+  sky130_fd_sc_hd__nand2_1 U79544 ( .A(n37037), .B(n83153), .Y(n36472) );
+  sky130_fd_sc_hd__nor2_1 U79545 ( .A(n83821), .B(n60552), .Y(n78264) );
+  sky130_fd_sc_hd__nand2_1 U79546 ( .A(n36846), .B(n78247), .Y(n36578) );
+  sky130_fd_sc_hd__nand2_1 U79547 ( .A(n59913), .B(n60553), .Y(n78559) );
+  sky130_fd_sc_hd__nand2_1 U79548 ( .A(n36801), .B(n78559), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N315) );
+  sky130_fd_sc_hd__a32oi_1 U79549 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_sie), .A2(n78555), .A3(n78556), .B1(n78262), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_spie), .Y(n60554) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79550 ( .B1(n60554), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N315), .A1_N(n60553), .A2_N(n85448), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N343) );
+  sky130_fd_sc_hd__nand2b_1 U79551 ( .A_N(n47981), .B(n83116), .Y(n78313) );
+  sky130_fd_sc_hd__nor2b_1 U79552 ( .B_N(n63345), .A(n78313), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N170) );
+  sky130_fd_sc_hd__nand2_1 U79553 ( .A(n60555), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_state[1]), 
+        .Y(n76487) );
+  sky130_fd_sc_hd__or3_1 U79554 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_state[0]), 
+        .B(n73558), .C(n80977), .X(n77835) );
+  sky130_fd_sc_hd__nor2_1 U79555 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_io_link_active), .B(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_state[0]), 
+        .Y(n78145) );
+  sky130_fd_sc_hd__nand2_1 U79556 ( .A(n80984), .B(n78145), .Y(n78147) );
+  sky130_fd_sc_hd__a31oi_1 U79557 ( .A1(n76487), .A2(n77835), .A3(n78147), 
+        .B1(n80976), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N102)
+         );
+  sky130_fd_sc_hd__nor2_1 U79558 ( .A(n85471), .B(n80883), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N268)
+         );
+  sky130_fd_sc_hd__nand2_1 U79559 ( .A(n86753), .B(n60556), .Y(n36475) );
+  sky130_fd_sc_hd__a22oi_1 U79560 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_action), .A2(n60559), .B1(n60558), .B2(n60557), .Y(n82496) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79561 ( .B1(n82496), .B2(n82495), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_cause[3]), .A2_N(n82493), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N503) );
+  sky130_fd_sc_hd__nor2_1 U79562 ( .A(n63483), .B(n60560), .Y(n60562) );
+  sky130_fd_sc_hd__nand3_1 U79563 ( .A(n73773), .B(n60562), .C(n60561), .Y(
+        n63487) );
+  sky130_fd_sc_hd__nand2_1 U79564 ( .A(n36801), .B(n78348), .Y(n36474) );
+  sky130_fd_sc_hd__ha_1 U79565 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[5]), .B(n60563), .COUT(n60566), .SUM(n60578) );
+  sky130_fd_sc_hd__fah_1 U79566 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_15[3]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[3]), .CI(n60564), .COUT(n60570), .SUM(n60586) );
+  sky130_fd_sc_hd__ha_2 U79567 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[6]), .B(n60566), .COUT(n60569), .SUM(n60602) );
+  sky130_fd_sc_hd__fa_1 U79568 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_15[2]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[2]), .CIN(n60567), .COUT(n60564), .SUM(n60629) );
+  sky130_fd_sc_hd__ha_2 U79569 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[8]), .B(n60568), .COUT(n60571), .SUM(n60607) );
+  sky130_fd_sc_hd__nor4_1 U79570 ( .A(n80898), .B(n60607), .C(n60629), .D(
+        n60592), .Y(n60575) );
+  sky130_fd_sc_hd__ha_1 U79571 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[7]), .B(n60569), .COUT(n60568), .SUM(n60577) );
+  sky130_fd_sc_hd__fah_1 U79572 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_15[4]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[4]), .CI(n60570), .COUT(n60563), .SUM(n60597) );
+  sky130_fd_sc_hd__ha_2 U79573 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_14[9]), .B(n60571), .COUT(n60572), .SUM(n60592) );
+  sky130_fd_sc_hd__nand2_1 U79574 ( .A(n60575), .B(n60574), .Y(n60576) );
+  sky130_fd_sc_hd__nor4_4 U79575 ( .A(n60602), .B(n60578), .C(n60586), .D(
+        n60576), .Y(n80894) );
+  sky130_fd_sc_hd__nor2b_1 U79577 ( .B_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_xfr), 
+        .A(n77876), .Y(n80881) );
+  sky130_fd_sc_hd__nand2_1 U79578 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_cref), 
+        .B(n80881), .Y(n80905) );
+  sky130_fd_sc_hd__or4_1 U79579 ( .A(n60586), .B(n60629), .C(n80898), .D(
+        n80897), .X(n60596) );
+  sky130_fd_sc_hd__nor2_1 U79580 ( .A(n60597), .B(n60596), .Y(n60611) );
+  sky130_fd_sc_hd__nand2_1 U79581 ( .A(n60611), .B(n60612), .Y(n60601) );
+  sky130_fd_sc_hd__nor2_1 U79582 ( .A(n60602), .B(n60601), .Y(n60591) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79583 ( .B1(n60590), .B2(n60591), .A1_N(n60590), 
+        .A2_N(n60591), .Y(n60579) );
+  sky130_fd_sc_hd__nand2_1 U79584 ( .A(n80900), .B(n60579), .Y(n80935) );
+  sky130_fd_sc_hd__a31oi_1 U79585 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_cref), 
+        .A2(n80891), .A3(n80881), .B1(n37038), .Y(n80904) );
+  sky130_fd_sc_hd__nor4_1 U79586 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[9]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[8]), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[7]), .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[6]), .Y(n60581) );
+  sky130_fd_sc_hd__nor4_1 U79587 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[1]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[3]), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[5]), .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[4]), .Y(n60580) );
+  sky130_fd_sc_hd__nand2_1 U79588 ( .A(n60581), .B(n60580), .Y(n60582) );
+  sky130_fd_sc_hd__nor4_1 U79589 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[10]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[11]), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[2]), .D(n60582), .Y(n79313) );
+  sky130_fd_sc_hd__nand2_1 U79590 ( .A(n80904), .B(n60583), .Y(n80895) );
+  sky130_fd_sc_hd__or4_1 U79591 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[3]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[2]), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[0]), .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[1]), .X(n60599) );
+  sky130_fd_sc_hd__nor2_1 U79592 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[4]), .B(n60599), .Y(n60614) );
+  sky130_fd_sc_hd__nand2_1 U79593 ( .A(n60614), .B(n60615), .Y(n60604) );
+  sky130_fd_sc_hd__nor2_1 U79594 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[6]), .B(n60604), .Y(n60595) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79595 ( .B1(n60594), .B2(n60595), .A1_N(n60594), 
+        .A2_N(n60595), .Y(n60584) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79596 ( .B1(n79316), .B2(n80935), .A1_N(n80902), 
+        .A2_N(n60584), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N200)
+         );
+  sky130_fd_sc_hd__nor3_1 U79597 ( .A(n60629), .B(n80898), .C(n80897), .Y(
+        n60585) );
+  sky130_fd_sc_hd__xor2_1 U79598 ( .A(n60586), .B(n60585), .X(n60587) );
+  sky130_fd_sc_hd__nand2_1 U79599 ( .A(n80900), .B(n60587), .Y(n80919) );
+  sky130_fd_sc_hd__nor3_1 U79600 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[2]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[0]), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[1]), .Y(n60588) );
+  sky130_fd_sc_hd__xor2_1 U79601 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[3]), .B(n60588), .X(n60589) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79602 ( .B1(n79316), .B2(n80919), .A1_N(n80902), 
+        .A2_N(n60589), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N196)
+         );
+  sky130_fd_sc_hd__nand2_1 U79603 ( .A(n60591), .B(n60590), .Y(n60606) );
+  sky130_fd_sc_hd__nor2_1 U79604 ( .A(n60607), .B(n60606), .Y(n60617) );
+  sky130_fd_sc_hd__nand2_1 U79605 ( .A(n60617), .B(n60618), .Y(n60623) );
+  sky130_fd_sc_hd__nand2_1 U79606 ( .A(n80900), .B(n37206), .Y(n80953) );
+  sky130_fd_sc_hd__nand2_1 U79607 ( .A(n60595), .B(n60594), .Y(n60609) );
+  sky130_fd_sc_hd__nor2_1 U79608 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[8]), .B(n60609), .Y(n60620) );
+  sky130_fd_sc_hd__nand2_1 U79609 ( .A(n60620), .B(n60621), .Y(n60626) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79610 ( .B1(n79316), .B2(n80953), .A1_N(n80902), 
+        .A2_N(n38436), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N204)
+         );
+  sky130_fd_sc_hd__xnor2_1 U79611 ( .A(n60597), .B(n60596), .Y(n60598) );
+  sky130_fd_sc_hd__nand2_1 U79612 ( .A(n80900), .B(n60598), .Y(n80922) );
+  sky130_fd_sc_hd__xnor2_1 U79613 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[4]), .B(n60599), .Y(n60600) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79614 ( .B1(n79316), .B2(n80922), .A1_N(n80902), 
+        .A2_N(n60600), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N197)
+         );
+  sky130_fd_sc_hd__xnor2_1 U79615 ( .A(n60602), .B(n60601), .Y(n60603) );
+  sky130_fd_sc_hd__nand2_1 U79616 ( .A(n80900), .B(n60603), .Y(n80931) );
+  sky130_fd_sc_hd__xnor2_1 U79617 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[6]), .B(n60604), .Y(n60605) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79618 ( .B1(n79316), .B2(n80931), .A1_N(n80902), 
+        .A2_N(n60605), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N199)
+         );
+  sky130_fd_sc_hd__xnor2_1 U79619 ( .A(n60607), .B(n60606), .Y(n60608) );
+  sky130_fd_sc_hd__nand2_1 U79620 ( .A(n80900), .B(n60608), .Y(n80940) );
+  sky130_fd_sc_hd__xnor2_1 U79621 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[8]), .B(n60609), .Y(n60610) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79622 ( .B1(n79316), .B2(n80940), .A1_N(n80902), 
+        .A2_N(n60610), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N201)
+         );
+  sky130_fd_sc_hd__o2bb2ai_1 U79623 ( .B1(n60612), .B2(n60611), .A1_N(n60612), 
+        .A2_N(n60611), .Y(n60613) );
+  sky130_fd_sc_hd__nand2_1 U79624 ( .A(n80900), .B(n60613), .Y(n80926) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79625 ( .B1(n60615), .B2(n60614), .A1_N(n60615), 
+        .A2_N(n60614), .Y(n60616) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79626 ( .B1(n79316), .B2(n80926), .A1_N(n80902), 
+        .A2_N(n60616), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N198)
+         );
+  sky130_fd_sc_hd__o2bb2ai_1 U79627 ( .B1(n60618), .B2(n60617), .A1_N(n60618), 
+        .A2_N(n60617), .Y(n60619) );
+  sky130_fd_sc_hd__nand2_1 U79628 ( .A(n80900), .B(n60619), .Y(n80944) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79629 ( .B1(n60621), .B2(n60620), .A1_N(n60621), 
+        .A2_N(n60620), .Y(n60622) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79630 ( .B1(n79316), .B2(n80944), .A1_N(n80902), 
+        .A2_N(n60622), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N202)
+         );
+  sky130_fd_sc_hd__xnor2_1 U79631 ( .A(n60624), .B(n60623), .Y(n60625) );
+  sky130_fd_sc_hd__nand2_1 U79632 ( .A(n80900), .B(n60625), .Y(n80949) );
+  sky130_fd_sc_hd__xnor2_1 U79633 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[10]), .B(n60626), .Y(n60627) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79634 ( .B1(n79316), .B2(n80949), .A1_N(n80902), 
+        .A2_N(n60627), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N203)
+         );
+  sky130_fd_sc_hd__nor2_1 U79635 ( .A(n80898), .B(n80897), .Y(n60628) );
+  sky130_fd_sc_hd__xor2_1 U79636 ( .A(n60629), .B(n60628), .X(n60630) );
+  sky130_fd_sc_hd__nand2_1 U79637 ( .A(n80900), .B(n60630), .Y(n80915) );
+  sky130_fd_sc_hd__nor2_1 U79638 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[0]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[1]), .Y(n60631) );
+  sky130_fd_sc_hd__xor2_1 U79639 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[2]), .B(n60631), .X(n60632) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79640 ( .B1(n79316), .B2(n80915), .A1_N(n80902), 
+        .A2_N(n60632), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N195)
+         );
+  sky130_fd_sc_hd__ha_1 U79641 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[5]), .B(n60633), .COUT(n60636), .SUM(n60647) );
+  sky130_fd_sc_hd__fa_1 U79642 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_15[1]), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[1]), .CIN(n60635), .COUT(n60637), .SUM(n80270) );
+  sky130_fd_sc_hd__ha_2 U79643 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[6]), .B(n60636), .COUT(n60639), .SUM(n60657) );
+  sky130_fd_sc_hd__fa_1 U79644 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_15[2]), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[2]), .CIN(n60637), .COUT(n60634), .SUM(n60666) );
+  sky130_fd_sc_hd__ha_2 U79645 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[8]), .B(n60638), .COUT(n60641), .SUM(n60684) );
+  sky130_fd_sc_hd__nor4_1 U79646 ( .A(n80270), .B(n60684), .C(n60666), .D(
+        n60655), .Y(n60645) );
+  sky130_fd_sc_hd__ha_1 U79647 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[7]), .B(n60639), .COUT(n60638), .SUM(n60658) );
+  sky130_fd_sc_hd__ha_2 U79648 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[9]), .B(n60641), .COUT(n60642), .SUM(n60655) );
+  sky130_fd_sc_hd__xor2_1 U79649 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[11]), .B(n60643), .X(n60674) );
+  sky130_fd_sc_hd__nand2_1 U79650 ( .A(n60645), .B(n60644), .Y(n60646) );
+  sky130_fd_sc_hd__nor4_4 U79651 ( .A(n60657), .B(n60647), .C(n60668), .D(
+        n60646), .Y(n80267) );
+  sky130_fd_sc_hd__nand2_1 U79652 ( .A(n60649), .B(n80250), .Y(n80328) );
+  sky130_fd_sc_hd__nand4_1 U79653 ( .A(n80251), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_cref), 
+        .C(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_xfr), 
+        .D(n80328), .Y(n80256) );
+  sky130_fd_sc_hd__ha_1 U79654 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_15[0]), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_14[0]), .COUT(n60635), .SUM(n80269) );
+  sky130_fd_sc_hd__or4_1 U79655 ( .A(n60668), .B(n60666), .C(n80270), .D(
+        n80269), .X(n60688) );
+  sky130_fd_sc_hd__nor2_1 U79656 ( .A(n60689), .B(n60688), .Y(n60693) );
+  sky130_fd_sc_hd__nand2_1 U79657 ( .A(n60693), .B(n60694), .Y(n60656) );
+  sky130_fd_sc_hd__xnor2_1 U79658 ( .A(n60657), .B(n60656), .Y(n60648) );
+  sky130_fd_sc_hd__nand2_1 U79659 ( .A(n36995), .B(n60648), .Y(n80305) );
+  sky130_fd_sc_hd__a21oi_1 U79660 ( .A1(n60649), .A2(n80278), .B1(n83631), .Y(
+        n80276) );
+  sky130_fd_sc_hd__nor4_1 U79661 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[7]), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[6]), .C(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[1]), .D(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[3]), .Y(n60651) );
+  sky130_fd_sc_hd__nor4_1 U79662 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[5]), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[4]), .C(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[2]), .D(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[10]), .Y(n60650) );
+  sky130_fd_sc_hd__nand2_1 U79663 ( .A(n60651), .B(n60650), .Y(n60652) );
+  sky130_fd_sc_hd__nor4_1 U79664 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[9]), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[8]), .C(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[11]), .D(n60652), .Y(n79424) );
+  sky130_fd_sc_hd__nand2_1 U79665 ( .A(n80276), .B(n60653), .Y(n80268) );
+  sky130_fd_sc_hd__or4_1 U79666 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[3]), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[2]), .C(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[0]), .D(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[1]), .X(n60691) );
+  sky130_fd_sc_hd__nor2_1 U79667 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[4]), .B(n60691), .Y(n60696) );
+  sky130_fd_sc_hd__nand2_1 U79668 ( .A(n60696), .B(n60697), .Y(n60660) );
+  sky130_fd_sc_hd__xnor2_1 U79669 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[6]), .B(n60660), .Y(n60654) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79670 ( .B1(n60704), .B2(n80305), .A1_N(n80274), 
+        .A2_N(n60654), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N199) );
+  sky130_fd_sc_hd__nor2_1 U79671 ( .A(n60657), .B(n60656), .Y(n60677) );
+  sky130_fd_sc_hd__nand2_1 U79672 ( .A(n60677), .B(n60678), .Y(n60683) );
+  sky130_fd_sc_hd__nor2_1 U79673 ( .A(n60684), .B(n60683), .Y(n60673) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79674 ( .B1(n60672), .B2(n60673), .A1_N(n60672), 
+        .A2_N(n60673), .Y(n60659) );
+  sky130_fd_sc_hd__nand2_1 U79675 ( .A(n36995), .B(n60659), .Y(n80318) );
+  sky130_fd_sc_hd__nor2_1 U79676 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[6]), .B(n60660), .Y(n60680) );
+  sky130_fd_sc_hd__nand2_1 U79677 ( .A(n60680), .B(n60681), .Y(n60686) );
+  sky130_fd_sc_hd__nor2_1 U79678 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[8]), .B(n60686), .Y(n60676) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79679 ( .B1(n60675), .B2(n60676), .A1_N(n60675), 
+        .A2_N(n60676), .Y(n60661) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79680 ( .B1(n60704), .B2(n80318), .A1_N(n80274), 
+        .A2_N(n60661), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N202) );
+  sky130_fd_sc_hd__nor2_1 U79681 ( .A(n80270), .B(n80269), .Y(n60662) );
+  sky130_fd_sc_hd__xor2_1 U79682 ( .A(n60666), .B(n60662), .X(n60663) );
+  sky130_fd_sc_hd__nand2_1 U79683 ( .A(n36995), .B(n60663), .Y(n80289) );
+  sky130_fd_sc_hd__nor2_1 U79684 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[0]), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[1]), .Y(n60664) );
+  sky130_fd_sc_hd__xor2_1 U79685 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[2]), .B(n60664), .X(n60665) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79686 ( .B1(n60704), .B2(n80289), .A1_N(n80274), 
+        .A2_N(n60665), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N195) );
+  sky130_fd_sc_hd__nor3_1 U79687 ( .A(n60666), .B(n80270), .C(n80269), .Y(
+        n60667) );
+  sky130_fd_sc_hd__xor2_1 U79688 ( .A(n60668), .B(n60667), .X(n60669) );
+  sky130_fd_sc_hd__nand2_1 U79689 ( .A(n36995), .B(n60669), .Y(n80293) );
+  sky130_fd_sc_hd__nor3_1 U79690 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[2]), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[0]), .C(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[1]), .Y(n60670) );
+  sky130_fd_sc_hd__xor2_1 U79691 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[3]), .B(n60670), .X(n60671) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79692 ( .B1(n60704), .B2(n80293), .A1_N(n80274), 
+        .A2_N(n60671), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N196) );
+  sky130_fd_sc_hd__nand2_1 U79693 ( .A(n60673), .B(n60672), .Y(n60699) );
+  sky130_fd_sc_hd__nand2_1 U79694 ( .A(n36995), .B(n38438), .Y(n80327) );
+  sky130_fd_sc_hd__nand2_1 U79695 ( .A(n60676), .B(n60675), .Y(n60702) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79696 ( .B1(n60704), .B2(n80327), .A1_N(n80274), 
+        .A2_N(n38462), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N204) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79697 ( .B1(n60678), .B2(n60677), .A1_N(n60678), 
+        .A2_N(n60677), .Y(n60679) );
+  sky130_fd_sc_hd__nand2_1 U79698 ( .A(n36995), .B(n60679), .Y(n80309) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79699 ( .B1(n60681), .B2(n60680), .A1_N(n60681), 
+        .A2_N(n60680), .Y(n60682) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79700 ( .B1(n60704), .B2(n80309), .A1_N(n80274), 
+        .A2_N(n60682), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N200) );
+  sky130_fd_sc_hd__xnor2_1 U79701 ( .A(n60684), .B(n60683), .Y(n60685) );
+  sky130_fd_sc_hd__nand2_1 U79702 ( .A(n36995), .B(n60685), .Y(n80314) );
+  sky130_fd_sc_hd__xnor2_1 U79703 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[8]), .B(n60686), .Y(n60687) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79704 ( .B1(n60704), .B2(n80314), .A1_N(n80274), 
+        .A2_N(n60687), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N201) );
+  sky130_fd_sc_hd__xnor2_1 U79705 ( .A(n60689), .B(n60688), .Y(n60690) );
+  sky130_fd_sc_hd__nand2_1 U79706 ( .A(n36995), .B(n60690), .Y(n80296) );
+  sky130_fd_sc_hd__xnor2_1 U79707 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[4]), .B(n60691), .Y(n60692) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79708 ( .B1(n60704), .B2(n80296), .A1_N(n80274), 
+        .A2_N(n60692), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N197) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79709 ( .B1(n60694), .B2(n60693), .A1_N(n60694), 
+        .A2_N(n60693), .Y(n60695) );
+  sky130_fd_sc_hd__nand2_1 U79710 ( .A(n36995), .B(n60695), .Y(n80300) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79711 ( .B1(n60697), .B2(n60696), .A1_N(n60697), 
+        .A2_N(n60696), .Y(n60698) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79712 ( .B1(n60704), .B2(n80300), .A1_N(n80274), 
+        .A2_N(n60698), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N198) );
+  sky130_fd_sc_hd__xnor2_1 U79713 ( .A(n60700), .B(n60699), .Y(n60701) );
+  sky130_fd_sc_hd__nand2_1 U79714 ( .A(n36995), .B(n60701), .Y(n80323) );
+  sky130_fd_sc_hd__xnor2_1 U79715 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[10]), .B(n60702), .Y(n60703) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79716 ( .B1(n60704), .B2(n80323), .A1_N(n80274), 
+        .A2_N(n60703), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N203) );
+  sky130_fd_sc_hd__ha_1 U79717 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[5]), .B(n60705), .COUT(n60708), .SUM(n60719) );
+  sky130_fd_sc_hd__fah_1 U79718 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_15[3]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[3]), .CI(n60706), .COUT(n60712), .SUM(n60752) );
+  sky130_fd_sc_hd__fa_1 U79719 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_15[1]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[1]), .CIN(n60707), .COUT(n60709), .SUM(n60865) );
+  sky130_fd_sc_hd__fa_1 U79721 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_15[2]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[2]), .CIN(n60709), .COUT(n60706), .SUM(n60767) );
+  sky130_fd_sc_hd__ha_2 U79722 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[8]), .B(n60710), .COUT(n60713), .SUM(n60772) );
+  sky130_fd_sc_hd__nor4_1 U79723 ( .A(n60865), .B(n60772), .C(n60767), .D(
+        n60727), .Y(n60717) );
+  sky130_fd_sc_hd__ha_1 U79724 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[7]), .B(n60711), .COUT(n60710), .SUM(n60730) );
+  sky130_fd_sc_hd__fah_1 U79725 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_15[4]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[4]), .CI(n60712), .COUT(n60705), .SUM(n60762) );
+  sky130_fd_sc_hd__ha_2 U79726 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[9]), .B(n60713), .COUT(n60714), .SUM(n60727) );
+  sky130_fd_sc_hd__nand2_1 U79727 ( .A(n60717), .B(n60716), .Y(n60718) );
+  sky130_fd_sc_hd__nor4_4 U79728 ( .A(n60757), .B(n60719), .C(n60752), .D(
+        n60718), .Y(n80584) );
+  sky130_fd_sc_hd__nor2b_1 U79730 ( .B_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_xfr), .A(n77926), .Y(n80573) );
+  sky130_fd_sc_hd__nand2_1 U79731 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_cref), .B(n80573), .Y(n60721) );
+  sky130_fd_sc_hd__ha_1 U79732 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_15[0]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[0]), .COUT(n60707), .SUM(n61650) );
+  sky130_fd_sc_hd__or4_1 U79733 ( .A(n60752), .B(n60767), .C(n60865), .D(
+        n61650), .X(n60761) );
+  sky130_fd_sc_hd__nor2_1 U79734 ( .A(n60762), .B(n60761), .Y(n60729) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79735 ( .B1(n60728), .B2(n60729), .A1_N(n60728), 
+        .A2_N(n60729), .Y(n60720) );
+  sky130_fd_sc_hd__nand2_1 U79736 ( .A(n60867), .B(n60720), .Y(n80610) );
+  sky130_fd_sc_hd__a21oi_1 U79737 ( .A1(n61651), .A2(n80588), .B1(n36802), .Y(
+        n80586) );
+  sky130_fd_sc_hd__nor4_1 U79738 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[9]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[8]), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[7]), .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[6]), .Y(n60723) );
+  sky130_fd_sc_hd__nor4_1 U79739 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[1]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[3]), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[5]), .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[4]), .Y(n60722) );
+  sky130_fd_sc_hd__nand2_1 U79740 ( .A(n60723), .B(n60722), .Y(n60724) );
+  sky130_fd_sc_hd__nor4_1 U79741 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[10]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[11]), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[2]), .D(n60724), .Y(n79367) );
+  sky130_fd_sc_hd__nand2_1 U79742 ( .A(n80586), .B(n60725), .Y(n80585) );
+  sky130_fd_sc_hd__or4_1 U79743 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[3]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[2]), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[0]), .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[1]), .X(n60764) );
+  sky130_fd_sc_hd__nor2_1 U79744 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[4]), .B(n60764), .Y(n60733) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79745 ( .B1(n60732), .B2(n60733), .A1_N(n60732), 
+        .A2_N(n60733), .Y(n60726) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79746 ( .B1(n79371), .B2(n80610), .A1_N(n60868), 
+        .A2_N(n60726), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N198) );
+  sky130_fd_sc_hd__nand2_1 U79747 ( .A(n60729), .B(n60728), .Y(n60756) );
+  sky130_fd_sc_hd__nor2_1 U79748 ( .A(n60757), .B(n60756), .Y(n60745) );
+  sky130_fd_sc_hd__nand2_1 U79749 ( .A(n60745), .B(n60746), .Y(n60771) );
+  sky130_fd_sc_hd__nor2_1 U79750 ( .A(n60772), .B(n60771), .Y(n60736) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79751 ( .B1(n60735), .B2(n60736), .A1_N(n60735), 
+        .A2_N(n60736), .Y(n60731) );
+  sky130_fd_sc_hd__nand2_1 U79752 ( .A(n60867), .B(n60731), .Y(n80628) );
+  sky130_fd_sc_hd__nand2_1 U79753 ( .A(n60733), .B(n60732), .Y(n60759) );
+  sky130_fd_sc_hd__nor2_1 U79754 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[6]), .B(n60759), .Y(n60748) );
+  sky130_fd_sc_hd__nand2_1 U79755 ( .A(n60748), .B(n60749), .Y(n60774) );
+  sky130_fd_sc_hd__nor2_1 U79756 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[8]), .B(n60774), .Y(n60739) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79757 ( .B1(n60738), .B2(n60739), .A1_N(n60738), 
+        .A2_N(n60739), .Y(n60734) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79758 ( .B1(n79371), .B2(n80628), .A1_N(n60868), 
+        .A2_N(n60734), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N202) );
+  sky130_fd_sc_hd__nand2_1 U79759 ( .A(n60736), .B(n60735), .Y(n60742) );
+  sky130_fd_sc_hd__xnor2_1 U79760 ( .A(n60743), .B(n60742), .Y(n60737) );
+  sky130_fd_sc_hd__nand2_1 U79761 ( .A(n60867), .B(n60737), .Y(n80633) );
+  sky130_fd_sc_hd__nand2_1 U79762 ( .A(n60739), .B(n60738), .Y(n60744) );
+  sky130_fd_sc_hd__xnor2_1 U79763 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[10]), .B(n60744), .Y(n60740) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79764 ( .B1(n79371), .B2(n80633), .A1_N(n60868), 
+        .A2_N(n60740), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N203) );
+  sky130_fd_sc_hd__nand2_1 U79765 ( .A(n60867), .B(n37207), .Y(n80637) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79766 ( .B1(n79371), .B2(n80637), .A1_N(n60868), 
+        .A2_N(n38447), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N204) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79767 ( .B1(n60746), .B2(n60745), .A1_N(n60746), 
+        .A2_N(n60745), .Y(n60747) );
+  sky130_fd_sc_hd__nand2_1 U79768 ( .A(n60867), .B(n60747), .Y(n80619) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79769 ( .B1(n60749), .B2(n60748), .A1_N(n60749), 
+        .A2_N(n60748), .Y(n60750) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79770 ( .B1(n79371), .B2(n80619), .A1_N(n60868), 
+        .A2_N(n60750), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N200) );
+  sky130_fd_sc_hd__nor3_1 U79771 ( .A(n60767), .B(n60865), .C(n61650), .Y(
+        n60751) );
+  sky130_fd_sc_hd__xor2_1 U79772 ( .A(n60752), .B(n60751), .X(n60753) );
+  sky130_fd_sc_hd__nand2_1 U79773 ( .A(n60867), .B(n60753), .Y(n80603) );
+  sky130_fd_sc_hd__nor3_1 U79774 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[2]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[0]), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[1]), .Y(n60754) );
+  sky130_fd_sc_hd__xor2_1 U79775 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[3]), .B(n60754), .X(n60755) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79776 ( .B1(n79371), .B2(n80603), .A1_N(n60868), 
+        .A2_N(n60755), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N196) );
+  sky130_fd_sc_hd__xnor2_1 U79777 ( .A(n60757), .B(n60756), .Y(n60758) );
+  sky130_fd_sc_hd__nand2_1 U79778 ( .A(n60867), .B(n60758), .Y(n80615) );
+  sky130_fd_sc_hd__xnor2_1 U79779 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[6]), .B(n60759), .Y(n60760) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79780 ( .B1(n79371), .B2(n80615), .A1_N(n60868), 
+        .A2_N(n60760), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N199) );
+  sky130_fd_sc_hd__xnor2_1 U79781 ( .A(n60762), .B(n60761), .Y(n60763) );
+  sky130_fd_sc_hd__nand2_1 U79782 ( .A(n60867), .B(n60763), .Y(n80606) );
+  sky130_fd_sc_hd__xnor2_1 U79783 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[4]), .B(n60764), .Y(n60765) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79784 ( .B1(n79371), .B2(n80606), .A1_N(n60868), 
+        .A2_N(n60765), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N197) );
+  sky130_fd_sc_hd__nor2_1 U79785 ( .A(n60865), .B(n61650), .Y(n60766) );
+  sky130_fd_sc_hd__xor2_1 U79786 ( .A(n60767), .B(n60766), .X(n60768) );
+  sky130_fd_sc_hd__nand2_1 U79787 ( .A(n60867), .B(n60768), .Y(n80599) );
+  sky130_fd_sc_hd__nor2_1 U79788 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[0]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[1]), .Y(n60769) );
+  sky130_fd_sc_hd__xor2_1 U79789 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[2]), .B(n60769), .X(n60770) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79790 ( .B1(n79371), .B2(n80599), .A1_N(n60868), 
+        .A2_N(n60770), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N195) );
+  sky130_fd_sc_hd__xnor2_1 U79791 ( .A(n60772), .B(n60771), .Y(n60773) );
+  sky130_fd_sc_hd__nand2_1 U79792 ( .A(n60867), .B(n60773), .Y(n80624) );
+  sky130_fd_sc_hd__xnor2_1 U79793 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[8]), .B(n60774), .Y(n60775) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79794 ( .B1(n79371), .B2(n80624), .A1_N(n60868), 
+        .A2_N(n60775), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N201) );
+  sky130_fd_sc_hd__nor2_1 U79795 ( .A(n84258), .B(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_currState[1]), 
+        .Y(n84259) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79796 ( .B1(n79544), .B2(n60776), .A1_N(n84259), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_io_update_bits[4]), .Y(n457) );
+  sky130_fd_sc_hd__mux2_2 U79797 ( .A0(n61167), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_saved_opcode[2]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_io_full), .X(n60777) );
+  sky130_fd_sc_hd__o21ai_1 U79798 ( .A1(n60778), .A2(n85449), .B1(n60777), .Y(
+        n83633) );
+  sky130_fd_sc_hd__nor4_1 U79799 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_io_full), .B(n60779), .C(n83633), .D(n73804), .Y(n60780) );
+  sky130_fd_sc_hd__nand2_1 U79800 ( .A(n73133), .B(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .Y(
+        n60856) );
+  sky130_fd_sc_hd__nor2_1 U79801 ( .A(n73276), .B(n60824), .Y(n60834) );
+  sky130_fd_sc_hd__nand2_1 U79802 ( .A(n60819), .B(n60818), .Y(n60832) );
+  sky130_fd_sc_hd__o22ai_1 U79803 ( .A1(n60785), .A2(n60784), .B1(n60783), 
+        .B2(n60782), .Y(n60786) );
+  sky130_fd_sc_hd__a21oi_1 U79804 ( .A1(n60787), .A2(n60832), .B1(n60786), .Y(
+        n60838) );
+  sky130_fd_sc_hd__o22ai_1 U79805 ( .A1(n60790), .A2(n60789), .B1(n72752), 
+        .B2(n64064), .Y(n60791) );
+  sky130_fd_sc_hd__a21oi_1 U79806 ( .A1(n73296), .A2(n60792), .B1(n60791), .Y(
+        n60805) );
+  sky130_fd_sc_hd__o22ai_1 U79807 ( .A1(n60796), .A2(n60795), .B1(n60794), 
+        .B2(n60793), .Y(n60800) );
+  sky130_fd_sc_hd__o22ai_1 U79808 ( .A1(n64068), .A2(n63999), .B1(n60798), 
+        .B2(n60797), .Y(n60799) );
+  sky130_fd_sc_hd__nor2_1 U79809 ( .A(n60800), .B(n60799), .Y(n60804) );
+  sky130_fd_sc_hd__nand2_1 U79810 ( .A(n60802), .B(n60801), .Y(n60803) );
+  sky130_fd_sc_hd__nand3_1 U79811 ( .A(n60805), .B(n60804), .C(n60803), .Y(
+        n60806) );
+  sky130_fd_sc_hd__a21oi_1 U79812 ( .A1(n73271), .A2(n60807), .B1(n60806), .Y(
+        n60837) );
+  sky130_fd_sc_hd__a22oi_1 U79813 ( .A1(n60811), .A2(n60810), .B1(n60809), 
+        .B2(n60808), .Y(n60828) );
+  sky130_fd_sc_hd__o22ai_1 U79814 ( .A1(n60815), .A2(n60814), .B1(n60813), 
+        .B2(n60812), .Y(n60821) );
+  sky130_fd_sc_hd__o22ai_1 U79815 ( .A1(n60819), .A2(n60818), .B1(n60817), 
+        .B2(n60816), .Y(n60820) );
+  sky130_fd_sc_hd__nor2_1 U79816 ( .A(n60821), .B(n60820), .Y(n60827) );
+  sky130_fd_sc_hd__a22oi_1 U79817 ( .A1(n60823), .A2(n73286), .B1(n73270), 
+        .B2(n60822), .Y(n60826) );
+  sky130_fd_sc_hd__nand2_1 U79818 ( .A(n60824), .B(n73276), .Y(n60825) );
+  sky130_fd_sc_hd__nand4_1 U79819 ( .A(n60828), .B(n60827), .C(n60826), .D(
+        n60825), .Y(n60829) );
+  sky130_fd_sc_hd__a21oi_1 U79820 ( .A1(n60831), .A2(n60830), .B1(n60829), .Y(
+        n60836) );
+  sky130_fd_sc_hd__nand2_1 U79821 ( .A(n60834), .B(n60833), .Y(n60845) );
+  sky130_fd_sc_hd__nand2_1 U79822 ( .A(n60845), .B(n73277), .Y(n60835) );
+  sky130_fd_sc_hd__nand4_1 U79823 ( .A(n60838), .B(n60837), .C(n60836), .D(
+        n60835), .Y(n60855) );
+  sky130_fd_sc_hd__nand2_1 U79824 ( .A(n60840), .B(n60839), .Y(n60844) );
+  sky130_fd_sc_hd__o22a_1 U79825 ( .A1(n60842), .A2(n60841), .B1(n60848), .B2(
+        n60849), .X(n60854) );
+  sky130_fd_sc_hd__o22ai_1 U79826 ( .A1(n73277), .A2(n60845), .B1(n60844), 
+        .B2(n60843), .Y(n60853) );
+  sky130_fd_sc_hd__nand4_1 U79827 ( .A(n60849), .B(n60848), .C(n60847), .D(
+        n60846), .Y(n60850) );
+  sky130_fd_sc_hd__nand4b_1 U79829 ( .A_N(n60855), .B(n60854), .C(n60853), .D(
+        n60852), .Y(n60858) );
+  sky130_fd_sc_hd__and3_1 U79830 ( .A(n64045), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_valid), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_bits_isValid), .X(n63986) );
+  sky130_fd_sc_hd__nand2_1 U79831 ( .A(n63986), .B(n63982), .Y(n72767) );
+  sky130_fd_sc_hd__nand2_1 U79832 ( .A(n60858), .B(n60857), .Y(n73063) );
+  sky130_fd_sc_hd__o22ai_1 U79833 ( .A1(n73301), .A2(n73063), .B1(n86121), 
+        .B2(n64015), .Y(n60859) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79834 ( .B1(n60864), .B2(n72767), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[15]), .A2_N(n60859), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1516) );
+  sky130_fd_sc_hd__nand2_1 U79835 ( .A(n63986), .B(n64044), .Y(n72778) );
+  sky130_fd_sc_hd__o22ai_1 U79836 ( .A1(n73299), .A2(n73063), .B1(n86120), 
+        .B2(n64015), .Y(n60860) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79837 ( .B1(n60864), .B2(n72778), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[14]), .A2_N(n60860), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1515) );
+  sky130_fd_sc_hd__o21bai_1 U79838 ( .A1(n83653), .A2(n83654), .B1_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[0]), .Y(n83662) );
+  sky130_fd_sc_hd__nor2_1 U79839 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[1]), .B(n83662), .Y(n83667) );
+  sky130_fd_sc_hd__nand2_1 U79840 ( .A(n83667), .B(n83666), .Y(n83664) );
+  sky130_fd_sc_hd__nand2_1 U79841 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[3]), .B(n83664), .Y(n60861) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79842 ( .B1(n83672), .B2(n60861), .A1_N(n83669), 
+        .A2_N(n85450), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_N24) );
+  sky130_fd_sc_hd__nand2_1 U79843 ( .A(n63986), .B(n63925), .Y(n73067) );
+  sky130_fd_sc_hd__o22ai_1 U79844 ( .A1(n73285), .A2(n73063), .B1(n86118), 
+        .B2(n64015), .Y(n60862) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79845 ( .B1(n60864), .B2(n73067), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[12]), .A2_N(n60862), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1513) );
+  sky130_fd_sc_hd__nand2_1 U79846 ( .A(n63986), .B(n64000), .Y(n73060) );
+  sky130_fd_sc_hd__o22ai_1 U79847 ( .A1(n73283), .A2(n73063), .B1(n86119), 
+        .B2(n64015), .Y(n60863) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79848 ( .B1(n60864), .B2(n73060), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[13]), .A2_N(n60863), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1514) );
+  sky130_fd_sc_hd__nor2b_1 U79849 ( .B_N(n86465), .A(n36848), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N50)
+         );
+  sky130_fd_sc_hd__o2bb2ai_1 U79850 ( .B1(n60865), .B2(n61650), .A1_N(n60865), 
+        .A2_N(n61650), .Y(n60866) );
+  sky130_fd_sc_hd__a21oi_1 U79851 ( .A1(n60867), .A2(n60866), .B1(n36802), .Y(
+        n80594) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79852 ( .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[0]), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[1]), .A1_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[0]), .A2_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[1]), .Y(n60869) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79853 ( .B1(n80586), .B2(n80594), .A1_N(n60869), 
+        .A2_N(n60868), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N194) );
+  sky130_fd_sc_hd__nor2b_1 U79854 ( .B_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N16), 
+        .A(n36848), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N50) );
+  sky130_fd_sc_hd__nand2_1 U79855 ( .A(n60870), .B(n86753), .Y(n36415) );
+  sky130_fd_sc_hd__a21oi_1 U79856 ( .A1(n83613), .A2(n83614), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_acknum[0]), .Y(n83619) );
+  sky130_fd_sc_hd__nand2_1 U79857 ( .A(n83619), .B(n83618), .Y(n83625) );
+  sky130_fd_sc_hd__nor2_1 U79858 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_acknum[2]), .B(n83625), .Y(n83624) );
+  sky130_fd_sc_hd__nand2_1 U79859 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_acknum[3]), .B(n61469), .Y(n60871) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79860 ( .B1(n83624), .B2(n60871), .A1_N(n83626), 
+        .A2_N(n85452), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_N24) );
+  sky130_fd_sc_hd__nand2_1 U79861 ( .A(n83457), .B(n59809), .Y(n67267) );
+  sky130_fd_sc_hd__nand2_1 U79862 ( .A(n83458), .B(n83456), .Y(n60875) );
+  sky130_fd_sc_hd__nor2_1 U79863 ( .A(n60873), .B(n60872), .Y(n83415) );
+  sky130_fd_sc_hd__nand2_1 U79864 ( .A(n83911), .B(n83415), .Y(n77642) );
+  sky130_fd_sc_hd__nor2b_1 U79865 ( .B_N(n63314), .A(n60877), .Y(n83593) );
+  sky130_fd_sc_hd__nor4_1 U79866 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_acknum[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_acknum[1]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_acknum[2]), .D(n83593), .Y(n83603) );
+  sky130_fd_sc_hd__nand2_1 U79867 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_acknum[3]), .B(n83604), .Y(n60878) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79868 ( .B1(n83603), .B2(n60878), .A1_N(n83601), 
+        .A2_N(n85453), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_N24) );
+  sky130_fd_sc_hd__mux2_2 U79869 ( .A0(n61167), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_opcode[2]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_io_full), .X(n60879) );
+  sky130_fd_sc_hd__o21ai_1 U79870 ( .A1(n83557), .A2(n85454), .B1(n60879), .Y(
+        n63503) );
+  sky130_fd_sc_hd__nand3_1 U79871 ( .A(n63501), .B(n60892), .C(n63672), .Y(
+        n73799) );
+  sky130_fd_sc_hd__nor2_1 U79872 ( .A(n60883), .B(n86592), .Y(n60884) );
+  sky130_fd_sc_hd__buf_2 U79873 ( .A(n60884), .X(n86395) );
+  sky130_fd_sc_hd__nor2_1 U79874 ( .A(n86567), .B(n60885), .Y(n63458) );
+  sky130_fd_sc_hd__o21ai_0 U79875 ( .A1(n63458), .A2(n60886), .B1(n83351), .Y(
+        n83806) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79877 ( .B1(n83806), .B2(n60887), .A1_N(n37039), 
+        .A2_N(n86567), .Y(MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_N78) );
+  sky130_fd_sc_hd__a21oi_1 U79878 ( .A1(n83579), .A2(n83578), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_acknum[0]), .Y(n83585) );
+  sky130_fd_sc_hd__nand2_1 U79879 ( .A(n83585), .B(n83584), .Y(n83586) );
+  sky130_fd_sc_hd__nor2_1 U79880 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_acknum[2]), .B(n83586), .Y(n60897) );
+  sky130_fd_sc_hd__a22oi_1 U79881 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_acknum[3]), .A2(n60897), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_acknum[2]), .B2(n83586), .Y(n60888) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79882 ( .B1(n60888), .B2(n83591), .A1_N(n83589), 
+        .A2_N(n85455), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_N23) );
+  sky130_fd_sc_hd__a21oi_1 U79883 ( .A1(n60891), .A2(n60890), .B1(n60889), .Y(
+        n61976) );
+  sky130_fd_sc_hd__nand4_1 U79884 ( .A(n60893), .B(n73778), .C(n60892), .D(
+        n66789), .Y(n73791) );
+  sky130_fd_sc_hd__nand2b_1 U79885 ( .A_N(n83591), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_acknum[3]), .Y(n60896) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79886 ( .B1(n60897), .B2(n60896), .A1_N(n83589), 
+        .A2_N(n85456), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_N24) );
+  sky130_fd_sc_hd__nor2_1 U79887 ( .A(n60898), .B(n85457), .Y(n83350) );
+  sky130_fd_sc_hd__a22o_1 U79888 ( .A1(n61448), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_7), .B1(n61451), .B2(n60899), .X(n61160) );
+  sky130_fd_sc_hd__nand3_1 U79889 ( .A(n60900), .B(n83355), .C(n61160), .Y(
+        n63707) );
+  sky130_fd_sc_hd__mux2_2 U79890 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_saved_opcode[2]), .A1(n61167), .S(n63663), .X(n60902) );
+  sky130_fd_sc_hd__nand2_1 U79891 ( .A(n60903), .B(n60902), .Y(n73745) );
+  sky130_fd_sc_hd__nand2_1 U79892 ( .A(n60904), .B(n63663), .Y(n73786) );
+  sky130_fd_sc_hd__mux2_2 U79893 ( .A0(n61167), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_saved_opcode[2]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_io_full), .X(n60908) );
+  sky130_fd_sc_hd__o21ai_1 U79894 ( .A1(n60909), .A2(n85458), .B1(n60908), .Y(
+        n73756) );
+  sky130_fd_sc_hd__nor2_1 U79895 ( .A(n73756), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_io_full), .Y(n60910) );
+  sky130_fd_sc_hd__nand2_1 U79896 ( .A(n37040), .B(n80718), .Y(n36165) );
+  sky130_fd_sc_hd__nand4b_1 U79897 ( .A_N(n60915), .B(n60914), .C(n60913), .D(
+        n60912), .Y(n73808) );
+  sky130_fd_sc_hd__a21o_1 U79898 ( .A1(n60918), .A2(n60917), .B1(n60916), .X(
+        n83611) );
+  sky130_fd_sc_hd__nand2_1 U79899 ( .A(n86753), .B(n80392), .Y(n36632) );
+  sky130_fd_sc_hd__nor3_1 U79900 ( .A(n60924), .B(n60923), .C(n83635), .Y(
+        n60925) );
+  sky130_fd_sc_hd__nand3_1 U79901 ( .A(n60927), .B(n60926), .C(n60925), .Y(
+        n60929) );
+  sky130_fd_sc_hd__nand2b_1 U79902 ( .A_N(n60929), .B(n60928), .Y(n80382) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79903 ( .B1(n80388), .B2(n80382), .A1_N(n80387), 
+        .A2_N(n36632), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N51) );
+  sky130_fd_sc_hd__nor2b_1 U79904 ( .B_N(n60940), .A(n37041), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_N50) );
+  sky130_fd_sc_hd__nor2_1 U79905 ( .A(n60931), .B(n67265), .Y(n62069) );
+  sky130_fd_sc_hd__nor2_1 U79906 ( .A(n36802), .B(n62069), .Y(n80719) );
+  sky130_fd_sc_hd__nand2_1 U79907 ( .A(n86402), .B(n80989), .Y(n36629) );
+  sky130_fd_sc_hd__nor2_1 U79908 ( .A(n60933), .B(n60932), .Y(n60934) );
+  sky130_fd_sc_hd__nand4_1 U79909 ( .A(n60936), .B(n60935), .C(n60934), .D(
+        n63279), .Y(n80988) );
+  sky130_fd_sc_hd__nand2b_1 U79910 ( .A_N(n80988), .B(n37037), .Y(n80994) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79911 ( .B1(n80995), .B2(n80988), .A1_N(n80994), 
+        .A2_N(n36629), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N51)
+         );
+  sky130_fd_sc_hd__nor2_1 U79912 ( .A(n73827), .B(n77931), .Y(n60937) );
+  sky130_fd_sc_hd__nand2_1 U79913 ( .A(n60938), .B(n60937), .Y(n80706) );
+  sky130_fd_sc_hd__nand2_1 U79914 ( .A(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .B(
+        n80706), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N44) );
+  sky130_fd_sc_hd__nor2_2 U79915 ( .A(n83209), .B(n60941), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N78) );
+  sky130_fd_sc_hd__nor2_2 U79916 ( .A(n83211), .B(n60941), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N75) );
+  sky130_fd_sc_hd__nor2_2 U79917 ( .A(n83214), .B(n60958), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N81) );
+  sky130_fd_sc_hd__nor2_2 U79918 ( .A(n62862), .B(n60959), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N84) );
+  sky130_fd_sc_hd__nor2_2 U79919 ( .A(n60941), .B(n60959), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N76) );
+  sky130_fd_sc_hd__nor2_1 U79920 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_intXbar_auto_int_out_0), .B(n63820), .Y(n76280) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79921 ( .B1(n76267), .B2(n70197), .A1_N(n60942), 
+        .A2_N(n76280), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N394) );
+  sky130_fd_sc_hd__nand3_1 U79922 ( .A(n85459), .B(n84035), .C(n60943), .Y(
+        n84039) );
+  sky130_fd_sc_hd__nor2_1 U79923 ( .A(n83507), .B(n84039), .Y(n84061) );
+  sky130_fd_sc_hd__nor2_1 U79924 ( .A(n84054), .B(n83515), .Y(n83508) );
+  sky130_fd_sc_hd__nand2_1 U79925 ( .A(n83815), .B(n86752), .Y(n84058) );
+  sky130_fd_sc_hd__nand2_1 U79926 ( .A(n84032), .B(n84031), .Y(n84041) );
+  sky130_fd_sc_hd__nor2_1 U79927 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_beatsLeft[1]), 
+        .B(n84041), .Y(n84046) );
+  sky130_fd_sc_hd__nand2_1 U79928 ( .A(n84046), .B(n84045), .Y(n84056) );
+  sky130_fd_sc_hd__nor2_1 U79929 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_beatsLeft[3]), 
+        .B(n84056), .Y(n84055) );
+  sky130_fd_sc_hd__nand2_1 U79930 ( .A(n84055), .B(n60944), .Y(n77396) );
+  sky130_fd_sc_hd__o21ai_1 U79931 ( .A1(n84055), .A2(n60944), .B1(n77396), .Y(
+        n60945) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79932 ( .B1(n84052), .B2(n83526), .A1_N(n84050), 
+        .A2_N(n60945), .Y(n36304) );
+  sky130_fd_sc_hd__nand3_1 U79933 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_MPORT_addr[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_MPORT_addr[3]), .C(n83149), .Y(n60953) );
+  sky130_fd_sc_hd__nor2_2 U79934 ( .A(n83165), .B(n60953), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N78) );
+  sky130_fd_sc_hd__nor2_1 U79935 ( .A(n60952), .B(n60951), .Y(n83169) );
+  sky130_fd_sc_hd__nor2_2 U79936 ( .A(n83167), .B(n60953), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N75) );
+  sky130_fd_sc_hd__nor4_1 U79937 ( .A(n83149), .B(n83192), .C(n83071), .D(
+        n83134), .Y(n83264) );
+  sky130_fd_sc_hd__nor2_1 U79938 ( .A(n83264), .B(n83265), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1__T_472) );
+  sky130_fd_sc_hd__inv_2 U79939 ( .A(n83279), .Y(n86445) );
+  sky130_fd_sc_hd__o21ai_1 U79940 ( .A1(n77571), .A2(n77570), .B1(n60949), .Y(
+        n84069) );
+  sky130_fd_sc_hd__nor2_1 U79941 ( .A(n83327), .B(n84067), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N30) );
+  sky130_fd_sc_hd__nand2_1 U79942 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_MPORT_addr[1]), .B(n60951), .Y(n60991) );
+  sky130_fd_sc_hd__nor2_2 U79943 ( .A(n60953), .B(n60991), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N76) );
+  sky130_fd_sc_hd__nand2_1 U79944 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_MPORT_addr[0]), .B(n60952), .Y(n60989) );
+  sky130_fd_sc_hd__nor2_2 U79945 ( .A(n60953), .B(n60989), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N77) );
+  sky130_fd_sc_hd__mux2_2 U79946 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_saved_opcode[2]), .A1(n61167), .S(n68438), .X(n60954) );
+  sky130_fd_sc_hd__o21ai_1 U79947 ( .A1(n83739), .A2(n85460), .B1(n60954), .Y(
+        n83736) );
+  sky130_fd_sc_hd__nor4_1 U79948 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_io_full), .B(n73772), .C(n60955), .D(n83736), .Y(n60956) );
+  sky130_fd_sc_hd__nor2_1 U79949 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_MPORT_addr[2]), .B(n83828), .Y(n60957) );
+  sky130_fd_sc_hd__nand2_1 U79950 ( .A(n60957), .B(n83217), .Y(n60960) );
+  sky130_fd_sc_hd__nor2_2 U79951 ( .A(n60958), .B(n60960), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N89) );
+  sky130_fd_sc_hd__nor2_2 U79952 ( .A(n83209), .B(n60960), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N90) );
+  sky130_fd_sc_hd__nor2_2 U79953 ( .A(n60959), .B(n60960), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N88) );
+  sky130_fd_sc_hd__nor2_2 U79954 ( .A(n83211), .B(n60960), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N87) );
+  sky130_fd_sc_hd__mux2_2 U79955 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_saved_opcode[2]), .A1(n61167), .S(n60963), .X(n60961) );
+  sky130_fd_sc_hd__nand2_1 U79956 ( .A(n60962), .B(n60961), .Y(n63468) );
+  sky130_fd_sc_hd__nand3_1 U79957 ( .A(n73796), .B(n73812), .C(n60963), .Y(
+        n60964) );
+  sky130_fd_sc_hd__nor2_2 U79958 ( .A(n60973), .B(n60986), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N307) );
+  sky130_fd_sc_hd__nand3_1 U79959 ( .A(n83168), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_MPORT_addr[3]), .C(n83149), .Y(n83170) );
+  sky130_fd_sc_hd__nor2_2 U79960 ( .A(n83167), .B(n83170), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N79) );
+  sky130_fd_sc_hd__nand3_1 U79961 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_MPORT_addr[2]), .B(n83149), .C(n83173), .Y(n61999) );
+  sky130_fd_sc_hd__nor2_2 U79962 ( .A(n61999), .B(n60991), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N84) );
+  sky130_fd_sc_hd__nor2_2 U79963 ( .A(n61999), .B(n83165), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N86) );
+  sky130_fd_sc_hd__nor2_2 U79964 ( .A(n61999), .B(n60989), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N85) );
+  sky130_fd_sc_hd__nand2_1 U79965 ( .A(n60968), .B(n60967), .Y(n62988) );
+  sky130_fd_sc_hd__nor2_2 U79966 ( .A(n62988), .B(n60969), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N314) );
+  sky130_fd_sc_hd__nor2_2 U79967 ( .A(n60973), .B(n60969), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N315) );
+  sky130_fd_sc_hd__nor2_2 U79968 ( .A(n60985), .B(n60969), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N317) );
+  sky130_fd_sc_hd__nor2_2 U79969 ( .A(n60982), .B(n60969), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N319) );
+  sky130_fd_sc_hd__nor2_2 U79970 ( .A(n60971), .B(n60969), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N321) );
+  sky130_fd_sc_hd__nor2_2 U79971 ( .A(n60982), .B(n60970), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N335) );
+  sky130_fd_sc_hd__nor2_2 U79972 ( .A(n62988), .B(n60970), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N330) );
+  sky130_fd_sc_hd__nor2_2 U79973 ( .A(n60972), .B(n60970), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N336) );
+  sky130_fd_sc_hd__nor2_2 U79974 ( .A(n60983), .B(n60970), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N334) );
+  sky130_fd_sc_hd__nor2_2 U79975 ( .A(n60971), .B(n60970), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N337) );
+  sky130_fd_sc_hd__nor2_2 U79976 ( .A(n60972), .B(n60974), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N328) );
+  sky130_fd_sc_hd__nor2_2 U79977 ( .A(n62988), .B(n60974), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N322) );
+  sky130_fd_sc_hd__nor2_2 U79978 ( .A(n60984), .B(n60974), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N324) );
+  sky130_fd_sc_hd__nor2_2 U79979 ( .A(n60973), .B(n60974), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N323) );
+  sky130_fd_sc_hd__nor2_2 U79980 ( .A(n60983), .B(n60974), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N326) );
+  sky130_fd_sc_hd__nand2_1 U79981 ( .A(n37039), .B(n81125), .Y(n36622) );
+  sky130_fd_sc_hd__nor4_1 U79982 ( .A(n60978), .B(n60977), .C(n60976), .D(
+        n76307), .Y(n60979) );
+  sky130_fd_sc_hd__nand4_1 U79983 ( .A(n63459), .B(n60981), .C(n60980), .D(
+        n60979), .Y(n81124) );
+  sky130_fd_sc_hd__nand2b_1 U79984 ( .A_N(n81124), .B(n86753), .Y(n81130) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79985 ( .B1(n81131), .B2(n81124), .A1_N(n81130), 
+        .A2_N(n36622), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N51) );
+  sky130_fd_sc_hd__nor2_2 U79986 ( .A(n60986), .B(n60982), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N311) );
+  sky130_fd_sc_hd__nor2_2 U79987 ( .A(n60986), .B(n60983), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N310) );
+  sky130_fd_sc_hd__nor2_2 U79988 ( .A(n60986), .B(n60984), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N308) );
+  sky130_fd_sc_hd__nor2_2 U79989 ( .A(n60986), .B(n60985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N309) );
+  sky130_fd_sc_hd__nor2_2 U79990 ( .A(n83170), .B(n60989), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N81) );
+  sky130_fd_sc_hd__nor2_2 U79991 ( .A(n83170), .B(n60991), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N80) );
+  sky130_fd_sc_hd__nor2_2 U79992 ( .A(n83170), .B(n83165), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N82) );
+  sky130_fd_sc_hd__nand3_1 U79993 ( .A(n60987), .B(n84127), .C(n85461), .Y(
+        n78200) );
+  sky130_fd_sc_hd__nor2_1 U79994 ( .A(n36802), .B(n78200), .Y(n77632) );
+  sky130_fd_sc_hd__nor2b_1 U79995 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__repl_way_v0_T[11]), .A(n78461), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N19) );
+  sky130_fd_sc_hd__nor2b_1 U79996 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_lo[5]), .A(n78461), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N13) );
+  sky130_fd_sc_hd__nor2b_1 U79997 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__repl_way_v0_T[14]), .A(n78461), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N22) );
+  sky130_fd_sc_hd__nor2b_1 U79998 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_lo[6]), .A(n78461), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N14) );
+  sky130_fd_sc_hd__nor2b_1 U79999 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_lo[1]), .A(n78461), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N9) );
+  sky130_fd_sc_hd__nor2b_1 U80000 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__repl_way_v0_T[8]), .A(n78461), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N16) );
+  sky130_fd_sc_hd__nor2b_1 U80001 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_lo[7]), .A(n78461), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N15) );
+  sky130_fd_sc_hd__nor2b_1 U80002 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_lo[3]), .A(n78461), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N11) );
+  sky130_fd_sc_hd__nor2b_1 U80003 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__repl_way_v0_T[9]), .A(n78461), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N17) );
+  sky130_fd_sc_hd__nor2b_1 U80004 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_lo[4]), .A(n78461), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N12) );
+  sky130_fd_sc_hd__nor2b_1 U80005 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_lo[2]), .A(n78461), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N10) );
+  sky130_fd_sc_hd__nor2_2 U80006 ( .A(n83167), .B(n60990), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N87) );
+  sky130_fd_sc_hd__nor2_2 U80007 ( .A(n60989), .B(n60990), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N89) );
+  sky130_fd_sc_hd__nor2_2 U80008 ( .A(n60991), .B(n60990), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N88) );
+  sky130_fd_sc_hd__nor2_2 U80009 ( .A(n83132), .B(n60998), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N75) );
+  sky130_fd_sc_hd__o21ai_1 U80010 ( .A1(n80718), .A2(n62848), .B1(n86752), .Y(
+        n60992) );
+  sky130_fd_sc_hd__nand2_1 U80011 ( .A(n84073), .B(n60993), .Y(n84096) );
+  sky130_fd_sc_hd__nor2_1 U80012 ( .A(n85463), .B(n85462), .Y(n60997) );
+  sky130_fd_sc_hd__nand2_1 U80013 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeat_count[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeat_count[1]), .Y(n83306) );
+  sky130_fd_sc_hd__a221oi_1 U80014 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeat_count[0]), .A2(n60994), .B1(n83308), .B2(n85464), .C1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeat_count[1]), .Y(n60995) );
+  sky130_fd_sc_hd__a21oi_1 U80015 ( .A1(n60995), .A2(n60997), .B1(n85465), .Y(
+        n60996) );
+  sky130_fd_sc_hd__nor2_2 U80017 ( .A(n60998), .B(n61000), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N78) );
+  sky130_fd_sc_hd__nor2_2 U80018 ( .A(n83127), .B(n60999), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N81) );
+  sky130_fd_sc_hd__nor2_2 U80019 ( .A(n83128), .B(n60999), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N80) );
+  sky130_fd_sc_hd__nor2_2 U80020 ( .A(n61000), .B(n60999), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N82) );
+  sky130_fd_sc_hd__nor3_1 U80021 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_currState[1]), 
+        .B(n61166), .C(n61001), .Y(n61171) );
+  sky130_fd_sc_hd__nand3_1 U80022 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_io_output_instruction[4]), .B(n79545), .C(n61171), .Y(n61173) );
+  sky130_fd_sc_hd__nor2b_1 U80023 ( .B_N(n85971), .A(n83631), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_N50) );
+  sky130_fd_sc_hd__nand3_1 U80024 ( .A(n61006), .B(n61005), .C(n73771), .Y(
+        n61008) );
+  sky130_fd_sc_hd__nor2_1 U80025 ( .A(n61008), .B(n61007), .Y(n73811) );
+  sky130_fd_sc_hd__mux2_2 U80026 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_saved_opcode[2]), .A1(n61167), .S(n73771), .X(n61009) );
+  sky130_fd_sc_hd__nand2_1 U80027 ( .A(n73811), .B(n73768), .Y(n73770) );
+  sky130_fd_sc_hd__nand2_1 U80028 ( .A(n83351), .B(n83809), .Y(n36246) );
+  sky130_fd_sc_hd__nor2_1 U80029 ( .A(n63458), .B(n36848), .Y(n83802) );
+  sky130_fd_sc_hd__o2bb2ai_1 U80030 ( .B1(n63450), .B2(n63458), .A1_N(n83788), 
+        .A2_N(n36246), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_N59) );
+  sky130_fd_sc_hd__nor2_2 U80031 ( .A(n83086), .B(n61011), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N75) );
+  sky130_fd_sc_hd__nor2_2 U80032 ( .A(n83084), .B(n61012), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N84) );
+  sky130_fd_sc_hd__nor2_2 U80033 ( .A(n61013), .B(n61012), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N86) );
+  sky130_fd_sc_hd__nor2_1 U80034 ( .A(n37038), .B(n85668), .Y(n80425) );
+  sky130_fd_sc_hd__nor2b_1 U80035 ( .B_N(n36139), .A(n80425), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N137) );
+  sky130_fd_sc_hd__nor2_1 U80036 ( .A(n60015), .B(n73515), .Y(n67385) );
+  sky130_fd_sc_hd__a2bb2oi_1 U80037 ( .B1(n76425), .B2(n67385), .A1_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[0]), .A2_N(n36151), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N276) );
+  sky130_fd_sc_hd__or4_1 U80038 ( .A(n85469), .B(n85468), .C(n85467), .D(
+        n85466), .X(n61014) );
+  sky130_fd_sc_hd__nor3_1 U80039 ( .A(n85470), .B(n85894), .C(n61014), .Y(
+        n73557) );
+  sky130_fd_sc_hd__nor2_2 U80040 ( .A(n73557), .B(n83680), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9) );
+  sky130_fd_sc_hd__nand2_1 U80041 ( .A(n63666), .B(n61017), .Y(n61024) );
+  sky130_fd_sc_hd__mux2_2 U80042 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_saved_opcode[2]), .A1(n61167), .S(n47699), .X(n61028) );
+  sky130_fd_sc_hd__nand2_1 U80043 ( .A(n61029), .B(n61028), .Y(n73762) );
+  sky130_fd_sc_hd__nand4_1 U80044 ( .A(n61031), .B(n61030), .C(n47699), .D(
+        n55310), .Y(n73792) );
+  sky130_fd_sc_hd__a21o_1 U80045 ( .A1(n66789), .A2(n62072), .B1(n61033), .X(
+        n66792) );
+  sky130_fd_sc_hd__nand2_1 U80046 ( .A(n61035), .B(n61034), .Y(n61041) );
+  sky130_fd_sc_hd__nand2_1 U80047 ( .A(n86753), .B(n81196), .Y(n36617) );
+  sky130_fd_sc_hd__nor4_1 U80048 ( .A(n61047), .B(n61046), .C(n75733), .D(
+        n61045), .Y(n61048) );
+  sky130_fd_sc_hd__nand3_1 U80049 ( .A(n61050), .B(n61049), .C(n61048), .Y(
+        n63495) );
+  sky130_fd_sc_hd__nand2_1 U80050 ( .A(n61051), .B(n36801), .Y(n81195) );
+  sky130_fd_sc_hd__o2bb2ai_1 U80051 ( .B1(n81204), .B2(n63495), .A1_N(n81195), 
+        .A2_N(n36617), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N51) );
+  sky130_fd_sc_hd__nor2_1 U80052 ( .A(n36848), .B(n83776), .Y(n76453) );
+  sky130_fd_sc_hd__nand2_1 U80053 ( .A(n76453), .B(n61053), .Y(n63464) );
+  sky130_fd_sc_hd__a21oi_1 U80054 ( .A1(n76388), .A2(n62019), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_acknum[0]), .Y(n62016) );
+  sky130_fd_sc_hd__nand2_1 U80055 ( .A(n62016), .B(n62014), .Y(n76454) );
+  sky130_fd_sc_hd__o22ai_1 U80056 ( .A1(n62014), .A2(n62016), .B1(n62015), 
+        .B2(n76454), .Y(n61055) );
+  sky130_fd_sc_hd__o2bb2ai_1 U80057 ( .B1(n83775), .B2(n63464), .A1_N(n76453), 
+        .A2_N(n61055), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_N22) );
+  sky130_fd_sc_hd__nand2_1 U80059 ( .A(n79881), .B(n86752), .Y(n61074) );
+  sky130_fd_sc_hd__nand2_1 U80060 ( .A(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .B(
+        n81042), .Y(n36627) );
+  sky130_fd_sc_hd__nor3_1 U80061 ( .A(n61068), .B(n61067), .C(n84172), .Y(
+        n61071) );
+  sky130_fd_sc_hd__nand4_1 U80062 ( .A(n61071), .B(n83737), .C(n61070), .D(
+        n61069), .Y(n81041) );
+  sky130_fd_sc_hd__nand2b_1 U80063 ( .A_N(n81041), .B(n86752), .Y(n81047) );
+  sky130_fd_sc_hd__o2bb2ai_1 U80064 ( .B1(n81048), .B2(n81041), .A1_N(n81047), 
+        .A2_N(n36627), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N51) );
+  sky130_fd_sc_hd__nor2b_1 U80065 ( .B_N(n61219), .A(n61076), .Y(n79048) );
+  sky130_fd_sc_hd__nand2_1 U80066 ( .A(n86753), .B(n79110), .Y(n36614) );
+  sky130_fd_sc_hd__nand2_1 U80067 ( .A(n79920), .B(n67391), .Y(n66800) );
+  sky130_fd_sc_hd__nand2_1 U80068 ( .A(n49230), .B(n80137), .Y(n61079) );
+  sky130_fd_sc_hd__nand2_1 U80069 ( .A(n37037), .B(n81063), .Y(n36624) );
+  sky130_fd_sc_hd__nand2_1 U80070 ( .A(n86753), .B(n81373), .Y(n36607) );
+  sky130_fd_sc_hd__nor3_1 U80071 ( .A(n61083), .B(n76316), .C(n61082), .Y(
+        n61084) );
+  sky130_fd_sc_hd__nand2_1 U80072 ( .A(n61085), .B(n61084), .Y(n81363) );
+  sky130_fd_sc_hd__nand2b_1 U80073 ( .A_N(n81363), .B(n37039), .Y(n81368) );
+  sky130_fd_sc_hd__o2bb2ai_1 U80074 ( .B1(n61217), .B2(n81363), .A1_N(n81368), 
+        .A2_N(n36607), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N51) );
+  sky130_fd_sc_hd__nor2b_1 U80075 ( .B_N(n61445), .A(n61086), .Y(n81293) );
+  sky130_fd_sc_hd__nand2_1 U80076 ( .A(n37040), .B(n79019), .Y(n36609) );
+  sky130_fd_sc_hd__mux2_2 U80077 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_saved_opcode[2]), .A1(n61167), .S(n62073), .X(n61087) );
+  sky130_fd_sc_hd__nand2_1 U80078 ( .A(n61088), .B(n61087), .Y(n63471) );
+  sky130_fd_sc_hd__nand2_1 U80081 ( .A(n43127), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27[9]), .Y(n61120) );
+  sky130_fd_sc_hd__nand2_1 U80082 ( .A(n73325), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24[9]), .Y(n61119) );
+  sky130_fd_sc_hd__nand2_1 U80083 ( .A(n73192), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[10]), .Y(n61097) );
+  sky130_fd_sc_hd__a22oi_1 U80084 ( .A1(n78262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[10]), .B1(n73261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[10]), .Y(n61096) );
+  sky130_fd_sc_hd__nand2_1 U80085 ( .A(n73187), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[10]), .Y(n61095) );
+  sky130_fd_sc_hd__nand2_1 U80086 ( .A(n78265), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[10]), .Y(n61094) );
+  sky130_fd_sc_hd__nand4_1 U80087 ( .A(n61097), .B(n61096), .C(n61095), .D(
+        n61094), .Y(n61098) );
+  sky130_fd_sc_hd__a21oi_1 U80088 ( .A1(n73097), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[10]), .B1(n61098), .Y(n61099) );
+  sky130_fd_sc_hd__o21ai_0 U80089 ( .A1(n65963), .A2(n73154), .B1(n61099), .Y(
+        n61100) );
+  sky130_fd_sc_hd__a21oi_1 U80090 ( .A1(n61101), .A2(n72458), .B1(n61100), .Y(
+        n61118) );
+  sky130_fd_sc_hd__a22oi_1 U80091 ( .A1(n73283), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13[9]), .B1(n73282), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10[9]), .Y(n61105) );
+  sky130_fd_sc_hd__a22oi_1 U80092 ( .A1(n73285), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12[9]), .B1(n73284), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23[9]), .Y(n61104) );
+  sky130_fd_sc_hd__a22oi_1 U80093 ( .A1(n73287), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22[9]), .B1(n73286), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21[9]), .Y(n61103) );
+  sky130_fd_sc_hd__a22oi_1 U80094 ( .A1(n73288), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19[9]), .B1(n73289), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17[9]), .Y(n61102) );
+  sky130_fd_sc_hd__nand4_1 U80095 ( .A(n61105), .B(n61104), .C(n61103), .D(
+        n61102), .Y(n61116) );
+  sky130_fd_sc_hd__a22oi_1 U80096 ( .A1(n73271), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8[9]), .B1(n73270), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11[9]), .Y(n61109) );
+  sky130_fd_sc_hd__a22oi_1 U80097 ( .A1(n73272), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20[9]), .B1(n73273), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1[9]), .Y(n61108) );
+  sky130_fd_sc_hd__a22oi_1 U80098 ( .A1(n73276), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0[9]), .B1(n73277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2[9]), .Y(n61107) );
+  sky130_fd_sc_hd__a22oi_1 U80099 ( .A1(n73274), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16[9]), .B1(n73275), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3[9]), .Y(n61106) );
+  sky130_fd_sc_hd__nand4_1 U80100 ( .A(n61109), .B(n61108), .C(n61107), .D(
+        n61106), .Y(n61115) );
+  sky130_fd_sc_hd__a22oi_1 U80101 ( .A1(n73295), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18[9]), .B1(n73294), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4[9]), .Y(n61113) );
+  sky130_fd_sc_hd__a22oi_1 U80102 ( .A1(n73297), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5[9]), .B1(n73296), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6[9]), .Y(n61112) );
+  sky130_fd_sc_hd__a22oi_1 U80103 ( .A1(n73299), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14[9]), .B1(n73298), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7[9]), .Y(n61111) );
+  sky130_fd_sc_hd__a22oi_1 U80104 ( .A1(n73301), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15[9]), .B1(n73300), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9[9]), .Y(n61110) );
+  sky130_fd_sc_hd__nand4_1 U80105 ( .A(n61113), .B(n61112), .C(n61111), .D(
+        n61110), .Y(n61114) );
+  sky130_fd_sc_hd__o31ai_1 U80106 ( .A1(n61116), .A2(n61115), .A3(n61114), 
+        .B1(n61936), .Y(n61117) );
+  sky130_fd_sc_hd__nand4_1 U80107 ( .A(n61120), .B(n61119), .C(n61118), .D(
+        n61117), .Y(n61126) );
+  sky130_fd_sc_hd__nand2_1 U80108 ( .A(n61937), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[10]), .Y(n61124) );
+  sky130_fd_sc_hd__nand2_1 U80109 ( .A(n73326), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26[9]), .Y(n61123) );
+  sky130_fd_sc_hd__nand2_1 U80110 ( .A(n72203), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[10]), .Y(n61122) );
+  sky130_fd_sc_hd__nand2_1 U80111 ( .A(n72252), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[10]), .Y(n61121) );
+  sky130_fd_sc_hd__nand4_1 U80112 ( .A(n61124), .B(n61123), .C(n61122), .D(
+        n61121), .Y(n61125) );
+  sky130_fd_sc_hd__nor2_1 U80113 ( .A(n61126), .B(n61125), .Y(n61130) );
+  sky130_fd_sc_hd__a22oi_1 U80114 ( .A1(n69413), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[10]), .B1(n42989), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25[9]), .Y(n61129) );
+  sky130_fd_sc_hd__inv_2 U80115 ( .A(n61127), .Y(n72419) );
+  sky130_fd_sc_hd__a22oi_1 U80116 ( .A1(n72202), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[10]), .B1(n72419), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[10]), .Y(n61128) );
+  sky130_fd_sc_hd__nand3_1 U80117 ( .A(n61130), .B(n61129), .C(n61128), .Y(
+        n61900) );
+  sky130_fd_sc_hd__nand2_1 U80118 ( .A(n61133), .B(n61132), .Y(n61138) );
+  sky130_fd_sc_hd__xnor2_1 U80120 ( .A(n61138), .B(n61137), .Y(n61147) );
+  sky130_fd_sc_hd__xnor2_1 U80121 ( .A(n64025), .B(n72273), .Y(n61146) );
+  sky130_fd_sc_hd__nand2_1 U80122 ( .A(n38472), .B(n61139), .Y(n61144) );
+  sky130_fd_sc_hd__xnor2_1 U80124 ( .A(n61144), .B(n61143), .Y(n61145) );
+  sky130_fd_sc_hd__a222oi_1 U80125 ( .A1(n73319), .A2(n61147), .B1(n73229), 
+        .B2(n61146), .C1(n61145), .C2(n73312), .Y(n61901) );
+  sky130_fd_sc_hd__nor2_1 U80126 ( .A(n73322), .B(n61901), .Y(n72779) );
+  sky130_fd_sc_hd__a22oi_1 U80127 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[10]), .B1(n86595), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[10]), .Y(n61148) );
+  sky130_fd_sc_hd__a21o_1 U80129 ( .A1(n72779), .A2(n36843), .B1(n61150), .X(
+        n61151) );
+  sky130_fd_sc_hd__nand2_1 U80130 ( .A(n86402), .B(n80399), .Y(n80412) );
+  sky130_fd_sc_hd__o2bb2ai_1 U80131 ( .B1(n61152), .B2(n80400), .A1_N(n36182), 
+        .A2_N(n80412), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N51) );
+  sky130_fd_sc_hd__nand2_1 U80132 ( .A(n36801), .B(n59507), .Y(n61153) );
+  sky130_fd_sc_hd__o2bb2ai_1 U80133 ( .B1(n80407), .B2(n61153), .A1_N(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_MPORT_addr[1]), .A2_N(n85839), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N40) );
+  sky130_fd_sc_hd__nor2b_1 U80134 ( .B_N(n85471), .A(n80978), .Y(n80738) );
+  sky130_fd_sc_hd__nand2_1 U80135 ( .A(n80738), .B(n61154), .Y(n78148) );
+  sky130_fd_sc_hd__nand2_1 U80136 ( .A(n37039), .B(n81005), .Y(n81016) );
+  sky130_fd_sc_hd__o2bb2ai_1 U80137 ( .B1(n61155), .B2(n78148), .A1_N(n36138), 
+        .A2_N(n81016), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N51)
+         );
+  sky130_fd_sc_hd__nand2_1 U80138 ( .A(n86402), .B(n59503), .Y(n61156) );
+  sky130_fd_sc_hd__o2bb2ai_1 U80139 ( .B1(n81013), .B2(n61156), .A1_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_MPORT_addr[1]), .A2_N(n85851), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N40)
+         );
+  sky130_fd_sc_hd__mux2_2 U80140 ( .A0(n85472), .A1(n61157), .S(n62928), .X(
+        n61159) );
+  sky130_fd_sc_hd__nand2_1 U80141 ( .A(n61159), .B(n61158), .Y(n73252) );
+  sky130_fd_sc_hd__nor2_1 U80142 ( .A(n73252), .B(n73254), .Y(n61995) );
+  sky130_fd_sc_hd__nand2_1 U80143 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_MPORT_addr[0]), .B(n81204), .Y(n81200) );
+  sky130_fd_sc_hd__nor3_1 U80144 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_MPORT_addr[2]), .B(n81203), .C(n81200), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N32) );
+  sky130_fd_sc_hd__nor2_1 U80145 ( .A(n83375), .B(n83881), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_N26) );
+  sky130_fd_sc_hd__nand2_1 U80146 ( .A(n61161), .B(n61160), .Y(n83880) );
+  sky130_fd_sc_hd__nand2b_1 U80147 ( .A_N(n83880), .B(n86752), .Y(n83370) );
+  sky130_fd_sc_hd__nor2b_1 U80148 ( .B_N(n83367), .A(n83370), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_N37) );
+  sky130_fd_sc_hd__nor2b_1 U80149 ( .B_N(n85949), .A(n36848), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_N50)
+         );
+  sky130_fd_sc_hd__nor2b_1 U80150 ( .B_N(n83640), .A(n83651), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_N35) );
+  sky130_fd_sc_hd__nand2_1 U80151 ( .A(n86753), .B(n73757), .Y(n83733) );
+  sky130_fd_sc_hd__nor2b_1 U80152 ( .B_N(n83730), .A(n83733), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_N37) );
+  sky130_fd_sc_hd__nand2_1 U80153 ( .A(n36801), .B(n83264), .Y(n36466) );
+  sky130_fd_sc_hd__nand2_1 U80154 ( .A(n61162), .B(n61163), .Y(n36247) );
+  sky130_fd_sc_hd__nand2_1 U80155 ( .A(n83264), .B(n61163), .Y(n82468) );
+  sky130_fd_sc_hd__nand2_1 U80156 ( .A(n61164), .B(n86752), .Y(n82469) );
+  sky130_fd_sc_hd__o2bb2ai_1 U80157 ( .B1(n84126), .B2(n82468), .A1_N(n82469), 
+        .A2_N(n36247), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N59) );
+  sky130_fd_sc_hd__nand2_1 U80158 ( .A(n61166), .B(n61165), .Y(n79530) );
+  sky130_fd_sc_hd__nor2b_1 U80159 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_31), .A(n79530), 
+        .Y(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N40) );
+  sky130_fd_sc_hd__nor2b_1 U80160 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_22), .A(n79530), 
+        .Y(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N31) );
+  sky130_fd_sc_hd__nor2b_1 U80161 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_19), .A(n79530), 
+        .Y(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N28) );
+  sky130_fd_sc_hd__nor2b_1 U80162 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_20), .A(n79530), 
+        .Y(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N29) );
+  sky130_fd_sc_hd__nor2b_1 U80163 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_6), .A(n79530), 
+        .Y(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N15) );
+  sky130_fd_sc_hd__nor2b_1 U80164 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_28), .A(n79530), 
+        .Y(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N37) );
+  sky130_fd_sc_hd__nor2b_1 U80165 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_13), .A(n79530), 
+        .Y(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N22) );
+  sky130_fd_sc_hd__nor2b_1 U80166 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_25), .A(n79530), 
+        .Y(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N34) );
+  sky130_fd_sc_hd__nor2b_1 U80167 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_16), .A(n79530), 
+        .Y(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N25) );
+  sky130_fd_sc_hd__nor2b_1 U80168 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_17), .A(n79530), 
+        .Y(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N26) );
+  sky130_fd_sc_hd__nor2b_1 U80169 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_26), .A(n79530), 
+        .Y(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N35) );
+  sky130_fd_sc_hd__nor2b_1 U80170 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_7), .A(n79530), 
+        .Y(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N16) );
+  sky130_fd_sc_hd__nor2b_1 U80171 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_23), .A(n79530), 
+        .Y(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N32) );
+  sky130_fd_sc_hd__nor2b_1 U80172 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_30), .A(n79530), 
+        .Y(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N39) );
+  sky130_fd_sc_hd__nor2b_1 U80173 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_14), .A(n79530), 
+        .Y(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N23) );
+  sky130_fd_sc_hd__nor2b_1 U80174 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_15), .A(n79530), 
+        .Y(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N24) );
+  sky130_fd_sc_hd__nor2b_1 U80175 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_27), .A(n79530), 
+        .Y(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N36) );
+  sky130_fd_sc_hd__nor2b_1 U80176 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_10), .A(n79530), 
+        .Y(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N19) );
+  sky130_fd_sc_hd__nor2b_1 U80177 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_21), .A(n79530), 
+        .Y(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N30) );
+  sky130_fd_sc_hd__nor2b_1 U80178 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_18), .A(n79530), 
+        .Y(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N27) );
+  sky130_fd_sc_hd__nor2b_1 U80179 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_24), .A(n79530), 
+        .Y(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N33) );
+  sky130_fd_sc_hd__nor2b_1 U80180 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_29), .A(n79530), 
+        .Y(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N38) );
+  sky130_fd_sc_hd__nor2b_1 U80181 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_3), .A(n79530), 
+        .Y(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N9) );
+  sky130_fd_sc_hd__nand2_1 U80182 ( .A(n86753), .B(n78200), .Y(n36272) );
+  sky130_fd_sc_hd__mux2_2 U80183 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_saved_opcode[2]), .A1(n61167), .S(n73789), .X(n61168) );
+  sky130_fd_sc_hd__nand2b_1 U80184 ( .A_N(n61170), .B(n63461), .Y(n63463) );
+  sky130_fd_sc_hd__nor2_1 U80185 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_io_full), .B(n63463), .Y(n86583) );
+  sky130_fd_sc_hd__nand2b_1 U80186 ( .A_N(n79520), .B(n61171), .Y(n79524) );
+  sky130_fd_sc_hd__nand2_1 U80187 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_currState[2]), 
+        .B(n61027), .Y(n79526) );
+  sky130_fd_sc_hd__nor2b_1 U80188 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits[31]), .A(n79521), 
+        .Y(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N40) );
+  sky130_fd_sc_hd__nor2b_1 U80189 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_hi_lo[2]), .A(
+        n79521), .Y(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N27) );
+  sky130_fd_sc_hd__nor2b_1 U80190 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_lo[14]), .A(
+        n79521), .Y(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N23) );
+  sky130_fd_sc_hd__nor2b_1 U80191 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_hi_lo[1]), .A(
+        n79521), .Y(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N26) );
+  sky130_fd_sc_hd__nor2b_1 U80192 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits[24]), .A(n79521), 
+        .Y(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N33) );
+  sky130_fd_sc_hd__nor2b_1 U80193 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_lo_lo[4]), .A(
+        n79521), .Y(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N10) );
+  sky130_fd_sc_hd__nor2b_1 U80194 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_lo[10]), .A(
+        n79521), .Y(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N19) );
+  sky130_fd_sc_hd__nor2b_1 U80195 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_lo[8]), .A(
+        n79521), .Y(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N14) );
+  sky130_fd_sc_hd__nor2b_1 U80196 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits[29]), .A(n79521), 
+        .Y(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N38) );
+  sky130_fd_sc_hd__nor2b_1 U80197 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_lo_lo[3]), .A(
+        n79521), .Y(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N9) );
+  sky130_fd_sc_hd__nor2b_1 U80198 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_lo[9]), .A(
+        n79521), .Y(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N18) );
+  sky130_fd_sc_hd__nor2b_1 U80199 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_hi_lo[4]), .A(
+        n79521), .Y(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N29) );
+  sky130_fd_sc_hd__nor2b_1 U80200 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_hi_lo[6]), .A(
+        n79521), .Y(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N31) );
+  sky130_fd_sc_hd__nor2b_1 U80201 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_hi_lo[7]), .A(
+        n79521), .Y(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N32) );
+  sky130_fd_sc_hd__nor2b_1 U80202 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_lo_lo[2]), .A(
+        n79521), .Y(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N8) );
+  sky130_fd_sc_hd__nor2b_1 U80203 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_hi_lo[3]), .A(
+        n79521), .Y(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N28) );
+  sky130_fd_sc_hd__nor2b_1 U80204 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits[26]), .A(n79521), 
+        .Y(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N35) );
+  sky130_fd_sc_hd__nor2b_1 U80205 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_hi_lo[5]), .A(
+        n79521), .Y(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N30) );
+  sky130_fd_sc_hd__nor2b_1 U80206 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits[27]), .A(n79521), 
+        .Y(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N36) );
+  sky130_fd_sc_hd__nor2b_1 U80207 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits[30]), .A(n79521), 
+        .Y(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N39) );
+  sky130_fd_sc_hd__nor2b_1 U80208 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits[28]), .A(n79521), 
+        .Y(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N37) );
+  sky130_fd_sc_hd__nor2b_1 U80209 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits[25]), .A(n79521), 
+        .Y(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N34) );
+  sky130_fd_sc_hd__nor2b_1 U80210 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[25]), 
+        .A(n79565), .Y(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N111) );
+  sky130_fd_sc_hd__nor2b_1 U80211 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[4]), 
+        .A(n79565), .Y(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N27) );
+  sky130_fd_sc_hd__nor2b_1 U80212 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[15]), 
+        .A(n79565), .Y(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N71) );
+  sky130_fd_sc_hd__nor2b_1 U80213 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[22]), 
+        .A(n79565), .Y(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N99) );
+  sky130_fd_sc_hd__nor2b_1 U80214 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[7]), 
+        .A(n79565), .Y(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N39) );
+  sky130_fd_sc_hd__nor2b_1 U80215 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[23]), 
+        .A(n79565), .Y(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N103) );
+  sky130_fd_sc_hd__nor2b_1 U80216 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[20]), 
+        .A(n79565), .Y(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N91) );
+  sky130_fd_sc_hd__nor2b_1 U80217 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[27]), 
+        .A(n79565), .Y(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N119) );
+  sky130_fd_sc_hd__nor2b_1 U80218 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[12]), 
+        .A(n79565), .Y(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N59) );
+  sky130_fd_sc_hd__nor2b_1 U80219 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[6]), 
+        .A(n79565), .Y(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N35) );
+  sky130_fd_sc_hd__nor2b_1 U80220 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[3]), 
+        .A(n79565), .Y(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N23) );
+  sky130_fd_sc_hd__nor2b_1 U80221 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[18]), 
+        .A(n79565), .Y(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N83) );
+  sky130_fd_sc_hd__nor2b_1 U80222 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[5]), 
+        .A(n79565), .Y(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N31) );
+  sky130_fd_sc_hd__nor2b_1 U80223 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[19]), 
+        .A(n79565), .Y(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N87) );
+  sky130_fd_sc_hd__nor2b_1 U80224 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[11]), 
+        .A(n79565), .Y(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N55) );
+  sky130_fd_sc_hd__nor2b_1 U80225 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[26]), 
+        .A(n79565), .Y(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N115) );
+  sky130_fd_sc_hd__nor2b_1 U80226 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[24]), 
+        .A(n79565), .Y(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N107) );
+  sky130_fd_sc_hd__nor2b_1 U80227 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[16]), 
+        .A(n79565), .Y(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N75) );
+  sky130_fd_sc_hd__nor2b_1 U80228 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[14]), 
+        .A(n79565), .Y(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N67) );
+  sky130_fd_sc_hd__nor2b_1 U80229 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[28]), 
+        .A(n79565), .Y(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N123) );
+  sky130_fd_sc_hd__nor2b_1 U80230 ( .B_N(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[29]), 
+        .A(n79565), .Y(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N127) );
+  sky130_fd_sc_hd__nor2b_1 U80231 ( .B_N(n60992), .A(n80425), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N345) );
+  sky130_fd_sc_hd__nor2b_1 U80233 ( .B_N(n36161), .A(n80425), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N143) );
+  sky130_fd_sc_hd__nor2_1 U80234 ( .A(n61175), .B(n63675), .Y(n86550) );
+  sky130_fd_sc_hd__nor2_1 U80235 ( .A(n61176), .B(n63675), .Y(n86552) );
+  sky130_fd_sc_hd__nor2_1 U80236 ( .A(n61177), .B(n63675), .Y(n86551) );
+  sky130_fd_sc_hd__nor2_1 U80237 ( .A(n61178), .B(n63675), .Y(n86557) );
+  sky130_fd_sc_hd__nor2_1 U80238 ( .A(n83631), .B(n85589), .Y(n80729) );
+  sky130_fd_sc_hd__nor2b_1 U80239 ( .B_N(n36140), .A(n80729), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N185) );
+  sky130_fd_sc_hd__nand2_1 U80240 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[6]), .B(n61179), .Y(
+        n61183) );
+  sky130_fd_sc_hd__nand2b_1 U80241 ( .A_N(n61183), .B(n77658), .Y(n61185) );
+  sky130_fd_sc_hd__nor2_1 U80242 ( .A(n81504), .B(n61185), .Y(n81787) );
+  sky130_fd_sc_hd__clkinv_1 U80243 ( .A(n81787), .Y(n81563) );
+  sky130_fd_sc_hd__nand2_1 U80244 ( .A(n84221), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_796_0_), .Y(n81478) );
+  sky130_fd_sc_hd__o21ai_1 U80245 ( .A1(n81563), .A2(n81478), .B1(n81442), .Y(
+        n36327) );
+  sky130_fd_sc_hd__nor2_1 U80246 ( .A(n61180), .B(n61185), .Y(n81721) );
+  sky130_fd_sc_hd__nand2_1 U80247 ( .A(n81511), .B(n81721), .Y(n81514) );
+  sky130_fd_sc_hd__o21ai_1 U80248 ( .A1(n81514), .A2(n81478), .B1(n81442), .Y(
+        n36328) );
+  sky130_fd_sc_hd__or3_1 U80249 ( .A(n61187), .B(n61183), .C(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[5]), .X(n61184) );
+  sky130_fd_sc_hd__nor2_1 U80250 ( .A(n78766), .B(n61184), .Y(n81796) );
+  sky130_fd_sc_hd__clkinv_1 U80251 ( .A(n81796), .Y(n81532) );
+  sky130_fd_sc_hd__o21ai_1 U80252 ( .A1(n81532), .A2(n81478), .B1(n81442), .Y(
+        n36315) );
+  sky130_fd_sc_hd__nor2_1 U80253 ( .A(n61181), .B(n61184), .Y(n81785) );
+  sky130_fd_sc_hd__clkinv_1 U80254 ( .A(n81785), .Y(n81529) );
+  sky130_fd_sc_hd__o21ai_1 U80255 ( .A1(n81529), .A2(n81478), .B1(n81442), .Y(
+        n36316) );
+  sky130_fd_sc_hd__nor2_1 U80256 ( .A(n81481), .B(n61185), .Y(n81801) );
+  sky130_fd_sc_hd__clkinv_1 U80257 ( .A(n81801), .Y(n81564) );
+  sky130_fd_sc_hd__nand2_1 U80259 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[2]), .B(n81721), .Y(
+        n81513) );
+  sky130_fd_sc_hd__nor2_1 U80261 ( .A(n61183), .B(n61182), .Y(n81805) );
+  sky130_fd_sc_hd__clkinv_1 U80262 ( .A(n81478), .Y(n61188) );
+  sky130_fd_sc_hd__a21o_1 U80263 ( .A1(n81805), .A2(n61188), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_reset), .X(n36321) );
+  sky130_fd_sc_hd__nor2_1 U80264 ( .A(n61185), .B(n81510), .Y(n81789) );
+  sky130_fd_sc_hd__a21o_1 U80265 ( .A1(n81789), .A2(n61188), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_reset), .X(n36322) );
+  sky130_fd_sc_hd__nor2_1 U80266 ( .A(n78766), .B(n61185), .Y(n81788) );
+  sky130_fd_sc_hd__a21o_1 U80267 ( .A1(n81788), .A2(n61188), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_reset), .X(n36323) );
+  sky130_fd_sc_hd__nor2_1 U80268 ( .A(n81504), .B(n61184), .Y(n81790) );
+  sky130_fd_sc_hd__a21o_1 U80269 ( .A1(n81790), .A2(n61188), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_reset), .X(n36319) );
+  sky130_fd_sc_hd__nor2_1 U80270 ( .A(n81481), .B(n61184), .Y(n81795) );
+  sky130_fd_sc_hd__a21o_1 U80271 ( .A1(n81795), .A2(n61188), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_reset), .X(n36318) );
+  sky130_fd_sc_hd__nor2_1 U80272 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[5]), .B(n61183), .Y(
+        n81497) );
+  sky130_fd_sc_hd__nand2_1 U80273 ( .A(n81502), .B(n81497), .Y(n61186) );
+  sky130_fd_sc_hd__nor2_1 U80274 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[3]), .B(n61186), .Y(
+        n81803) );
+  sky130_fd_sc_hd__a21o_1 U80275 ( .A1(n81803), .A2(n61188), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_reset), .X(n36325) );
+  sky130_fd_sc_hd__nor2_1 U80276 ( .A(n81510), .B(n61184), .Y(n81784) );
+  sky130_fd_sc_hd__a21o_1 U80277 ( .A1(n81784), .A2(n61188), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_reset), .X(n36314) );
+  sky130_fd_sc_hd__nor2_1 U80278 ( .A(n81494), .B(n61184), .Y(n81786) );
+  sky130_fd_sc_hd__a21o_1 U80279 ( .A1(n81786), .A2(n61188), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_reset), .X(n36320) );
+  sky130_fd_sc_hd__nor2_1 U80280 ( .A(n81503), .B(n61185), .Y(n81802) );
+  sky130_fd_sc_hd__a21o_1 U80281 ( .A1(n81802), .A2(n61188), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_reset), .X(n36329) );
+  sky130_fd_sc_hd__nor2_1 U80282 ( .A(n61187), .B(n61186), .Y(n81800) );
+  sky130_fd_sc_hd__a21o_1 U80283 ( .A1(n81800), .A2(n61188), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_reset), .X(n36317) );
+  sky130_fd_sc_hd__a21oi_1 U80284 ( .A1(n79568), .A2(n79567), .B1(
+        MarmotCaravelChip_dut_sys_dtm_downgradeOpReg), .Y(n84241) );
+  sky130_fd_sc_hd__nand3b_1 U80285 ( .A_N(n61191), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_maybe_full), .C(n61190), .Y(n83464) );
+  sky130_fd_sc_hd__nor2_1 U80286 ( .A(n61192), .B(n83464), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N15) );
+  sky130_fd_sc_hd__nor2_1 U80287 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N43), .B(n83464), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N16) );
+  sky130_fd_sc_hd__nor2_1 U80288 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_fmt_proto[0]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_fmt_proto[1]), .Y(n80526) );
+  sky130_fd_sc_hd__nor2_1 U80289 ( .A(n80526), .B(n77492), .Y(io_oeb[22]) );
+  sky130_fd_sc_hd__or2_2 U80290 ( .A(io_in[5]), .B(n36802), .X(
+        MarmotCaravelChip_dut_sys_uart_0_rxd_uart_rxd_sync_output_chain_N2) );
+  sky130_fd_sc_hd__nand2_1 U80291 ( .A(n83351), .B(n77778), .Y(n36606) );
+  sky130_fd_sc_hd__nand2_1 U80292 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_debounce[1]), .B(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_debounce[0]), 
+        .Y(n81380) );
+  sky130_fd_sc_hd__nor3_1 U80293 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_state), 
+        .B(MarmotCaravelChip_dut_sys_uart_0_rxd_uart_rxd_sync_io_q), .C(n81380), .Y(n63173) );
+  sky130_fd_sc_hd__nor2_1 U80294 ( .A(n63173), .B(n61193), .Y(n77228) );
+  sky130_fd_sc_hd__o22ai_1 U80296 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[0]), .A2(n61195), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[1]), .B2(n81401), .Y(n61196) );
+  sky130_fd_sc_hd__a21oi_1 U80297 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[2]), .A2(n78941), .B1(n61196), .Y(n61198) );
+  sky130_fd_sc_hd__o2bb2ai_1 U80298 ( .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[2]), .B2(n78941), .A1_N(n81406), .A2_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[3]), .Y(n61197) );
+  sky130_fd_sc_hd__o22ai_1 U80299 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[3]), .A2(n81406), .B1(n61198), .B2(n61197), .Y(n61199) );
+  sky130_fd_sc_hd__o32ai_1 U80300 ( .A1(n77228), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[4]), .A3(n61199), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[0]), .B2(n77227), .Y(n77233) );
+  sky130_fd_sc_hd__nand2_1 U80301 ( .A(n47981), .B(n37040), .Y(n36364) );
+  sky130_fd_sc_hd__nand2_1 U80302 ( .A(n82542), .B(n82534), .Y(n82550) );
+  sky130_fd_sc_hd__nand2_1 U80303 ( .A(n61201), .B(n82558), .Y(n82574) );
+  sky130_fd_sc_hd__nand2_1 U80304 ( .A(n82580), .B(n82574), .Y(n61202) );
+  sky130_fd_sc_hd__nand2_1 U80305 ( .A(n82562), .B(n61202), .Y(n82554) );
+  sky130_fd_sc_hd__nand2_1 U80306 ( .A(n78142), .B(n80674), .Y(n73825) );
+  sky130_fd_sc_hd__nor2_1 U80307 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_state[1]), .B(n73825), .Y(n79411) );
+  sky130_fd_sc_hd__o22ai_1 U80308 ( .A1(n61206), .A2(n61205), .B1(n61204), 
+        .B2(n81084), .Y(n61207) );
+  sky130_fd_sc_hd__o22ai_1 U80309 ( .A1(n61211), .A2(n61210), .B1(n61209), 
+        .B2(n81229), .Y(n61212) );
+  sky130_fd_sc_hd__nand2_1 U80310 ( .A(n86753), .B(n80905), .Y(n80892) );
+  sky130_fd_sc_hd__nor3_1 U80311 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[10]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[11]), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[2]), .Y(n61216) );
+  sky130_fd_sc_hd__nor4_1 U80312 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[9]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[8]), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[7]), .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[6]), .Y(n61215) );
+  sky130_fd_sc_hd__nor4_1 U80313 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[1]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[3]), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[5]), .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[4]), .Y(n61214) );
+  sky130_fd_sc_hd__nand3_1 U80314 ( .A(n61216), .B(n61215), .C(n61214), .Y(
+        n79314) );
+  sky130_fd_sc_hd__nor2_1 U80315 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[0]), .B(n79314), .Y(n80906) );
+  sky130_fd_sc_hd__nand2b_1 U80316 ( .A_N(n80892), .B(n80906), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N155)
+         );
+  sky130_fd_sc_hd__nor2b_1 U80317 ( .B_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_MPORT_addr[1]), .A(n80699), .Y(n80697) );
+  sky130_fd_sc_hd__nand2_1 U80318 ( .A(n80697), .B(n80702), .Y(n80701) );
+  sky130_fd_sc_hd__nor2_1 U80319 ( .A(n80704), .B(n80701), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N32) );
+  sky130_fd_sc_hd__nand3_1 U80320 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_MPORT_addr[1]), .B(n61217), .C(n81376), .Y(n81375) );
+  sky130_fd_sc_hd__nor2_1 U80321 ( .A(n81378), .B(n81375), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N32) );
+  sky130_fd_sc_hd__nand2_1 U80322 ( .A(n79201), .B(n86402), .Y(n36619) );
+  sky130_fd_sc_hd__nor2_1 U80323 ( .A(n61218), .B(n81157), .Y(n79199) );
+  sky130_fd_sc_hd__nand2_1 U80324 ( .A(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .B(
+        n61218), .Y(n36621) );
+  sky130_fd_sc_hd__nand2_1 U80325 ( .A(n86753), .B(n61220), .Y(n36626) );
+  sky130_fd_sc_hd__nor2_1 U80326 ( .A(n61219), .B(n81229), .Y(n79108) );
+  sky130_fd_sc_hd__nor2_1 U80327 ( .A(n61220), .B(n81084), .Y(n79287) );
+  sky130_fd_sc_hd__nand2_1 U80328 ( .A(n36846), .B(n61474), .Y(n86372) );
+  sky130_fd_sc_hd__a2bb2oi_1 U80329 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[6]), .B2(n71652), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[11]), .A2_N(n61251), .Y(n61239) );
+  sky130_fd_sc_hd__nand2_1 U80330 ( .A(n61249), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .Y(n61228) );
+  sky130_fd_sc_hd__nand2_1 U80331 ( .A(n61247), .B(n85634), .Y(n61224) );
+  sky130_fd_sc_hd__nand2_1 U80332 ( .A(n64007), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[1]), .Y(n61222) );
+  sky130_fd_sc_hd__o22ai_1 U80333 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[1]), .A2(n64007), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[0]), .B2(n64041), .Y(n61221) );
+  sky130_fd_sc_hd__o211ai_1 U80334 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .A2(n61242), .B1(n61222), .C1(n61221), .Y(n61223) );
+  sky130_fd_sc_hd__o211ai_1 U80335 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[2]), .A2(n64019), .B1(n61224), .C1(n61223), .Y(n61226) );
+  sky130_fd_sc_hd__a22oi_1 U80336 ( .A1(n64025), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[4]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[3]), .B2(n58336), .Y(n61225) );
+  sky130_fd_sc_hd__nand2_1 U80337 ( .A(n61226), .B(n61225), .Y(n61227) );
+  sky130_fd_sc_hd__o211ai_1 U80338 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[5]), .A2(n71575), .B1(n61228), .C1(n61227), .Y(n61238) );
+  sky130_fd_sc_hd__nor2_1 U80339 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[29]), .Y(n70795) );
+  sky130_fd_sc_hd__nor2_1 U80340 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .Y(n61231) );
+  sky130_fd_sc_hd__nor2_1 U80341 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[22]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .Y(n61230) );
+  sky130_fd_sc_hd__nor2_1 U80342 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[21]), .Y(n61229) );
+  sky130_fd_sc_hd__nand3_1 U80343 ( .A(n61231), .B(n61230), .C(n61229), .Y(
+        n70797) );
+  sky130_fd_sc_hd__nor2_1 U80344 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .Y(n70799) );
+  sky130_fd_sc_hd__and3_1 U80345 ( .A(n70799), .B(n71568), .C(n70804), .X(
+        n61233) );
+  sky130_fd_sc_hd__and4_1 U80346 ( .A(n72472), .B(n66438), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .D(n70629), .X(n61232) );
+  sky130_fd_sc_hd__nand3_1 U80347 ( .A(n61234), .B(n61233), .C(n61232), .Y(
+        n70793) );
+  sky130_fd_sc_hd__nor2_1 U80348 ( .A(n61235), .B(n70793), .Y(n61440) );
+  sky130_fd_sc_hd__nand2_1 U80349 ( .A(n61252), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n61236) );
+  sky130_fd_sc_hd__nand4_1 U80350 ( .A(n61440), .B(n53207), .C(n73166), .D(
+        n61236), .Y(n61237) );
+  sky130_fd_sc_hd__a21oi_1 U80351 ( .A1(n61239), .A2(n61238), .B1(n61237), .Y(
+        n61257) );
+  sky130_fd_sc_hd__a22oi_1 U80352 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[6]), .A2(n61240), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[7]), .B2(n61241), .Y(n61244) );
+  sky130_fd_sc_hd__o22ai_1 U80353 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[8]), .A2(n61242), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[7]), .B2(n61241), .Y(n61243) );
+  sky130_fd_sc_hd__o22ai_1 U80354 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__GEN_77[2]), .A2(n61245), .B1(n61244), .B2(n61243), .Y(n61246) );
+  sky130_fd_sc_hd__maj3_1 U80355 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[9]), .B(n61247), .C(n61246), .X(n61248) );
+  sky130_fd_sc_hd__maj3_1 U80356 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[10]), .B(n61249), .C(n61248), .X(n61250) );
+  sky130_fd_sc_hd__a21oi_1 U80357 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[11]), .A2(n61251), .B1(n61250), .Y(n61254) );
+  sky130_fd_sc_hd__o22ai_1 U80358 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[11]), .A2(n61251), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[12]), .B2(n61252), .Y(n61253) );
+  sky130_fd_sc_hd__o2bb2ai_1 U80359 ( .B1(n61254), .B2(n61253), .A1_N(n61252), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[12]), .Y(n61255) );
+  sky130_fd_sc_hd__o211ai_1 U80361 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_slaveValid), .A2(n61257), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_scratchpadOn), .C1(n61256), .Y(n61439) );
+  sky130_fd_sc_hd__nand2_1 U80362 ( .A(n61406), .B(n61258), .Y(n61259) );
+  sky130_fd_sc_hd__o211ai_1 U80363 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[118]), .A2(n61421), .B1(n61403), .C1(n61259), .Y(n61261) );
+  sky130_fd_sc_hd__o22ai_1 U80364 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[119]), .A2(n61411), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[113]), .B2(n61422), .Y(n61260) );
+  sky130_fd_sc_hd__nor2_1 U80365 ( .A(n61261), .B(n61260), .Y(n61273) );
+  sky130_fd_sc_hd__o22ai_1 U80366 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[114]), .A2(n61412), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[116]), .B2(n61420), .Y(n61263) );
+  sky130_fd_sc_hd__o22ai_1 U80367 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[112]), .A2(n61423), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[117]), .B2(n61404), .Y(n61262) );
+  sky130_fd_sc_hd__nor2_1 U80368 ( .A(n61263), .B(n61262), .Y(n61272) );
+  sky130_fd_sc_hd__o22ai_1 U80369 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[66]), .A2(n61412), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[68]), .B2(n61420), .Y(n61265) );
+  sky130_fd_sc_hd__o22ai_1 U80370 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[65]), .A2(n61422), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[69]), .B2(n61404), .Y(n61264) );
+  sky130_fd_sc_hd__nor2_1 U80371 ( .A(n61265), .B(n61264), .Y(n61271) );
+  sky130_fd_sc_hd__o22ai_1 U80372 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[70]), .A2(n61421), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[67]), .B2(n61417), .Y(n61269) );
+  sky130_fd_sc_hd__nand2_1 U80373 ( .A(n61396), .B(n61266), .Y(n61267) );
+  sky130_fd_sc_hd__o211ai_1 U80374 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[64]), .A2(n61423), .B1(n61394), .C1(n61267), .Y(n61268) );
+  sky130_fd_sc_hd__nor2_1 U80375 ( .A(n61269), .B(n61268), .Y(n61270) );
+  sky130_fd_sc_hd__a22oi_1 U80376 ( .A1(n61273), .A2(n61272), .B1(n61271), 
+        .B2(n61270), .Y(n61290) );
+  sky130_fd_sc_hd__nand2_1 U80377 ( .A(n61414), .B(n61274), .Y(n61275) );
+  sky130_fd_sc_hd__o211ai_1 U80378 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[99]), .A2(n61417), .B1(n61386), .C1(n61275), .Y(n61277) );
+  sky130_fd_sc_hd__o22ai_1 U80379 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[103]), .A2(n61411), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[97]), .B2(n61422), .Y(n61276) );
+  sky130_fd_sc_hd__nor2_1 U80380 ( .A(n61277), .B(n61276), .Y(n61281) );
+  sky130_fd_sc_hd__o22ai_1 U80381 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[102]), .A2(n61421), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[98]), .B2(n61412), .Y(n61279) );
+  sky130_fd_sc_hd__o22ai_1 U80382 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[96]), .A2(n61423), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[100]), .B2(n61420), .Y(n61278) );
+  sky130_fd_sc_hd__nor2_1 U80383 ( .A(n61279), .B(n61278), .Y(n61280) );
+  sky130_fd_sc_hd__a21oi_1 U80384 ( .A1(n61281), .A2(n61280), .B1(n71652), .Y(
+        n61289) );
+  sky130_fd_sc_hd__a21oi_1 U80386 ( .A1(n61396), .A2(n61283), .B1(n61282), .Y(
+        n61287) );
+  sky130_fd_sc_hd__o22a_1 U80387 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[85]), .A2(n61404), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[83]), .B2(n61417), .X(n61286) );
+  sky130_fd_sc_hd__o22a_1 U80388 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[84]), .A2(n61420), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[80]), .B2(n61423), .X(n61285) );
+  sky130_fd_sc_hd__o22a_1 U80389 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[86]), .A2(n61421), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[81]), .B2(n61422), .X(n61284) );
+  sky130_fd_sc_hd__nand4_1 U80390 ( .A(n61287), .B(n61286), .C(n61285), .D(
+        n61284), .Y(n61288) );
+  sky130_fd_sc_hd__nand3_1 U80391 ( .A(n61290), .B(n61289), .C(n61288), .Y(
+        n61324) );
+  sky130_fd_sc_hd__o22ai_1 U80392 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[22]), .A2(n61421), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[18]), .B2(n61412), .Y(n61295) );
+  sky130_fd_sc_hd__o22ai_1 U80393 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[16]), .A2(n61423), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[21]), .B2(n61404), .Y(n61294) );
+  sky130_fd_sc_hd__o21a_1 U80394 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[20]), .A2(n61420), .B1(n61416), .X(n61292) );
+  sky130_fd_sc_hd__o22a_1 U80395 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[17]), .A2(n61422), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[19]), .B2(n61417), .X(n61291) );
+  sky130_fd_sc_hd__o211ai_1 U80396 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[23]), .A2(n61411), .B1(n61292), .C1(n61291), .Y(n61293) );
+  sky130_fd_sc_hd__nor3_1 U80397 ( .A(n61295), .B(n61294), .C(n61293), .Y(
+        n61322) );
+  sky130_fd_sc_hd__nand2_1 U80398 ( .A(n61406), .B(n61296), .Y(n61297) );
+  sky130_fd_sc_hd__o211ai_1 U80399 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[4]), .A2(n61420), .B1(n61394), .C1(n61297), .Y(n61299) );
+  sky130_fd_sc_hd__o22ai_1 U80400 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[7]), .A2(n61411), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[1]), .B2(n61422), .Y(n61298) );
+  sky130_fd_sc_hd__nor2_1 U80401 ( .A(n61299), .B(n61298), .Y(n61311) );
+  sky130_fd_sc_hd__o22ai_1 U80402 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[6]), .A2(n61421), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[0]), .B2(n61423), .Y(n61301) );
+  sky130_fd_sc_hd__o22ai_1 U80403 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[2]), .A2(n61412), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[5]), .B2(n61404), .Y(n61300) );
+  sky130_fd_sc_hd__nor2_1 U80404 ( .A(n61301), .B(n61300), .Y(n61310) );
+  sky130_fd_sc_hd__o22ai_1 U80405 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[54]), .A2(n61421), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[48]), .B2(n61423), .Y(n61303) );
+  sky130_fd_sc_hd__o22ai_1 U80406 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[52]), .A2(n61420), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[49]), .B2(n61422), .Y(n61302) );
+  sky130_fd_sc_hd__nor2_1 U80407 ( .A(n61303), .B(n61302), .Y(n61309) );
+  sky130_fd_sc_hd__nand2_1 U80408 ( .A(n61406), .B(n61304), .Y(n61305) );
+  sky130_fd_sc_hd__o211ai_1 U80409 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[50]), .A2(n61412), .B1(n61403), .C1(n61305), .Y(n61307) );
+  sky130_fd_sc_hd__o22ai_1 U80410 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[55]), .A2(n61411), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[53]), .B2(n61404), .Y(n61306) );
+  sky130_fd_sc_hd__nor2_1 U80411 ( .A(n61307), .B(n61306), .Y(n61308) );
+  sky130_fd_sc_hd__a22oi_1 U80412 ( .A1(n61311), .A2(n61310), .B1(n61309), 
+        .B2(n61308), .Y(n61321) );
+  sky130_fd_sc_hd__o22ai_1 U80413 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[32]), .A2(n61423), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[35]), .B2(n61417), .Y(n61315) );
+  sky130_fd_sc_hd__nand2_1 U80414 ( .A(n61372), .B(n61312), .Y(n61313) );
+  sky130_fd_sc_hd__o211ai_1 U80415 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[39]), .A2(n61411), .B1(n61386), .C1(n61313), .Y(n61314) );
+  sky130_fd_sc_hd__nor2_1 U80416 ( .A(n61315), .B(n61314), .Y(n61319) );
+  sky130_fd_sc_hd__o22ai_1 U80417 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[38]), .A2(n61421), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[34]), .B2(n61412), .Y(n61317) );
+  sky130_fd_sc_hd__o22ai_1 U80418 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[36]), .A2(n61420), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[37]), .B2(n61404), .Y(n61316) );
+  sky130_fd_sc_hd__nor2_1 U80419 ( .A(n61317), .B(n61316), .Y(n61318) );
+  sky130_fd_sc_hd__a21oi_1 U80420 ( .A1(n61319), .A2(n61318), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n61320) );
+  sky130_fd_sc_hd__nand3b_1 U80421 ( .A_N(n61322), .B(n61321), .C(n61320), .Y(
+        n61323) );
+  sky130_fd_sc_hd__nand2_1 U80422 ( .A(n61324), .B(n61323), .Y(n61325) );
+  sky130_fd_sc_hd__nand2_1 U80423 ( .A(n61325), .B(n58336), .Y(n61438) );
+  sky130_fd_sc_hd__xnor2_1 U80424 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[29]), .Y(n61329) );
+  sky130_fd_sc_hd__xnor2_1 U80425 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .Y(n61328) );
+  sky130_fd_sc_hd__xnor2_1 U80426 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .Y(n61327) );
+  sky130_fd_sc_hd__xnor2_1 U80427 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[22]), .Y(n61326) );
+  sky130_fd_sc_hd__nand4_1 U80428 ( .A(n61329), .B(n61328), .C(n61327), .D(
+        n61326), .Y(n61335) );
+  sky130_fd_sc_hd__xnor2_1 U80429 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .Y(n61333) );
+  sky130_fd_sc_hd__xnor2_1 U80430 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag[13]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .Y(n61332) );
+  sky130_fd_sc_hd__xnor2_1 U80431 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .Y(n61331) );
+  sky130_fd_sc_hd__xnor2_1 U80432 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .Y(n61330) );
+  sky130_fd_sc_hd__nand4_1 U80433 ( .A(n61333), .B(n61332), .C(n61331), .D(
+        n61330), .Y(n61334) );
+  sky130_fd_sc_hd__nor2_1 U80434 ( .A(n61335), .B(n61334), .Y(n61437) );
+  sky130_fd_sc_hd__xnor2_1 U80435 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .Y(n61339) );
+  sky130_fd_sc_hd__xnor2_1 U80436 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .Y(n61338) );
+  sky130_fd_sc_hd__xnor2_1 U80437 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[21]), .Y(n61337) );
+  sky130_fd_sc_hd__xnor2_1 U80438 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[25]), .Y(n61336) );
+  sky130_fd_sc_hd__nand4_1 U80439 ( .A(n61339), .B(n61338), .C(n61337), .D(
+        n61336), .Y(n61348) );
+  sky130_fd_sc_hd__xnor2_1 U80440 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .Y(n61341) );
+  sky130_fd_sc_hd__xnor2_1 U80441 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .Y(n61340) );
+  sky130_fd_sc_hd__nand3_1 U80442 ( .A(n61341), .B(n61340), .C(n82981), .Y(
+        n61347) );
+  sky130_fd_sc_hd__xnor2_1 U80443 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[18]), .Y(n61345) );
+  sky130_fd_sc_hd__xnor2_1 U80444 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag[17]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .Y(n61344) );
+  sky130_fd_sc_hd__xnor2_1 U80445 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[16]), .Y(n61343) );
+  sky130_fd_sc_hd__xnor2_1 U80446 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .Y(n61342) );
+  sky130_fd_sc_hd__nand4_1 U80447 ( .A(n61345), .B(n61344), .C(n61343), .D(
+        n61342), .Y(n61346) );
+  sky130_fd_sc_hd__nor3_1 U80448 ( .A(n61348), .B(n61347), .C(n61346), .Y(
+        n61436) );
+  sky130_fd_sc_hd__nand2_1 U80449 ( .A(n61414), .B(n61349), .Y(n61350) );
+  sky130_fd_sc_hd__o211ai_1 U80450 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[111]), .A2(n61411), .B1(n61386), .C1(n61350), .Y(n61352) );
+  sky130_fd_sc_hd__o22ai_1 U80451 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[107]), .A2(n61417), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[105]), .B2(n61422), .Y(n61351) );
+  sky130_fd_sc_hd__nor2_1 U80452 ( .A(n61352), .B(n61351), .Y(n61356) );
+  sky130_fd_sc_hd__o22ai_1 U80453 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[110]), .A2(n61421), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[106]), .B2(n61412), .Y(n61354) );
+  sky130_fd_sc_hd__o22ai_1 U80454 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[104]), .A2(n61423), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[108]), .B2(n61420), .Y(n61353) );
+  sky130_fd_sc_hd__nor2_1 U80455 ( .A(n61354), .B(n61353), .Y(n61355) );
+  sky130_fd_sc_hd__a21oi_1 U80456 ( .A1(n61356), .A2(n61355), .B1(n71652), .Y(
+        n61383) );
+  sky130_fd_sc_hd__o22ai_1 U80457 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[74]), .A2(n61412), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[79]), .B2(n61411), .Y(n61360) );
+  sky130_fd_sc_hd__nand2_1 U80458 ( .A(n61414), .B(n61357), .Y(n61358) );
+  sky130_fd_sc_hd__o211ai_1 U80459 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[75]), .A2(n61417), .B1(n61394), .C1(n61358), .Y(n61359) );
+  sky130_fd_sc_hd__nor2_1 U80460 ( .A(n61360), .B(n61359), .Y(n61364) );
+  sky130_fd_sc_hd__o22ai_1 U80461 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[78]), .A2(n61421), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[73]), .B2(n61422), .Y(n61362) );
+  sky130_fd_sc_hd__o22ai_1 U80462 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[76]), .A2(n61420), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[72]), .B2(n61423), .Y(n61361) );
+  sky130_fd_sc_hd__nor2_1 U80463 ( .A(n61362), .B(n61361), .Y(n61363) );
+  sky130_fd_sc_hd__nand2_1 U80464 ( .A(n61364), .B(n61363), .Y(n61382) );
+  sky130_fd_sc_hd__a21oi_1 U80466 ( .A1(n61406), .A2(n61366), .B1(n61365), .Y(
+        n61370) );
+  sky130_fd_sc_hd__o22a_1 U80467 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[121]), .A2(n61422), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[127]), .B2(n61411), .X(n61369) );
+  sky130_fd_sc_hd__o22a_1 U80468 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[124]), .A2(n61420), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[125]), .B2(n61404), .X(n61368) );
+  sky130_fd_sc_hd__o22a_1 U80469 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[122]), .A2(n61412), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[120]), .B2(n61423), .X(n61367) );
+  sky130_fd_sc_hd__nand4_1 U80470 ( .A(n61370), .B(n61369), .C(n61368), .D(
+        n61367), .Y(n61381) );
+  sky130_fd_sc_hd__o22ai_1 U80471 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[90]), .A2(n61412), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[91]), .B2(n61417), .Y(n61375) );
+  sky130_fd_sc_hd__nand2_1 U80472 ( .A(n61372), .B(n61371), .Y(n61373) );
+  sky130_fd_sc_hd__o211ai_1 U80473 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[95]), .A2(n61411), .B1(n61416), .C1(n61373), .Y(n61374) );
+  sky130_fd_sc_hd__nor2_1 U80474 ( .A(n61375), .B(n61374), .Y(n61379) );
+  sky130_fd_sc_hd__o22ai_1 U80475 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[94]), .A2(n61421), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[88]), .B2(n61423), .Y(n61377) );
+  sky130_fd_sc_hd__o22ai_1 U80476 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[92]), .A2(n61420), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[93]), .B2(n61404), .Y(n61376) );
+  sky130_fd_sc_hd__nor2_1 U80477 ( .A(n61377), .B(n61376), .Y(n61378) );
+  sky130_fd_sc_hd__nand2_1 U80478 ( .A(n61379), .B(n61378), .Y(n61380) );
+  sky130_fd_sc_hd__nand4_1 U80479 ( .A(n61383), .B(n61382), .C(n61381), .D(
+        n61380), .Y(n61433) );
+  sky130_fd_sc_hd__o22ai_1 U80480 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[46]), .A2(n61421), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[44]), .B2(n61420), .Y(n61385) );
+  sky130_fd_sc_hd__o22ai_1 U80481 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[40]), .A2(n61423), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[45]), .B2(n61404), .Y(n61384) );
+  sky130_fd_sc_hd__nor2_1 U80482 ( .A(n61385), .B(n61384), .Y(n61391) );
+  sky130_fd_sc_hd__o22a_1 U80483 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[41]), .A2(n61422), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[47]), .B2(n61411), .X(n61390) );
+  sky130_fd_sc_hd__a21oi_1 U80485 ( .A1(n61406), .A2(n61388), .B1(n61387), .Y(
+        n61389) );
+  sky130_fd_sc_hd__a31oi_1 U80486 ( .A1(n61391), .A2(n61390), .A3(n61389), 
+        .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n61431) );
+  sky130_fd_sc_hd__o22ai_1 U80487 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[10]), .A2(n61412), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[12]), .B2(n61420), .Y(n61393) );
+  sky130_fd_sc_hd__o22ai_1 U80488 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[14]), .A2(n61421), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[9]), .B2(n61422), .Y(n61392) );
+  sky130_fd_sc_hd__nor2_1 U80489 ( .A(n61393), .B(n61392), .Y(n61400) );
+  sky130_fd_sc_hd__o22a_1 U80490 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[13]), .A2(n61404), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[11]), .B2(n61417), .X(n61399) );
+  sky130_fd_sc_hd__o21a_1 U80491 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[8]), .A2(n61423), .B1(n61394), .X(n61398) );
+  sky130_fd_sc_hd__nand2_1 U80492 ( .A(n61396), .B(n61395), .Y(n61397) );
+  sky130_fd_sc_hd__nand4_1 U80493 ( .A(n61400), .B(n61399), .C(n61398), .D(
+        n61397), .Y(n61430) );
+  sky130_fd_sc_hd__o22ai_1 U80494 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[58]), .A2(n61412), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[60]), .B2(n61420), .Y(n61402) );
+  sky130_fd_sc_hd__o22ai_1 U80495 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[62]), .A2(n61421), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[57]), .B2(n61422), .Y(n61401) );
+  sky130_fd_sc_hd__nor2_1 U80496 ( .A(n61402), .B(n61401), .Y(n61410) );
+  sky130_fd_sc_hd__o22a_1 U80497 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[56]), .A2(n61423), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[63]), .B2(n61411), .X(n61409) );
+  sky130_fd_sc_hd__o21a_1 U80498 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[61]), .A2(n61404), .B1(n61403), .X(n61408) );
+  sky130_fd_sc_hd__nand2_1 U80499 ( .A(n61406), .B(n61405), .Y(n61407) );
+  sky130_fd_sc_hd__nand4_1 U80500 ( .A(n61410), .B(n61409), .C(n61408), .D(
+        n61407), .Y(n61429) );
+  sky130_fd_sc_hd__o22ai_1 U80501 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[26]), .A2(n61412), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[31]), .B2(n61411), .Y(n61419) );
+  sky130_fd_sc_hd__nand2_1 U80502 ( .A(n61414), .B(n61413), .Y(n61415) );
+  sky130_fd_sc_hd__o211ai_1 U80503 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[27]), .A2(n61417), .B1(n61416), .C1(n61415), .Y(n61418) );
+  sky130_fd_sc_hd__nor2_1 U80504 ( .A(n61419), .B(n61418), .Y(n61427) );
+  sky130_fd_sc_hd__o22ai_1 U80505 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[30]), .A2(n61421), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[28]), .B2(n61420), .Y(n61425) );
+  sky130_fd_sc_hd__o22ai_1 U80506 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[24]), .A2(n61423), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[25]), .B2(n61422), .Y(n61424) );
+  sky130_fd_sc_hd__nor2_1 U80507 ( .A(n61425), .B(n61424), .Y(n61426) );
+  sky130_fd_sc_hd__nand2_1 U80508 ( .A(n61427), .B(n61426), .Y(n61428) );
+  sky130_fd_sc_hd__nand4_1 U80509 ( .A(n61431), .B(n61430), .C(n61429), .D(
+        n61428), .Y(n61432) );
+  sky130_fd_sc_hd__nand2_1 U80510 ( .A(n61433), .B(n61432), .Y(n61434) );
+  sky130_fd_sc_hd__nand2_1 U80511 ( .A(n61434), .B(n85634), .Y(n61435) );
+  sky130_fd_sc_hd__nand4_1 U80512 ( .A(n61438), .B(n61437), .C(n61436), .D(
+        n61435), .Y(n72130) );
+  sky130_fd_sc_hd__nand2_1 U80513 ( .A(n61439), .B(n72130), .Y(n61992) );
+  sky130_fd_sc_hd__a21oi_1 U80514 ( .A1(n61440), .A2(n73166), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_slaveValid), .Y(n61442) );
+  sky130_fd_sc_hd__nand3_1 U80515 ( .A(n61443), .B(n61442), .C(n61441), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_hit) );
+  sky130_fd_sc_hd__nand2_1 U80516 ( .A(n49230), .B(n61446), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1601) );
+  sky130_fd_sc_hd__nand2_1 U80517 ( .A(n82570), .B(n85477), .Y(n82560) );
+  sky130_fd_sc_hd__nand2_1 U80518 ( .A(n61448), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_state_2), .Y(n61454)
+         );
+  sky130_fd_sc_hd__a21oi_1 U80519 ( .A1(n61451), .A2(n61450), .B1(n61449), .Y(
+        n61453) );
+  sky130_fd_sc_hd__nand3_1 U80520 ( .A(n61457), .B(n61466), .C(n61456), .Y(
+        n61459) );
+  sky130_fd_sc_hd__nor2_1 U80521 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[5]), .B(
+        n61459), .Y(n61463) );
+  sky130_fd_sc_hd__nand2_1 U80522 ( .A(n61458), .B(n62964), .Y(n67677) );
+  sky130_fd_sc_hd__nand3_1 U80523 ( .A(n67676), .B(n67678), .C(n61461), .Y(
+        n68031) );
+  sky130_fd_sc_hd__nand2_1 U80524 ( .A(n61463), .B(n61464), .Y(n66838) );
+  sky130_fd_sc_hd__nor2_1 U80525 ( .A(n68041), .B(n66838), .Y(n86555) );
+  sky130_fd_sc_hd__nor2_1 U80526 ( .A(n61460), .B(n61459), .Y(n61465) );
+  sky130_fd_sc_hd__nand3_1 U80527 ( .A(n67676), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[0]), .C(
+        n61461), .Y(n68030) );
+  sky130_fd_sc_hd__nand2_1 U80528 ( .A(n61465), .B(n61462), .Y(n68040) );
+  sky130_fd_sc_hd__nor2_1 U80529 ( .A(n66839), .B(n68040), .Y(n86564) );
+  sky130_fd_sc_hd__nand2_1 U80530 ( .A(n61463), .B(n61462), .Y(n68016) );
+  sky130_fd_sc_hd__nor2_1 U80531 ( .A(n66839), .B(n68016), .Y(n86522) );
+  sky130_fd_sc_hd__nor2_1 U80532 ( .A(n67016), .B(n66838), .Y(n86554) );
+  sky130_fd_sc_hd__nor2_1 U80533 ( .A(n67016), .B(n68016), .Y(n86553) );
+  sky130_fd_sc_hd__nand2_1 U80534 ( .A(n61465), .B(n61464), .Y(n66837) );
+  sky130_fd_sc_hd__nor2_1 U80535 ( .A(n67017), .B(n66837), .Y(n86549) );
+  sky130_fd_sc_hd__nor2_1 U80536 ( .A(n67017), .B(n68016), .Y(n86548) );
+  sky130_fd_sc_hd__nor2_1 U80537 ( .A(n67016), .B(n66837), .Y(n86559) );
+  sky130_fd_sc_hd__nor2_1 U80538 ( .A(n67017), .B(n68040), .Y(n86568) );
+  sky130_fd_sc_hd__nor2_1 U80539 ( .A(n68041), .B(n66837), .Y(n86556) );
+  sky130_fd_sc_hd__nor2_1 U80540 ( .A(n67017), .B(n66838), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_75) );
+  sky130_fd_sc_hd__nor2_1 U80541 ( .A(n67016), .B(n68040), .Y(n86558) );
+  sky130_fd_sc_hd__o21ai_1 U80542 ( .A1(n77570), .A2(n61471), .B1(n61470), .Y(
+        n61473) );
+  sky130_fd_sc_hd__nand2_1 U80543 ( .A(n61473), .B(n61472), .Y(n82458) );
+  sky130_fd_sc_hd__nor2_1 U80544 ( .A(n83631), .B(n61474), .Y(n82986) );
+  sky130_fd_sc_hd__a31oi_1 U80545 ( .A1(n83906), .A2(n83917), .A3(n83919), 
+        .B1(n83538), .Y(n61476) );
+  sky130_fd_sc_hd__o21a_1 U80546 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_xcpt_interrupt), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_xcpt), .B1(n85483), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N441) );
+  sky130_fd_sc_hd__nor3_1 U80547 ( .A(n37041), .B(n61477), .C(n83320), .Y(
+        n83305) );
+  sky130_fd_sc_hd__o22a_1 U80548 ( .A1(n86400), .A2(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_11), .B1(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_12), .B2(n79530), 
+        .X(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N21) );
+  sky130_fd_sc_hd__o22a_1 U80549 ( .A1(n86400), .A2(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_1), .B1(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_2), .B2(n79530), 
+        .X(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N5) );
+  sky130_fd_sc_hd__o22a_1 U80550 ( .A1(n86400), .A2(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_io_chainOut_data), 
+        .B1(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_1), .B2(
+        n79530), .X(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N4) );
+  sky130_fd_sc_hd__o22a_1 U80551 ( .A1(n86400), .A2(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_4), .B1(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_5), .B2(n79530), 
+        .X(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N14) );
+  sky130_fd_sc_hd__a22o_1 U80552 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_cmd[3]), .A2(n78369), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pma_checker_io_req_bits_cmd[3]), .B2(n86584), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N595) );
+  sky130_fd_sc_hd__nand2_1 U80554 ( .A(n61478), .B(n62858), .Y(n61479) );
+  sky130_fd_sc_hd__nand2_1 U80555 ( .A(n63508), .B(n61479), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_scale_io_regs_cfg_write_deglitch) );
+  sky130_fd_sc_hd__nand2_1 U80556 ( .A(n67427), .B(n37040), .Y(n36217) );
+  sky130_fd_sc_hd__nand2_1 U80557 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[11]), .B(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[12]), 
+        .Y(n61480) );
+  sky130_fd_sc_hd__nand2_1 U80558 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[9]), 
+        .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[10]), .Y(n67193) );
+  sky130_fd_sc_hd__nor2_1 U80559 ( .A(n61480), .B(n67193), .Y(n61482) );
+  sky130_fd_sc_hd__nand2_1 U80560 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[6]), 
+        .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[5]), 
+        .Y(n67304) );
+  sky130_fd_sc_hd__nand2_1 U80561 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[7]), 
+        .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[8]), 
+        .Y(n61481) );
+  sky130_fd_sc_hd__nor2_1 U80562 ( .A(n67304), .B(n61481), .Y(n67192) );
+  sky130_fd_sc_hd__nand2_1 U80563 ( .A(n61482), .B(n67192), .Y(n61569) );
+  sky130_fd_sc_hd__nand2_1 U80564 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[15]), .B(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[16]), 
+        .Y(n61483) );
+  sky130_fd_sc_hd__nand2_1 U80565 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[13]), .B(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[14]), 
+        .Y(n67104) );
+  sky130_fd_sc_hd__nor2_1 U80566 ( .A(n61483), .B(n67104), .Y(n61570) );
+  sky130_fd_sc_hd__nand2_1 U80567 ( .A(n61570), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[17]), .Y(n61484) );
+  sky130_fd_sc_hd__nor2_1 U80568 ( .A(n61569), .B(n61484), .Y(n61572) );
+  sky130_fd_sc_hd__nor2_1 U80569 ( .A(n61599), .B(n61485), .Y(n61600) );
+  sky130_fd_sc_hd__xnor2_1 U80570 ( .A(n61486), .B(n73488), .Y(n61491) );
+  sky130_fd_sc_hd__nand2_1 U80571 ( .A(n61488), .B(n61487), .Y(n67428) );
+  sky130_fd_sc_hd__nand2_1 U80572 ( .A(n67428), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[0]), 
+        .Y(n67431) );
+  sky130_fd_sc_hd__nor2_1 U80573 ( .A(n61489), .B(n67431), .Y(n67436) );
+  sky130_fd_sc_hd__nand2_1 U80574 ( .A(n67436), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[2]), 
+        .Y(n67438) );
+  sky130_fd_sc_hd__nor2_1 U80575 ( .A(n61490), .B(n67438), .Y(n73484) );
+  sky130_fd_sc_hd__nand2_1 U80576 ( .A(n73484), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[4]), 
+        .Y(n63677) );
+  sky130_fd_sc_hd__nor2_1 U80577 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[1]), .B(n63677), .Y(n61571) );
+  sky130_fd_sc_hd__nand2_1 U80578 ( .A(n61491), .B(n61571), .Y(n61498) );
+  sky130_fd_sc_hd__ha_1 U80579 ( .A(n61492), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[30]), .COUT(n61496), .SUM(n73488) );
+  sky130_fd_sc_hd__ha_1 U80580 ( .A(n61493), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[29]), .COUT(n61492), .SUM(n67078) );
+  sky130_fd_sc_hd__xnor2_1 U80581 ( .A(n61494), .B(n67078), .Y(n61495) );
+  sky130_fd_sc_hd__a22oi_1 U80582 ( .A1(n63678), .A2(n61496), .B1(n61495), 
+        .B2(n61571), .Y(n61497) );
+  sky130_fd_sc_hd__mux2i_1 U80583 ( .A0(n61498), .A1(n61497), .S(n61568), .Y(
+        n61499) );
+  sky130_fd_sc_hd__xnor2_1 U80584 ( .A(n67446), .B(n67907), .Y(n61501) );
+  sky130_fd_sc_hd__xor2_1 U80585 ( .A(n67908), .B(n67446), .X(n61532) );
+  sky130_fd_sc_hd__o22ai_1 U80586 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[8]), 
+        .A2(n61501), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[9]), 
+        .B2(n61500), .Y(n61537) );
+  sky130_fd_sc_hd__xor2_1 U80587 ( .A(n67911), .B(n67446), .X(n61531) );
+  sky130_fd_sc_hd__xor2_1 U80588 ( .A(n67446), .B(n67912), .X(n61519) );
+  sky130_fd_sc_hd__nor2_1 U80589 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[5]), 
+        .B(n61519), .Y(n61521) );
+  sky130_fd_sc_hd__xor2_1 U80590 ( .A(n67446), .B(n67913), .X(n61518) );
+  sky130_fd_sc_hd__nor2_1 U80591 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[4]), 
+        .B(n61518), .Y(n61502) );
+  sky130_fd_sc_hd__nor2_1 U80592 ( .A(n61521), .B(n61502), .Y(n61503) );
+  sky130_fd_sc_hd__xor2_1 U80593 ( .A(n67446), .B(n67915), .X(n61523) );
+  sky130_fd_sc_hd__nand2_1 U80594 ( .A(n61503), .B(n38440), .Y(n61528) );
+  sky130_fd_sc_hd__xor2_1 U80595 ( .A(n67917), .B(n67446), .X(n61511) );
+  sky130_fd_sc_hd__nor2_1 U80596 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[3]), 
+        .B(n61511), .Y(n61513) );
+  sky130_fd_sc_hd__xnor2_1 U80597 ( .A(n67446), .B(n67918), .Y(n61510) );
+  sky130_fd_sc_hd__nor2_1 U80598 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[2]), 
+        .B(n61510), .Y(n61504) );
+  sky130_fd_sc_hd__nor2_1 U80599 ( .A(n61513), .B(n61504), .Y(n61517) );
+  sky130_fd_sc_hd__clkinv_1 U80600 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[0]), 
+        .Y(n61506) );
+  sky130_fd_sc_hd__xnor2_1 U80601 ( .A(n67920), .B(n67446), .Y(n61505) );
+  sky130_fd_sc_hd__xnor2_1 U80602 ( .A(n67923), .B(n67446), .Y(n61507) );
+  sky130_fd_sc_hd__nor2_1 U80603 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[1]), 
+        .B(n61507), .Y(n61509) );
+  sky130_fd_sc_hd__nand2_1 U80604 ( .A(n61507), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[1]), 
+        .Y(n61508) );
+  sky130_fd_sc_hd__o21ai_1 U80605 ( .A1(n37130), .A2(n61509), .B1(n61508), .Y(
+        n61516) );
+  sky130_fd_sc_hd__nand2_1 U80606 ( .A(n61510), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[2]), 
+        .Y(n61514) );
+  sky130_fd_sc_hd__nand2_1 U80607 ( .A(n61511), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[3]), 
+        .Y(n61512) );
+  sky130_fd_sc_hd__o21ai_1 U80608 ( .A1(n61514), .A2(n61513), .B1(n61512), .Y(
+        n61515) );
+  sky130_fd_sc_hd__a21oi_1 U80609 ( .A1(n61517), .A2(n61516), .B1(n61515), .Y(
+        n61527) );
+  sky130_fd_sc_hd__nand2_1 U80610 ( .A(n61518), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[4]), 
+        .Y(n61522) );
+  sky130_fd_sc_hd__nand2_1 U80611 ( .A(n61519), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[5]), 
+        .Y(n61520) );
+  sky130_fd_sc_hd__a21oi_1 U80613 ( .A1(n61525), .A2(n38440), .B1(n61524), .Y(
+        n61526) );
+  sky130_fd_sc_hd__o21ai_1 U80614 ( .A1(n61528), .A2(n61527), .B1(n61526), .Y(
+        n61529) );
+  sky130_fd_sc_hd__o21a_1 U80615 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[7]), 
+        .A2(n61531), .B1(n61529), .X(n61530) );
+  sky130_fd_sc_hd__a21oi_1 U80616 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[7]), 
+        .A2(n61531), .B1(n61530), .Y(n61536) );
+  sky130_fd_sc_hd__xor2_1 U80617 ( .A(n67907), .B(n67908), .X(n67955) );
+  sky130_fd_sc_hd__a21oi_1 U80618 ( .A1(n67951), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[8]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[9]), 
+        .Y(n61533) );
+  sky130_fd_sc_hd__nor2_1 U80619 ( .A(n61533), .B(n61532), .Y(n61534) );
+  sky130_fd_sc_hd__a31oi_1 U80620 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[9]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[8]), 
+        .A3(n67955), .B1(n61534), .Y(n61535) );
+  sky130_fd_sc_hd__o21ai_1 U80621 ( .A1(n61537), .A2(n61536), .B1(n61535), .Y(
+        n61561) );
+  sky130_fd_sc_hd__xnor2_1 U80622 ( .A(n67446), .B(n67961), .Y(n61542) );
+  sky130_fd_sc_hd__xnor2_1 U80623 ( .A(n67960), .B(n67446), .Y(n61543) );
+  sky130_fd_sc_hd__nand2_1 U80624 ( .A(n61538), .B(n61546), .Y(n61540) );
+  sky130_fd_sc_hd__xor2_1 U80625 ( .A(n67959), .B(n67446), .X(n61548) );
+  sky130_fd_sc_hd__nor2_1 U80626 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[13]), .B(n61548), .Y(n61550) );
+  sky130_fd_sc_hd__xor2_1 U80627 ( .A(n67446), .B(n67963), .X(n61547) );
+  sky130_fd_sc_hd__nor2_1 U80628 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[12]), .B(n61547), .Y(n61539) );
+  sky130_fd_sc_hd__nor2_1 U80629 ( .A(n61540), .B(n61553), .Y(n61541) );
+  sky130_fd_sc_hd__xor2_1 U80630 ( .A(n67906), .B(n67446), .X(n61555) );
+  sky130_fd_sc_hd__a21oi_1 U80631 ( .A1(n61546), .A2(n61545), .B1(n61544), .Y(
+        n61554) );
+  sky130_fd_sc_hd__nand2_1 U80632 ( .A(n61547), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[12]), .Y(n61551) );
+  sky130_fd_sc_hd__nand2_1 U80633 ( .A(n61548), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[13]), .Y(n61549) );
+  sky130_fd_sc_hd__o21a_1 U80634 ( .A1(n61551), .A2(n61550), .B1(n61549), .X(
+        n61552) );
+  sky130_fd_sc_hd__a21o_1 U80636 ( .A1(n61558), .A2(n61557), .B1(n61556), .X(
+        n61559) );
+  sky130_fd_sc_hd__a21o_1 U80637 ( .A1(n61561), .A2(n61560), .B1(n61559), .X(
+        n61565) );
+  sky130_fd_sc_hd__nor2_1 U80638 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_16[16]), .B(n61562), .Y(n61564) );
+  sky130_fd_sc_hd__nand2_1 U80639 ( .A(n61565), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[15]), .Y(n61563) );
+  sky130_fd_sc_hd__o2bb2ai_1 U80640 ( .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_369[15]), .B2(n61565), .A1_N(n61564), .A2_N(n61563), .Y(n67447) );
+  sky130_fd_sc_hd__ha_1 U80641 ( .A(n61566), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[20]), .COUT(n61580), .SUM(n67159) );
+  sky130_fd_sc_hd__xnor2_1 U80642 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[20]), .B(n67159), .Y(n61577) );
+  sky130_fd_sc_hd__ha_1 U80643 ( .A(n61567), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[19]), .COUT(n61566), .SUM(n67145) );
+  sky130_fd_sc_hd__xor2_1 U80644 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[19]), .B(n67145), .X(n61575) );
+  sky130_fd_sc_hd__nor2_1 U80645 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[0]), .B(n63677), .Y(n61606) );
+  sky130_fd_sc_hd__nand2_1 U80646 ( .A(n61571), .B(n61568), .Y(n61604) );
+  sky130_fd_sc_hd__nand2_1 U80647 ( .A(n67202), .B(n61570), .Y(n63680) );
+  sky130_fd_sc_hd__ha_1 U80648 ( .A(n61572), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[18]), .COUT(n61567), .SUM(n67142) );
+  sky130_fd_sc_hd__xnor2_1 U80649 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[18]), .B(n67142), .Y(n61573) );
+  sky130_fd_sc_hd__o22ai_1 U80650 ( .A1(n61604), .A2(n63680), .B1(n61602), 
+        .B2(n61573), .Y(n61574) );
+  sky130_fd_sc_hd__a21oi_1 U80651 ( .A1(n61575), .A2(n61606), .B1(n61574), .Y(
+        n61576) );
+  sky130_fd_sc_hd__o21a_1 U80652 ( .A1(n63677), .A2(n61577), .B1(n61576), .X(
+        n61591) );
+  sky130_fd_sc_hd__ha_1 U80653 ( .A(n61578), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[24]), .COUT(n61598), .SUM(n67101) );
+  sky130_fd_sc_hd__xnor2_1 U80654 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[24]), .B(n67101), .Y(n61587) );
+  sky130_fd_sc_hd__ha_1 U80655 ( .A(n61579), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[23]), .COUT(n61578), .SUM(n67090) );
+  sky130_fd_sc_hd__xor2_1 U80656 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[23]), .B(n67090), .X(n61585) );
+  sky130_fd_sc_hd__ha_1 U80657 ( .A(n61580), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[21]), .COUT(n61581), .SUM(n73490) );
+  sky130_fd_sc_hd__xnor2_1 U80658 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[21]), .B(n73490), .Y(n61583) );
+  sky130_fd_sc_hd__ha_1 U80659 ( .A(n61581), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[22]), .COUT(n61579), .SUM(n73366) );
+  sky130_fd_sc_hd__xnor2_1 U80660 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[22]), .B(n73366), .Y(n61582) );
+  sky130_fd_sc_hd__o22ai_1 U80661 ( .A1(n61604), .A2(n61583), .B1(n61602), 
+        .B2(n61582), .Y(n61584) );
+  sky130_fd_sc_hd__a21oi_1 U80662 ( .A1(n61585), .A2(n61606), .B1(n61584), .Y(
+        n61586) );
+  sky130_fd_sc_hd__o21a_1 U80663 ( .A1(n63677), .A2(n61587), .B1(n61586), .X(
+        n61588) );
+  sky130_fd_sc_hd__o22ai_1 U80664 ( .A1(n61591), .A2(n61590), .B1(n61589), 
+        .B2(n61588), .Y(n61592) );
+  sky130_fd_sc_hd__a21oi_1 U80665 ( .A1(n67447), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_7[9]), .B1(n61592), .Y(n61613) );
+  sky130_fd_sc_hd__ha_1 U80666 ( .A(n61593), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[28]), .COUT(n61493), .SUM(n67454) );
+  sky130_fd_sc_hd__xnor2_1 U80667 ( .A(n61594), .B(n67454), .Y(n61595) );
+  sky130_fd_sc_hd__nand2_1 U80668 ( .A(n61595), .B(n63678), .Y(n61609) );
+  sky130_fd_sc_hd__ha_1 U80669 ( .A(n61596), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[27]), .COUT(n61593), .SUM(n68075) );
+  sky130_fd_sc_hd__xnor2_1 U80670 ( .A(n61597), .B(n68075), .Y(n61607) );
+  sky130_fd_sc_hd__xnor2_1 U80671 ( .A(n61599), .B(n61598), .Y(n67076) );
+  sky130_fd_sc_hd__xnor2_1 U80672 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[25]), .B(n67076), .Y(n61603) );
+  sky130_fd_sc_hd__ha_1 U80673 ( .A(n61600), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[26]), .COUT(n61596), .SUM(n66784) );
+  sky130_fd_sc_hd__xnor2_1 U80674 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[26]), .B(n66784), .Y(n61601) );
+  sky130_fd_sc_hd__o22ai_1 U80675 ( .A1(n61604), .A2(n61603), .B1(n61602), 
+        .B2(n61601), .Y(n61605) );
+  sky130_fd_sc_hd__a21oi_1 U80676 ( .A1(n61607), .A2(n61606), .B1(n61605), .Y(
+        n61608) );
+  sky130_fd_sc_hd__nand2_1 U80677 ( .A(n61609), .B(n61608), .Y(n61611) );
+  sky130_fd_sc_hd__nand2_1 U80678 ( .A(n61611), .B(n61610), .Y(n61612) );
+  sky130_fd_sc_hd__nand3_2 U80679 ( .A(n61614), .B(n61613), .C(n61612), .Y(
+        n67444) );
+  sky130_fd_sc_hd__and3_1 U80680 ( .A(n80428), .B(n61616), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cnt[2]), .X(n80475) );
+  sky130_fd_sc_hd__nand2_1 U80681 ( .A(n80475), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[26]), .Y(n61621) );
+  sky130_fd_sc_hd__and3_1 U80682 ( .A(n61617), .B(n61616), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cnt[1]), .X(n80476) );
+  sky130_fd_sc_hd__nand2_1 U80683 ( .A(n80476), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[10]), .Y(n61620) );
+  sky130_fd_sc_hd__nor2_1 U80684 ( .A(n61616), .B(n74026), .Y(n80477) );
+  sky130_fd_sc_hd__nand2_1 U80685 ( .A(n80477), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[2]), .Y(n61619) );
+  sky130_fd_sc_hd__and3_1 U80686 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cnt[1]), .B(n61617), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cnt[0]), .X(n80478) );
+  sky130_fd_sc_hd__nand2_1 U80687 ( .A(n80478), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[18]), .Y(n61618) );
+  sky130_fd_sc_hd__nand4_1 U80688 ( .A(n61621), .B(n61620), .C(n61619), .D(
+        n61618), .Y(n61622) );
+  sky130_fd_sc_hd__nand2b_1 U80689 ( .A_N(n80484), .B(n61622), .Y(n61623) );
+  sky130_fd_sc_hd__nand2_1 U80690 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_io_deq_bits_MPORT_addr[0]), .Y(n80710) );
+  sky130_fd_sc_hd__nor2_1 U80691 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_io_deq_bits_MPORT_addr[0]), .Y(n80709) );
+  sky130_fd_sc_hd__a22oi_1 U80692 ( .A1(n80712), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[34]), .B1(n80709), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[58]), .Y(n61627) );
+  sky130_fd_sc_hd__nor2_1 U80693 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_io_deq_bits_MPORT_addr[1]), .B(n61624), .Y(n80489) );
+  sky130_fd_sc_hd__nor2_1 U80694 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_io_deq_bits_MPORT_addr[0]), .B(n61625), .Y(n80490) );
+  sky130_fd_sc_hd__a22oi_1 U80695 ( .A1(n80489), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[50]), .B1(n80490), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[42]), .Y(n61626) );
+  sky130_fd_sc_hd__nand2_1 U80696 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb__T_1_1_), .B(n80711), .Y(n80486) );
+  sky130_fd_sc_hd__a21oi_1 U80697 ( .A1(n61627), .A2(n61626), .B1(n80486), .Y(
+        n61637) );
+  sky130_fd_sc_hd__a22oi_1 U80698 ( .A1(n80489), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[18]), .B1(n80709), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[26]), .Y(n61629) );
+  sky130_fd_sc_hd__a22oi_1 U80699 ( .A1(n80712), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[2]), .B1(n80490), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[10]), .Y(n61628) );
+  sky130_fd_sc_hd__nand2_1 U80700 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_io_deq_bits_MPORT_addr[2]), .B(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb__T_1_1_), .Y(n80491) );
+  sky130_fd_sc_hd__a21oi_1 U80701 ( .A1(n61629), .A2(n61628), .B1(n80491), .Y(
+        n61636) );
+  sky130_fd_sc_hd__nor2_1 U80702 ( .A(n84034), .B(n61630), .Y(n80495) );
+  sky130_fd_sc_hd__nor2_1 U80703 ( .A(n77928), .B(n61630), .Y(n80494) );
+  sky130_fd_sc_hd__a22oi_1 U80704 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[2]), .A2(n80495), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[18]), .B2(n80494), .Y(n61634) );
+  sky130_fd_sc_hd__nand2_1 U80705 ( .A(n61632), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_state[0]), .Y(n77901) );
+  sky130_fd_sc_hd__nor2_1 U80706 ( .A(n61632), .B(n61631), .Y(n80496) );
+  sky130_fd_sc_hd__a22oi_1 U80707 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[26]), .A2(n80497), .B1(n80496), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_wdata[2]), .Y(n61633) );
+  sky130_fd_sc_hd__a21oi_1 U80708 ( .A1(n61634), .A2(n61633), .B1(n80498), .Y(
+        n61635) );
+  sky130_fd_sc_hd__nor4_1 U80709 ( .A(n61638), .B(n61637), .C(n61636), .D(
+        n61635), .Y(n80508) );
+  sky130_fd_sc_hd__a222oi_1 U80710 ( .A1(n80477), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[5]), .B1(n80478), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[21]), .C1(n80476), .C2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[13]), .Y(n61639) );
+  sky130_fd_sc_hd__nor2_1 U80711 ( .A(n80484), .B(n61639), .Y(n61649) );
+  sky130_fd_sc_hd__a22oi_1 U80712 ( .A1(n80712), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[5]), .B1(n80709), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[29]), .Y(n61641) );
+  sky130_fd_sc_hd__a22oi_1 U80713 ( .A1(n80489), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[21]), .B1(n80490), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[13]), .Y(n61640) );
+  sky130_fd_sc_hd__a21oi_1 U80714 ( .A1(n61641), .A2(n61640), .B1(n80491), .Y(
+        n61648) );
+  sky130_fd_sc_hd__a22oi_1 U80715 ( .A1(n80712), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[37]), .B1(n80709), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[61]), .Y(n61643) );
+  sky130_fd_sc_hd__a22oi_1 U80716 ( .A1(n80489), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[53]), .B1(n80490), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[45]), .Y(n61642) );
+  sky130_fd_sc_hd__a21oi_1 U80717 ( .A1(n61643), .A2(n61642), .B1(n80486), .Y(
+        n61647) );
+  sky130_fd_sc_hd__a22oi_1 U80718 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[5]), .A2(n80495), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[21]), .B2(n80494), .Y(n61645) );
+  sky130_fd_sc_hd__a22oi_1 U80719 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[29]), .A2(n80497), .B1(n80496), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_wdata[5]), .Y(n61644) );
+  sky130_fd_sc_hd__a21oi_1 U80720 ( .A1(n61645), .A2(n61644), .B1(n80498), .Y(
+        n61646) );
+  sky130_fd_sc_hd__nor4_1 U80721 ( .A(n61649), .B(n61648), .C(n61647), .D(
+        n61646), .Y(n80509) );
+  sky130_fd_sc_hd__o22ai_1 U80722 ( .A1(n85843), .A2(n80508), .B1(n80532), 
+        .B2(n80509), .Y(n61657) );
+  sky130_fd_sc_hd__nand2_1 U80723 ( .A(n61651), .B(n79376), .Y(n80638) );
+  sky130_fd_sc_hd__a21oi_1 U80724 ( .A1(n80638), .A2(n61653), .B1(n80518), .Y(
+        n61652) );
+  sky130_fd_sc_hd__a21oi_2 U80725 ( .A1(n61653), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_setup_d), .B1(n61652), .Y(n80540) );
+  sky130_fd_sc_hd__a22oi_1 U80726 ( .A1(n80542), .A2(n80518), .B1(n80540), 
+        .B2(n80541), .Y(n61692) );
+  sky130_fd_sc_hd__nand3_1 U80727 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_fmt_proto[0]), .B(n80541), .C(n80538), .Y(n80559) );
+  sky130_fd_sc_hd__nand2_1 U80728 ( .A(n80526), .B(n80538), .Y(n80565) );
+  sky130_fd_sc_hd__o22ai_1 U80729 ( .A1(n80539), .A2(n80559), .B1(n80548), 
+        .B2(n80565), .Y(n61654) );
+  sky130_fd_sc_hd__a31oi_1 U80730 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_sample_d), .A2(n80542), .A3(
+        MarmotCaravelChip_dut_sys_qspi_ram_0_dq_2_i_spi_dq_2_sync_io_q), .B1(
+        n61654), .Y(n61655) );
+  sky130_fd_sc_hd__o21ai_1 U80731 ( .A1(n61692), .A2(n80554), .B1(n61655), .Y(
+        n61656) );
+  sky130_fd_sc_hd__a22o_1 U80732 ( .A1(n80536), .A2(n61657), .B1(n80582), .B2(
+        n61656), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N239) );
+  sky130_fd_sc_hd__nand2_1 U80733 ( .A(n80475), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[27]), .Y(n61661) );
+  sky130_fd_sc_hd__nand2_1 U80734 ( .A(n80476), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[11]), .Y(n61660) );
+  sky130_fd_sc_hd__nand2_1 U80735 ( .A(n80477), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[3]), .Y(n61659) );
+  sky130_fd_sc_hd__nand2_1 U80736 ( .A(n80478), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[19]), .Y(n61658) );
+  sky130_fd_sc_hd__nand4_1 U80737 ( .A(n61661), .B(n61660), .C(n61659), .D(
+        n61658), .Y(n61662) );
+  sky130_fd_sc_hd__nand2b_1 U80738 ( .A_N(n80484), .B(n61662), .Y(n61663) );
+  sky130_fd_sc_hd__a22oi_1 U80739 ( .A1(n80490), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[43]), .B1(n80709), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[59]), .Y(n61665) );
+  sky130_fd_sc_hd__a22oi_1 U80740 ( .A1(n80712), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[35]), .B1(n80489), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[51]), .Y(n61664) );
+  sky130_fd_sc_hd__a21oi_1 U80741 ( .A1(n61665), .A2(n61664), .B1(n80486), .Y(
+        n61672) );
+  sky130_fd_sc_hd__a22oi_1 U80742 ( .A1(n80712), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[3]), .B1(n80490), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[11]), .Y(n61667) );
+  sky130_fd_sc_hd__a22oi_1 U80743 ( .A1(n80489), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[19]), .B1(n80709), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[27]), .Y(n61666) );
+  sky130_fd_sc_hd__a21oi_1 U80744 ( .A1(n61667), .A2(n61666), .B1(n80491), .Y(
+        n61671) );
+  sky130_fd_sc_hd__a22oi_1 U80745 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[3]), .A2(n80495), .B1(n80496), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_wdata[3]), .Y(n61669) );
+  sky130_fd_sc_hd__a22oi_1 U80746 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[19]), .A2(n80494), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[27]), .B2(n80497), .Y(n61668) );
+  sky130_fd_sc_hd__a21oi_1 U80747 ( .A1(n61669), .A2(n61668), .B1(n80498), .Y(
+        n61670) );
+  sky130_fd_sc_hd__nor4_1 U80748 ( .A(n61673), .B(n61672), .C(n61671), .D(
+        n61670), .Y(n80434) );
+  sky130_fd_sc_hd__nand2_1 U80749 ( .A(n80475), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[28]), .Y(n61677) );
+  sky130_fd_sc_hd__nand2_1 U80750 ( .A(n80476), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[12]), .Y(n61676) );
+  sky130_fd_sc_hd__nand2_1 U80751 ( .A(n80477), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[4]), .Y(n61675) );
+  sky130_fd_sc_hd__nand2_1 U80752 ( .A(n80478), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[20]), .Y(n61674) );
+  sky130_fd_sc_hd__nand4_1 U80753 ( .A(n61677), .B(n61676), .C(n61675), .D(
+        n61674), .Y(n61678) );
+  sky130_fd_sc_hd__nand2b_1 U80754 ( .A_N(n80484), .B(n61678), .Y(n61679) );
+  sky130_fd_sc_hd__a22oi_1 U80755 ( .A1(n80490), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[44]), .B1(n80709), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[60]), .Y(n61681) );
+  sky130_fd_sc_hd__a22oi_1 U80756 ( .A1(n80712), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[36]), .B1(n80489), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[52]), .Y(n61680) );
+  sky130_fd_sc_hd__a21oi_1 U80757 ( .A1(n61681), .A2(n61680), .B1(n80486), .Y(
+        n61688) );
+  sky130_fd_sc_hd__a22oi_1 U80758 ( .A1(n80712), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[4]), .B1(n80709), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[28]), .Y(n61683) );
+  sky130_fd_sc_hd__a22oi_1 U80759 ( .A1(n80489), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[20]), .B1(n80490), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[12]), .Y(n61682) );
+  sky130_fd_sc_hd__a21oi_1 U80760 ( .A1(n61683), .A2(n61682), .B1(n80491), .Y(
+        n61687) );
+  sky130_fd_sc_hd__a22oi_1 U80761 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[4]), .A2(n80495), .B1(n80496), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_wdata[4]), .Y(n61685) );
+  sky130_fd_sc_hd__a22oi_1 U80762 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[20]), .A2(n80494), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[28]), .B2(n80497), .Y(n61684) );
+  sky130_fd_sc_hd__a21oi_1 U80763 ( .A1(n61685), .A2(n61684), .B1(n80498), .Y(
+        n61686) );
+  sky130_fd_sc_hd__nor4_1 U80764 ( .A(n61689), .B(n61688), .C(n61687), .D(
+        n61686), .Y(n80435) );
+  sky130_fd_sc_hd__o22ai_1 U80765 ( .A1(n85843), .A2(n80434), .B1(n80532), 
+        .B2(n80435), .Y(n61694) );
+  sky130_fd_sc_hd__o22ai_1 U80766 ( .A1(n80548), .A2(n80559), .B1(n80554), 
+        .B2(n80565), .Y(n61690) );
+  sky130_fd_sc_hd__a31oi_1 U80767 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_sample_d), .A2(n80542), .A3(
+        MarmotCaravelChip_dut_sys_qspi_ram_0_dq_3_i_spi_dq_3_sync_io_q), .B1(
+        n61690), .Y(n61691) );
+  sky130_fd_sc_hd__o21ai_1 U80768 ( .A1(n61692), .A2(n80564), .B1(n61691), .Y(
+        n61693) );
+  sky130_fd_sc_hd__a22o_1 U80769 ( .A1(n80536), .A2(n61694), .B1(n80582), .B2(
+        n61693), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N240) );
+  sky130_fd_sc_hd__nand2_1 U80770 ( .A(n61695), .B(n62858), .Y(n61696) );
+  sky130_fd_sc_hd__nand2_1 U80771 ( .A(n63699), .B(n61696), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_scale_io_regs_cfg_write_deglitch) );
+  sky130_fd_sc_hd__nand2_1 U80772 ( .A(n67395), .B(n49230), .Y(n36211) );
+  sky130_fd_sc_hd__nand2_1 U80773 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[11]), 
+        .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[12]), 
+        .Y(n61697) );
+  sky130_fd_sc_hd__nand2_1 U80774 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[9]), 
+        .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[10]), 
+        .Y(n67170) );
+  sky130_fd_sc_hd__nor2_1 U80775 ( .A(n61697), .B(n67170), .Y(n61699) );
+  sky130_fd_sc_hd__nand2_1 U80776 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[6]), 
+        .B(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[5]), .Y(n67299) );
+  sky130_fd_sc_hd__nand2_1 U80777 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[7]), 
+        .B(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[8]), .Y(n61698) );
+  sky130_fd_sc_hd__nor2_1 U80778 ( .A(n67299), .B(n61698), .Y(n67169) );
+  sky130_fd_sc_hd__nand2_1 U80779 ( .A(n61699), .B(n67169), .Y(n61737) );
+  sky130_fd_sc_hd__nand2_1 U80780 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[15]), 
+        .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[16]), 
+        .Y(n61700) );
+  sky130_fd_sc_hd__nand2_1 U80781 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[13]), 
+        .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[14]), 
+        .Y(n67175) );
+  sky130_fd_sc_hd__nor2_1 U80782 ( .A(n61700), .B(n67175), .Y(n61738) );
+  sky130_fd_sc_hd__nand2_1 U80783 ( .A(n61738), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[17]), 
+        .Y(n61701) );
+  sky130_fd_sc_hd__nor2_1 U80784 ( .A(n61737), .B(n61701), .Y(n61739) );
+  sky130_fd_sc_hd__xnor2_1 U80785 ( .A(n61702), .B(n73463), .Y(n61705) );
+  sky130_fd_sc_hd__nand2b_1 U80787 ( .A_N(n67397), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[1]), 
+        .Y(n67400) );
+  sky130_fd_sc_hd__nor2_1 U80788 ( .A(n67401), .B(n67400), .Y(n67406) );
+  sky130_fd_sc_hd__nand2_1 U80789 ( .A(n67406), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[3]), 
+        .Y(n73357) );
+  sky130_fd_sc_hd__nor2_1 U80790 ( .A(n61703), .B(n73357), .Y(n66794) );
+  sky130_fd_sc_hd__nand2_1 U80791 ( .A(n66794), .B(n61704), .Y(n61752) );
+  sky130_fd_sc_hd__nand2_1 U80792 ( .A(n61705), .B(n61753), .Y(n61712) );
+  sky130_fd_sc_hd__nor2_1 U80793 ( .A(n37001), .B(n66795), .Y(n61743) );
+  sky130_fd_sc_hd__ha_1 U80794 ( .A(n61706), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[30]), 
+        .COUT(n61710), .SUM(n73463) );
+  sky130_fd_sc_hd__ha_1 U80795 ( .A(n61707), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[29]), 
+        .COUT(n61706), .SUM(n67412) );
+  sky130_fd_sc_hd__xnor2_1 U80796 ( .A(n61708), .B(n67412), .Y(n61709) );
+  sky130_fd_sc_hd__nor2_1 U80797 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[0]), .B(n61752), .Y(n61725) );
+  sky130_fd_sc_hd__a22oi_1 U80798 ( .A1(n61743), .A2(n61710), .B1(n61709), 
+        .B2(n61725), .Y(n61711) );
+  sky130_fd_sc_hd__ha_1 U80799 ( .A(n61713), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[28]), 
+        .COUT(n61707), .SUM(n67410) );
+  sky130_fd_sc_hd__nand2_1 U80800 ( .A(n38361), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__countReset_feed_T_1[0]), .Y(n61760) );
+  sky130_fd_sc_hd__ha_1 U80801 ( .A(n61715), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[27]), 
+        .COUT(n61713), .SUM(n67163) );
+  sky130_fd_sc_hd__xnor2_1 U80802 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[27]), 
+        .B(n67163), .Y(n61717) );
+  sky130_fd_sc_hd__nand2_1 U80803 ( .A(n61717), .B(n61716), .Y(n61719) );
+  sky130_fd_sc_hd__ha_1 U80804 ( .A(n61718), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[25]), 
+        .COUT(n61750), .SUM(n73355) );
+  sky130_fd_sc_hd__nor2_1 U80805 ( .A(n61720), .B(n66795), .Y(n61759) );
+  sky130_fd_sc_hd__ha_1 U80806 ( .A(n61721), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[24]), 
+        .COUT(n61718), .SUM(n67097) );
+  sky130_fd_sc_hd__xnor2_1 U80807 ( .A(n61722), .B(n67097), .Y(n61723) );
+  sky130_fd_sc_hd__nand2_1 U80808 ( .A(n61723), .B(n66794), .Y(n61733) );
+  sky130_fd_sc_hd__ha_1 U80809 ( .A(n61724), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[23]), 
+        .COUT(n61721), .SUM(n67085) );
+  sky130_fd_sc_hd__xor2_1 U80810 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[23]), 
+        .B(n67085), .X(n61731) );
+  sky130_fd_sc_hd__ha_1 U80811 ( .A(n61726), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[21]), 
+        .COUT(n61727), .SUM(n67049) );
+  sky130_fd_sc_hd__xnor2_1 U80812 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[21]), 
+        .B(n67049), .Y(n61729) );
+  sky130_fd_sc_hd__ha_1 U80813 ( .A(n61727), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[22]), 
+        .COUT(n61724), .SUM(n73362) );
+  sky130_fd_sc_hd__xnor2_1 U80814 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[22]), 
+        .B(n73362), .Y(n61728) );
+  sky130_fd_sc_hd__o22ai_1 U80815 ( .A1(n61741), .A2(n61729), .B1(n61752), 
+        .B2(n61728), .Y(n61730) );
+  sky130_fd_sc_hd__a21oi_1 U80816 ( .A1(n61731), .A2(n61743), .B1(n61730), .Y(
+        n61732) );
+  sky130_fd_sc_hd__nand2_1 U80817 ( .A(n61733), .B(n61732), .Y(n61749) );
+  sky130_fd_sc_hd__ha_1 U80818 ( .A(n61734), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[20]), 
+        .COUT(n61726), .SUM(n67156) );
+  sky130_fd_sc_hd__xor2_1 U80819 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[20]), 
+        .B(n67156), .X(n61735) );
+  sky130_fd_sc_hd__nand2_1 U80820 ( .A(n61735), .B(n66794), .Y(n61747) );
+  sky130_fd_sc_hd__ha_1 U80821 ( .A(n61736), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[19]), 
+        .COUT(n61734), .SUM(n67190) );
+  sky130_fd_sc_hd__xor2_1 U80822 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[19]), 
+        .B(n67190), .X(n61744) );
+  sky130_fd_sc_hd__inv_1 U80823 ( .A(n61737), .Y(n67179) );
+  sky130_fd_sc_hd__nand2_1 U80824 ( .A(n67179), .B(n61738), .Y(n73466) );
+  sky130_fd_sc_hd__ha_1 U80825 ( .A(n61739), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[18]), 
+        .COUT(n61736), .SUM(n67120) );
+  sky130_fd_sc_hd__xnor2_1 U80826 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[18]), 
+        .B(n67120), .Y(n61740) );
+  sky130_fd_sc_hd__o22ai_1 U80827 ( .A1(n61741), .A2(n73466), .B1(n61752), 
+        .B2(n61740), .Y(n61742) );
+  sky130_fd_sc_hd__a21oi_1 U80828 ( .A1(n61744), .A2(n61743), .B1(n61742), .Y(
+        n61746) );
+  sky130_fd_sc_hd__a21oi_1 U80829 ( .A1(n61747), .A2(n61746), .B1(n61745), .Y(
+        n61748) );
+  sky130_fd_sc_hd__a21oi_1 U80830 ( .A1(n61749), .A2(n63064), .B1(n61748), .Y(
+        n61757) );
+  sky130_fd_sc_hd__ha_1 U80831 ( .A(n61750), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[26]), 
+        .COUT(n61715), .SUM(n66796) );
+  sky130_fd_sc_hd__xnor2_1 U80832 ( .A(n61751), .B(n66796), .Y(n61755) );
+  sky130_fd_sc_hd__nand3_1 U80833 ( .A(n61755), .B(n61754), .C(n61753), .Y(
+        n61756) );
+  sky130_fd_sc_hd__nand2_1 U80834 ( .A(n61757), .B(n61756), .Y(n61758) );
+  sky130_fd_sc_hd__a31oi_1 U80835 ( .A1(n61760), .A2(n38360), .A3(n61759), 
+        .B1(n61758), .Y(n61825) );
+  sky130_fd_sc_hd__nor2_1 U80836 ( .A(n61761), .B(n63122), .Y(n61762) );
+  sky130_fd_sc_hd__buf_4 U80837 ( .A(n61762), .X(n67419) );
+  sky130_fd_sc_hd__xnor2_1 U80838 ( .A(n68150), .B(n67419), .Y(n61814) );
+  sky130_fd_sc_hd__xnor2_1 U80839 ( .A(n68085), .B(n67419), .Y(n61810) );
+  sky130_fd_sc_hd__xnor2_1 U80840 ( .A(n68135), .B(n67419), .Y(n61763) );
+  sky130_fd_sc_hd__xnor2_1 U80841 ( .A(n68136), .B(n67419), .Y(n61797) );
+  sky130_fd_sc_hd__o22ai_1 U80842 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[11]), 
+        .A2(n61763), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[10]), 
+        .B2(n61797), .Y(n61806) );
+  sky130_fd_sc_hd__xnor2_1 U80843 ( .A(n67419), .B(n68107), .Y(n61788) );
+  sky130_fd_sc_hd__nor2_1 U80844 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[6]), 
+        .B(n61788), .Y(n61791) );
+  sky130_fd_sc_hd__xnor2_1 U80845 ( .A(n67419), .B(n68109), .Y(n61780) );
+  sky130_fd_sc_hd__nor2_1 U80846 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[4]), 
+        .B(n61780), .Y(n61783) );
+  sky130_fd_sc_hd__xnor2_1 U80847 ( .A(n68091), .B(n67419), .Y(n61773) );
+  sky130_fd_sc_hd__nor2_1 U80848 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[3]), 
+        .B(n61773), .Y(n61775) );
+  sky130_fd_sc_hd__xor2_1 U80849 ( .A(n67419), .B(n68092), .X(n61772) );
+  sky130_fd_sc_hd__nor2_1 U80850 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[2]), 
+        .B(n61772), .Y(n61764) );
+  sky130_fd_sc_hd__nor2_1 U80851 ( .A(n61775), .B(n61764), .Y(n61779) );
+  sky130_fd_sc_hd__clkinv_1 U80852 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[0]), 
+        .Y(n61766) );
+  sky130_fd_sc_hd__xnor2_1 U80853 ( .A(n68095), .B(n67419), .Y(n61765) );
+  sky130_fd_sc_hd__nor2_1 U80854 ( .A(n61766), .B(n61765), .Y(n61767) );
+  sky130_fd_sc_hd__inv_1 U80855 ( .A(n61767), .Y(n61771) );
+  sky130_fd_sc_hd__xor2_1 U80856 ( .A(n67817), .B(n67419), .X(n61768) );
+  sky130_fd_sc_hd__nor2_1 U80857 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[1]), 
+        .B(n61768), .Y(n61770) );
+  sky130_fd_sc_hd__nand2_1 U80858 ( .A(n61768), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[1]), 
+        .Y(n61769) );
+  sky130_fd_sc_hd__o21ai_1 U80859 ( .A1(n61771), .A2(n61770), .B1(n61769), .Y(
+        n61778) );
+  sky130_fd_sc_hd__nand2_1 U80860 ( .A(n61772), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[2]), 
+        .Y(n61776) );
+  sky130_fd_sc_hd__nand2_1 U80861 ( .A(n61773), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[3]), 
+        .Y(n61774) );
+  sky130_fd_sc_hd__o21ai_1 U80862 ( .A1(n61776), .A2(n61775), .B1(n61774), .Y(
+        n61777) );
+  sky130_fd_sc_hd__a21oi_1 U80863 ( .A1(n61779), .A2(n61778), .B1(n61777), .Y(
+        n61782) );
+  sky130_fd_sc_hd__nand2_1 U80864 ( .A(n61780), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[4]), 
+        .Y(n61781) );
+  sky130_fd_sc_hd__o21ai_1 U80865 ( .A1(n61783), .A2(n61782), .B1(n61781), .Y(
+        n61787) );
+  sky130_fd_sc_hd__xnor2_1 U80866 ( .A(n67419), .B(n68108), .Y(n61784) );
+  sky130_fd_sc_hd__a21oi_1 U80867 ( .A1(n61787), .A2(n61786), .B1(n61785), .Y(
+        n61790) );
+  sky130_fd_sc_hd__nand2_1 U80868 ( .A(n61788), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[6]), 
+        .Y(n61789) );
+  sky130_fd_sc_hd__o21ai_1 U80869 ( .A1(n61791), .A2(n61790), .B1(n61789), .Y(
+        n61795) );
+  sky130_fd_sc_hd__xnor2_1 U80870 ( .A(n68086), .B(n67419), .Y(n61792) );
+  sky130_fd_sc_hd__xnor2_1 U80871 ( .A(n68087), .B(n67419), .Y(n61796) );
+  sky130_fd_sc_hd__o22a_1 U80872 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[8]), 
+        .A2(n61792), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[9]), 
+        .B2(n61796), .X(n61793) );
+  sky130_fd_sc_hd__o21ai_1 U80873 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[7]), 
+        .A2(n61795), .B1(n61793), .Y(n61804) );
+  sky130_fd_sc_hd__xnor2_1 U80874 ( .A(n68090), .B(n67419), .Y(n61794) );
+  sky130_fd_sc_hd__a21oi_1 U80875 ( .A1(n61795), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[7]), 
+        .B1(n61794), .Y(n61803) );
+  sky130_fd_sc_hd__xnor2_1 U80876 ( .A(n68087), .B(n68086), .Y(n68127) );
+  sky130_fd_sc_hd__a21oi_1 U80877 ( .A1(n68127), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[8]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[9]), 
+        .Y(n61800) );
+  sky130_fd_sc_hd__nand3_1 U80878 ( .A(n68131), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[8]), 
+        .C(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[9]), .Y(n61799) );
+  sky130_fd_sc_hd__nand2_1 U80879 ( .A(n61797), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[10]), 
+        .Y(n61798) );
+  sky130_fd_sc_hd__o211a_2 U80880 ( .A1(n61801), .A2(n61800), .B1(n61799), 
+        .C1(n61798), .X(n61802) );
+  sky130_fd_sc_hd__o21a_1 U80881 ( .A1(n61804), .A2(n61803), .B1(n61802), .X(
+        n61805) );
+  sky130_fd_sc_hd__o22ai_1 U80882 ( .A1(n61808), .A2(n61807), .B1(n61806), 
+        .B2(n61805), .Y(n61809) );
+  sky130_fd_sc_hd__o21ai_1 U80883 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[12]), 
+        .A2(n61810), .B1(n61809), .Y(n61812) );
+  sky130_fd_sc_hd__nand2_1 U80884 ( .A(n61810), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[12]), 
+        .Y(n61811) );
+  sky130_fd_sc_hd__nand2_1 U80885 ( .A(n61812), .B(n61811), .Y(n61813) );
+  sky130_fd_sc_hd__o21ai_1 U80886 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[13]), 
+        .A2(n61814), .B1(n61813), .Y(n61816) );
+  sky130_fd_sc_hd__nand2_1 U80887 ( .A(n61814), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[13]), 
+        .Y(n61815) );
+  sky130_fd_sc_hd__nand2_1 U80888 ( .A(n61816), .B(n61815), .Y(n61818) );
+  sky130_fd_sc_hd__xnor2_1 U80889 ( .A(n63072), .B(n67419), .Y(n61817) );
+  sky130_fd_sc_hd__o21ai_1 U80890 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[14]), 
+        .A2(n61818), .B1(n61817), .Y(n61820) );
+  sky130_fd_sc_hd__nand2_1 U80891 ( .A(n61818), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[14]), 
+        .Y(n61819) );
+  sky130_fd_sc_hd__nand2_1 U80892 ( .A(n61820), .B(n61819), .Y(n61823) );
+  sky130_fd_sc_hd__nor2_1 U80893 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[16]), .B(n63122), .Y(n61822) );
+  sky130_fd_sc_hd__nand2_1 U80894 ( .A(n61823), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[15]), 
+        .Y(n61821) );
+  sky130_fd_sc_hd__o2bb2ai_1 U80895 ( .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_369[15]), 
+        .B2(n61823), .A1_N(n61822), .A2_N(n61821), .Y(n67416) );
+  sky130_fd_sc_hd__nand2_1 U80896 ( .A(n67416), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_7[9]), .Y(n61824) );
+  sky130_fd_sc_hd__or2_2 U80897 ( .A(n67414), .B(n36211), .X(n36210) );
+  sky130_fd_sc_hd__nor2_1 U80898 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[0]), .B(n61833), .Y(n80807) );
+  sky130_fd_sc_hd__nor2_1 U80899 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[0]), .Y(n81006) );
+  sky130_fd_sc_hd__a22oi_1 U80900 ( .A1(n80807), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[43]), .B1(n81006), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[59]), .Y(n61840) );
+  sky130_fd_sc_hd__nor2_1 U80901 ( .A(n61826), .B(n77824), .Y(n80806) );
+  sky130_fd_sc_hd__nor2_1 U80902 ( .A(n73720), .B(n73721), .Y(n80791) );
+  sky130_fd_sc_hd__nand2_1 U80903 ( .A(n80791), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[3]), .Y(n61831) );
+  sky130_fd_sc_hd__and3_1 U80904 ( .A(n73721), .B(n80747), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_cnt[2]), 
+        .X(n80792) );
+  sky130_fd_sc_hd__nand2_1 U80905 ( .A(n80792), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[27]), .Y(n61830) );
+  sky130_fd_sc_hd__and3_1 U80906 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_cnt[1]), 
+        .B(n61827), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_cnt[0]), 
+        .X(n80793) );
+  sky130_fd_sc_hd__nand2_1 U80907 ( .A(n80793), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[19]), .Y(n61829) );
+  sky130_fd_sc_hd__and3_1 U80908 ( .A(n73721), .B(n61827), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_cnt[1]), 
+        .X(n80794) );
+  sky130_fd_sc_hd__nand2_1 U80909 ( .A(n80794), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[11]), .Y(n61828) );
+  sky130_fd_sc_hd__nand4_1 U80910 ( .A(n61831), .B(n61830), .C(n61829), .D(
+        n61828), .Y(n61832) );
+  sky130_fd_sc_hd__nand2_1 U80911 ( .A(n80739), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_arb_io_outer_cs_hold), .Y(n80789) );
+  sky130_fd_sc_hd__nand2_1 U80912 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[0]), .Y(n81007) );
+  sky130_fd_sc_hd__a22oi_1 U80913 ( .A1(n81009), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[3]), .B1(n81006), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[27]), .Y(n61835) );
+  sky130_fd_sc_hd__a22oi_1 U80914 ( .A1(n80807), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[11]), .B1(n80808), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[19]), .Y(n61834) );
+  sky130_fd_sc_hd__nand2_1 U80915 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[2]), .B(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_arb__T_1_1_), .Y(
+        n80809) );
+  sky130_fd_sc_hd__a21oi_1 U80916 ( .A1(n61835), .A2(n61834), .B1(n80809), .Y(
+        n61836) );
+  sky130_fd_sc_hd__a211oi_1 U80917 ( .A1(n80806), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[19]), .B1(n61837), .C1(n61836), .Y(n61839) );
+  sky130_fd_sc_hd__a22oi_1 U80918 ( .A1(n81009), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[35]), .B1(n80808), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[51]), .Y(n61838) );
+  sky130_fd_sc_hd__nand2_1 U80919 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_arb__T_1_1_), 
+        .B(n81008), .Y(n80801) );
+  sky130_fd_sc_hd__a32oi_1 U80920 ( .A1(n61840), .A2(n61839), .A3(n61838), 
+        .B1(n80801), .B2(n61839), .Y(n61841) );
+  sky130_fd_sc_hd__a21oi_1 U80921 ( .A1(n80813), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[27]), .B1(n61841), .Y(n80756) );
+  sky130_fd_sc_hd__a22oi_1 U80922 ( .A1(n80808), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[20]), .B1(n81006), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[28]), .Y(n61853) );
+  sky130_fd_sc_hd__nand2_1 U80923 ( .A(n80791), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[4]), .Y(n61845) );
+  sky130_fd_sc_hd__nand2_1 U80924 ( .A(n80792), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[28]), .Y(n61844) );
+  sky130_fd_sc_hd__nand2_1 U80925 ( .A(n80793), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[20]), .Y(n61843) );
+  sky130_fd_sc_hd__nand2_1 U80926 ( .A(n80794), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[12]), .Y(n61842) );
+  sky130_fd_sc_hd__nand4_1 U80927 ( .A(n61845), .B(n61844), .C(n61843), .D(
+        n61842), .Y(n61846) );
+  sky130_fd_sc_hd__a22oi_1 U80928 ( .A1(n80808), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[52]), .B1(n81006), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[60]), .Y(n61848) );
+  sky130_fd_sc_hd__a22oi_1 U80929 ( .A1(n81009), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[36]), .B1(n80807), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[44]), .Y(n61847) );
+  sky130_fd_sc_hd__a21oi_1 U80930 ( .A1(n61848), .A2(n61847), .B1(n80801), .Y(
+        n61849) );
+  sky130_fd_sc_hd__a211oi_1 U80931 ( .A1(n80806), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[20]), .B1(n61850), .C1(n61849), .Y(n61852) );
+  sky130_fd_sc_hd__a22oi_1 U80932 ( .A1(n81009), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[4]), .B1(n80807), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[12]), .Y(n61851) );
+  sky130_fd_sc_hd__a32oi_1 U80933 ( .A1(n61853), .A2(n61852), .A3(n61851), 
+        .B1(n80809), .B2(n61852), .Y(n61854) );
+  sky130_fd_sc_hd__a21oi_1 U80934 ( .A1(n80813), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[28]), .B1(n61854), .Y(n80757) );
+  sky130_fd_sc_hd__o22ai_1 U80935 ( .A1(n85849), .A2(n80756), .B1(n80840), 
+        .B2(n80757), .Y(n61861) );
+  sky130_fd_sc_hd__nand2_1 U80936 ( .A(n80891), .B(n79320), .Y(n80954) );
+  sky130_fd_sc_hd__nand2_1 U80937 ( .A(n61856), .B(n80954), .Y(n61855) );
+  sky130_fd_sc_hd__a22oi_1 U80938 ( .A1(n80849), .A2(n80834), .B1(n80847), 
+        .B2(n61857), .Y(n61884) );
+  sky130_fd_sc_hd__nand2_1 U80939 ( .A(n80835), .B(n80848), .Y(n80871) );
+  sky130_fd_sc_hd__o22ai_1 U80940 ( .A1(n61884), .A2(n80868), .B1(n80862), 
+        .B2(n80871), .Y(n61858) );
+  sky130_fd_sc_hd__a31oi_1 U80941 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_sample_d), .A2(n80849), .A3(MarmotCaravelChip_dut_sys_qspi_0_dq_3_i_spi_dq_3_sync_io_q), 
+        .B1(n61858), .Y(n61859) );
+  sky130_fd_sc_hd__o21ai_1 U80942 ( .A1(n80856), .A2(n80869), .B1(n61859), .Y(
+        n61860) );
+  sky130_fd_sc_hd__a22o_1 U80943 ( .A1(n80844), .A2(n61861), .B1(n80890), .B2(
+        n61860), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N240)
+         );
+  sky130_fd_sc_hd__a22oi_1 U80944 ( .A1(n81009), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[2]), .B1(n80807), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[10]), .Y(n61873) );
+  sky130_fd_sc_hd__nand2_1 U80945 ( .A(n80791), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[2]), .Y(n61865) );
+  sky130_fd_sc_hd__nand2_1 U80946 ( .A(n80792), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[26]), .Y(n61864) );
+  sky130_fd_sc_hd__nand2_1 U80947 ( .A(n80793), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[18]), .Y(n61863) );
+  sky130_fd_sc_hd__nand2_1 U80948 ( .A(n80794), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[10]), .Y(n61862) );
+  sky130_fd_sc_hd__nand4_1 U80949 ( .A(n61865), .B(n61864), .C(n61863), .D(
+        n61862), .Y(n61866) );
+  sky130_fd_sc_hd__a22oi_1 U80950 ( .A1(n80807), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[42]), .B1(n81006), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[58]), .Y(n61868) );
+  sky130_fd_sc_hd__a22oi_1 U80951 ( .A1(n81009), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[34]), .B1(n80808), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[50]), .Y(n61867) );
+  sky130_fd_sc_hd__a21oi_1 U80952 ( .A1(n61868), .A2(n61867), .B1(n80801), .Y(
+        n61869) );
+  sky130_fd_sc_hd__a211oi_1 U80953 ( .A1(n80806), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[18]), .B1(n61870), .C1(n61869), .Y(n61872) );
+  sky130_fd_sc_hd__a22oi_1 U80954 ( .A1(n80808), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[18]), .B1(n81006), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[26]), .Y(n61871) );
+  sky130_fd_sc_hd__a32oi_1 U80955 ( .A1(n61873), .A2(n61872), .A3(n61871), 
+        .B1(n80809), .B2(n61872), .Y(n61874) );
+  sky130_fd_sc_hd__a21oi_1 U80956 ( .A1(n80813), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[26]), .B1(n61874), .Y(n80819) );
+  sky130_fd_sc_hd__a222oi_1 U80957 ( .A1(n80793), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[21]), .B1(n80791), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[5]), .C1(n80794), .C2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[13]), .Y(n61882) );
+  sky130_fd_sc_hd__a22oi_1 U80958 ( .A1(n80807), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[13]), .B1(n81006), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[29]), .Y(n61876) );
+  sky130_fd_sc_hd__a22oi_1 U80959 ( .A1(n81009), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[5]), .B1(n80808), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[21]), .Y(n61875) );
+  sky130_fd_sc_hd__a21oi_1 U80960 ( .A1(n61876), .A2(n61875), .B1(n80809), .Y(
+        n61880) );
+  sky130_fd_sc_hd__a22oi_1 U80961 ( .A1(n80808), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[53]), .B1(n81006), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[61]), .Y(n61878) );
+  sky130_fd_sc_hd__a22oi_1 U80962 ( .A1(n81009), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[37]), .B1(n80807), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[45]), .Y(n61877) );
+  sky130_fd_sc_hd__a21oi_1 U80963 ( .A1(n61878), .A2(n61877), .B1(n80801), .Y(
+        n61879) );
+  sky130_fd_sc_hd__a211oi_1 U80964 ( .A1(n80806), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[21]), .B1(n61880), .C1(n61879), .Y(n61881) );
+  sky130_fd_sc_hd__o21ai_1 U80965 ( .A1(n61882), .A2(n80789), .B1(n61881), .Y(
+        n61883) );
+  sky130_fd_sc_hd__a21oi_1 U80966 ( .A1(n80813), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[29]), .B1(n61883), .Y(n80820) );
+  sky130_fd_sc_hd__o22ai_1 U80967 ( .A1(n85849), .A2(n80819), .B1(n80840), 
+        .B2(n80820), .Y(n61888) );
+  sky130_fd_sc_hd__o22ai_1 U80968 ( .A1(n61884), .A2(n80862), .B1(n80850), 
+        .B2(n80869), .Y(n61885) );
+  sky130_fd_sc_hd__a31oi_1 U80969 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_sample_d), .A2(n80849), .A3(MarmotCaravelChip_dut_sys_qspi_0_dq_2_i_spi_dq_2_sync_io_q), 
+        .B1(n61885), .Y(n61886) );
+  sky130_fd_sc_hd__o21ai_1 U80970 ( .A1(n80856), .A2(n80871), .B1(n61886), .Y(
+        n61887) );
+  sky130_fd_sc_hd__a22o_1 U80971 ( .A1(n80844), .A2(n61888), .B1(n80890), .B2(
+        n61887), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N239)
+         );
+  sky130_fd_sc_hd__nand2b_1 U80972 ( .A_N(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__elapsed_center_T_3), .B(n83351), .Y(n36209) );
+  sky130_fd_sc_hd__nor2_1 U80973 ( .A(n37038), .B(n61890), .Y(n80713) );
+  sky130_fd_sc_hd__a22o_1 U80974 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_MPORT_addr[1]), .A2(n85845), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_MPORT_addr[0]), .B2(n80713), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N40) );
+  sky130_fd_sc_hd__nand2b_1 U80975 ( .A_N(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__elapsed_center_T_3), .B(n83116), .Y(n36212) );
+  sky130_fd_sc_hd__nor3_1 U80976 ( .A(n61964), .B(n61891), .C(n61889), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N28) );
+  sky130_fd_sc_hd__nor3_1 U80977 ( .A(n61964), .B(n61891), .C(n61890), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N30) );
+  sky130_fd_sc_hd__nor3_1 U80978 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_MPORT_addr[2]), .B(n61891), .C(n61890), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N34) );
+  sky130_fd_sc_hd__nand2_1 U80979 ( .A(n85484), .B(n36350), .Y(n61892) );
+  sky130_fd_sc_hd__nand3_1 U80980 ( .A(n69216), .B(n61893), .C(n36350), .Y(
+        n61895) );
+  sky130_fd_sc_hd__nand2_1 U80981 ( .A(n64030), .B(n36350), .Y(n61894) );
+  sky130_fd_sc_hd__nand3_1 U80982 ( .A(n69216), .B(n61896), .C(n36350), .Y(
+        n61899) );
+  sky130_fd_sc_hd__nand2_1 U80983 ( .A(n61897), .B(n36350), .Y(n61898) );
+  sky130_fd_sc_hd__nor3_1 U80984 ( .A(n78198), .B(n73322), .C(n61901), .Y(
+        n61902) );
+  sky130_fd_sc_hd__a21oi_1 U80985 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[10]), .A2(n78198), .B1(n61902), .Y(n61903) );
+  sky130_fd_sc_hd__o21ai_1 U80986 ( .A1(n78198), .A2(n72781), .B1(n61903), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_array_RW0_addr[4]) );
+  sky130_fd_sc_hd__a22oi_1 U80987 ( .A1(n36816), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[8]), .B1(n72419), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[8]), .Y(n61942) );
+  sky130_fd_sc_hd__nand2_1 U80988 ( .A(n42989), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25[7]), .Y(n61907) );
+  sky130_fd_sc_hd__nand2_1 U80989 ( .A(n72203), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[8]), .Y(n61906) );
+  sky130_fd_sc_hd__nand2_1 U80990 ( .A(n72202), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[8]), .Y(n61905) );
+  sky130_fd_sc_hd__nand2_1 U80991 ( .A(n72252), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[8]), .Y(n61904) );
+  sky130_fd_sc_hd__a22oi_1 U80992 ( .A1(n73273), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1[7]), .B1(n73277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2[7]), .Y(n61910) );
+  sky130_fd_sc_hd__a22oi_1 U80993 ( .A1(n73283), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13[7]), .B1(n73282), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10[7]), .Y(n61909) );
+  sky130_fd_sc_hd__nand2_1 U80994 ( .A(n73276), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0[7]), .Y(n61908) );
+  sky130_fd_sc_hd__nand3_1 U80995 ( .A(n61910), .B(n61909), .C(n61908), .Y(
+        n61924) );
+  sky130_fd_sc_hd__a22oi_1 U80996 ( .A1(n73285), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12[7]), .B1(n73284), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23[7]), .Y(n61914) );
+  sky130_fd_sc_hd__a22oi_1 U80997 ( .A1(n73287), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22[7]), .B1(n73286), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21[7]), .Y(n61913) );
+  sky130_fd_sc_hd__a22oi_1 U80998 ( .A1(n73288), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19[7]), .B1(n73289), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17[7]), .Y(n61912) );
+  sky130_fd_sc_hd__a22oi_1 U80999 ( .A1(n73295), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18[7]), .B1(n73294), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4[7]), .Y(n61911) );
+  sky130_fd_sc_hd__nand4_1 U81000 ( .A(n61914), .B(n61913), .C(n61912), .D(
+        n61911), .Y(n61920) );
+  sky130_fd_sc_hd__a22oi_1 U81001 ( .A1(n73297), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5[7]), .B1(n73296), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6[7]), .Y(n61918) );
+  sky130_fd_sc_hd__a22oi_1 U81002 ( .A1(n73299), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14[7]), .B1(n73298), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7[7]), .Y(n61917) );
+  sky130_fd_sc_hd__a22oi_1 U81003 ( .A1(n73301), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15[7]), .B1(n73300), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9[7]), .Y(n61916) );
+  sky130_fd_sc_hd__a22oi_1 U81004 ( .A1(n73271), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8[7]), .B1(n73270), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11[7]), .Y(n61915) );
+  sky130_fd_sc_hd__nand4_1 U81005 ( .A(n61918), .B(n61917), .C(n61916), .D(
+        n61915), .Y(n61919) );
+  sky130_fd_sc_hd__nor2_1 U81006 ( .A(n61920), .B(n61919), .Y(n61923) );
+  sky130_fd_sc_hd__a22oi_1 U81007 ( .A1(n73274), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16[7]), .B1(n72732), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27[7]), .Y(n61922) );
+  sky130_fd_sc_hd__a22oi_1 U81008 ( .A1(n73272), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20[7]), .B1(n73275), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3[7]), .Y(n61921) );
+  sky130_fd_sc_hd__nand4b_1 U81009 ( .A_N(n61924), .B(n61923), .C(n61922), .D(
+        n61921), .Y(n61935) );
+  sky130_fd_sc_hd__nand2_1 U81010 ( .A(n73192), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[8]), .Y(n61928) );
+  sky130_fd_sc_hd__a22oi_1 U81011 ( .A1(n78262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[8]), .B1(n73261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[8]), .Y(n61927) );
+  sky130_fd_sc_hd__nand2_1 U81012 ( .A(n73187), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[8]), .Y(n61926) );
+  sky130_fd_sc_hd__nand2_1 U81013 ( .A(n78265), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[8]), .Y(n61925) );
+  sky130_fd_sc_hd__nand4_1 U81014 ( .A(n61928), .B(n61927), .C(n61926), .D(
+        n61925), .Y(n61929) );
+  sky130_fd_sc_hd__a21oi_1 U81015 ( .A1(n73097), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[8]), .B1(n61929), .Y(n61933) );
+  sky130_fd_sc_hd__nand2_1 U81016 ( .A(n72499), .B(n61931), .Y(n61932) );
+  sky130_fd_sc_hd__o211ai_1 U81017 ( .A1(n68540), .A2(n73154), .B1(n61933), 
+        .C1(n61932), .Y(n61934) );
+  sky130_fd_sc_hd__a21oi_1 U81018 ( .A1(n61936), .A2(n61935), .B1(n61934), .Y(
+        n61941) );
+  sky130_fd_sc_hd__nand2_1 U81019 ( .A(n73325), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24[7]), .Y(n61940) );
+  sky130_fd_sc_hd__nand2_1 U81020 ( .A(n61937), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[8]), .Y(n61939) );
+  sky130_fd_sc_hd__nand2_1 U81021 ( .A(n73326), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26[7]), .Y(n61938) );
+  sky130_fd_sc_hd__a21oi_1 U81022 ( .A1(n61946), .A2(n61945), .B1(n61944), .Y(
+        n61951) );
+  sky130_fd_sc_hd__nand2_1 U81023 ( .A(n61949), .B(n61948), .Y(n61950) );
+  sky130_fd_sc_hd__xor2_1 U81024 ( .A(n61951), .B(n61950), .X(n61961) );
+  sky130_fd_sc_hd__xnor2_1 U81025 ( .A(n64019), .B(n61952), .Y(n61960) );
+  sky130_fd_sc_hd__nand2_1 U81026 ( .A(n38473), .B(n61953), .Y(n61958) );
+  sky130_fd_sc_hd__o21ai_1 U81027 ( .A1(n61956), .A2(n61955), .B1(n61954), .Y(
+        n61957) );
+  sky130_fd_sc_hd__xnor2_1 U81028 ( .A(n61958), .B(n61957), .Y(n61959) );
+  sky130_fd_sc_hd__a222oi_1 U81029 ( .A1(n73319), .A2(n61961), .B1(n73229), 
+        .B2(n61960), .C1(n61959), .C2(n73312), .Y(n62966) );
+  sky130_fd_sc_hd__nor3_1 U81030 ( .A(n78198), .B(n73322), .C(n62966), .Y(
+        n61962) );
+  sky130_fd_sc_hd__a21oi_1 U81031 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[8]), .A2(n78198), .B1(n61962), .Y(n61963) );
+  sky130_fd_sc_hd__nor3_1 U81032 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_MPORT_addr[2]), .C(n61965), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N35) );
+  sky130_fd_sc_hd__nor3_1 U81033 ( .A(n61966), .B(n61964), .C(n61965), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N29) );
+  sky130_fd_sc_hd__nor3_1 U81034 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_MPORT_addr[1]), .B(n61964), .C(n61965), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N31) );
+  sky130_fd_sc_hd__nor3_1 U81035 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_MPORT_addr[2]), .B(n61966), .C(n61965), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N33) );
+  sky130_fd_sc_hd__nand2_1 U81036 ( .A(n85772), .B(n36350), .Y(n61967) );
+  sky130_fd_sc_hd__nand2_1 U81037 ( .A(n49230), .B(n81213), .Y(n36113) );
+  sky130_fd_sc_hd__nor2_1 U81038 ( .A(n62848), .B(n80718), .Y(n61969) );
+  sky130_fd_sc_hd__nor2_1 U81039 ( .A(n61971), .B(n84178), .Y(n61972) );
+  sky130_fd_sc_hd__nand2_1 U81040 ( .A(n86753), .B(n61972), .Y(n76437) );
+  sky130_fd_sc_hd__nor2_1 U81041 ( .A(n84179), .B(n84178), .Y(n61973) );
+  sky130_fd_sc_hd__nand2_1 U81042 ( .A(n86753), .B(n61973), .Y(n76438) );
+  sky130_fd_sc_hd__and2_1 U81043 ( .A(n36801), .B(n37112), .X(n85935) );
+  sky130_fd_sc_hd__nand2_1 U81044 ( .A(n61978), .B(n67057), .Y(n67259) );
+  sky130_fd_sc_hd__nor2_1 U81045 ( .A(n66809), .B(n67259), .Y(n61979) );
+  sky130_fd_sc_hd__nand2_1 U81046 ( .A(n86753), .B(n61979), .Y(n73528) );
+  sky130_fd_sc_hd__nor3_1 U81047 ( .A(n61980), .B(n62849), .C(n67265), .Y(
+        n66810) );
+  sky130_fd_sc_hd__nand2_1 U81048 ( .A(n49230), .B(n66810), .Y(n73529) );
+  sky130_fd_sc_hd__nor4_1 U81049 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_io_deq_bits_mask[3]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_io_deq_bits_mask[0]), .C(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_io_deq_bits_mask[1]), .D(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_io_deq_bits_mask[2]), .Y(n61982) );
+  sky130_fd_sc_hd__nand3_1 U81050 ( .A(n62053), .B(n67743), .C(n68017), .Y(
+        n62029) );
+  sky130_fd_sc_hd__nor2_1 U81051 ( .A(n68019), .B(n62029), .Y(n62067) );
+  sky130_fd_sc_hd__and3_1 U81052 ( .A(n61990), .B(n62037), .C(n62053), .X(
+        n62032) );
+  sky130_fd_sc_hd__nand2b_1 U81053 ( .A_N(n62057), .B(n62032), .Y(n82439) );
+  sky130_fd_sc_hd__nand2_1 U81054 ( .A(n62067), .B(n62030), .Y(n68070) );
+  sky130_fd_sc_hd__nor2_1 U81055 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_26_inFlight), .B(n61983), .Y(n68068) );
+  sky130_fd_sc_hd__and3_1 U81056 ( .A(n68070), .B(n49230), .C(n68068), .X(
+        n85941) );
+  sky130_fd_sc_hd__nand2_1 U81057 ( .A(n62037), .B(n62038), .Y(n62042) );
+  sky130_fd_sc_hd__nand2b_1 U81058 ( .A_N(n62042), .B(n62057), .Y(n61984) );
+  sky130_fd_sc_hd__nor2_1 U81059 ( .A(n67743), .B(n62036), .Y(n68018) );
+  sky130_fd_sc_hd__nand3_1 U81060 ( .A(n68019), .B(n68018), .C(n68017), .Y(
+        n68006) );
+  sky130_fd_sc_hd__nand2_1 U81061 ( .A(n68022), .B(n61985), .Y(n68015) );
+  sky130_fd_sc_hd__nor2_1 U81062 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_47_inFlight), .B(n61986), .Y(n68013) );
+  sky130_fd_sc_hd__and3_1 U81063 ( .A(n68015), .B(n37037), .C(n68013), .X(
+        n85942) );
+  sky130_fd_sc_hd__nor2_1 U81064 ( .A(n61987), .B(n68017), .Y(n62048) );
+  sky130_fd_sc_hd__nand2_1 U81065 ( .A(n62048), .B(n68019), .Y(n68175) );
+  sky130_fd_sc_hd__nand2_1 U81066 ( .A(n68022), .B(n61988), .Y(n67781) );
+  sky130_fd_sc_hd__nor2_1 U81067 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_31_inFlight), .B(n61989), .Y(n67779) );
+  sky130_fd_sc_hd__and3_1 U81068 ( .A(n67781), .B(n86402), .C(n67779), .X(
+        n85943) );
+  sky130_fd_sc_hd__nor3_1 U81069 ( .A(n62037), .B(n61990), .C(n62036), .Y(
+        n62056) );
+  sky130_fd_sc_hd__nand2_1 U81070 ( .A(n62057), .B(n62056), .Y(n67999) );
+  sky130_fd_sc_hd__nand2_1 U81071 ( .A(n62067), .B(n62034), .Y(n67024) );
+  sky130_fd_sc_hd__nor2_1 U81072 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_27_inFlight), .B(n61991), .Y(n67022) );
+  sky130_fd_sc_hd__and3_1 U81073 ( .A(n67024), .B(n49230), .C(n67022), .X(
+        n85944) );
+  sky130_fd_sc_hd__nand3_1 U81074 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_mask[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_mask[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_mask[3]), .Y(n61994) );
+  sky130_fd_sc_hd__nand2_1 U81075 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_mask[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_slaveValid), .Y(n61993) );
+  sky130_fd_sc_hd__o31a_1 U81076 ( .A1(n61994), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_opcode_2_), .A3(n61993), .B1(n61992), .X(n85945) );
+  sky130_fd_sc_hd__buf_4 U81077 ( .A(n61995), .X(n86396) );
+  sky130_fd_sc_hd__nor2_2 U81078 ( .A(n83167), .B(n61999), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83) );
+  sky130_fd_sc_hd__nor2_1 U81080 ( .A(n85486), .B(n62000), .Y(n70255) );
+  sky130_fd_sc_hd__inv_2 U81081 ( .A(n62002), .Y(n63154) );
+  sky130_fd_sc_hd__mux2i_1 U81082 ( .A0(n62004), .A1(n62003), .S(n67148), .Y(
+        n79913) );
+  sky130_fd_sc_hd__nor2_1 U81083 ( .A(n36848), .B(n85291), .Y(n81035) );
+  sky130_fd_sc_hd__nor2_1 U81084 ( .A(n74033), .B(n85527), .Y(n81356) );
+  sky130_fd_sc_hd__nor2_1 U81085 ( .A(n83631), .B(n85287), .Y(n80046) );
+  sky130_fd_sc_hd__nor2_1 U81086 ( .A(n36848), .B(n85576), .Y(n81436) );
+  sky130_fd_sc_hd__nor2_1 U81087 ( .A(n83631), .B(n85286), .Y(n81191) );
+  sky130_fd_sc_hd__nor2_1 U81088 ( .A(n36848), .B(n85285), .Y(n79935) );
+  sky130_fd_sc_hd__nor2_1 U81089 ( .A(n60015), .B(n85487), .Y(n81033) );
+  sky130_fd_sc_hd__nor2_1 U81090 ( .A(n36802), .B(n85756), .Y(n79995) );
+  sky130_fd_sc_hd__and3_1 U81091 ( .A(n63475), .B(n63522), .C(n73476), .X(
+        n86025) );
+  sky130_fd_sc_hd__and3_1 U81092 ( .A(n63475), .B(n73476), .C(n62013), .X(
+        n86026) );
+  sky130_fd_sc_hd__nand2_1 U81093 ( .A(n62015), .B(n62014), .Y(n62018) );
+  sky130_fd_sc_hd__o22ai_1 U81094 ( .A1(n62023), .A2(n62018), .B1(n62017), 
+        .B2(n62016), .Y(n62021) );
+  sky130_fd_sc_hd__nand3_1 U81095 ( .A(n76388), .B(n62019), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_acknum[0]), .Y(n62020) );
+  sky130_fd_sc_hd__nand2_1 U81096 ( .A(n62021), .B(n62020), .Y(n62022) );
+  sky130_fd_sc_hd__o21a_1 U81097 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_io_full), .A2(n62858), .B1(n62024), .X(n62025) );
+  sky130_fd_sc_hd__nand3_1 U81098 ( .A(n62027), .B(n62026), .C(n62025), .Y(
+        n81123) );
+  sky130_fd_sc_hd__nand2_2 U81099 ( .A(n81123), .B(n49230), .Y(n36132) );
+  sky130_fd_sc_hd__nor2_1 U81100 ( .A(n36802), .B(n85573), .Y(n81437) );
+  sky130_fd_sc_hd__nor2_1 U81101 ( .A(n62052), .B(n62029), .Y(n62058) );
+  sky130_fd_sc_hd__nand2_1 U81102 ( .A(n62058), .B(n62030), .Y(n67696) );
+  sky130_fd_sc_hd__nor2_1 U81103 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_18_inFlight), .B(n62031), .Y(n67694) );
+  sky130_fd_sc_hd__and3_1 U81104 ( .A(n67696), .B(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .C(
+        n67694), .X(n86034) );
+  sky130_fd_sc_hd__nand2_1 U81105 ( .A(n62057), .B(n62032), .Y(n67791) );
+  sky130_fd_sc_hd__nand2_1 U81106 ( .A(n62058), .B(n62060), .Y(n67131) );
+  sky130_fd_sc_hd__nor2_1 U81107 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_17_inFlight), .B(n62033), .Y(n67129) );
+  sky130_fd_sc_hd__and3_1 U81108 ( .A(n67131), .B(n36801), .C(n67129), .X(
+        n86035) );
+  sky130_fd_sc_hd__nand2_1 U81109 ( .A(n62058), .B(n62034), .Y(n67685) );
+  sky130_fd_sc_hd__nor2_1 U81110 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_19_inFlight), .B(n62035), .Y(n67683) );
+  sky130_fd_sc_hd__and3_1 U81111 ( .A(n67685), .B(n79910), .C(n67683), .X(
+        n86036) );
+  sky130_fd_sc_hd__nor3_1 U81112 ( .A(n62038), .B(n62037), .C(n62036), .Y(
+        n62040) );
+  sky130_fd_sc_hd__nand2b_1 U81113 ( .A_N(n62057), .B(n62040), .Y(n82432) );
+  sky130_fd_sc_hd__nand2_1 U81114 ( .A(n62058), .B(n62066), .Y(n67675) );
+  sky130_fd_sc_hd__nor2_1 U81115 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_22_inFlight), .B(n62039), .Y(n67673) );
+  sky130_fd_sc_hd__and3_1 U81116 ( .A(n67675), .B(n36801), .C(n67673), .X(
+        n86037) );
+  sky130_fd_sc_hd__nand2_1 U81117 ( .A(n62057), .B(n62040), .Y(n67885) );
+  sky130_fd_sc_hd__nand2_1 U81118 ( .A(n62058), .B(n62064), .Y(n67588) );
+  sky130_fd_sc_hd__nor2_1 U81119 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_21_inFlight), .B(n62041), .Y(n67586) );
+  sky130_fd_sc_hd__and3_1 U81120 ( .A(n67588), .B(n37039), .C(n67586), .X(
+        n86038) );
+  sky130_fd_sc_hd__and3_1 U81121 ( .A(n82468), .B(n86753), .C(n54277), .X(
+        n86039) );
+  sky130_fd_sc_hd__nand3_1 U81122 ( .A(n62053), .B(n62044), .C(n62043), .Y(
+        n82429) );
+  sky130_fd_sc_hd__nand2_1 U81123 ( .A(n62067), .B(n62045), .Y(n67708) );
+  sky130_fd_sc_hd__nor2_1 U81124 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_24_inFlight), .B(n62046), .Y(n67706) );
+  sky130_fd_sc_hd__and3_1 U81125 ( .A(n67708), .B(n49230), .C(n67706), .X(
+        n86040) );
+  sky130_fd_sc_hd__nand2_1 U81126 ( .A(n62067), .B(n68022), .Y(n67703) );
+  sky130_fd_sc_hd__nor2_1 U81127 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_23_inFlight), .B(n62047), .Y(n67701) );
+  sky130_fd_sc_hd__and3_1 U81128 ( .A(n67703), .B(n36846), .C(n67701), .X(
+        n86041) );
+  sky130_fd_sc_hd__nand2b_1 U81129 ( .A_N(n68019), .B(n62048), .Y(n68274) );
+  sky130_fd_sc_hd__nand2_1 U81130 ( .A(n68022), .B(n62049), .Y(n67347) );
+  sky130_fd_sc_hd__nor2_1 U81131 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_39_inFlight), .B(n62050), .Y(n67345) );
+  sky130_fd_sc_hd__and3_1 U81132 ( .A(n67347), .B(n37040), .C(n67345), .X(
+        n86042) );
+  sky130_fd_sc_hd__nand2_1 U81133 ( .A(n62058), .B(n68022), .Y(n67690) );
+  sky130_fd_sc_hd__nor2_1 U81134 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_15_inFlight), .B(n62051), .Y(n67688) );
+  sky130_fd_sc_hd__and3_1 U81135 ( .A(n67690), .B(n36846), .C(n67688), .X(
+        n86043) );
+  sky130_fd_sc_hd__nand4_1 U81136 ( .A(n67743), .B(n62053), .C(n67742), .D(
+        n62052), .Y(n82428) );
+  sky130_fd_sc_hd__nand2_1 U81137 ( .A(n68022), .B(n62054), .Y(n67741) );
+  sky130_fd_sc_hd__nand2b_1 U81138 ( .A_N(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_7_inFlight), .B(MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_7), .Y(n67740) );
+  sky130_fd_sc_hd__and3_1 U81139 ( .A(n67741), .B(n37037), .C(n62055), .X(
+        n86044) );
+  sky130_fd_sc_hd__nand2b_1 U81140 ( .A_N(n62057), .B(n62056), .Y(n82435) );
+  sky130_fd_sc_hd__nand2_1 U81141 ( .A(n62058), .B(n62062), .Y(n67064) );
+  sky130_fd_sc_hd__nor2_1 U81142 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_20_inFlight), .B(n62059), .Y(n67062) );
+  sky130_fd_sc_hd__and3_1 U81143 ( .A(n67064), .B(n36801), .C(n67062), .X(
+        n86045) );
+  sky130_fd_sc_hd__nand2_1 U81144 ( .A(n62067), .B(n62060), .Y(n67041) );
+  sky130_fd_sc_hd__nor2_1 U81145 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_25_inFlight), .B(n62061), .Y(n67039) );
+  sky130_fd_sc_hd__and3_1 U81146 ( .A(n67041), .B(n36801), .C(n67039), .X(
+        n86046) );
+  sky130_fd_sc_hd__nand2_1 U81147 ( .A(n62067), .B(n62062), .Y(n67727) );
+  sky130_fd_sc_hd__nor2_1 U81148 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_28_inFlight), .B(n62063), .Y(n67725) );
+  sky130_fd_sc_hd__and3_1 U81149 ( .A(n67727), .B(n86753), .C(n67725), .X(
+        n86047) );
+  sky130_fd_sc_hd__nand2_1 U81150 ( .A(n62067), .B(n62064), .Y(n67716) );
+  sky130_fd_sc_hd__nor2_1 U81151 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_29_inFlight), .B(n62065), .Y(n67714) );
+  sky130_fd_sc_hd__and3_1 U81152 ( .A(n67716), .B(n36846), .C(n67714), .X(
+        n86048) );
+  sky130_fd_sc_hd__nand2_1 U81153 ( .A(n62067), .B(n62066), .Y(n68288) );
+  sky130_fd_sc_hd__nor2_1 U81154 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_30_inFlight), .B(n62068), .Y(n68286) );
+  sky130_fd_sc_hd__and3_1 U81155 ( .A(n68288), .B(n79910), .C(n68286), .X(
+        n86049) );
+  sky130_fd_sc_hd__nor2_1 U81156 ( .A(n74033), .B(n62070), .Y(n73873) );
+  sky130_fd_sc_hd__a21oi_1 U81157 ( .A1(n62073), .A2(n62072), .B1(n62071), .Y(
+        n62074) );
+  sky130_fd_sc_hd__nor2_1 U81158 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_io_full), .B(n62858), .Y(n62078) );
+  sky130_fd_sc_hd__nor2_1 U81159 ( .A(n62078), .B(n62077), .Y(n62079) );
+  sky130_fd_sc_hd__nor2_1 U81160 ( .A(n36802), .B(n85669), .Y(n81438) );
+  sky130_fd_sc_hd__nor2_1 U81161 ( .A(n62087), .B(n68184), .Y(n76264) );
+  sky130_fd_sc_hd__nor2_1 U81162 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_maybe_full), .B(n62088), .Y(n62090) );
+  sky130_fd_sc_hd__nand3b_1 U81163 ( .A_N(n62091), .B(n62090), .C(n62089), .Y(
+        n62092) );
+  sky130_fd_sc_hd__nand3_1 U81164 ( .A(n77778), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_5[0]), .C(n62092), .Y(n78933) );
+  sky130_fd_sc_hd__nand2_1 U81165 ( .A(n78933), .B(n36846), .Y(n36604) );
+  sky130_fd_sc_hd__xnor2_1 U81166 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[30]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[29]), .Y(n62093) );
+  sky130_fd_sc_hd__xor2_1 U81167 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[31]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[32]), .X(n62095) );
+  sky130_fd_sc_hd__nand2b_1 U81168 ( .A_N(n62093), .B(n62095), .Y(n62367) );
+  sky130_fd_sc_hd__nor2_1 U81169 ( .A(n62093), .B(n62095), .Y(n62365) );
+  sky130_fd_sc_hd__xnor2_1 U81170 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[30]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[31]), .Y(n62094) );
+  sky130_fd_sc_hd__nor2b_1 U81171 ( .B_N(n62093), .A(n62094), .Y(n62364) );
+  sky130_fd_sc_hd__and3_1 U81172 ( .A(n62095), .B(n62094), .C(n62093), .X(
+        n62351) );
+  sky130_fd_sc_hd__a222oi_1 U81173 ( .A1(n62365), .A2(n36800), .B1(n62364), 
+        .B2(n36800), .C1(n62351), .C2(n36800), .Y(n62096) );
+  sky130_fd_sc_hd__o21ai_1 U81174 ( .A1(n62677), .A2(n62367), .B1(n62096), .Y(
+        n62097) );
+  sky130_fd_sc_hd__xnor2_1 U81175 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[32]), .B(n62097), .Y(n62107) );
+  sky130_fd_sc_hd__nand2_1 U81176 ( .A(n36800), .B(n37026), .Y(n62132) );
+  sky130_fd_sc_hd__nand2_1 U81177 ( .A(n62134), .B(n62132), .Y(n62104) );
+  sky130_fd_sc_hd__nor2_1 U81178 ( .A(n37028), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[3]), .Y(n62141) );
+  sky130_fd_sc_hd__nor2_1 U81179 ( .A(n37028), .B(n37027), .Y(n62184) );
+  sky130_fd_sc_hd__nor2_1 U81180 ( .A(n62141), .B(n62184), .Y(n62151) );
+  sky130_fd_sc_hd__nor2_1 U81181 ( .A(n37026), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[6]), .Y(n62159) );
+  sky130_fd_sc_hd__nor2_1 U81182 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[6]), .B(n37027), .Y(n62156) );
+  sky130_fd_sc_hd__nor2_1 U81183 ( .A(n62159), .B(n62156), .Y(n62101) );
+  sky130_fd_sc_hd__nand2_1 U81184 ( .A(n62151), .B(n62101), .Y(n62103) );
+  sky130_fd_sc_hd__nand2_1 U81185 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[1]), .Y(n62145) );
+  sky130_fd_sc_hd__nand2_1 U81186 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[3]), .Y(n62111) );
+  sky130_fd_sc_hd__nand2_1 U81187 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[1]), .Y(n62119) );
+  sky130_fd_sc_hd__nand2_1 U81188 ( .A(n62111), .B(n62119), .Y(n62098) );
+  sky130_fd_sc_hd__a21oi_1 U81189 ( .A1(n38488), .A2(n62099), .B1(n62098), .Y(
+        n62123) );
+  sky130_fd_sc_hd__nand2_1 U81190 ( .A(n37027), .B(n37028), .Y(n62185) );
+  sky130_fd_sc_hd__nand2_1 U81191 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[3]), .B(n37028), .Y(n62180) );
+  sky130_fd_sc_hd__nand2_1 U81192 ( .A(n62185), .B(n62180), .Y(n62153) );
+  sky130_fd_sc_hd__nand2_1 U81193 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[6]), .B(n37026), .Y(n62160) );
+  sky130_fd_sc_hd__nand2_1 U81194 ( .A(n37027), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[6]), .Y(n62154) );
+  sky130_fd_sc_hd__nand2_1 U81195 ( .A(n62160), .B(n62154), .Y(n62100) );
+  sky130_fd_sc_hd__a21oi_1 U81196 ( .A1(n62153), .A2(n62101), .B1(n62100), .Y(
+        n62102) );
+  sky130_fd_sc_hd__o21ai_1 U81197 ( .A1(n62103), .A2(n62123), .B1(n62102), .Y(
+        n62135) );
+  sky130_fd_sc_hd__clkbuf_1 U81198 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[6]), .X(n62732) );
+  sky130_fd_sc_hd__a222oi_1 U81199 ( .A1(n62365), .A2(n36800), .B1(n62364), 
+        .B2(n37026), .C1(n62351), .C2(n62732), .Y(n62105) );
+  sky130_fd_sc_hd__xor2_1 U81201 ( .A(n62106), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[32]), .X(n62334) );
+  sky130_fd_sc_hd__nand2_1 U81202 ( .A(n62334), .B(n62107), .Y(n62108) );
+  sky130_fd_sc_hd__nand2_1 U81203 ( .A(n62109), .B(n62108), .Y(n62845) );
+  sky130_fd_sc_hd__xnor2_1 U81204 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[21]), .B(n62651), .Y(n62168) );
+  sky130_fd_sc_hd__xor2_1 U81205 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[22]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[23]), .X(n62169) );
+  sky130_fd_sc_hd__nand2b_1 U81206 ( .A_N(n62168), .B(n62169), .Y(n62373) );
+  sky130_fd_sc_hd__o21ai_1 U81207 ( .A1(n62373), .A2(n62693), .B1(n37159), .Y(
+        n62110) );
+  sky130_fd_sc_hd__xor2_1 U81208 ( .A(n62110), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[23]), .X(n62615) );
+  sky130_fd_sc_hd__buf_2 U81209 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[17]), .X(n62695) );
+  sky130_fd_sc_hd__nand2b_1 U81210 ( .A_N(n62116), .B(n62114), .Y(n62650) );
+  sky130_fd_sc_hd__nand2_1 U81211 ( .A(n38488), .B(n62111), .Y(n62113) );
+  sky130_fd_sc_hd__nand2_1 U81212 ( .A(n62119), .B(n62145), .Y(n62112) );
+  sky130_fd_sc_hd__xor2_1 U81213 ( .A(n62113), .B(n62112), .X(n62699) );
+  sky130_fd_sc_hd__clkbuf_1 U81214 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[3]), .X(n62724) );
+  sky130_fd_sc_hd__xnor2_1 U81215 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[19]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[18]), .Y(n62115) );
+  sky130_fd_sc_hd__and3_1 U81216 ( .A(n62116), .B(n62115), .C(n62114), .X(
+        n62267) );
+  sky130_fd_sc_hd__a222oi_1 U81217 ( .A1(n62649), .A2(n62724), .B1(n62617), 
+        .B2(n62697), .C1(n62267), .C2(n37032), .Y(n62117) );
+  sky130_fd_sc_hd__o21ai_1 U81218 ( .A1(n62650), .A2(n62699), .B1(n62117), .Y(
+        n62118) );
+  sky130_fd_sc_hd__xor2_1 U81219 ( .A(n62118), .B(n62651), .X(n62614) );
+  sky130_fd_sc_hd__a222oi_1 U81220 ( .A1(n62649), .A2(n62697), .B1(n62617), 
+        .B2(n37032), .C1(n62267), .C2(n62690), .Y(n62121) );
+  sky130_fd_sc_hd__xor2_1 U81222 ( .A(n62122), .B(n62651), .X(n62633) );
+  sky130_fd_sc_hd__xor2_1 U81223 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[16]), .B(n62695), .X(n62129) );
+  sky130_fd_sc_hd__nand2b_1 U81224 ( .A_N(n62128), .B(n62129), .Y(n62694) );
+  sky130_fd_sc_hd__a21oi_1 U81225 ( .A1(n62183), .A2(n62151), .B1(n62153), .Y(
+        n62126) );
+  sky130_fd_sc_hd__nand2_1 U81226 ( .A(n62124), .B(n62154), .Y(n62125) );
+  sky130_fd_sc_hd__xnor2_1 U81227 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[15]), .Y(n62127) );
+  sky130_fd_sc_hd__and3_1 U81228 ( .A(n62129), .B(n62128), .C(n62127), .X(
+        n62655) );
+  sky130_fd_sc_hd__a222oi_1 U81229 ( .A1(n62691), .A2(n62732), .B1(n62672), 
+        .B2(n37027), .C1(n62655), .C2(n37028), .Y(n62130) );
+  sky130_fd_sc_hd__o21ai_0 U81230 ( .A1(n62694), .A2(n37073), .B1(n62130), .Y(
+        n62131) );
+  sky130_fd_sc_hd__xor2_1 U81231 ( .A(n62131), .B(n62695), .X(n62628) );
+  sky130_fd_sc_hd__xor2_1 U81232 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[13]), .B(n62729), .X(n62138) );
+  sky130_fd_sc_hd__nand2b_1 U81233 ( .A_N(n62137), .B(n62138), .Y(n62728) );
+  sky130_fd_sc_hd__a21oi_1 U81234 ( .A1(n62135), .A2(n62134), .B1(n62133), .Y(
+        n62713) );
+  sky130_fd_sc_hd__xnor2_1 U81235 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[13]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[12]), .Y(n62136) );
+  sky130_fd_sc_hd__and3_1 U81236 ( .A(n62138), .B(n62137), .C(n62136), .X(
+        n62723) );
+  sky130_fd_sc_hd__a222oi_1 U81237 ( .A1(n62726), .A2(n36800), .B1(n62725), 
+        .B2(n36800), .C1(n62723), .C2(n37026), .Y(n62139) );
+  sky130_fd_sc_hd__o21ai_0 U81238 ( .A1(n62728), .A2(n62713), .B1(n62139), .Y(
+        n62140) );
+  sky130_fd_sc_hd__xor2_1 U81239 ( .A(n62140), .B(n62729), .X(n62627) );
+  sky130_fd_sc_hd__nand2_1 U81240 ( .A(n62182), .B(n62180), .Y(n62142) );
+  sky130_fd_sc_hd__a222oi_1 U81241 ( .A1(n62649), .A2(n37028), .B1(n62617), 
+        .B2(n62724), .C1(n62267), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[2]), .Y(n62143) );
+  sky130_fd_sc_hd__o21ai_0 U81242 ( .A1(n62650), .A2(n38368), .B1(n62143), .Y(
+        n62144) );
+  sky130_fd_sc_hd__xor2_1 U81243 ( .A(n62144), .B(n62651), .X(n62174) );
+  sky130_fd_sc_hd__nand2_1 U81244 ( .A(n37119), .B(n62145), .Y(n62674) );
+  sky130_fd_sc_hd__xnor2_1 U81245 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[22]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[21]), .Y(n62167) );
+  sky130_fd_sc_hd__a22oi_1 U81246 ( .A1(n62371), .A2(n37032), .B1(n62370), 
+        .B2(n62690), .Y(n62146) );
+  sky130_fd_sc_hd__o21ai_1 U81247 ( .A1(n62373), .A2(n62674), .B1(n62146), .Y(
+        n62147) );
+  sky130_fd_sc_hd__xor2_1 U81248 ( .A(n62147), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[23]), .X(n62177) );
+  sky130_fd_sc_hd__clkbuf_1 U81249 ( .A(n62148), .X(n62677) );
+  sky130_fd_sc_hd__a222oi_1 U81250 ( .A1(n62726), .A2(n36800), .B1(n62725), 
+        .B2(n36800), .C1(n62723), .C2(n36800), .Y(n62149) );
+  sky130_fd_sc_hd__o21ai_1 U81251 ( .A1(n62677), .A2(n62728), .B1(n62149), .Y(
+        n62150) );
+  sky130_fd_sc_hd__xnor2_1 U81252 ( .A(n62729), .B(n62150), .Y(n62176) );
+  sky130_fd_sc_hd__nor2_1 U81253 ( .A(n62156), .B(n62152), .Y(n62158) );
+  sky130_fd_sc_hd__o21ai_0 U81254 ( .A1(n62156), .A2(n62155), .B1(n62154), .Y(
+        n62157) );
+  sky130_fd_sc_hd__a21oi_1 U81255 ( .A1(n62183), .A2(n62158), .B1(n62157), .Y(
+        n62163) );
+  sky130_fd_sc_hd__nand2_1 U81256 ( .A(n62161), .B(n62160), .Y(n62162) );
+  sky130_fd_sc_hd__a222oi_1 U81257 ( .A1(n62691), .A2(n37026), .B1(n62672), 
+        .B2(n62732), .C1(n62655), .C2(n37027), .Y(n62164) );
+  sky130_fd_sc_hd__o21ai_0 U81258 ( .A1(n62694), .A2(n38486), .B1(n62164), .Y(
+        n62165) );
+  sky130_fd_sc_hd__xor2_1 U81259 ( .A(n62165), .B(n62695), .X(n62172) );
+  sky130_fd_sc_hd__fa_1 U81260 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[54]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[52]), .CIN(n62166), .COUT(n62202), .SUM(n62175) );
+  sky130_fd_sc_hd__and3_1 U81261 ( .A(n62169), .B(n62168), .C(n62167), .X(
+        n62369) );
+  sky130_fd_sc_hd__a222oi_1 U81262 ( .A1(n62697), .A2(n62371), .B1(n62370), 
+        .B2(n37032), .C1(n62369), .C2(n62690), .Y(n62170) );
+  sky130_fd_sc_hd__xor2_1 U81263 ( .A(n62171), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[23]), .X(n62201) );
+  sky130_fd_sc_hd__fa_1 U81264 ( .A(n62174), .B(n62173), .CIN(n62172), .COUT(
+        n62192), .SUM(n62630) );
+  sky130_fd_sc_hd__fa_1 U81265 ( .A(n62177), .B(n62176), .CIN(n62175), .COUT(
+        n62200), .SUM(n62173) );
+  sky130_fd_sc_hd__a222oi_1 U81266 ( .A1(n62691), .A2(n36800), .B1(n62672), 
+        .B2(n37026), .C1(n62655), .C2(n62732), .Y(n62178) );
+  sky130_fd_sc_hd__o21ai_0 U81267 ( .A1(n62694), .A2(n37074), .B1(n62178), .Y(
+        n62179) );
+  sky130_fd_sc_hd__xor2_1 U81268 ( .A(n62179), .B(n62695), .X(n62199) );
+  sky130_fd_sc_hd__a21oi_1 U81269 ( .A1(n62183), .A2(n62182), .B1(n62181), .Y(
+        n62188) );
+  sky130_fd_sc_hd__nand2_1 U81270 ( .A(n62186), .B(n62185), .Y(n62187) );
+  sky130_fd_sc_hd__a222oi_1 U81271 ( .A1(n62649), .A2(n37027), .B1(n62617), 
+        .B2(n37028), .C1(n62267), .C2(n62724), .Y(n62189) );
+  sky130_fd_sc_hd__o21ai_0 U81272 ( .A1(n62650), .A2(n36769), .B1(n62189), .Y(
+        n62190) );
+  sky130_fd_sc_hd__xor2_1 U81273 ( .A(n62190), .B(n62651), .X(n62198) );
+  sky130_fd_sc_hd__nor2_1 U81274 ( .A(n62791), .B(n62792), .Y(n65708) );
+  sky130_fd_sc_hd__fa_1 U81275 ( .A(n62193), .B(n62192), .CIN(n62191), .COUT(
+        n62793), .SUM(n62792) );
+  sky130_fd_sc_hd__xor2_1 U81276 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[25]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[26]), .X(n62225) );
+  sky130_fd_sc_hd__nand2b_1 U81277 ( .A_N(n62224), .B(n62225), .Y(n62403) );
+  sky130_fd_sc_hd__nand2_1 U81278 ( .A(n62401), .B(n62690), .Y(n62194) );
+  sky130_fd_sc_hd__o21ai_1 U81279 ( .A1(n62403), .A2(n62693), .B1(n62194), .Y(
+        n62195) );
+  sky130_fd_sc_hd__xor2_1 U81280 ( .A(n62195), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[26]), .X(n62208) );
+  sky130_fd_sc_hd__a222oi_1 U81281 ( .A1(n62371), .A2(n62724), .B1(n62370), 
+        .B2(n62697), .C1(n62369), .C2(n37032), .Y(n62196) );
+  sky130_fd_sc_hd__xor2_1 U81283 ( .A(n62197), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[23]), .X(n62207) );
+  sky130_fd_sc_hd__fa_1 U81284 ( .A(n62200), .B(n62199), .CIN(n62198), .COUT(
+        n62239), .SUM(n62191) );
+  sky130_fd_sc_hd__fa_1 U81285 ( .A(n62219), .B(n62202), .CIN(n62201), .COUT(
+        n62212), .SUM(n62193) );
+  sky130_fd_sc_hd__a222oi_1 U81286 ( .A1(n62649), .A2(n62732), .B1(n62617), 
+        .B2(n37027), .C1(n62267), .C2(n37028), .Y(n62203) );
+  sky130_fd_sc_hd__o21ai_0 U81287 ( .A1(n62650), .A2(n37073), .B1(n62203), .Y(
+        n62204) );
+  sky130_fd_sc_hd__xor2_1 U81288 ( .A(n62204), .B(n62651), .X(n62211) );
+  sky130_fd_sc_hd__a222oi_1 U81289 ( .A1(n62691), .A2(n36800), .B1(n62672), 
+        .B2(n36800), .C1(n62655), .C2(n37026), .Y(n62205) );
+  sky130_fd_sc_hd__o21ai_0 U81290 ( .A1(n62694), .A2(n62713), .B1(n62205), .Y(
+        n62206) );
+  sky130_fd_sc_hd__xor2_1 U81291 ( .A(n62206), .B(n62695), .X(n62210) );
+  sky130_fd_sc_hd__nor2_1 U81292 ( .A(n62793), .B(n62794), .Y(n65704) );
+  sky130_fd_sc_hd__nor2_1 U81293 ( .A(n65708), .B(n65704), .Y(n65735) );
+  sky130_fd_sc_hd__fa_1 U81294 ( .A(n62209), .B(n62208), .CIN(n62207), .COUT(
+        n62243), .SUM(n62240) );
+  sky130_fd_sc_hd__fa_1 U81295 ( .A(n62211), .B(n62212), .CIN(n62210), .COUT(
+        n62242), .SUM(n62238) );
+  sky130_fd_sc_hd__a222oi_1 U81296 ( .A1(n62371), .A2(n37028), .B1(n62370), 
+        .B2(n62724), .C1(n62369), .C2(n62697), .Y(n62213) );
+  sky130_fd_sc_hd__o21ai_0 U81297 ( .A1(n62373), .A2(n38368), .B1(n62213), .Y(
+        n62214) );
+  sky130_fd_sc_hd__xor2_1 U81298 ( .A(n62214), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[23]), .X(n62230) );
+  sky130_fd_sc_hd__xnor2_1 U81299 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[25]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[24]), .Y(n62223) );
+  sky130_fd_sc_hd__a22oi_1 U81300 ( .A1(n62401), .A2(n37032), .B1(n62400), 
+        .B2(n62690), .Y(n62215) );
+  sky130_fd_sc_hd__xor2_1 U81302 ( .A(n62216), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[26]), .X(n62233) );
+  sky130_fd_sc_hd__a222oi_1 U81303 ( .A1(n62691), .A2(n36800), .B1(n62672), 
+        .B2(n36800), .C1(n62655), .C2(n36800), .Y(n62217) );
+  sky130_fd_sc_hd__o21ai_1 U81304 ( .A1(n62677), .A2(n62694), .B1(n62217), .Y(
+        n62218) );
+  sky130_fd_sc_hd__xnor2_1 U81305 ( .A(n62695), .B(n62218), .Y(n62232) );
+  sky130_fd_sc_hd__fa_1 U81306 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[56]), .B(n62219), .CIN(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[26]), .COUT(n62222), .SUM(n62209) );
+  sky130_fd_sc_hd__a222oi_1 U81307 ( .A1(n62649), .A2(n37026), .B1(n62617), 
+        .B2(n62732), .C1(n62267), .C2(n37027), .Y(n62220) );
+  sky130_fd_sc_hd__o21ai_0 U81308 ( .A1(n62650), .A2(n38486), .B1(n62220), .Y(
+        n62221) );
+  sky130_fd_sc_hd__xor2_1 U81309 ( .A(n62221), .B(n62651), .X(n62228) );
+  sky130_fd_sc_hd__fa_1 U81310 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[57]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[55]), .CIN(n62222), .COUT(n62252), .SUM(n62231) );
+  sky130_fd_sc_hd__and3_1 U81311 ( .A(n62225), .B(n62224), .C(n62223), .X(
+        n62399) );
+  sky130_fd_sc_hd__a222oi_1 U81312 ( .A1(n62697), .A2(n62401), .B1(n62400), 
+        .B2(n37032), .C1(n62399), .C2(n62690), .Y(n62226) );
+  sky130_fd_sc_hd__o21ai_1 U81313 ( .A1(n62403), .A2(n62657), .B1(n62226), .Y(
+        n62227) );
+  sky130_fd_sc_hd__xor2_1 U81314 ( .A(n62227), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[26]), .X(n62251) );
+  sky130_fd_sc_hd__fa_1 U81315 ( .A(n62230), .B(n62229), .CIN(n62228), .COUT(
+        n62274), .SUM(n62241) );
+  sky130_fd_sc_hd__fa_1 U81316 ( .A(n62233), .B(n62232), .CIN(n62231), .COUT(
+        n62250), .SUM(n62229) );
+  sky130_fd_sc_hd__a222oi_1 U81317 ( .A1(n62649), .A2(n36800), .B1(n62617), 
+        .B2(n37026), .C1(n62267), .C2(n62732), .Y(n62234) );
+  sky130_fd_sc_hd__o21ai_0 U81318 ( .A1(n62650), .A2(n37074), .B1(n62234), .Y(
+        n62235) );
+  sky130_fd_sc_hd__xor2_1 U81319 ( .A(n62235), .B(n62651), .X(n62249) );
+  sky130_fd_sc_hd__a222oi_1 U81320 ( .A1(n37027), .A2(n62371), .B1(n62370), 
+        .B2(n37028), .C1(n62369), .C2(n62724), .Y(n62236) );
+  sky130_fd_sc_hd__o21ai_0 U81321 ( .A1(n62373), .A2(n36769), .B1(n62236), .Y(
+        n62237) );
+  sky130_fd_sc_hd__xor2_1 U81322 ( .A(n62237), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[23]), .X(n62248) );
+  sky130_fd_sc_hd__nor2_1 U81323 ( .A(n62797), .B(n62798), .Y(n65732) );
+  sky130_fd_sc_hd__fa_1 U81324 ( .A(n62240), .B(n62239), .CIN(n62238), .COUT(
+        n62795), .SUM(n62794) );
+  sky130_fd_sc_hd__fa_1 U81325 ( .A(n62243), .B(n62242), .CIN(n62241), .COUT(
+        n62797), .SUM(n62796) );
+  sky130_fd_sc_hd__nor2_1 U81326 ( .A(n62795), .B(n62796), .Y(n65718) );
+  sky130_fd_sc_hd__nor2_1 U81327 ( .A(n65732), .B(n65718), .Y(n62800) );
+  sky130_fd_sc_hd__nand2_1 U81328 ( .A(n65735), .B(n62800), .Y(n65760) );
+  sky130_fd_sc_hd__xor2_1 U81329 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[28]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[29]), .X(n62285) );
+  sky130_fd_sc_hd__nand2b_1 U81330 ( .A_N(n62284), .B(n62285), .Y(n62391) );
+  sky130_fd_sc_hd__nand2_1 U81331 ( .A(n62389), .B(n62690), .Y(n62244) );
+  sky130_fd_sc_hd__o21ai_1 U81332 ( .A1(n62391), .A2(n62693), .B1(n62244), .Y(
+        n62245) );
+  sky130_fd_sc_hd__xor2_1 U81333 ( .A(n62245), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[29]), .X(n62258) );
+  sky130_fd_sc_hd__a222oi_1 U81334 ( .A1(n62401), .A2(n62724), .B1(n62400), 
+        .B2(n62697), .C1(n62399), .C2(n37032), .Y(n62246) );
+  sky130_fd_sc_hd__xor2_1 U81336 ( .A(n62247), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[26]), .X(n62257) );
+  sky130_fd_sc_hd__fa_1 U81337 ( .A(n62250), .B(n62249), .CIN(n62248), .COUT(
+        n62277), .SUM(n62273) );
+  sky130_fd_sc_hd__fa_1 U81338 ( .A(n62270), .B(n62252), .CIN(n62251), .COUT(
+        n62262), .SUM(n62275) );
+  sky130_fd_sc_hd__a222oi_1 U81339 ( .A1(n62371), .A2(n62732), .B1(n62370), 
+        .B2(n37027), .C1(n62369), .C2(n37028), .Y(n62253) );
+  sky130_fd_sc_hd__o21ai_0 U81340 ( .A1(n62373), .A2(n37073), .B1(n62253), .Y(
+        n62254) );
+  sky130_fd_sc_hd__xor2_1 U81341 ( .A(n62254), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[23]), .X(n62261) );
+  sky130_fd_sc_hd__a222oi_1 U81342 ( .A1(n62649), .A2(n36800), .B1(n62617), 
+        .B2(n36800), .C1(n62267), .C2(n37026), .Y(n62255) );
+  sky130_fd_sc_hd__o21ai_0 U81343 ( .A1(n62650), .A2(n62713), .B1(n62255), .Y(
+        n62256) );
+  sky130_fd_sc_hd__xor2_1 U81344 ( .A(n62256), .B(n62651), .X(n62260) );
+  sky130_fd_sc_hd__fa_1 U81345 ( .A(n62259), .B(n62258), .CIN(n62257), .COUT(
+        n62281), .SUM(n62278) );
+  sky130_fd_sc_hd__fa_1 U81346 ( .A(n62262), .B(n62261), .CIN(n62260), .COUT(
+        n62280), .SUM(n62276) );
+  sky130_fd_sc_hd__a222oi_1 U81347 ( .A1(n62401), .A2(n37028), .B1(n62400), 
+        .B2(n62724), .C1(n62399), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[2]), .Y(n62263) );
+  sky130_fd_sc_hd__o21ai_0 U81348 ( .A1(n62403), .A2(n38368), .B1(n62263), .Y(
+        n62264) );
+  sky130_fd_sc_hd__xor2_1 U81349 ( .A(n62264), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[26]), .X(n62290) );
+  sky130_fd_sc_hd__xnor2_1 U81350 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[28]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[27]), .Y(n62283) );
+  sky130_fd_sc_hd__a22oi_1 U81351 ( .A1(n62389), .A2(n37032), .B1(n62388), 
+        .B2(n62690), .Y(n62265) );
+  sky130_fd_sc_hd__o21ai_1 U81352 ( .A1(n62391), .A2(n62674), .B1(n62265), .Y(
+        n62266) );
+  sky130_fd_sc_hd__xor2_1 U81353 ( .A(n62266), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[29]), .X(n62293) );
+  sky130_fd_sc_hd__a222oi_1 U81354 ( .A1(n62649), .A2(n36800), .B1(n62617), 
+        .B2(n36800), .C1(n62267), .C2(n36800), .Y(n62268) );
+  sky130_fd_sc_hd__xnor2_1 U81356 ( .A(n62651), .B(n62269), .Y(n62292) );
+  sky130_fd_sc_hd__fa_1 U81357 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[59]), .B(n62270), .CIN(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[29]), .COUT(n62282), .SUM(n62259) );
+  sky130_fd_sc_hd__a222oi_1 U81358 ( .A1(n62371), .A2(n37026), .B1(n62370), 
+        .B2(n62732), .C1(n62369), .C2(n37027), .Y(n62271) );
+  sky130_fd_sc_hd__o21ai_0 U81359 ( .A1(n62373), .A2(n38486), .B1(n62271), .Y(
+        n62272) );
+  sky130_fd_sc_hd__xor2_1 U81360 ( .A(n62272), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[23]), .X(n62288) );
+  sky130_fd_sc_hd__fa_1 U81361 ( .A(n62275), .B(n62274), .CIN(n62273), .COUT(
+        n62801), .SUM(n62798) );
+  sky130_fd_sc_hd__fa_1 U81362 ( .A(n62281), .B(n62280), .CIN(n62279), .COUT(
+        n62805), .SUM(n62804) );
+  sky130_fd_sc_hd__fa_1 U81363 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[60]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[58]), .CIN(n62282), .COUT(n62309), .SUM(n62291) );
+  sky130_fd_sc_hd__and3_1 U81364 ( .A(n62285), .B(n62284), .C(n62283), .X(
+        n62387) );
+  sky130_fd_sc_hd__a222oi_1 U81365 ( .A1(n62697), .A2(n62389), .B1(n62388), 
+        .B2(n37032), .C1(n62387), .C2(n62690), .Y(n62286) );
+  sky130_fd_sc_hd__o21ai_1 U81366 ( .A1(n62391), .A2(n62657), .B1(n62286), .Y(
+        n62287) );
+  sky130_fd_sc_hd__xor2_1 U81367 ( .A(n62287), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[29]), .X(n62308) );
+  sky130_fd_sc_hd__fa_1 U81368 ( .A(n62290), .B(n62289), .CIN(n62288), .COUT(
+        n62299), .SUM(n62279) );
+  sky130_fd_sc_hd__fa_1 U81369 ( .A(n62293), .B(n62292), .CIN(n62291), .COUT(
+        n62307), .SUM(n62289) );
+  sky130_fd_sc_hd__a222oi_1 U81370 ( .A1(n36800), .A2(n62371), .B1(n62370), 
+        .B2(n37026), .C1(n62369), .C2(n62732), .Y(n62294) );
+  sky130_fd_sc_hd__o21ai_0 U81371 ( .A1(n62373), .A2(n37074), .B1(n62294), .Y(
+        n62295) );
+  sky130_fd_sc_hd__xor2_1 U81372 ( .A(n62295), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[23]), .X(n62306) );
+  sky130_fd_sc_hd__a222oi_1 U81373 ( .A1(n37027), .A2(n62401), .B1(n62400), 
+        .B2(n37028), .C1(n62399), .C2(n62724), .Y(n62296) );
+  sky130_fd_sc_hd__o21ai_1 U81374 ( .A1(n62403), .A2(n36769), .B1(n62296), .Y(
+        n62297) );
+  sky130_fd_sc_hd__xor2_1 U81375 ( .A(n62297), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[26]), .X(n62305) );
+  sky130_fd_sc_hd__nor2_1 U81376 ( .A(n62805), .B(n62806), .Y(n65795) );
+  sky130_fd_sc_hd__fa_1 U81377 ( .A(n62300), .B(n62299), .CIN(n62298), .COUT(
+        n62807), .SUM(n62806) );
+  sky130_fd_sc_hd__nand2_1 U81378 ( .A(n62365), .B(n62690), .Y(n62301) );
+  sky130_fd_sc_hd__o21ai_1 U81379 ( .A1(n62367), .A2(n62693), .B1(n62301), .Y(
+        n62302) );
+  sky130_fd_sc_hd__xor2_1 U81380 ( .A(n62302), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[32]), .X(n62355) );
+  sky130_fd_sc_hd__a222oi_1 U81381 ( .A1(n62389), .A2(n62724), .B1(n62388), 
+        .B2(n62697), .C1(n62387), .C2(n37032), .Y(n62303) );
+  sky130_fd_sc_hd__o21ai_1 U81382 ( .A1(n62391), .A2(n62699), .B1(n62303), .Y(
+        n62304) );
+  sky130_fd_sc_hd__xor2_1 U81383 ( .A(n62304), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[29]), .X(n62354) );
+  sky130_fd_sc_hd__fa_1 U81384 ( .A(n62307), .B(n62306), .CIN(n62305), .COUT(
+        n62394), .SUM(n62298) );
+  sky130_fd_sc_hd__fa_1 U81385 ( .A(n62346), .B(n62309), .CIN(n62308), .COUT(
+        n62359), .SUM(n62300) );
+  sky130_fd_sc_hd__a222oi_1 U81386 ( .A1(n62401), .A2(n62732), .B1(n62400), 
+        .B2(n37027), .C1(n62399), .C2(n37028), .Y(n62310) );
+  sky130_fd_sc_hd__o21ai_0 U81387 ( .A1(n62403), .A2(n37073), .B1(n62310), .Y(
+        n62311) );
+  sky130_fd_sc_hd__xor2_1 U81388 ( .A(n62311), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[26]), .X(n62358) );
+  sky130_fd_sc_hd__a222oi_1 U81389 ( .A1(n36800), .A2(n62371), .B1(n62370), 
+        .B2(n36800), .C1(n62369), .C2(n37026), .Y(n62312) );
+  sky130_fd_sc_hd__o21ai_0 U81390 ( .A1(n62373), .A2(n62713), .B1(n62312), .Y(
+        n62313) );
+  sky130_fd_sc_hd__xor2_1 U81391 ( .A(n62313), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[23]), .X(n62357) );
+  sky130_fd_sc_hd__nor2_1 U81392 ( .A(n62807), .B(n62808), .Y(n65786) );
+  sky130_fd_sc_hd__nor2_1 U81393 ( .A(n65795), .B(n65786), .Y(n62810) );
+  sky130_fd_sc_hd__nand2_1 U81394 ( .A(n65789), .B(n62810), .Y(n62812) );
+  sky130_fd_sc_hd__a222oi_1 U81395 ( .A1(n62365), .A2(n62732), .B1(n62364), 
+        .B2(n37027), .C1(n62351), .C2(n37028), .Y(n62314) );
+  sky130_fd_sc_hd__o21ai_1 U81396 ( .A1(n62367), .A2(n37073), .B1(n62314), .Y(
+        n62315) );
+  sky130_fd_sc_hd__xor2_1 U81397 ( .A(n62315), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[32]), .X(n62329) );
+  sky130_fd_sc_hd__a222oi_1 U81398 ( .A1(n36800), .A2(n62389), .B1(n62388), 
+        .B2(n36800), .C1(n62387), .C2(n37026), .Y(n62316) );
+  sky130_fd_sc_hd__o21ai_1 U81399 ( .A1(n62391), .A2(n62713), .B1(n62316), .Y(
+        n62317) );
+  sky130_fd_sc_hd__xor2_1 U81400 ( .A(n62317), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[29]), .X(n62328) );
+  sky130_fd_sc_hd__a222oi_1 U81401 ( .A1(n36800), .A2(n62389), .B1(n62388), 
+        .B2(n37026), .C1(n62387), .C2(n62732), .Y(n62318) );
+  sky130_fd_sc_hd__xor2_1 U81403 ( .A(n62319), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[29]), .X(n62336) );
+  sky130_fd_sc_hd__a222oi_1 U81404 ( .A1(n62365), .A2(n37027), .B1(n62364), 
+        .B2(n37028), .C1(n62351), .C2(n62724), .Y(n62320) );
+  sky130_fd_sc_hd__o21ai_1 U81405 ( .A1(n62367), .A2(n36769), .B1(n62320), .Y(
+        n62321) );
+  sky130_fd_sc_hd__xor2_1 U81406 ( .A(n62321), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[32]), .X(n62340) );
+  sky130_fd_sc_hd__a222oi_1 U81407 ( .A1(n36800), .A2(n62401), .B1(n62400), 
+        .B2(n36800), .C1(n62399), .C2(n36800), .Y(n62322) );
+  sky130_fd_sc_hd__o21ai_1 U81408 ( .A1(n62677), .A2(n62403), .B1(n62322), .Y(
+        n62323) );
+  sky130_fd_sc_hd__xnor2_1 U81409 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[26]), .B(n62323), .Y(n62344) );
+  sky130_fd_sc_hd__a222oi_1 U81410 ( .A1(n62365), .A2(n62724), .B1(n62364), 
+        .B2(n62697), .C1(n62351), .C2(n37032), .Y(n62324) );
+  sky130_fd_sc_hd__o21ai_1 U81411 ( .A1(n62367), .A2(n62699), .B1(n62324), .Y(
+        n62325) );
+  sky130_fd_sc_hd__xor2_1 U81412 ( .A(n62325), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[32]), .X(n62345) );
+  sky130_fd_sc_hd__a222oi_1 U81413 ( .A1(n62365), .A2(n37028), .B1(n62364), 
+        .B2(n62724), .C1(n62351), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[2]), .Y(n62326) );
+  sky130_fd_sc_hd__xor2_1 U81415 ( .A(n62327), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[32]), .X(n62343) );
+  sky130_fd_sc_hd__nor2_1 U81416 ( .A(n62825), .B(n62826), .Y(n65887) );
+  sky130_fd_sc_hd__fa_1 U81417 ( .A(n62329), .B(n62328), .CIN(n62339), .COUT(
+        n62827), .SUM(n62825) );
+  sky130_fd_sc_hd__a222oi_1 U81418 ( .A1(n36800), .A2(n62389), .B1(n62388), 
+        .B2(n36800), .C1(n62387), .C2(n36800), .Y(n62330) );
+  sky130_fd_sc_hd__o21ai_1 U81419 ( .A1(n62677), .A2(n62391), .B1(n62330), .Y(
+        n62331) );
+  sky130_fd_sc_hd__xnor2_1 U81420 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[29]), .B(n62331), .Y(n62337) );
+  sky130_fd_sc_hd__a222oi_1 U81421 ( .A1(n62365), .A2(n37026), .B1(n62364), 
+        .B2(n62732), .C1(n62351), .C2(n37027), .Y(n62332) );
+  sky130_fd_sc_hd__o21ai_1 U81422 ( .A1(n62367), .A2(n38486), .B1(n62332), .Y(
+        n62333) );
+  sky130_fd_sc_hd__xor2_1 U81423 ( .A(n62333), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[32]), .X(n62335) );
+  sky130_fd_sc_hd__fa_1 U81424 ( .A(n62337), .B(n62336), .CIN(n62335), .COUT(
+        n62829), .SUM(n62828) );
+  sky130_fd_sc_hd__nand2_1 U81425 ( .A(n69731), .B(n69726), .Y(n62832) );
+  sky130_fd_sc_hd__fa_1 U81426 ( .A(n62340), .B(n62339), .CIN(n62338), .COUT(
+        n62826), .SUM(n62823) );
+  sky130_fd_sc_hd__a222oi_1 U81427 ( .A1(n62389), .A2(n37026), .B1(n62388), 
+        .B2(n62732), .C1(n62387), .C2(n37027), .Y(n62341) );
+  sky130_fd_sc_hd__o21ai_1 U81428 ( .A1(n62391), .A2(n38486), .B1(n62341), .Y(
+        n62342) );
+  sky130_fd_sc_hd__xor2_1 U81429 ( .A(n62342), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[29]), .X(n62413) );
+  sky130_fd_sc_hd__fa_1 U81430 ( .A(n62344), .B(n62345), .CIN(n62343), .COUT(
+        n62338), .SUM(n62412) );
+  sky130_fd_sc_hd__fa_1 U81431 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[62]), .B(n62346), .CIN(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[32]), .COUT(n62375), .SUM(n62356) );
+  sky130_fd_sc_hd__a222oi_1 U81432 ( .A1(n62365), .A2(n62697), .B1(n62364), 
+        .B2(n37032), .C1(n62351), .C2(n62690), .Y(n62347) );
+  sky130_fd_sc_hd__o21ai_0 U81433 ( .A1(n62367), .A2(n62657), .B1(n62347), .Y(
+        n62348) );
+  sky130_fd_sc_hd__xor2_1 U81434 ( .A(n62348), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[32]), .X(n62376) );
+  sky130_fd_sc_hd__a222oi_1 U81435 ( .A1(n62389), .A2(n62732), .B1(n62388), 
+        .B2(n37027), .C1(n62387), .C2(n37028), .Y(n62349) );
+  sky130_fd_sc_hd__o21ai_1 U81436 ( .A1(n62391), .A2(n37073), .B1(n62349), .Y(
+        n62350) );
+  sky130_fd_sc_hd__xor2_1 U81437 ( .A(n62350), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[29]), .X(n62408) );
+  sky130_fd_sc_hd__nor2_1 U81438 ( .A(n62823), .B(n62824), .Y(n65884) );
+  sky130_fd_sc_hd__nor2_1 U81439 ( .A(n62834), .B(n65884), .Y(n69715) );
+  sky130_fd_sc_hd__a222oi_1 U81440 ( .A1(n62365), .A2(n36800), .B1(n62364), 
+        .B2(n36800), .C1(n62351), .C2(n37026), .Y(n62352) );
+  sky130_fd_sc_hd__xor2_1 U81442 ( .A(n62353), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[32]), .X(n62835) );
+  sky130_fd_sc_hd__nand2_1 U81443 ( .A(n69715), .B(n69714), .Y(n62839) );
+  sky130_fd_sc_hd__fa_1 U81444 ( .A(n62356), .B(n62355), .CIN(n62354), .COUT(
+        n62398), .SUM(n62395) );
+  sky130_fd_sc_hd__fa_1 U81445 ( .A(n62359), .B(n62358), .CIN(n62357), .COUT(
+        n62397), .SUM(n62393) );
+  sky130_fd_sc_hd__a222oi_1 U81446 ( .A1(n62389), .A2(n37028), .B1(n62388), 
+        .B2(n62724), .C1(n62387), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[2]), .Y(n62360) );
+  sky130_fd_sc_hd__o21ai_1 U81447 ( .A1(n62391), .A2(n38368), .B1(n62360), .Y(
+        n62361) );
+  sky130_fd_sc_hd__xor2_1 U81448 ( .A(n62361), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[29]), .X(n62381) );
+  sky130_fd_sc_hd__a222oi_1 U81449 ( .A1(n62401), .A2(n37026), .B1(n62400), 
+        .B2(n62732), .C1(n62399), .C2(n37027), .Y(n62362) );
+  sky130_fd_sc_hd__o21ai_0 U81450 ( .A1(n62403), .A2(n38486), .B1(n62362), .Y(
+        n62363) );
+  sky130_fd_sc_hd__xor2_1 U81451 ( .A(n62363), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[26]), .X(n62380) );
+  sky130_fd_sc_hd__a22oi_1 U81452 ( .A1(n62365), .A2(n37032), .B1(n62364), 
+        .B2(n62690), .Y(n62366) );
+  sky130_fd_sc_hd__o21ai_0 U81453 ( .A1(n62367), .A2(n62674), .B1(n62366), .Y(
+        n62368) );
+  sky130_fd_sc_hd__xor2_1 U81454 ( .A(n62368), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[32]), .X(n62384) );
+  sky130_fd_sc_hd__a222oi_1 U81455 ( .A1(n36800), .A2(n62371), .B1(n62370), 
+        .B2(n36800), .C1(n62369), .C2(n36800), .Y(n62372) );
+  sky130_fd_sc_hd__o21ai_1 U81456 ( .A1(n62677), .A2(n62373), .B1(n62372), .Y(
+        n62374) );
+  sky130_fd_sc_hd__xnor2_1 U81457 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[23]), .B(n62374), .Y(n62383) );
+  sky130_fd_sc_hd__fa_1 U81458 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[63]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[61]), .CIN(n62375), .COUT(n62377), .SUM(n62382) );
+  sky130_fd_sc_hd__fa_1 U81459 ( .A(n62378), .B(n62377), .CIN(n62376), .COUT(
+        n62409), .SUM(n62416) );
+  sky130_fd_sc_hd__fa_1 U81460 ( .A(n62381), .B(n62380), .CIN(n62379), .COUT(
+        n62415), .SUM(n62396) );
+  sky130_fd_sc_hd__fa_1 U81461 ( .A(n62384), .B(n62383), .CIN(n62382), .COUT(
+        n62407), .SUM(n62379) );
+  sky130_fd_sc_hd__a222oi_1 U81462 ( .A1(n36800), .A2(n62401), .B1(n62400), 
+        .B2(n37026), .C1(n62399), .C2(n62732), .Y(n62385) );
+  sky130_fd_sc_hd__o21ai_0 U81463 ( .A1(n62403), .A2(n37074), .B1(n62385), .Y(
+        n62386) );
+  sky130_fd_sc_hd__xor2_1 U81464 ( .A(n62386), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[26]), .X(n62406) );
+  sky130_fd_sc_hd__a222oi_1 U81465 ( .A1(n37027), .A2(n62389), .B1(n62388), 
+        .B2(n37028), .C1(n62387), .C2(n62724), .Y(n62390) );
+  sky130_fd_sc_hd__xor2_1 U81467 ( .A(n62392), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[29]), .X(n62405) );
+  sky130_fd_sc_hd__nor2_1 U81468 ( .A(n62815), .B(n62816), .Y(n65821) );
+  sky130_fd_sc_hd__fa_1 U81469 ( .A(n62395), .B(n62394), .CIN(n62393), .COUT(
+        n62813), .SUM(n62808) );
+  sky130_fd_sc_hd__fa_1 U81470 ( .A(n62398), .B(n62397), .CIN(n62396), .COUT(
+        n62815), .SUM(n62814) );
+  sky130_fd_sc_hd__nor2_1 U81471 ( .A(n62813), .B(n62814), .Y(n65810) );
+  sky130_fd_sc_hd__nor2_1 U81472 ( .A(n65821), .B(n65810), .Y(n65846) );
+  sky130_fd_sc_hd__a222oi_1 U81473 ( .A1(n36800), .A2(n62401), .B1(n62400), 
+        .B2(n36800), .C1(n62399), .C2(n37026), .Y(n62402) );
+  sky130_fd_sc_hd__o21ai_1 U81474 ( .A1(n62403), .A2(n62713), .B1(n62402), .Y(
+        n62404) );
+  sky130_fd_sc_hd__xor2_1 U81475 ( .A(n62404), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[26]), .X(n62419) );
+  sky130_fd_sc_hd__fa_1 U81476 ( .A(n62407), .B(n62406), .CIN(n62405), .COUT(
+        n62418), .SUM(n62414) );
+  sky130_fd_sc_hd__fa_1 U81477 ( .A(n62410), .B(n62409), .CIN(n62408), .COUT(
+        n62411), .SUM(n62417) );
+  sky130_fd_sc_hd__fa_1 U81478 ( .A(n62413), .B(n62412), .CIN(n62411), .COUT(
+        n62824), .SUM(n62820) );
+  sky130_fd_sc_hd__nor2_1 U81479 ( .A(n62819), .B(n62820), .Y(n65843) );
+  sky130_fd_sc_hd__fa_1 U81480 ( .A(n62416), .B(n62415), .CIN(n62414), .COUT(
+        n62817), .SUM(n62816) );
+  sky130_fd_sc_hd__fa_1 U81481 ( .A(n62419), .B(n62418), .CIN(n62417), .COUT(
+        n62819), .SUM(n62818) );
+  sky130_fd_sc_hd__nor2_1 U81482 ( .A(n62817), .B(n62818), .Y(n65851) );
+  sky130_fd_sc_hd__nor2_1 U81483 ( .A(n65843), .B(n65851), .Y(n62822) );
+  sky130_fd_sc_hd__nand2_1 U81484 ( .A(n65846), .B(n62822), .Y(n69728) );
+  sky130_fd_sc_hd__nor2_1 U81485 ( .A(n62839), .B(n69728), .Y(n62841) );
+  sky130_fd_sc_hd__nand2_1 U81486 ( .A(n69729), .B(n62841), .Y(n62843) );
+  sky130_fd_sc_hd__xor2_1 U81487 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[1]), .B(n62512), .X(n62421) );
+  sky130_fd_sc_hd__nand2b_1 U81488 ( .A_N(n62420), .B(n62421), .Y(n62511) );
+  sky130_fd_sc_hd__nor2b_1 U81489 ( .B_N(n62420), .A(n62422), .Y(n62508) );
+  sky130_fd_sc_hd__and3_1 U81490 ( .A(n62422), .B(n62421), .C(n62420), .X(
+        n62507) );
+  sky130_fd_sc_hd__a222oi_1 U81491 ( .A1(n62509), .A2(n36800), .B1(n62508), 
+        .B2(n36800), .C1(n62507), .C2(n37026), .Y(n62423) );
+  sky130_fd_sc_hd__o21ai_1 U81492 ( .A1(n62511), .A2(n62713), .B1(n62423), .Y(
+        n62424) );
+  sky130_fd_sc_hd__xor2_1 U81493 ( .A(n62424), .B(n62512), .X(n62461) );
+  sky130_fd_sc_hd__xor2_1 U81494 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[7]), .B(n62715), .X(n62427) );
+  sky130_fd_sc_hd__xnor2_1 U81495 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[6]), .Y(n62425) );
+  sky130_fd_sc_hd__and3_1 U81496 ( .A(n62427), .B(n62426), .C(n62425), .X(
+        n62709) );
+  sky130_fd_sc_hd__a222oi_1 U81497 ( .A1(n62711), .A2(n62697), .B1(n62710), 
+        .B2(n37032), .C1(n62709), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[0]), .Y(n62428) );
+  sky130_fd_sc_hd__o21ai_1 U81498 ( .A1(n62714), .A2(n62657), .B1(n62428), .Y(
+        n62429) );
+  sky130_fd_sc_hd__xor2_1 U81499 ( .A(n62429), .B(n62715), .X(n62458) );
+  sky130_fd_sc_hd__a222oi_1 U81500 ( .A1(n62509), .A2(n36800), .B1(n62508), 
+        .B2(n37026), .C1(n62507), .C2(n62732), .Y(n62430) );
+  sky130_fd_sc_hd__o21ai_0 U81501 ( .A1(n62511), .A2(n37074), .B1(n62430), .Y(
+        n62431) );
+  sky130_fd_sc_hd__xor2_1 U81502 ( .A(n62431), .B(n62512), .X(n62457) );
+  sky130_fd_sc_hd__a222oi_1 U81503 ( .A1(n62711), .A2(n62724), .B1(n62710), 
+        .B2(n62697), .C1(n62709), .C2(n37032), .Y(n62432) );
+  sky130_fd_sc_hd__o21ai_1 U81504 ( .A1(n62714), .A2(n62699), .B1(n62432), .Y(
+        n62433) );
+  sky130_fd_sc_hd__xor2_1 U81505 ( .A(n62433), .B(n62715), .X(n62444) );
+  sky130_fd_sc_hd__xor2_1 U81506 ( .A(n62737), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[10]), .X(n62538) );
+  sky130_fd_sc_hd__nand2b_1 U81507 ( .A_N(n62537), .B(n62538), .Y(n62736) );
+  sky130_fd_sc_hd__o21ai_0 U81508 ( .A1(n62736), .A2(n62693), .B1(n37160), .Y(
+        n62434) );
+  sky130_fd_sc_hd__xor2_1 U81509 ( .A(n62434), .B(n62737), .X(n62445) );
+  sky130_fd_sc_hd__xor2_1 U81510 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[4]), .B(n62581), .X(n62437) );
+  sky130_fd_sc_hd__nand2b_1 U81511 ( .A_N(n62436), .B(n62437), .Y(n62579) );
+  sky130_fd_sc_hd__nor2_1 U81512 ( .A(n62436), .B(n62437), .Y(n62577) );
+  sky130_fd_sc_hd__xnor2_1 U81513 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[3]), .Y(n62435) );
+  sky130_fd_sc_hd__nor2b_1 U81514 ( .B_N(n62436), .A(n62435), .Y(n62576) );
+  sky130_fd_sc_hd__and3_1 U81515 ( .A(n62437), .B(n62436), .C(n62435), .X(
+        n62575) );
+  sky130_fd_sc_hd__a222oi_1 U81516 ( .A1(n62577), .A2(n62732), .B1(n62576), 
+        .B2(n37027), .C1(n62575), .C2(n37028), .Y(n62438) );
+  sky130_fd_sc_hd__o21ai_0 U81517 ( .A1(n62579), .A2(n37073), .B1(n62438), .Y(
+        n62439) );
+  sky130_fd_sc_hd__xor2_1 U81518 ( .A(n62439), .B(n62581), .X(n62442) );
+  sky130_fd_sc_hd__a222oi_1 U81519 ( .A1(n62577), .A2(n37026), .B1(n62576), 
+        .B2(n62732), .C1(n62575), .C2(n37027), .Y(n62440) );
+  sky130_fd_sc_hd__xor2_1 U81521 ( .A(n62441), .B(n62581), .X(n62535) );
+  sky130_fd_sc_hd__fa_1 U81522 ( .A(n62444), .B(n62443), .CIN(n62442), .COUT(
+        n62534), .SUM(n62459) );
+  sky130_fd_sc_hd__fa_1 U81523 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[41]), .B(n62737), .CIN(n62445), .COUT(n62543), .SUM(n62443) );
+  sky130_fd_sc_hd__a222oi_1 U81524 ( .A1(n62711), .A2(n37028), .B1(n62710), 
+        .B2(n62724), .C1(n62709), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[2]), .Y(n62446) );
+  sky130_fd_sc_hd__o21ai_0 U81525 ( .A1(n62714), .A2(n38368), .B1(n62446), .Y(
+        n62447) );
+  sky130_fd_sc_hd__xor2_1 U81526 ( .A(n62447), .B(n62715), .X(n62542) );
+  sky130_fd_sc_hd__xnor2_1 U81527 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[9]), .Y(n62536) );
+  sky130_fd_sc_hd__nor2b_1 U81528 ( .B_N(n62537), .A(n62536), .Y(n62733) );
+  sky130_fd_sc_hd__xor2_1 U81530 ( .A(n62448), .B(n62737), .X(n62545) );
+  sky130_fd_sc_hd__a222oi_1 U81531 ( .A1(n62509), .A2(n36800), .B1(n62508), 
+        .B2(n36800), .C1(n62507), .C2(n36800), .Y(n62449) );
+  sky130_fd_sc_hd__o21ai_1 U81532 ( .A1(n62677), .A2(n62511), .B1(n62449), .Y(
+        n62450) );
+  sky130_fd_sc_hd__xnor2_1 U81533 ( .A(n62512), .B(n62450), .Y(n62544) );
+  sky130_fd_sc_hd__nor2_1 U81534 ( .A(n62529), .B(n62530), .Y(n65257) );
+  sky130_fd_sc_hd__a222oi_1 U81535 ( .A1(n62577), .A2(n37027), .B1(n62576), 
+        .B2(n37028), .C1(n62575), .C2(n62724), .Y(n62451) );
+  sky130_fd_sc_hd__o21ai_1 U81536 ( .A1(n62579), .A2(n36769), .B1(n62451), .Y(
+        n62452) );
+  sky130_fd_sc_hd__xor2_1 U81537 ( .A(n62452), .B(n62581), .X(n62524) );
+  sky130_fd_sc_hd__a22oi_1 U81538 ( .A1(n62711), .A2(n37032), .B1(n62710), 
+        .B2(n62690), .Y(n62453) );
+  sky130_fd_sc_hd__o21ai_1 U81539 ( .A1(n62714), .A2(n62674), .B1(n62453), .Y(
+        n62454) );
+  sky130_fd_sc_hd__xor2_1 U81540 ( .A(n62454), .B(n62715), .X(n62515) );
+  sky130_fd_sc_hd__nand2_1 U81541 ( .A(n62711), .B(n62690), .Y(n62455) );
+  sky130_fd_sc_hd__xor2_1 U81543 ( .A(n62456), .B(n62715), .X(n62468) );
+  sky130_fd_sc_hd__fa_1 U81544 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[40]), .B(n62458), .CIN(n62457), .COUT(n62460), .SUM(n62522) );
+  sky130_fd_sc_hd__fa_1 U81545 ( .A(n62461), .B(n62460), .CIN(n62459), .COUT(
+        n62529), .SUM(n62528) );
+  sky130_fd_sc_hd__nor2_1 U81546 ( .A(n62527), .B(n62528), .Y(n65262) );
+  sky130_fd_sc_hd__nor2_1 U81547 ( .A(n65257), .B(n65262), .Y(n62532) );
+  sky130_fd_sc_hd__a222oi_1 U81548 ( .A1(n62577), .A2(n62697), .B1(n62576), 
+        .B2(n37032), .C1(n62575), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[0]), .Y(n62462) );
+  sky130_fd_sc_hd__o21ai_1 U81549 ( .A1(n62579), .A2(n62657), .B1(n62462), .Y(
+        n62463) );
+  sky130_fd_sc_hd__xor2_1 U81550 ( .A(n62463), .B(n62581), .X(n62474) );
+  sky130_fd_sc_hd__a222oi_1 U81551 ( .A1(n62509), .A2(n37027), .B1(n62508), 
+        .B2(n37028), .C1(n62507), .C2(n62724), .Y(n62464) );
+  sky130_fd_sc_hd__o21ai_1 U81552 ( .A1(n62511), .A2(n36769), .B1(n62464), .Y(
+        n62465) );
+  sky130_fd_sc_hd__xor2_1 U81553 ( .A(n62465), .B(n62512), .X(n62473) );
+  sky130_fd_sc_hd__a222oi_1 U81554 ( .A1(n62577), .A2(n62724), .B1(n62576), 
+        .B2(n62697), .C1(n62575), .C2(n37032), .Y(n62466) );
+  sky130_fd_sc_hd__o21ai_1 U81555 ( .A1(n62579), .A2(n62699), .B1(n62466), .Y(
+        n62467) );
+  sky130_fd_sc_hd__xor2_1 U81556 ( .A(n62467), .B(n62581), .X(n62504) );
+  sky130_fd_sc_hd__fa_1 U81557 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[38]), .B(n62715), .CIN(n62468), .COUT(n62514), .SUM(n62503) );
+  sky130_fd_sc_hd__a222oi_1 U81558 ( .A1(n62509), .A2(n62732), .B1(n62508), 
+        .B2(n37027), .C1(n62507), .C2(n37028), .Y(n62469) );
+  sky130_fd_sc_hd__xor2_1 U81560 ( .A(n62470), .B(n62512), .X(n62502) );
+  sky130_fd_sc_hd__nor2_1 U81561 ( .A(n62500), .B(n62501), .Y(n69775) );
+  sky130_fd_sc_hd__xor2_1 U81563 ( .A(n62471), .B(n62581), .X(n62494) );
+  sky130_fd_sc_hd__o21ai_0 U81564 ( .A1(n62579), .A2(n62693), .B1(n38403), .Y(
+        n62472) );
+  sky130_fd_sc_hd__xor2_1 U81565 ( .A(n62472), .B(n62581), .X(n62488) );
+  sky130_fd_sc_hd__fa_1 U81566 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[37]), .B(n62474), .CIN(n62473), .COUT(n62500), .SUM(n62498) );
+  sky130_fd_sc_hd__a222oi_1 U81567 ( .A1(n62509), .A2(n62697), .B1(n62508), 
+        .B2(n37032), .C1(n62507), .C2(n62690), .Y(n62475) );
+  sky130_fd_sc_hd__xor2_1 U81569 ( .A(n62476), .B(n62512), .X(n62484) );
+  sky130_fd_sc_hd__a22oi_1 U81570 ( .A1(n62509), .A2(n37032), .B1(n62508), 
+        .B2(n62690), .Y(n62477) );
+  sky130_fd_sc_hd__o21ai_0 U81571 ( .A1(n62511), .A2(n62674), .B1(n62477), .Y(
+        n62478) );
+  sky130_fd_sc_hd__xor2_1 U81572 ( .A(n62478), .B(n62512), .X(n62482) );
+  sky130_fd_sc_hd__nor2_1 U81573 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[32]), .B(n62512), .Y(n66129) );
+  sky130_fd_sc_hd__nand2_1 U81574 ( .A(n62509), .B(n62690), .Y(n62479) );
+  sky130_fd_sc_hd__o21ai_0 U81575 ( .A1(n62511), .A2(n62693), .B1(n62479), .Y(
+        n62480) );
+  sky130_fd_sc_hd__xor2_1 U81576 ( .A(n62480), .B(n62512), .X(n66132) );
+  sky130_fd_sc_hd__nand2_1 U81577 ( .A(n62512), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[32]), .Y(n66130) );
+  sky130_fd_sc_hd__nand2_1 U81579 ( .A(n62482), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[33]), .Y(n66143) );
+  sky130_fd_sc_hd__a21o_1 U81580 ( .A1(n66144), .A2(n66146), .B1(n62483), .X(
+        n66159) );
+  sky130_fd_sc_hd__nand2_1 U81581 ( .A(n62484), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[34]), .Y(n66156) );
+  sky130_fd_sc_hd__a21oi_1 U81582 ( .A1(n66157), .A2(n66159), .B1(n62485), .Y(
+        n69514) );
+  sky130_fd_sc_hd__a222oi_1 U81583 ( .A1(n62509), .A2(n62724), .B1(n62508), 
+        .B2(n62697), .C1(n62507), .C2(n37032), .Y(n62486) );
+  sky130_fd_sc_hd__o21ai_1 U81584 ( .A1(n62511), .A2(n62699), .B1(n62486), .Y(
+        n62487) );
+  sky130_fd_sc_hd__xor2_1 U81585 ( .A(n62487), .B(n62512), .X(n62489) );
+  sky130_fd_sc_hd__fa_1 U81586 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[35]), .B(n62581), .CIN(n62488), .COUT(n62493), .SUM(n62490) );
+  sky130_fd_sc_hd__nor2_1 U81587 ( .A(n62489), .B(n62490), .Y(n69511) );
+  sky130_fd_sc_hd__nand2_1 U81588 ( .A(n62490), .B(n62489), .Y(n69512) );
+  sky130_fd_sc_hd__o21a_1 U81589 ( .A1(n69514), .A2(n69511), .B1(n69512), .X(
+        n65667) );
+  sky130_fd_sc_hd__a222oi_1 U81590 ( .A1(n62509), .A2(n37028), .B1(n62508), 
+        .B2(n62724), .C1(n62507), .C2(n62697), .Y(n62491) );
+  sky130_fd_sc_hd__o21ai_1 U81591 ( .A1(n62511), .A2(n38368), .B1(n62491), .Y(
+        n62492) );
+  sky130_fd_sc_hd__xor2_1 U81592 ( .A(n62492), .B(n62512), .X(n62495) );
+  sky130_fd_sc_hd__fa_1 U81593 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[36]), .B(n62494), .CIN(n62493), .COUT(n62497), .SUM(n62496) );
+  sky130_fd_sc_hd__nor2_1 U81594 ( .A(n62495), .B(n62496), .Y(n65664) );
+  sky130_fd_sc_hd__nand2_1 U81595 ( .A(n62496), .B(n62495), .Y(n65665) );
+  sky130_fd_sc_hd__nand2_1 U81597 ( .A(n62498), .B(n62497), .Y(n65679) );
+  sky130_fd_sc_hd__a21oi_1 U81598 ( .A1(n38487), .A2(n65681), .B1(n62499), .Y(
+        n69779) );
+  sky130_fd_sc_hd__nand2_1 U81599 ( .A(n62501), .B(n62500), .Y(n69776) );
+  sky130_fd_sc_hd__o21ai_1 U81600 ( .A1(n69775), .A2(n69779), .B1(n69776), .Y(
+        n69794) );
+  sky130_fd_sc_hd__fa_1 U81601 ( .A(n62504), .B(n62503), .CIN(n62502), .COUT(
+        n62516), .SUM(n62501) );
+  sky130_fd_sc_hd__a222oi_1 U81602 ( .A1(n62577), .A2(n37028), .B1(n62576), 
+        .B2(n62724), .C1(n62575), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[2]), .Y(n62505) );
+  sky130_fd_sc_hd__xor2_1 U81604 ( .A(n62506), .B(n62581), .X(n62521) );
+  sky130_fd_sc_hd__a222oi_1 U81605 ( .A1(n62509), .A2(n37026), .B1(n62508), 
+        .B2(n62732), .C1(n62507), .C2(n37027), .Y(n62510) );
+  sky130_fd_sc_hd__o21ai_0 U81606 ( .A1(n62511), .A2(n38486), .B1(n62510), .Y(
+        n62513) );
+  sky130_fd_sc_hd__xor2_1 U81607 ( .A(n62513), .B(n62512), .X(n62520) );
+  sky130_fd_sc_hd__fa_1 U81608 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[39]), .B(n62515), .CIN(n62514), .COUT(n62523), .SUM(n62519) );
+  sky130_fd_sc_hd__nand2_1 U81609 ( .A(n62517), .B(n62516), .Y(n69792) );
+  sky130_fd_sc_hd__a21oi_1 U81610 ( .A1(n69794), .A2(n69793), .B1(n62518), .Y(
+        n65241) );
+  sky130_fd_sc_hd__fa_1 U81611 ( .A(n62521), .B(n62520), .CIN(n62519), .COUT(
+        n62525), .SUM(n62517) );
+  sky130_fd_sc_hd__fa_1 U81612 ( .A(n62524), .B(n62523), .CIN(n62522), .COUT(
+        n62527), .SUM(n62526) );
+  sky130_fd_sc_hd__nor2_1 U81613 ( .A(n62525), .B(n62526), .Y(n65238) );
+  sky130_fd_sc_hd__nand2_1 U81614 ( .A(n62526), .B(n62525), .Y(n65239) );
+  sky130_fd_sc_hd__o21ai_1 U81615 ( .A1(n65241), .A2(n65238), .B1(n65239), .Y(
+        n65246) );
+  sky130_fd_sc_hd__nand2_1 U81616 ( .A(n62528), .B(n62527), .Y(n65260) );
+  sky130_fd_sc_hd__nand2_1 U81617 ( .A(n62530), .B(n62529), .Y(n65258) );
+  sky130_fd_sc_hd__o21ai_1 U81618 ( .A1(n65257), .A2(n65260), .B1(n65258), .Y(
+        n62531) );
+  sky130_fd_sc_hd__a21oi_1 U81619 ( .A1(n62532), .A2(n65246), .B1(n62531), .Y(
+        n65272) );
+  sky130_fd_sc_hd__fa_1 U81620 ( .A(n62535), .B(n62534), .CIN(n62533), .COUT(
+        n62602), .SUM(n62530) );
+  sky130_fd_sc_hd__and3_1 U81621 ( .A(n62538), .B(n62537), .C(n62536), .X(
+        n62731) );
+  sky130_fd_sc_hd__a222oi_1 U81622 ( .A1(n62697), .A2(n62734), .B1(n62733), 
+        .B2(n37032), .C1(n62731), .C2(n62690), .Y(n62539) );
+  sky130_fd_sc_hd__o21ai_0 U81623 ( .A1(n62736), .A2(n62657), .B1(n62539), .Y(
+        n62540) );
+  sky130_fd_sc_hd__xor2_1 U81624 ( .A(n62540), .B(n62737), .X(n62559) );
+  sky130_fd_sc_hd__fa_1 U81625 ( .A(n62543), .B(n62542), .CIN(n62541), .COUT(
+        n62551), .SUM(n62533) );
+  sky130_fd_sc_hd__fa_1 U81626 ( .A(n62582), .B(n62545), .CIN(n62544), .COUT(
+        n62558), .SUM(n62541) );
+  sky130_fd_sc_hd__a222oi_1 U81627 ( .A1(n62577), .A2(n36800), .B1(n62576), 
+        .B2(n37026), .C1(n62575), .C2(n62732), .Y(n62546) );
+  sky130_fd_sc_hd__o21ai_0 U81628 ( .A1(n62579), .A2(n37074), .B1(n62546), .Y(
+        n62547) );
+  sky130_fd_sc_hd__xor2_1 U81629 ( .A(n62547), .B(n62581), .X(n62557) );
+  sky130_fd_sc_hd__a222oi_1 U81630 ( .A1(n62711), .A2(n37027), .B1(n62710), 
+        .B2(n37028), .C1(n62709), .C2(n62724), .Y(n62548) );
+  sky130_fd_sc_hd__o21ai_0 U81631 ( .A1(n62714), .A2(n36769), .B1(n62548), .Y(
+        n62549) );
+  sky130_fd_sc_hd__xor2_1 U81632 ( .A(n62549), .B(n62715), .X(n62556) );
+  sky130_fd_sc_hd__nor2_1 U81633 ( .A(n62602), .B(n62603), .Y(n65271) );
+  sky130_fd_sc_hd__fa_1 U81634 ( .A(n62552), .B(n62551), .CIN(n62550), .COUT(
+        n62604), .SUM(n62603) );
+  sky130_fd_sc_hd__o21ai_1 U81635 ( .A1(n62728), .A2(n62693), .B1(n37161), .Y(
+        n62553) );
+  sky130_fd_sc_hd__xor2_1 U81636 ( .A(n62553), .B(n62729), .X(n62565) );
+  sky130_fd_sc_hd__a222oi_1 U81637 ( .A1(n62734), .A2(n62724), .B1(n62733), 
+        .B2(n62697), .C1(n62731), .C2(n37032), .Y(n62554) );
+  sky130_fd_sc_hd__xor2_1 U81639 ( .A(n62555), .B(n62737), .X(n62564) );
+  sky130_fd_sc_hd__fa_1 U81640 ( .A(n62558), .B(n62557), .CIN(n62556), .COUT(
+        n62597), .SUM(n62550) );
+  sky130_fd_sc_hd__fa_1 U81641 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[43]), .B(n62582), .CIN(n62559), .COUT(n62569), .SUM(n62552) );
+  sky130_fd_sc_hd__a222oi_1 U81642 ( .A1(n62711), .A2(n62732), .B1(n62710), 
+        .B2(n37027), .C1(n62709), .C2(n37028), .Y(n62560) );
+  sky130_fd_sc_hd__o21ai_0 U81643 ( .A1(n62714), .A2(n37073), .B1(n62560), .Y(
+        n62561) );
+  sky130_fd_sc_hd__xor2_1 U81644 ( .A(n62561), .B(n62715), .X(n62568) );
+  sky130_fd_sc_hd__a222oi_1 U81645 ( .A1(n62577), .A2(n36800), .B1(n62576), 
+        .B2(n36800), .C1(n62575), .C2(n37026), .Y(n62562) );
+  sky130_fd_sc_hd__o21ai_0 U81646 ( .A1(n62579), .A2(n62713), .B1(n62562), .Y(
+        n62563) );
+  sky130_fd_sc_hd__xor2_1 U81647 ( .A(n62563), .B(n62581), .X(n62567) );
+  sky130_fd_sc_hd__nor2_1 U81648 ( .A(n62604), .B(n62605), .Y(n65292) );
+  sky130_fd_sc_hd__nor2_1 U81649 ( .A(n65271), .B(n65292), .Y(n65303) );
+  sky130_fd_sc_hd__fa_1 U81650 ( .A(n62566), .B(n62565), .CIN(n62564), .COUT(
+        n62601), .SUM(n62598) );
+  sky130_fd_sc_hd__fa_1 U81651 ( .A(n62569), .B(n62568), .CIN(n62567), .COUT(
+        n62600), .SUM(n62596) );
+  sky130_fd_sc_hd__a222oi_1 U81652 ( .A1(n62734), .A2(n37028), .B1(n62733), 
+        .B2(n62724), .C1(n62731), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[2]), .Y(n62570) );
+  sky130_fd_sc_hd__o21ai_0 U81653 ( .A1(n62736), .A2(n38368), .B1(n62570), .Y(
+        n62571) );
+  sky130_fd_sc_hd__xor2_1 U81654 ( .A(n62571), .B(n62737), .X(n62588) );
+  sky130_fd_sc_hd__a222oi_1 U81655 ( .A1(n62711), .A2(n37026), .B1(n62710), 
+        .B2(n62732), .C1(n62709), .C2(n37027), .Y(n62572) );
+  sky130_fd_sc_hd__o21ai_0 U81656 ( .A1(n62714), .A2(n38486), .B1(n62572), .Y(
+        n62573) );
+  sky130_fd_sc_hd__xor2_1 U81657 ( .A(n62573), .B(n62715), .X(n62587) );
+  sky130_fd_sc_hd__o21ai_1 U81658 ( .A1(n62728), .A2(n62674), .B1(n38404), .Y(
+        n62574) );
+  sky130_fd_sc_hd__xor2_1 U81659 ( .A(n62574), .B(n62729), .X(n62591) );
+  sky130_fd_sc_hd__a222oi_1 U81660 ( .A1(n62577), .A2(n36800), .B1(n62576), 
+        .B2(n36800), .C1(n62575), .C2(n36800), .Y(n62578) );
+  sky130_fd_sc_hd__xnor2_1 U81662 ( .A(n62581), .B(n62580), .Y(n62590) );
+  sky130_fd_sc_hd__fa_1 U81663 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[44]), .B(n62582), .CIN(n62729), .COUT(n62583), .SUM(n62566) );
+  sky130_fd_sc_hd__fa_1 U81664 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[45]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[42]), .CIN(n62583), .COUT(n62705), .SUM(n62589) );
+  sky130_fd_sc_hd__a222oi_1 U81665 ( .A1(n62726), .A2(n62697), .B1(n62725), 
+        .B2(n37032), .C1(n62723), .C2(n62690), .Y(n62584) );
+  sky130_fd_sc_hd__o21ai_1 U81666 ( .A1(n62728), .A2(n62657), .B1(n62584), .Y(
+        n62585) );
+  sky130_fd_sc_hd__xor2_1 U81667 ( .A(n62585), .B(n62729), .X(n62704) );
+  sky130_fd_sc_hd__fa_1 U81668 ( .A(n62588), .B(n62587), .CIN(n62586), .COUT(
+        n62743), .SUM(n62599) );
+  sky130_fd_sc_hd__fa_1 U81669 ( .A(n62591), .B(n62590), .CIN(n62589), .COUT(
+        n62703), .SUM(n62586) );
+  sky130_fd_sc_hd__a222oi_1 U81670 ( .A1(n62711), .A2(n36800), .B1(n62710), 
+        .B2(n37026), .C1(n62709), .C2(n62732), .Y(n62592) );
+  sky130_fd_sc_hd__o21ai_0 U81671 ( .A1(n62714), .A2(n37074), .B1(n62592), .Y(
+        n62593) );
+  sky130_fd_sc_hd__xor2_1 U81672 ( .A(n62593), .B(n62715), .X(n62702) );
+  sky130_fd_sc_hd__a222oi_1 U81673 ( .A1(n37027), .A2(n62734), .B1(n62733), 
+        .B2(n37028), .C1(n62731), .C2(n62724), .Y(n62594) );
+  sky130_fd_sc_hd__o21ai_0 U81674 ( .A1(n62736), .A2(n36769), .B1(n62594), .Y(
+        n62595) );
+  sky130_fd_sc_hd__xor2_1 U81675 ( .A(n62595), .B(n62737), .X(n62701) );
+  sky130_fd_sc_hd__nor2_1 U81676 ( .A(n62608), .B(n62609), .Y(n65391) );
+  sky130_fd_sc_hd__fa_1 U81677 ( .A(n62598), .B(n62597), .CIN(n62596), .COUT(
+        n62606), .SUM(n62605) );
+  sky130_fd_sc_hd__fa_1 U81678 ( .A(n62601), .B(n62600), .CIN(n62599), .COUT(
+        n62608), .SUM(n62607) );
+  sky130_fd_sc_hd__nor2_1 U81679 ( .A(n65391), .B(n65396), .Y(n62611) );
+  sky130_fd_sc_hd__nand2_1 U81680 ( .A(n65303), .B(n62611), .Y(n62613) );
+  sky130_fd_sc_hd__nand2_1 U81681 ( .A(n62603), .B(n62602), .Y(n65289) );
+  sky130_fd_sc_hd__nand2_1 U81682 ( .A(n62605), .B(n62604), .Y(n65293) );
+  sky130_fd_sc_hd__o21ai_1 U81683 ( .A1(n65289), .A2(n65292), .B1(n65293), .Y(
+        n65302) );
+  sky130_fd_sc_hd__nand2_1 U81684 ( .A(n62607), .B(n62606), .Y(n65394) );
+  sky130_fd_sc_hd__nand2_1 U81685 ( .A(n62609), .B(n62608), .Y(n65392) );
+  sky130_fd_sc_hd__a21oi_1 U81687 ( .A1(n65302), .A2(n62611), .B1(n62610), .Y(
+        n62612) );
+  sky130_fd_sc_hd__o21ai_1 U81688 ( .A1(n65272), .A2(n62613), .B1(n62612), .Y(
+        n65407) );
+  sky130_fd_sc_hd__fa_1 U81689 ( .A(n62616), .B(n62615), .CIN(n62614), .COUT(
+        n62632), .SUM(n62648) );
+  sky130_fd_sc_hd__a22oi_1 U81690 ( .A1(n62649), .A2(n37032), .B1(n62617), 
+        .B2(n62690), .Y(n62618) );
+  sky130_fd_sc_hd__o21ai_1 U81691 ( .A1(n62650), .A2(n62674), .B1(n62618), .Y(
+        n62619) );
+  sky130_fd_sc_hd__xor2_1 U81692 ( .A(n62619), .B(n62651), .X(n62640) );
+  sky130_fd_sc_hd__a222oi_1 U81693 ( .A1(n36800), .A2(n62734), .B1(n62733), 
+        .B2(n36800), .C1(n62731), .C2(n36800), .Y(n62620) );
+  sky130_fd_sc_hd__xnor2_1 U81695 ( .A(n62737), .B(n62621), .Y(n62639) );
+  sky130_fd_sc_hd__fa_1 U81696 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[51]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[49]), .CIN(n62622), .COUT(n62634), .SUM(n62638) );
+  sky130_fd_sc_hd__a222oi_1 U81697 ( .A1(n62726), .A2(n36800), .B1(n62725), 
+        .B2(n37026), .C1(n62723), .C2(n62732), .Y(n62623) );
+  sky130_fd_sc_hd__o21ai_0 U81698 ( .A1(n62728), .A2(n37074), .B1(n62623), .Y(
+        n62624) );
+  sky130_fd_sc_hd__xor2_1 U81699 ( .A(n62624), .B(n62729), .X(n62644) );
+  sky130_fd_sc_hd__a222oi_1 U81700 ( .A1(n62691), .A2(n37027), .B1(n62672), 
+        .B2(n37028), .C1(n62655), .C2(n62724), .Y(n62625) );
+  sky130_fd_sc_hd__o21ai_0 U81701 ( .A1(n62694), .A2(n36769), .B1(n62625), .Y(
+        n62626) );
+  sky130_fd_sc_hd__xor2_1 U81702 ( .A(n62626), .B(n62695), .X(n62643) );
+  sky130_fd_sc_hd__fa_1 U81703 ( .A(n62629), .B(n62628), .CIN(n62627), .COUT(
+        n62631), .SUM(n62646) );
+  sky130_fd_sc_hd__fa_1 U81704 ( .A(n62632), .B(n62631), .CIN(n62630), .COUT(
+        n62791), .SUM(n62783) );
+  sky130_fd_sc_hd__nor2_1 U81705 ( .A(n62782), .B(n62783), .Y(n65503) );
+  sky130_fd_sc_hd__fa_1 U81706 ( .A(n62635), .B(n62634), .CIN(n62633), .COUT(
+        n62629), .SUM(n62668) );
+  sky130_fd_sc_hd__a222oi_1 U81707 ( .A1(n62691), .A2(n37028), .B1(n62672), 
+        .B2(n62724), .C1(n62655), .C2(n62697), .Y(n62636) );
+  sky130_fd_sc_hd__o21ai_0 U81708 ( .A1(n62694), .A2(n38368), .B1(n62636), .Y(
+        n62637) );
+  sky130_fd_sc_hd__xor2_1 U81709 ( .A(n62637), .B(n62695), .X(n62665) );
+  sky130_fd_sc_hd__fa_1 U81710 ( .A(n62640), .B(n62639), .CIN(n62638), .COUT(
+        n62645), .SUM(n62664) );
+  sky130_fd_sc_hd__a222oi_1 U81711 ( .A1(n62726), .A2(n37026), .B1(n62725), 
+        .B2(n62732), .C1(n62723), .C2(n37027), .Y(n62641) );
+  sky130_fd_sc_hd__o21ai_0 U81712 ( .A1(n62728), .A2(n38486), .B1(n62641), .Y(
+        n62642) );
+  sky130_fd_sc_hd__xor2_1 U81713 ( .A(n62642), .B(n62729), .X(n62663) );
+  sky130_fd_sc_hd__fa_1 U81714 ( .A(n62645), .B(n62644), .CIN(n62643), .COUT(
+        n62647), .SUM(n62666) );
+  sky130_fd_sc_hd__fa_1 U81715 ( .A(n62648), .B(n62647), .CIN(n62646), .COUT(
+        n62782), .SUM(n62781) );
+  sky130_fd_sc_hd__nor2_1 U81716 ( .A(n62780), .B(n62781), .Y(n65512) );
+  sky130_fd_sc_hd__nor2_1 U81717 ( .A(n65503), .B(n65512), .Y(n62785) );
+  sky130_fd_sc_hd__fa_1 U81718 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[50]), .B(n62753), .CIN(n62651), .COUT(n62622), .SUM(n62671) );
+  sky130_fd_sc_hd__o21ai_1 U81719 ( .A1(n62650), .A2(n62693), .B1(n37162), .Y(
+        n62652) );
+  sky130_fd_sc_hd__xor2_1 U81720 ( .A(n62652), .B(n62651), .X(n62670) );
+  sky130_fd_sc_hd__a222oi_1 U81721 ( .A1(n62691), .A2(n62724), .B1(n62672), 
+        .B2(n62697), .C1(n62655), .C2(n37032), .Y(n62653) );
+  sky130_fd_sc_hd__o21ai_1 U81722 ( .A1(n62694), .A2(n62699), .B1(n62653), .Y(
+        n62654) );
+  sky130_fd_sc_hd__xor2_1 U81723 ( .A(n62654), .B(n62695), .X(n62669) );
+  sky130_fd_sc_hd__a222oi_1 U81724 ( .A1(n62691), .A2(n62697), .B1(n62672), 
+        .B2(n37032), .C1(n62655), .C2(n62690), .Y(n62656) );
+  sky130_fd_sc_hd__o21ai_0 U81725 ( .A1(n62694), .A2(n62657), .B1(n62656), .Y(
+        n62658) );
+  sky130_fd_sc_hd__xor2_1 U81726 ( .A(n62658), .B(n62695), .X(n62751) );
+  sky130_fd_sc_hd__a222oi_1 U81727 ( .A1(n62726), .A2(n62732), .B1(n62725), 
+        .B2(n37027), .C1(n62723), .C2(n37028), .Y(n62659) );
+  sky130_fd_sc_hd__o21ai_0 U81728 ( .A1(n62728), .A2(n37073), .B1(n62659), .Y(
+        n62660) );
+  sky130_fd_sc_hd__xor2_1 U81729 ( .A(n62660), .B(n62729), .X(n62685) );
+  sky130_fd_sc_hd__a222oi_1 U81730 ( .A1(n36800), .A2(n62734), .B1(n62733), 
+        .B2(n36800), .C1(n62731), .C2(n37026), .Y(n62661) );
+  sky130_fd_sc_hd__o21ai_0 U81731 ( .A1(n62736), .A2(n62713), .B1(n62661), .Y(
+        n62662) );
+  sky130_fd_sc_hd__xor2_1 U81732 ( .A(n62662), .B(n62737), .X(n62684) );
+  sky130_fd_sc_hd__fa_1 U81733 ( .A(n62665), .B(n62664), .CIN(n62663), .COUT(
+        n62667), .SUM(n62687) );
+  sky130_fd_sc_hd__fa_1 U81734 ( .A(n62668), .B(n62667), .CIN(n62666), .COUT(
+        n62780), .SUM(n62779) );
+  sky130_fd_sc_hd__fa_1 U81735 ( .A(n62671), .B(n62670), .CIN(n62669), .COUT(
+        n62689), .SUM(n62765) );
+  sky130_fd_sc_hd__a22oi_1 U81736 ( .A1(n62691), .A2(n37032), .B1(n62672), 
+        .B2(n62690), .Y(n62673) );
+  sky130_fd_sc_hd__o21ai_0 U81737 ( .A1(n62694), .A2(n62674), .B1(n62673), .Y(
+        n62675) );
+  sky130_fd_sc_hd__xor2_1 U81738 ( .A(n62675), .B(n62695), .X(n62741) );
+  sky130_fd_sc_hd__a222oi_1 U81739 ( .A1(n62711), .A2(n36800), .B1(n62710), 
+        .B2(n36800), .C1(n62709), .C2(n36800), .Y(n62676) );
+  sky130_fd_sc_hd__xnor2_1 U81741 ( .A(n62715), .B(n62678), .Y(n62740) );
+  sky130_fd_sc_hd__fa_1 U81742 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[48]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[46]), .CIN(n62679), .COUT(n62752), .SUM(n62739) );
+  sky130_fd_sc_hd__a222oi_1 U81743 ( .A1(n36800), .A2(n62734), .B1(n62733), 
+        .B2(n37026), .C1(n62731), .C2(n62732), .Y(n62680) );
+  sky130_fd_sc_hd__o21ai_0 U81744 ( .A1(n62736), .A2(n37074), .B1(n62680), .Y(
+        n62681) );
+  sky130_fd_sc_hd__xor2_1 U81745 ( .A(n62681), .B(n62737), .X(n62758) );
+  sky130_fd_sc_hd__a222oi_1 U81746 ( .A1(n62726), .A2(n37027), .B1(n62725), 
+        .B2(n37028), .C1(n62723), .C2(n62724), .Y(n62682) );
+  sky130_fd_sc_hd__o21ai_0 U81747 ( .A1(n62728), .A2(n36769), .B1(n62682), .Y(
+        n62683) );
+  sky130_fd_sc_hd__xor2_1 U81748 ( .A(n62683), .B(n62729), .X(n62757) );
+  sky130_fd_sc_hd__fa_1 U81749 ( .A(n62686), .B(n62685), .CIN(n62684), .COUT(
+        n62688), .SUM(n62763) );
+  sky130_fd_sc_hd__fa_1 U81750 ( .A(n62689), .B(n62688), .CIN(n62687), .COUT(
+        n62778), .SUM(n62777) );
+  sky130_fd_sc_hd__nor2_1 U81751 ( .A(n62776), .B(n62777), .Y(n65461) );
+  sky130_fd_sc_hd__nor2_1 U81752 ( .A(n65470), .B(n65461), .Y(n65506) );
+  sky130_fd_sc_hd__nand2_1 U81753 ( .A(n62785), .B(n65506), .Y(n62787) );
+  sky130_fd_sc_hd__fa_1 U81754 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[47]), .B(n62706), .CIN(n62695), .COUT(n62679), .SUM(n62719) );
+  sky130_fd_sc_hd__nand2_1 U81755 ( .A(n62691), .B(n62690), .Y(n62692) );
+  sky130_fd_sc_hd__o21ai_1 U81756 ( .A1(n62694), .A2(n62693), .B1(n62692), .Y(
+        n62696) );
+  sky130_fd_sc_hd__xor2_1 U81757 ( .A(n62696), .B(n62695), .X(n62718) );
+  sky130_fd_sc_hd__a222oi_1 U81758 ( .A1(n62726), .A2(n62724), .B1(n62725), 
+        .B2(n62697), .C1(n62723), .C2(n37032), .Y(n62698) );
+  sky130_fd_sc_hd__xor2_1 U81760 ( .A(n62700), .B(n62729), .X(n62717) );
+  sky130_fd_sc_hd__fa_1 U81761 ( .A(n62703), .B(n62702), .CIN(n62701), .COUT(
+        n62746), .SUM(n62742) );
+  sky130_fd_sc_hd__fa_1 U81762 ( .A(n62706), .B(n62705), .CIN(n62704), .COUT(
+        n62722), .SUM(n62744) );
+  sky130_fd_sc_hd__a222oi_1 U81763 ( .A1(n62734), .A2(n62732), .B1(n62733), 
+        .B2(n37027), .C1(n62731), .C2(n37028), .Y(n62707) );
+  sky130_fd_sc_hd__o21ai_0 U81764 ( .A1(n62736), .A2(n37073), .B1(n62707), .Y(
+        n62708) );
+  sky130_fd_sc_hd__xor2_1 U81765 ( .A(n62708), .B(n62737), .X(n62721) );
+  sky130_fd_sc_hd__a222oi_1 U81766 ( .A1(n62711), .A2(n36800), .B1(n62710), 
+        .B2(n36800), .C1(n62709), .C2(n37026), .Y(n62712) );
+  sky130_fd_sc_hd__o21ai_0 U81767 ( .A1(n62714), .A2(n62713), .B1(n62712), .Y(
+        n62716) );
+  sky130_fd_sc_hd__xor2_1 U81768 ( .A(n62716), .B(n62715), .X(n62720) );
+  sky130_fd_sc_hd__fa_1 U81769 ( .A(n62719), .B(n62718), .CIN(n62717), .COUT(
+        n62750), .SUM(n62747) );
+  sky130_fd_sc_hd__fa_1 U81770 ( .A(n62722), .B(n62721), .CIN(n62720), .COUT(
+        n62749), .SUM(n62745) );
+  sky130_fd_sc_hd__a222oi_1 U81771 ( .A1(n62726), .A2(n37028), .B1(n62725), 
+        .B2(n62724), .C1(n62723), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[2]), .Y(n62727) );
+  sky130_fd_sc_hd__o21ai_0 U81772 ( .A1(n62728), .A2(n38368), .B1(n62727), .Y(
+        n62730) );
+  sky130_fd_sc_hd__xor2_1 U81773 ( .A(n62730), .B(n62729), .X(n62756) );
+  sky130_fd_sc_hd__a222oi_1 U81774 ( .A1(n62734), .A2(n37026), .B1(n62733), 
+        .B2(n62732), .C1(n62731), .C2(n37027), .Y(n62735) );
+  sky130_fd_sc_hd__o21ai_0 U81775 ( .A1(n62736), .A2(n38486), .B1(n62735), .Y(
+        n62738) );
+  sky130_fd_sc_hd__xor2_1 U81776 ( .A(n62738), .B(n62737), .X(n62755) );
+  sky130_fd_sc_hd__nor2_1 U81777 ( .A(n62768), .B(n62769), .Y(n65417) );
+  sky130_fd_sc_hd__fa_1 U81778 ( .A(n62744), .B(n62743), .CIN(n62742), .COUT(
+        n62766), .SUM(n62609) );
+  sky130_fd_sc_hd__fa_1 U81779 ( .A(n62747), .B(n62746), .CIN(n62745), .COUT(
+        n62768), .SUM(n62767) );
+  sky130_fd_sc_hd__nor2_1 U81780 ( .A(n62766), .B(n62767), .Y(n65421) );
+  sky130_fd_sc_hd__nor2_1 U81781 ( .A(n65417), .B(n65421), .Y(n65447) );
+  sky130_fd_sc_hd__fa_1 U81782 ( .A(n62753), .B(n62752), .CIN(n62751), .COUT(
+        n62686), .SUM(n62762) );
+  sky130_fd_sc_hd__fa_1 U81783 ( .A(n62756), .B(n62755), .CIN(n62754), .COUT(
+        n62761), .SUM(n62748) );
+  sky130_fd_sc_hd__fa_1 U81784 ( .A(n62759), .B(n62758), .CIN(n62757), .COUT(
+        n62764), .SUM(n62760) );
+  sky130_fd_sc_hd__nor2_1 U81785 ( .A(n62770), .B(n62771), .Y(n65431) );
+  sky130_fd_sc_hd__fa_1 U81786 ( .A(n62762), .B(n62761), .CIN(n62760), .COUT(
+        n62772), .SUM(n62771) );
+  sky130_fd_sc_hd__nor2_1 U81787 ( .A(n62772), .B(n62773), .Y(n65444) );
+  sky130_fd_sc_hd__nor2_1 U81788 ( .A(n65431), .B(n65444), .Y(n62775) );
+  sky130_fd_sc_hd__nand2_1 U81789 ( .A(n65447), .B(n62775), .Y(n65473) );
+  sky130_fd_sc_hd__nor2_1 U81790 ( .A(n62787), .B(n65473), .Y(n62789) );
+  sky130_fd_sc_hd__nand2_1 U81791 ( .A(n62767), .B(n62766), .Y(n65420) );
+  sky130_fd_sc_hd__nand2_1 U81792 ( .A(n62769), .B(n62768), .Y(n65418) );
+  sky130_fd_sc_hd__nand2_1 U81793 ( .A(n62771), .B(n62770), .Y(n65448) );
+  sky130_fd_sc_hd__nand2_1 U81794 ( .A(n62773), .B(n62772), .Y(n65445) );
+  sky130_fd_sc_hd__o21ai_1 U81795 ( .A1(n65448), .A2(n65444), .B1(n65445), .Y(
+        n62774) );
+  sky130_fd_sc_hd__nand2_1 U81796 ( .A(n62777), .B(n62776), .Y(n65475) );
+  sky130_fd_sc_hd__nand2_1 U81797 ( .A(n62779), .B(n62778), .Y(n65471) );
+  sky130_fd_sc_hd__o21ai_1 U81798 ( .A1(n65475), .A2(n65470), .B1(n65471), .Y(
+        n65509) );
+  sky130_fd_sc_hd__nand2_1 U81799 ( .A(n62781), .B(n62780), .Y(n65510) );
+  sky130_fd_sc_hd__nand2_1 U81800 ( .A(n62783), .B(n62782), .Y(n65504) );
+  sky130_fd_sc_hd__o21ai_1 U81801 ( .A1(n65510), .A2(n65503), .B1(n65504), .Y(
+        n62784) );
+  sky130_fd_sc_hd__a21oi_1 U81802 ( .A1(n62785), .A2(n65509), .B1(n62784), .Y(
+        n62786) );
+  sky130_fd_sc_hd__o21ai_1 U81803 ( .A1(n62787), .A2(n65474), .B1(n62786), .Y(
+        n62788) );
+  sky130_fd_sc_hd__nand2_1 U81804 ( .A(n62792), .B(n62791), .Y(n65707) );
+  sky130_fd_sc_hd__nand2_1 U81805 ( .A(n62794), .B(n62793), .Y(n65705) );
+  sky130_fd_sc_hd__nand2_1 U81806 ( .A(n62796), .B(n62795), .Y(n65736) );
+  sky130_fd_sc_hd__nand2_1 U81807 ( .A(n62798), .B(n62797), .Y(n65733) );
+  sky130_fd_sc_hd__nand2_1 U81809 ( .A(n62802), .B(n62801), .Y(n65762) );
+  sky130_fd_sc_hd__nand2_1 U81810 ( .A(n62804), .B(n62803), .Y(n65758) );
+  sky130_fd_sc_hd__o21ai_1 U81811 ( .A1(n65762), .A2(n65757), .B1(n65758), .Y(
+        n65792) );
+  sky130_fd_sc_hd__nand2_1 U81812 ( .A(n62806), .B(n62805), .Y(n65793) );
+  sky130_fd_sc_hd__nand2_1 U81813 ( .A(n62808), .B(n62807), .Y(n65787) );
+  sky130_fd_sc_hd__o21ai_1 U81814 ( .A1(n65793), .A2(n65786), .B1(n65787), .Y(
+        n62809) );
+  sky130_fd_sc_hd__a21oi_1 U81815 ( .A1(n62810), .A2(n65792), .B1(n62809), .Y(
+        n62811) );
+  sky130_fd_sc_hd__nand2_1 U81816 ( .A(n62814), .B(n62813), .Y(n65824) );
+  sky130_fd_sc_hd__nand2_1 U81817 ( .A(n62816), .B(n62815), .Y(n65822) );
+  sky130_fd_sc_hd__o21ai_1 U81818 ( .A1(n65824), .A2(n65821), .B1(n65822), .Y(
+        n65848) );
+  sky130_fd_sc_hd__nand2_1 U81819 ( .A(n62818), .B(n62817), .Y(n65849) );
+  sky130_fd_sc_hd__nand2_1 U81820 ( .A(n62820), .B(n62819), .Y(n65844) );
+  sky130_fd_sc_hd__o21ai_1 U81821 ( .A1(n65843), .A2(n65849), .B1(n65844), .Y(
+        n62821) );
+  sky130_fd_sc_hd__nand2_1 U81822 ( .A(n62824), .B(n62823), .Y(n65886) );
+  sky130_fd_sc_hd__nand2_1 U81823 ( .A(n62826), .B(n62825), .Y(n65885) );
+  sky130_fd_sc_hd__nand2_1 U81824 ( .A(n62828), .B(n62827), .Y(n65883) );
+  sky130_fd_sc_hd__nand2_1 U81825 ( .A(n62829), .B(n62836), .Y(n69725) );
+  sky130_fd_sc_hd__a21oi_1 U81826 ( .A1(n69730), .A2(n69726), .B1(n62830), .Y(
+        n62831) );
+  sky130_fd_sc_hd__o21a_1 U81827 ( .A1(n65885), .A2(n62832), .B1(n62831), .X(
+        n62833) );
+  sky130_fd_sc_hd__nand2_1 U81829 ( .A(n62836), .B(n62835), .Y(n69713) );
+  sky130_fd_sc_hd__a21oi_1 U81830 ( .A1(n69716), .A2(n69714), .B1(n62837), .Y(
+        n62838) );
+  sky130_fd_sc_hd__o21ai_1 U81831 ( .A1(n62839), .A2(n69734), .B1(n62838), .Y(
+        n62840) );
+  sky130_fd_sc_hd__a21oi_1 U81832 ( .A1(n69738), .A2(n62841), .B1(n62840), .Y(
+        n62842) );
+  sky130_fd_sc_hd__xnor2_1 U81834 ( .A(n62845), .B(n62844), .Y(n62846) );
+  sky130_fd_sc_hd__nor2_1 U81835 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_state[0]), .B(n82472), .Y(n69932) );
+  sky130_fd_sc_hd__nor3_1 U81836 ( .A(n62849), .B(n67265), .C(n62848), .Y(
+        n67088) );
+  sky130_fd_sc_hd__nand2_1 U81837 ( .A(n86753), .B(n67088), .Y(n76432) );
+  sky130_fd_sc_hd__nor2_1 U81838 ( .A(n62853), .B(n67054), .Y(n62857) );
+  sky130_fd_sc_hd__nand2_1 U81839 ( .A(n85667), .B(n86752), .Y(n76380) );
+  sky130_fd_sc_hd__nand2_1 U81840 ( .A(n85833), .B(n86402), .Y(n76482) );
+  sky130_fd_sc_hd__nand2_1 U81841 ( .A(n85589), .B(n86752), .Y(n76439) );
+  sky130_fd_sc_hd__nand2_1 U81842 ( .A(n85571), .B(n37039), .Y(n81360) );
+  sky130_fd_sc_hd__nand2_1 U81843 ( .A(n62859), .B(n62858), .Y(n62860) );
+  sky130_fd_sc_hd__nand2_1 U81844 ( .A(n63499), .B(n62860), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_scale_io_regs_cfg_write_deglitch) );
+  sky130_fd_sc_hd__nor2_2 U81846 ( .A(n83211), .B(n62862), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83) );
+  sky130_fd_sc_hd__nor2_1 U81847 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_isa[2]), .B(n62863), .Y(n62864) );
+  sky130_fd_sc_hd__mux2i_1 U81848 ( .A0(n64212), .A1(n62864), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .Y(n62866) );
+  sky130_fd_sc_hd__mux2_2 U81850 ( .A0(n62868), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[31]), .S(n70191), .X(n86111) );
+  sky130_fd_sc_hd__mux2_2 U81851 ( .A0(n62869), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[25]), .S(n70191), .X(n86112) );
+  sky130_fd_sc_hd__mux2_2 U81852 ( .A0(n62870), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[27]), .S(n70191), .X(n86113) );
+  sky130_fd_sc_hd__mux2_2 U81853 ( .A0(n62871), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[29]), .S(n70191), .X(n86114) );
+  sky130_fd_sc_hd__mux2_2 U81854 ( .A0(n62872), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[10]), .S(n70191), .X(n86123) );
+  sky130_fd_sc_hd__nor2_1 U81855 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[9]), .B(n60015), .Y(n62916) );
+  sky130_fd_sc_hd__ha_1 U81856 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[5]), .B(n62874), .COUT(n62876), .SUM(n62873) );
+  sky130_fd_sc_hd__ha_1 U81857 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[6]), .B(n62876), .COUT(n62878), .SUM(n62875) );
+  sky130_fd_sc_hd__ha_1 U81858 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[7]), .B(n62878), .COUT(n62880), .SUM(n62877) );
+  sky130_fd_sc_hd__ha_1 U81859 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[8]), .B(n62880), .COUT(n62881), .SUM(n62879) );
+  sky130_fd_sc_hd__mux2_2 U81860 ( .A0(n62882), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[16]), .S(n70191), .X(n86130) );
+  sky130_fd_sc_hd__mux2_2 U81861 ( .A0(n62883), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[8]), .S(n70191), .X(n86131) );
+  sky130_fd_sc_hd__ha_1 U81862 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__metaArb_io_in_5_bits_addr_T_1[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__metaArb_io_in_5_bits_addr_T_1[6]), .COUT(n62918), .SUM(n60363) );
+  sky130_fd_sc_hd__mux2_2 U81863 ( .A0(n62885), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[11]), .S(n70191), .X(n86133) );
+  sky130_fd_sc_hd__mux2_2 U81864 ( .A0(n62886), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[9]), .S(n70191), .X(n86134) );
+  sky130_fd_sc_hd__mux2_2 U81865 ( .A0(n62887), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[13]), .S(n70191), .X(n86135) );
+  sky130_fd_sc_hd__mux2_2 U81866 ( .A0(n62888), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[14]), .S(n70191), .X(n86136) );
+  sky130_fd_sc_hd__mux2_2 U81867 ( .A0(n62889), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[18]), .S(n70191), .X(n86137) );
+  sky130_fd_sc_hd__mux2_2 U81868 ( .A0(n62890), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[30]), .S(n70191), .X(n86138) );
+  sky130_fd_sc_hd__mux2_2 U81869 ( .A0(n62891), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[20]), .S(n70191), .X(n86139) );
+  sky130_fd_sc_hd__mux2_2 U81870 ( .A0(n62892), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[22]), .S(n70191), .X(n86140) );
+  sky130_fd_sc_hd__mux2_2 U81871 ( .A0(n62893), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[24]), .S(n70191), .X(n86141) );
+  sky130_fd_sc_hd__mux2_2 U81872 ( .A0(n62894), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[26]), .S(n70191), .X(n86142) );
+  sky130_fd_sc_hd__mux2_2 U81873 ( .A0(n62895), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[28]), .S(n70191), .X(n86143) );
+  sky130_fd_sc_hd__mux2_2 U81874 ( .A0(n62896), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[19]), .S(n70191), .X(n86144) );
+  sky130_fd_sc_hd__mux2_2 U81875 ( .A0(n62897), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[15]), .S(n70191), .X(n86145) );
+  sky130_fd_sc_hd__mux2_2 U81876 ( .A0(n62898), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[17]), .S(n70191), .X(n86146) );
+  sky130_fd_sc_hd__mux2_2 U81877 ( .A0(n62899), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[21]), .S(n70191), .X(n86147) );
+  sky130_fd_sc_hd__nand2_1 U81878 ( .A(n70193), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_action), .Y(n62900) );
+  sky130_fd_sc_hd__nand2_1 U81879 ( .A(n62900), .B(n70196), .Y(n62901) );
+  sky130_fd_sc_hd__mux2_2 U81880 ( .A0(n85752), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[21]), .S(n73340), .X(n86150) );
+  sky130_fd_sc_hd__mux2_2 U81881 ( .A0(n85752), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[21]), .S(n76241), .X(n86151) );
+  sky130_fd_sc_hd__mux2_2 U81882 ( .A0(n85752), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[21]), .S(n76239), .X(n86152) );
+  sky130_fd_sc_hd__mux2_2 U81883 ( .A0(n85752), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[21]), .S(n37259), .X(n86153) );
+  sky130_fd_sc_hd__mux2_2 U81884 ( .A0(n85490), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[7]), .S(n73340), .X(n86154) );
+  sky130_fd_sc_hd__mux2_2 U81885 ( .A0(n85490), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[7]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .X(n86155) );
+  sky130_fd_sc_hd__mux2_2 U81886 ( .A0(n85490), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[7]), .S(n76239), .X(n86156) );
+  sky130_fd_sc_hd__mux2_2 U81887 ( .A0(n85490), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[7]), .S(n37259), .X(n86157) );
+  sky130_fd_sc_hd__mux2_2 U81888 ( .A0(n85491), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[26]), .S(n73340), .X(n86158) );
+  sky130_fd_sc_hd__mux2_2 U81889 ( .A0(n85491), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[26]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .X(n86159) );
+  sky130_fd_sc_hd__mux2_2 U81890 ( .A0(n85491), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[26]), .S(n76239), .X(n86160) );
+  sky130_fd_sc_hd__mux2_2 U81891 ( .A0(n85491), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[26]), .S(n37259), .X(n86161) );
+  sky130_fd_sc_hd__mux2_2 U81892 ( .A0(n85753), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[29]), .S(n73340), .X(n86162) );
+  sky130_fd_sc_hd__mux2_2 U81893 ( .A0(n85753), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[29]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .X(n86163) );
+  sky130_fd_sc_hd__mux2_2 U81894 ( .A0(n85753), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[29]), .S(n76239), .X(n86164) );
+  sky130_fd_sc_hd__mux2_2 U81895 ( .A0(n85753), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[29]), .S(n37259), .X(n86165) );
+  sky130_fd_sc_hd__mux2_2 U81896 ( .A0(n85492), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[12]), .S(n73340), .X(n86166) );
+  sky130_fd_sc_hd__mux2_2 U81897 ( .A0(n85492), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[12]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .X(n86167) );
+  sky130_fd_sc_hd__mux2_2 U81898 ( .A0(n85492), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[12]), .S(n76239), .X(n86168) );
+  sky130_fd_sc_hd__mux2_2 U81899 ( .A0(n85492), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[12]), .S(n37259), .X(n86169) );
+  sky130_fd_sc_hd__mux2_2 U81900 ( .A0(n85501), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[4]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .X(n86170) );
+  sky130_fd_sc_hd__mux2_2 U81901 ( .A0(n85505), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[31]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .X(n86171) );
+  sky130_fd_sc_hd__mux2_2 U81902 ( .A0(n85506), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[30]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .X(n86172) );
+  sky130_fd_sc_hd__mux2_2 U81903 ( .A0(n85514), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[1]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .X(n86173) );
+  sky130_fd_sc_hd__mux2_2 U81904 ( .A0(n85509), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[15]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .X(n86174) );
+  sky130_fd_sc_hd__mux2_2 U81905 ( .A0(n85504), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[16]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .X(n86175) );
+  sky130_fd_sc_hd__mux2_2 U81906 ( .A0(n85493), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[5]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .X(n86177) );
+  sky130_fd_sc_hd__mux2_2 U81907 ( .A0(n85493), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[5]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .X(n86178) );
+  sky130_fd_sc_hd__mux2_2 U81908 ( .A0(n85493), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[5]), .S(n37259), .X(n86179) );
+  sky130_fd_sc_hd__mux2_2 U81909 ( .A0(n85501), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[4]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .X(n86180) );
+  sky130_fd_sc_hd__mux2_2 U81910 ( .A0(n85501), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[4]), .S(n37259), .X(n86181) );
+  sky130_fd_sc_hd__mux2_2 U81911 ( .A0(n85503), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[3]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .X(n86182) );
+  sky130_fd_sc_hd__mux2_2 U81912 ( .A0(n85503), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[3]), .S(n37259), .X(n86183) );
+  sky130_fd_sc_hd__mux2_2 U81913 ( .A0(n85494), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[27]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .X(n86184) );
+  sky130_fd_sc_hd__mux2_2 U81914 ( .A0(n85494), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[27]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .X(n86185) );
+  sky130_fd_sc_hd__mux2_2 U81915 ( .A0(n85494), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[27]), .S(n37259), .X(n86186) );
+  sky130_fd_sc_hd__mux2_2 U81916 ( .A0(n85495), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[25]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .X(n86187) );
+  sky130_fd_sc_hd__mux2_2 U81917 ( .A0(n85495), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[25]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .X(n86188) );
+  sky130_fd_sc_hd__mux2_2 U81918 ( .A0(n85495), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[25]), .S(n37259), .X(n86189) );
+  sky130_fd_sc_hd__mux2_2 U81919 ( .A0(n85496), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[24]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .X(n86190) );
+  sky130_fd_sc_hd__mux2_2 U81920 ( .A0(n85496), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[24]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .X(n86191) );
+  sky130_fd_sc_hd__mux2_2 U81921 ( .A0(n85496), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[24]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .X(n86192) );
+  sky130_fd_sc_hd__mux2_2 U81922 ( .A0(n85497), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[23]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .X(n86193) );
+  sky130_fd_sc_hd__mux2_2 U81923 ( .A0(n85497), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[23]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .X(n86194) );
+  sky130_fd_sc_hd__mux2_2 U81924 ( .A0(n85497), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[23]), .S(n37259), .X(n86195) );
+  sky130_fd_sc_hd__mux2_2 U81925 ( .A0(n85498), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[19]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .X(n86196) );
+  sky130_fd_sc_hd__mux2_2 U81926 ( .A0(n85498), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[19]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .X(n86197) );
+  sky130_fd_sc_hd__mux2_2 U81927 ( .A0(n85498), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[19]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .X(n86198) );
+  sky130_fd_sc_hd__mux2_2 U81928 ( .A0(n85502), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[18]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .X(n86199) );
+  sky130_fd_sc_hd__mux2_2 U81929 ( .A0(n85502), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[18]), .S(n37259), .X(n86200) );
+  sky130_fd_sc_hd__mux2_2 U81930 ( .A0(n85499), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[2]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .X(n86201) );
+  sky130_fd_sc_hd__mux2_2 U81931 ( .A0(n85499), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[2]), .S(n76241), .X(n86202) );
+  sky130_fd_sc_hd__mux2_2 U81932 ( .A0(n85499), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[2]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .X(n86203) );
+  sky130_fd_sc_hd__mux2_2 U81933 ( .A0(n85500), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[9]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .X(n86204) );
+  sky130_fd_sc_hd__mux2_2 U81934 ( .A0(n85513), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[11]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .X(n86205) );
+  sky130_fd_sc_hd__mux2_2 U81935 ( .A0(n85509), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[15]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .X(n86206) );
+  sky130_fd_sc_hd__mux2_2 U81936 ( .A0(n85504), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[16]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .X(n86207) );
+  sky130_fd_sc_hd__mux2_2 U81937 ( .A0(n85516), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[8]), .S(n76241), .X(n86208) );
+  sky130_fd_sc_hd__mux2_2 U81938 ( .A0(n85500), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[9]), .S(n76241), .X(n86209) );
+  sky130_fd_sc_hd__mux2_2 U81939 ( .A0(n85518), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[10]), .S(n76241), .X(n86210) );
+  sky130_fd_sc_hd__mux2_2 U81940 ( .A0(n85513), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[11]), .S(n76241), .X(n86211) );
+  sky130_fd_sc_hd__mux2_2 U81941 ( .A0(n85516), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[8]), .S(n37259), .X(n86212) );
+  sky130_fd_sc_hd__mux2_2 U81942 ( .A0(n85500), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[9]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .X(n86213) );
+  sky130_fd_sc_hd__mux2_2 U81943 ( .A0(n85493), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[5]), .S(n76239), .X(n86214) );
+  sky130_fd_sc_hd__mux2_2 U81944 ( .A0(n85503), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[3]), .S(n76239), .X(n86215) );
+  sky130_fd_sc_hd__mux2_2 U81945 ( .A0(n85494), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[27]), .S(n76239), .X(n86216) );
+  sky130_fd_sc_hd__mux2_2 U81946 ( .A0(n85495), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[25]), .S(n76239), .X(n86217) );
+  sky130_fd_sc_hd__mux2_2 U81947 ( .A0(n85496), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[24]), .S(n76239), .X(n86218) );
+  sky130_fd_sc_hd__mux2_2 U81948 ( .A0(n85497), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[23]), .S(n76239), .X(n86219) );
+  sky130_fd_sc_hd__mux2_2 U81949 ( .A0(n85507), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[20]), .S(n76239), .X(n86220) );
+  sky130_fd_sc_hd__mux2_2 U81950 ( .A0(n85498), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[19]), .S(n76239), .X(n86221) );
+  sky130_fd_sc_hd__mux2_2 U81951 ( .A0(n85502), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[18]), .S(n76239), .X(n86222) );
+  sky130_fd_sc_hd__mux2_2 U81952 ( .A0(n85499), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[2]), .S(n76239), .X(n86223) );
+  sky130_fd_sc_hd__mux2_2 U81953 ( .A0(n85516), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[8]), .S(n76239), .X(n86224) );
+  sky130_fd_sc_hd__mux2_2 U81954 ( .A0(n85500), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[9]), .S(n76239), .X(n86225) );
+  sky130_fd_sc_hd__mux2_2 U81955 ( .A0(n85513), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[11]), .S(n76239), .X(n86226) );
+  sky130_fd_sc_hd__mux2_2 U81956 ( .A0(n85501), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[4]), .S(n76241), .X(n86227) );
+  sky130_fd_sc_hd__mux2_2 U81957 ( .A0(n85505), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[31]), .S(n76241), .X(n86228) );
+  sky130_fd_sc_hd__mux2_2 U81958 ( .A0(n85506), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[30]), .S(n76241), .X(n86229) );
+  sky130_fd_sc_hd__mux2_2 U81959 ( .A0(n85507), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[20]), .S(n76241), .X(n86230) );
+  sky130_fd_sc_hd__mux2_2 U81960 ( .A0(n85502), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[18]), .S(n76241), .X(n86231) );
+  sky130_fd_sc_hd__mux2_2 U81961 ( .A0(n85509), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[15]), .S(n76241), .X(n86233) );
+  sky130_fd_sc_hd__mux2_2 U81962 ( .A0(n85504), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[16]), .S(n76241), .X(n86234) );
+  sky130_fd_sc_hd__mux2_2 U81963 ( .A0(n85503), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[3]), .S(n73340), .X(n86236) );
+  sky130_fd_sc_hd__mux2_2 U81964 ( .A0(n85505), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[31]), .S(n73340), .X(n86237) );
+  sky130_fd_sc_hd__mux2_2 U81965 ( .A0(n85506), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[30]), .S(n73340), .X(n86238) );
+  sky130_fd_sc_hd__mux2_2 U81966 ( .A0(n85507), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[20]), .S(n73340), .X(n86239) );
+  sky130_fd_sc_hd__mux2_2 U81967 ( .A0(n85514), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[1]), .S(n73340), .X(n86240) );
+  sky130_fd_sc_hd__mux2_2 U81968 ( .A0(n85504), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[16]), .S(n37259), .X(n86244) );
+  sky130_fd_sc_hd__mux2_2 U81969 ( .A0(n85505), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[31]), .S(n37259), .X(n86245) );
+  sky130_fd_sc_hd__mux2_2 U81970 ( .A0(n85506), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[30]), .S(n37259), .X(n86246) );
+  sky130_fd_sc_hd__mux2_2 U81971 ( .A0(n85507), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[20]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .X(n86247) );
+  sky130_fd_sc_hd__mux2_2 U81972 ( .A0(n85514), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[1]), .S(n37259), .X(n86248) );
+  sky130_fd_sc_hd__mux2_2 U81973 ( .A0(n85509), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[15]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .X(n86249) );
+  sky130_fd_sc_hd__mux2_2 U81974 ( .A0(n85511), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[22]), .S(n73340), .X(n86250) );
+  sky130_fd_sc_hd__mux2_2 U81975 ( .A0(n85511), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[22]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .X(n86251) );
+  sky130_fd_sc_hd__mux2_2 U81976 ( .A0(n85511), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[22]), .S(n76239), .X(n86252) );
+  sky130_fd_sc_hd__mux2_2 U81977 ( .A0(n85511), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[22]), .S(n37259), .X(n86253) );
+  sky130_fd_sc_hd__mux2_2 U81978 ( .A0(n85512), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[28]), .S(n73340), .X(n86254) );
+  sky130_fd_sc_hd__mux2_2 U81979 ( .A0(n85512), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[28]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .X(n86255) );
+  sky130_fd_sc_hd__mux2_2 U81980 ( .A0(n85512), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[28]), .S(n76239), .X(n86256) );
+  sky130_fd_sc_hd__mux2_2 U81981 ( .A0(n85512), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[28]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .X(n86257) );
+  sky130_fd_sc_hd__mux2_2 U81982 ( .A0(n85513), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[11]), .S(n37259), .X(n86258) );
+  sky130_fd_sc_hd__mux2_2 U81983 ( .A0(n85515), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[6]), .S(n76239), .X(n86259) );
+  sky130_fd_sc_hd__mux2_2 U81984 ( .A0(n85518), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_data[10]), .S(n76239), .X(n86260) );
+  sky130_fd_sc_hd__mux2_2 U81985 ( .A0(n85515), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[6]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .X(n86261) );
+  sky130_fd_sc_hd__mux2_2 U81986 ( .A0(n85514), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_data[1]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .X(n86262) );
+  sky130_fd_sc_hd__mux2_2 U81987 ( .A0(n85515), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[6]), .S(n73340), .X(n86263) );
+  sky130_fd_sc_hd__mux2_2 U81988 ( .A0(n85515), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[6]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .X(n86264) );
+  sky130_fd_sc_hd__mux2_2 U81989 ( .A0(n85516), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[8]), .S(n73340), .X(n86265) );
+  sky130_fd_sc_hd__mux2_2 U81990 ( .A0(n85518), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_data[10]), .S(n73340), .X(n86266) );
+  sky130_fd_sc_hd__mux2_2 U81991 ( .A0(n85518), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_data[10]), .S(n37259), .X(n86268) );
+  sky130_fd_sc_hd__nor3_1 U81992 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_out_f_data_0), 
+        .B(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_arbLost), 
+        .C(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cmdAck), 
+        .Y(n62904) );
+  sky130_fd_sc_hd__nor2_1 U81993 ( .A(n62904), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__nextCmd_T_0), 
+        .Y(n62905) );
+  sky130_fd_sc_hd__a21o_1 U81994 ( .A1(n76260), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_out_f_data[5]), 
+        .B1(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_arbLost), 
+        .X(n62906) );
+  sky130_fd_sc_hd__nor2_1 U81995 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__nextCmd_T[4]), 
+        .B(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__nextCmd_T[5]), .Y(n79492) );
+  sky130_fd_sc_hd__nor3_1 U81996 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_out_f_data_0), 
+        .B(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_arbLost), .C(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cmdAck), .Y(
+        n62908) );
+  sky130_fd_sc_hd__nor2_1 U81997 ( .A(n62908), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__nextCmd_T_0), 
+        .Y(n62909) );
+  sky130_fd_sc_hd__ha_1 U81998 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[0]), .COUT(n62911), .SUM(n62910) );
+  sky130_fd_sc_hd__ha_1 U81999 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[2]), .B(n62911), .COUT(n62913), .SUM(n62912) );
+  sky130_fd_sc_hd__ha_1 U82000 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[3]), .B(n62913), .COUT(n62915), .SUM(n62914) );
+  sky130_fd_sc_hd__ha_1 U82001 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[4]), .B(n62915), .COUT(n62874), .SUM(n62917) );
+  sky130_fd_sc_hd__ha_1 U82002 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__metaArb_io_in_5_bits_addr_T_1[8]), .B(n62918), .COUT(n62920), .SUM(n62919) );
+  sky130_fd_sc_hd__ha_1 U82003 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__metaArb_io_in_5_bits_addr_T_1[9]), .B(n62920), .COUT(n62922), .SUM(n62921) );
+  sky130_fd_sc_hd__ha_1 U82004 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__metaArb_io_in_5_bits_addr_T_1[10]), .B(n62922), .COUT(n63867), .SUM(n62923) );
+  sky130_fd_sc_hd__nand2_1 U82006 ( .A(n73253), .B(n62926), .Y(n63743) );
+  sky130_fd_sc_hd__nand2_1 U82007 ( .A(n62927), .B(n64910), .Y(n63742) );
+  sky130_fd_sc_hd__nand2_1 U82008 ( .A(n73253), .B(n62928), .Y(n62929) );
+  sky130_fd_sc_hd__nand3_1 U82009 ( .A(n63743), .B(n63742), .C(n62929), .Y(
+        n62932) );
+  sky130_fd_sc_hd__and3_1 U82010 ( .A(n62932), .B(n62931), .C(n62930), .X(
+        n62934) );
+  sky130_fd_sc_hd__buf_4 U82011 ( .A(n86300), .X(n86298) );
+  sky130_fd_sc_hd__buf_4 U82012 ( .A(n86300), .X(n86299) );
+  sky130_fd_sc_hd__nand2_1 U82013 ( .A(n68028), .B(n62942), .Y(n67889) );
+  sky130_fd_sc_hd__nor2_1 U82014 ( .A(n68029), .B(n67889), .Y(n86563) );
+  sky130_fd_sc_hd__nand2_1 U82015 ( .A(n81064), .B(n81076), .Y(n81073) );
+  sky130_fd_sc_hd__nor2_2 U82016 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_MPORT_addr[2]), .B(n62950), .Y(n86302) );
+  sky130_fd_sc_hd__nor2_2 U82017 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_MPORT_addr[2]), .B(n62951), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N33) );
+  sky130_fd_sc_hd__a21oi_1 U82018 ( .A1(n85521), .A2(n86596), .B1(n62954), .Y(
+        n62955) );
+  sky130_fd_sc_hd__o21ai_2 U82019 ( .A1(n62971), .A2(n62956), .B1(n62955), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_addr[3]) );
+  sky130_fd_sc_hd__nor2_2 U82020 ( .A(n81221), .B(n62957), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N28) );
+  sky130_fd_sc_hd__nor2_1 U82021 ( .A(n62959), .B(n67892), .Y(n86530) );
+  sky130_fd_sc_hd__o211ai_1 U82022 ( .A1(n62961), .A2(n65106), .B1(n63933), 
+        .C1(n62960), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_ctrl_decoder_11[2]) );
+  sky130_fd_sc_hd__nor2_1 U82023 ( .A(n62963), .B(n67892), .Y(n86538) );
+  sky130_fd_sc_hd__nor2_1 U82024 ( .A(n62964), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[3]), .Y(
+        n62972) );
+  sky130_fd_sc_hd__nand2_1 U82025 ( .A(n66861), .B(n67676), .Y(n68032) );
+  sky130_fd_sc_hd__nand2_1 U82026 ( .A(n68028), .B(n62965), .Y(n67720) );
+  sky130_fd_sc_hd__nor2_1 U82027 ( .A(n68032), .B(n67720), .Y(n86544) );
+  sky130_fd_sc_hd__nor2_1 U82028 ( .A(n68030), .B(n67720), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_68) );
+  sky130_fd_sc_hd__nor2_1 U82029 ( .A(n73322), .B(n62966), .Y(n70525) );
+  sky130_fd_sc_hd__a22oi_1 U82030 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[8]), .B1(n86595), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[8]), .Y(n62967) );
+  sky130_fd_sc_hd__a21oi_1 U82032 ( .A1(n70525), .A2(n36843), .B1(n62969), .Y(
+        n62970) );
+  sky130_fd_sc_hd__o21ai_1 U82033 ( .A1(n62971), .A2(n86725), .B1(n62970), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_addr[6]) );
+  sky130_fd_sc_hd__and3_1 U82034 ( .A(n68028), .B(n62972), .C(n67678), .X(
+        n66860) );
+  sky130_fd_sc_hd__nand2_1 U82035 ( .A(n66860), .B(n67676), .Y(n68033) );
+  sky130_fd_sc_hd__nor2_1 U82036 ( .A(n67680), .B(n68033), .Y(n86545) );
+  sky130_fd_sc_hd__nand2_1 U82037 ( .A(n76253), .B(n81306), .Y(n81302) );
+  sky130_fd_sc_hd__nor2_1 U82038 ( .A(n68031), .B(n67720), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_116) );
+  sky130_fd_sc_hd__nor2_1 U82039 ( .A(n62975), .B(n62974), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_1_io_dev[4]) );
+  sky130_fd_sc_hd__nor2_1 U82040 ( .A(n78313), .B(n63290), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N167) );
+  sky130_fd_sc_hd__xnor2_1 U82041 ( .A(n62978), .B(n36832), .Y(n62987) );
+  sky130_fd_sc_hd__xnor2_1 U82042 ( .A(n62989), .B(n62979), .Y(n62982) );
+  sky130_fd_sc_hd__xnor2_1 U82043 ( .A(n64307), .B(n62980), .Y(n62981) );
+  sky130_fd_sc_hd__nor2_1 U82044 ( .A(n62982), .B(n62981), .Y(n62986) );
+  sky130_fd_sc_hd__xnor2_1 U82045 ( .A(n64302), .B(n62983), .Y(n62985) );
+  sky130_fd_sc_hd__xnor2_1 U82046 ( .A(n64310), .B(n62998), .Y(n62984) );
+  sky130_fd_sc_hd__nand4_1 U82047 ( .A(n62987), .B(n62986), .C(n62985), .D(
+        n62984), .Y(n62993) );
+  sky130_fd_sc_hd__a21o_1 U82048 ( .A1(n62992), .A2(n62991), .B1(n62990), .X(
+        n64315) );
+  sky130_fd_sc_hd__nor2_1 U82049 ( .A(n62993), .B(n64315), .Y(n63049) );
+  sky130_fd_sc_hd__nor2_1 U82050 ( .A(n62994), .B(n64281), .Y(n63151) );
+  sky130_fd_sc_hd__inv_4 U82051 ( .A(n70078), .Y(n70119) );
+  sky130_fd_sc_hd__a22oi_1 U82052 ( .A1(n64374), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[309]), .B1(n64378), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[469]), .Y(n63007) );
+  sky130_fd_sc_hd__nand2_1 U82053 ( .A(n63011), .B(n62998), .Y(n63024) );
+  sky130_fd_sc_hd__a22oi_1 U82055 ( .A1(n64377), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[661]), .B1(n64261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[885]), .Y(n63006) );
+  sky130_fd_sc_hd__a22oi_1 U82056 ( .A1(n64379), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[117]), .B1(n64376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[405]), .Y(n63005) );
+  sky130_fd_sc_hd__inv_2 U82057 ( .A(n63002), .Y(n64262) );
+  sky130_fd_sc_hd__a22oi_1 U82058 ( .A1(n64262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[213]), .B1(n64263), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[629]), .Y(n63004) );
+  sky130_fd_sc_hd__nand4_1 U82059 ( .A(n63007), .B(n63006), .C(n63005), .D(
+        n63004), .Y(n63045) );
+  sky130_fd_sc_hd__a22oi_1 U82060 ( .A1(n69566), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[85]), .B1(n64384), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[533]), .Y(n63016) );
+  sky130_fd_sc_hd__nand2_1 U82061 ( .A(n63011), .B(n63010), .Y(n63022) );
+  sky130_fd_sc_hd__nor2_1 U82062 ( .A(n63036), .B(n63022), .Y(n66525) );
+  sky130_fd_sc_hd__a22oi_1 U82063 ( .A1(n64385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[693]), .B1(n66525), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[821]), .Y(n63015) );
+  sky130_fd_sc_hd__a22oi_1 U82064 ( .A1(n63012), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[181]), .B1(n64386), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[245]), .Y(n63014) );
+  sky130_fd_sc_hd__nand3_1 U82065 ( .A(n63016), .B(n63015), .C(n63014), .Y(
+        n63044) );
+  sky130_fd_sc_hd__a22oi_1 U82068 ( .A1(n37076), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[949]), .B1(n66629), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[853]), .Y(n63020) );
+  sky130_fd_sc_hd__a22oi_1 U82069 ( .A1(n64394), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[149]), .B1(n64395), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[373]), .Y(n63019) );
+  sky130_fd_sc_hd__nand2_1 U82070 ( .A(n63020), .B(n63019), .Y(n63034) );
+  sky130_fd_sc_hd__nand2b_1 U82071 ( .A_N(n63022), .B(n36821), .Y(n64373) );
+  sky130_fd_sc_hd__a22oi_1 U82072 ( .A1(n64442), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[981]), .B1(n70101), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[757]), .Y(n63032) );
+  sky130_fd_sc_hd__a22oi_1 U82073 ( .A1(n64392), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[789]), .B1(n66620), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[917]), .Y(n63031) );
+  sky130_fd_sc_hd__inv_2 U82074 ( .A(n63025), .Y(n64396) );
+  sky130_fd_sc_hd__a22oi_1 U82075 ( .A1(n64396), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[725]), .B1(n64254), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[437]), .Y(n63030) );
+  sky130_fd_sc_hd__inv_2 U82076 ( .A(n63027), .Y(n64393) );
+  sky130_fd_sc_hd__a22oi_1 U82077 ( .A1(n64393), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[341]), .B1(n64375), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[277]), .Y(n63029) );
+  sky130_fd_sc_hd__nand4_1 U82078 ( .A(n63032), .B(n63031), .C(n63030), .D(
+        n63029), .Y(n63033) );
+  sky130_fd_sc_hd__a211oi_1 U82079 ( .A1(n69311), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[21]), .B1(n63034), .C1(n63033), .Y(n63042) );
+  sky130_fd_sc_hd__a22oi_1 U82080 ( .A1(n70107), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[53]), .B1(n64403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[565]), .Y(n63041) );
+  sky130_fd_sc_hd__a22oi_1 U82081 ( .A1(n70059), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[597]), .B1(n70058), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[501]), .Y(n63040) );
+  sky130_fd_sc_hd__nand3_1 U82082 ( .A(n63042), .B(n63041), .C(n63040), .Y(
+        n63043) );
+  sky130_fd_sc_hd__nor3_1 U82083 ( .A(n63045), .B(n63044), .C(n63043), .Y(
+        n63054) );
+  sky130_fd_sc_hd__nand4_1 U82084 ( .A(n63151), .B(n63048), .C(n63047), .D(
+        n63046), .Y(n63050) );
+  sky130_fd_sc_hd__o22ai_1 U82085 ( .A1(n63054), .A2(n70071), .B1(n63053), 
+        .B2(n70115), .Y(n63055) );
+  sky130_fd_sc_hd__a21o_1 U82086 ( .A1(n70119), .A2(n85551), .B1(n63055), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N576) );
+  sky130_fd_sc_hd__nand2_1 U82087 ( .A(n73260), .B(n63056), .Y(n73151) );
+  sky130_fd_sc_hd__o22ai_1 U82088 ( .A1(n73256), .A2(n63058), .B1(n37899), 
+        .B2(n73151), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N18) );
+  sky130_fd_sc_hd__nand2_1 U82089 ( .A(n73152), .B(n63057), .Y(n63059) );
+  sky130_fd_sc_hd__buf_6 U82090 ( .A(n63061), .X(n86425) );
+  sky130_fd_sc_hd__buf_6 U82091 ( .A(n63061), .X(n86426) );
+  sky130_fd_sc_hd__buf_6 U82092 ( .A(n63061), .X(n86427) );
+  sky130_fd_sc_hd__buf_6 U82093 ( .A(n63061), .X(n86428) );
+  sky130_fd_sc_hd__buf_6 U82094 ( .A(n63061), .X(n86429) );
+  sky130_fd_sc_hd__buf_6 U82095 ( .A(n63061), .X(n86430) );
+  sky130_fd_sc_hd__nand2_1 U82096 ( .A(n63063), .B(n63062), .Y(n63068) );
+  sky130_fd_sc_hd__nand2_1 U82097 ( .A(n63065), .B(n63064), .Y(n63067) );
+  sky130_fd_sc_hd__nand4_4 U82098 ( .A(n63069), .B(n63068), .C(n63067), .D(
+        n38409), .Y(n63083) );
+  sky130_fd_sc_hd__nand2_1 U82099 ( .A(n63070), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_ip_doSticky), 
+        .Y(n67809) );
+  sky130_fd_sc_hd__nand2_1 U82100 ( .A(n68083), .B(n63071), .Y(n63127) );
+  sky130_fd_sc_hd__xor2_1 U82101 ( .A(n63083), .B(n63072), .X(n63121) );
+  sky130_fd_sc_hd__xor2_1 U82102 ( .A(n63083), .B(n68150), .X(n63119) );
+  sky130_fd_sc_hd__xor2_1 U82103 ( .A(n63083), .B(n68085), .X(n63117) );
+  sky130_fd_sc_hd__xor2_1 U82104 ( .A(n63083), .B(n68135), .X(n63115) );
+  sky130_fd_sc_hd__xor2_1 U82105 ( .A(n63083), .B(n68087), .X(n63074) );
+  sky130_fd_sc_hd__a21oi_1 U82106 ( .A1(n68127), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[8]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[9]), 
+        .Y(n63106) );
+  sky130_fd_sc_hd__xor2_1 U82107 ( .A(n63083), .B(n68090), .X(n63103) );
+  sky130_fd_sc_hd__nand2_1 U82108 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[9]), 
+        .B(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[8]), .Y(n63078) );
+  sky130_fd_sc_hd__a21oi_1 U82109 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[7]), 
+        .A2(n63103), .B1(n68131), .Y(n63077) );
+  sky130_fd_sc_hd__xnor2_1 U82110 ( .A(n63131), .B(n68086), .Y(n63073) );
+  sky130_fd_sc_hd__nor2_1 U82111 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[8]), 
+        .B(n63073), .Y(n63081) );
+  sky130_fd_sc_hd__nor2_1 U82112 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[9]), 
+        .B(n63074), .Y(n63080) );
+  sky130_fd_sc_hd__a211o_1 U82113 ( .A1(n63075), .A2(n63078), .B1(n63081), 
+        .C1(n63080), .X(n63076) );
+  sky130_fd_sc_hd__a211o_1 U82114 ( .A1(n63079), .A2(n63078), .B1(n63077), 
+        .C1(n63076), .X(n63105) );
+  sky130_fd_sc_hd__nor2_1 U82115 ( .A(n63081), .B(n63080), .Y(n63102) );
+  sky130_fd_sc_hd__xor2_1 U82116 ( .A(n63083), .B(n68107), .X(n63100) );
+  sky130_fd_sc_hd__xor2_1 U82117 ( .A(n63083), .B(n68108), .X(n63098) );
+  sky130_fd_sc_hd__xor2_1 U82118 ( .A(n63083), .B(n68109), .X(n63096) );
+  sky130_fd_sc_hd__xor2_1 U82119 ( .A(n63083), .B(n68091), .X(n63082) );
+  sky130_fd_sc_hd__clkinv_1 U82120 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[3]), 
+        .Y(n63093) );
+  sky130_fd_sc_hd__xor2_1 U82121 ( .A(n63131), .B(n68092), .X(n63090) );
+  sky130_fd_sc_hd__o22ai_1 U82122 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[3]), 
+        .A2(n63082), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[2]), 
+        .B2(n63090), .Y(n63092) );
+  sky130_fd_sc_hd__xnor2_1 U82123 ( .A(n67817), .B(n63083), .Y(n63085) );
+  sky130_fd_sc_hd__inv_1 U82124 ( .A(n63085), .Y(n63088) );
+  sky130_fd_sc_hd__clkinv_1 U82125 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[1]), 
+        .Y(n63087) );
+  sky130_fd_sc_hd__xnor2_1 U82126 ( .A(n63083), .B(n68095), .Y(n63084) );
+  sky130_fd_sc_hd__a21oi_1 U82127 ( .A1(n63088), .A2(n63087), .B1(n63086), .Y(
+        n63089) );
+  sky130_fd_sc_hd__a21oi_1 U82128 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[2]), 
+        .A2(n63090), .B1(n63089), .Y(n63091) );
+  sky130_fd_sc_hd__o22ai_1 U82129 ( .A1(n63094), .A2(n63093), .B1(n63092), 
+        .B2(n63091), .Y(n63095) );
+  sky130_fd_sc_hd__fah_1 U82130 ( .A(n63096), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[4]), 
+        .CI(n63095), .COUT(n63097), .SUM() );
+  sky130_fd_sc_hd__fah_1 U82131 ( .A(n63098), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[5]), 
+        .CI(n63097), .COUT(n63099), .SUM() );
+  sky130_fd_sc_hd__fah_1 U82132 ( .A(n63100), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[6]), 
+        .CI(n63099), .COUT(n63101), .SUM() );
+  sky130_fd_sc_hd__o211ai_1 U82133 ( .A1(n63107), .A2(n63106), .B1(n63105), 
+        .C1(n63104), .Y(n63110) );
+  sky130_fd_sc_hd__xnor2_1 U82134 ( .A(n63131), .B(n68136), .Y(n63111) );
+  sky130_fd_sc_hd__nand2b_1 U82135 ( .A_N(n63111), .B(n63108), .Y(n63109) );
+  sky130_fd_sc_hd__nand2_1 U82136 ( .A(n63110), .B(n63109), .Y(n63113) );
+  sky130_fd_sc_hd__nand2_1 U82137 ( .A(n63111), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[10]), 
+        .Y(n63112) );
+  sky130_fd_sc_hd__nand2_1 U82138 ( .A(n63113), .B(n63112), .Y(n63114) );
+  sky130_fd_sc_hd__fah_1 U82140 ( .A(n63117), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[12]), 
+        .CI(n63116), .COUT(n63118), .SUM() );
+  sky130_fd_sc_hd__fah_1 U82141 ( .A(n63119), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[13]), 
+        .CI(n63118), .COUT(n63120), .SUM() );
+  sky130_fd_sc_hd__o21ai_1 U82142 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[14]), 
+        .A2(n63121), .B1(n63120), .Y(n63125) );
+  sky130_fd_sc_hd__nand2_1 U82143 ( .A(n63121), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[14]), 
+        .Y(n63124) );
+  sky130_fd_sc_hd__nor3_1 U82144 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[15]), 
+        .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[17]), .C(n63122), .Y(n63123) );
+  sky130_fd_sc_hd__a21oi_1 U82145 ( .A1(n63125), .A2(n63124), .B1(n63123), .Y(
+        n63126) );
+  sky130_fd_sc_hd__a21oi_1 U82146 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[15]), 
+        .A2(n63127), .B1(n63126), .Y(n63128) );
+  sky130_fd_sc_hd__mux2i_1 U82147 ( .A0(n63128), .A1(n79913), .S(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__gang_T_3), 
+        .Y(n63129) );
+  sky130_fd_sc_hd__o31ai_1 U82148 ( .A1(n63131), .A2(n67809), .A3(n63130), 
+        .B1(n63129), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N67) );
+  sky130_fd_sc_hd__a21oi_1 U82149 ( .A1(n65105), .A2(n63132), .B1(n64167), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_ctrl_decoder_15[1]) );
+  sky130_fd_sc_hd__nor2_1 U82150 ( .A(n64167), .B(n63133), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_ctrl_decoder_15[2]) );
+  sky130_fd_sc_hd__nor2_1 U82151 ( .A(n64167), .B(n63134), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N1130) );
+  sky130_fd_sc_hd__nor2_1 U82152 ( .A(n83767), .B(n83773), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_N36) );
+  sky130_fd_sc_hd__nand2_1 U82153 ( .A(n72747), .B(n76241), .Y(n63136) );
+  sky130_fd_sc_hd__buf_6 U82154 ( .A(n63138), .X(n86438) );
+  sky130_fd_sc_hd__buf_6 U82155 ( .A(n63138), .X(n86439) );
+  sky130_fd_sc_hd__buf_6 U82156 ( .A(n63138), .X(n86440) );
+  sky130_fd_sc_hd__buf_6 U82157 ( .A(n63138), .X(n86441) );
+  sky130_fd_sc_hd__buf_6 U82158 ( .A(n63138), .X(n86442) );
+  sky130_fd_sc_hd__buf_6 U82159 ( .A(n63138), .X(n86437) );
+  sky130_fd_sc_hd__nor4_4 U82160 ( .A(n81303), .B(n81306), .C(n81304), .D(
+        n81294), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N28) );
+  sky130_fd_sc_hd__nand2_1 U82161 ( .A(n72748), .B(n73340), .Y(n63141) );
+  sky130_fd_sc_hd__buf_6 U82163 ( .A(n63143), .X(n63144) );
+  sky130_fd_sc_hd__buf_6 U82164 ( .A(n63144), .X(n86432) );
+  sky130_fd_sc_hd__buf_6 U82165 ( .A(n63144), .X(n86433) );
+  sky130_fd_sc_hd__buf_6 U82166 ( .A(n63144), .X(n86434) );
+  sky130_fd_sc_hd__buf_6 U82167 ( .A(n63144), .X(n86435) );
+  sky130_fd_sc_hd__buf_6 U82168 ( .A(n63144), .X(n86436) );
+  sky130_fd_sc_hd__buf_6 U82169 ( .A(n63144), .X(n86431) );
+  sky130_fd_sc_hd__nand3_1 U82170 ( .A(n63477), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_MPORT_addr[0]), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_MPORT_addr[1]), .Y(n76254) );
+  sky130_fd_sc_hd__nor2_2 U82171 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_MPORT_addr[2]), .B(n76254), .Y(n86579) );
+  sky130_fd_sc_hd__a21oi_1 U82172 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_state_0), 
+        .A2(n84065), .B1(n63145), .Y(n63146) );
+  sky130_fd_sc_hd__o31ai_1 U82173 ( .A1(n83814), .A2(n63147), .A3(n63146), 
+        .B1(n83531), .Y(n83535) );
+  sky130_fd_sc_hd__nand2_1 U82174 ( .A(n63148), .B(n86752), .Y(n36305) );
+  sky130_fd_sc_hd__nand2_1 U82175 ( .A(n73156), .B(n86402), .Y(n86307) );
+  sky130_fd_sc_hd__nand3_1 U82176 ( .A(n63150), .B(n64176), .C(n77483), .Y(
+        n86335) );
+  sky130_fd_sc_hd__nor2_1 U82177 ( .A(n64372), .B(n72713), .Y(n63152) );
+  sky130_fd_sc_hd__inv_2 U82178 ( .A(n63152), .Y(n63153) );
+  sky130_fd_sc_hd__nor2_1 U82179 ( .A(n83821), .B(n63155), .Y(n86576) );
+  sky130_fd_sc_hd__nand2_1 U82180 ( .A(n80415), .B(n86752), .Y(n36186) );
+  sky130_fd_sc_hd__nand2_1 U82181 ( .A(n81024), .B(n36846), .Y(n36144) );
+  sky130_fd_sc_hd__nand2_1 U82182 ( .A(n80725), .B(n49230), .Y(n36167) );
+  sky130_fd_sc_hd__nand2_1 U82184 ( .A(n79513), .B(n63162), .Y(n36638) );
+  sky130_fd_sc_hd__nand2_1 U82185 ( .A(n79484), .B(n63164), .Y(n36633) );
+  sky130_fd_sc_hd__nand2_1 U82186 ( .A(n63165), .B(n80736), .Y(n80720) );
+  sky130_fd_sc_hd__nand2_1 U82187 ( .A(n80720), .B(n86752), .Y(n36177) );
+  sky130_fd_sc_hd__nor3_1 U82188 ( .A(n63168), .B(n70477), .C(n63167), .Y(
+        n72592) );
+  sky130_fd_sc_hd__nand2b_1 U82189 ( .A_N(n72592), .B(n63169), .Y(n72581) );
+  sky130_fd_sc_hd__nand2_1 U82190 ( .A(n63170), .B(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .Y(
+        n86367) );
+  sky130_fd_sc_hd__nand2_1 U82191 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[9]), .B(n49230), .Y(n36555) );
+  sky130_fd_sc_hd__nand3_1 U82192 ( .A(n73535), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_MPORT_addr[0]), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_MPORT_addr[1]), .Y(n76249) );
+  sky130_fd_sc_hd__nand2_1 U82193 ( .A(n63172), .B(n86752), .Y(n36223) );
+  sky130_fd_sc_hd__nand2_1 U82194 ( .A(n82462), .B(n86752), .Y(n36490) );
+  sky130_fd_sc_hd__nand2_1 U82195 ( .A(n36801), .B(n82562), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1722) );
+  sky130_fd_sc_hd__nand2_1 U82196 ( .A(n78947), .B(n78955), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N23) );
+  sky130_fd_sc_hd__nand2_1 U82197 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_debounce[1]), .B(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_debounce[0]), .Y(n81286) );
+  sky130_fd_sc_hd__nor3_1 U82198 ( .A(
+        MarmotCaravelChip_dut_sys_iof_0_iof_0_16_i_ival), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_state), 
+        .C(n81286), .Y(n77253) );
+  sky130_fd_sc_hd__nand2_1 U82199 ( .A(n79036), .B(n79043), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N23) );
+  sky130_fd_sc_hd__nand2_1 U82200 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_debounce[1]), .B(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_debounce[0]), .Y(n81207) );
+  sky130_fd_sc_hd__nor3_1 U82201 ( .A(
+        MarmotCaravelChip_dut_sys_iof_0_iof_0_18_i_ival), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_state), 
+        .C(n81207), .Y(n77293) );
+  sky130_fd_sc_hd__nand2_1 U82202 ( .A(n79127), .B(n79134), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N23) );
+  sky130_fd_sc_hd__nand2_1 U82203 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_debounce[1]), .B(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_debounce[0]), .Y(n81140) );
+  sky130_fd_sc_hd__nor3_1 U82204 ( .A(
+        MarmotCaravelChip_dut_sys_iof_0_iof_0_20_i_ival), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_state), 
+        .C(n81140), .Y(n77327) );
+  sky130_fd_sc_hd__nand2_1 U82205 ( .A(n79217), .B(n79224), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N23) );
+  sky130_fd_sc_hd__nand2_1 U82206 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_debounce[1]), .B(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_debounce[0]), .Y(n81057) );
+  sky130_fd_sc_hd__nor3_1 U82207 ( .A(
+        MarmotCaravelChip_dut_sys_iof_0_iof_0_22_i_ival), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_state), 
+        .C(n81057), .Y(n77360) );
+  sky130_fd_sc_hd__nand2_1 U82208 ( .A(n79305), .B(n79312), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N23) );
+  sky130_fd_sc_hd__or2_2 U82209 ( .A(n85523), .B(n79496), .X(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N352) );
+  sky130_fd_sc_hd__or2_2 U82210 ( .A(n85524), .B(n79472), .X(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N352) );
+  sky130_fd_sc_hd__nand3_1 U82211 ( .A(n80276), .B(n79424), .C(n63174), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N192) );
+  sky130_fd_sc_hd__nor3_1 U82212 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[10]), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[11]), .C(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[2]), .Y(n63177) );
+  sky130_fd_sc_hd__nor4_1 U82213 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[9]), .B(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[8]), .C(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[7]), .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[6]), .Y(n63176) );
+  sky130_fd_sc_hd__nor4_1 U82214 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[1]), .B(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[3]), .C(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[5]), .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[4]), .Y(n63175) );
+  sky130_fd_sc_hd__nand3_1 U82215 ( .A(n63177), .B(n63176), .C(n63175), .Y(
+        n79425) );
+  sky130_fd_sc_hd__nor2_1 U82216 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[0]), .B(n79425), .Y(n80277) );
+  sky130_fd_sc_hd__nor2_1 U82217 ( .A(n80278), .B(n83631), .Y(n80279) );
+  sky130_fd_sc_hd__nand2_1 U82218 ( .A(n80277), .B(n80279), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N155) );
+  sky130_fd_sc_hd__nand3_1 U82219 ( .A(n80586), .B(n79367), .C(n63178), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N192) );
+  sky130_fd_sc_hd__nor3_1 U82220 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[10]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[11]), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[2]), .Y(n63181) );
+  sky130_fd_sc_hd__nor4_1 U82221 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[9]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[8]), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[7]), .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[6]), .Y(n63180) );
+  sky130_fd_sc_hd__nor4_1 U82222 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[1]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[3]), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[5]), .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[4]), .Y(n63179) );
+  sky130_fd_sc_hd__nand3_1 U82223 ( .A(n63181), .B(n63180), .C(n63179), .Y(
+        n79368) );
+  sky130_fd_sc_hd__nor2_1 U82224 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[0]), .B(n79368), .Y(n80587) );
+  sky130_fd_sc_hd__nor2_1 U82225 ( .A(n80588), .B(n37041), .Y(n80589) );
+  sky130_fd_sc_hd__nand2_1 U82226 ( .A(n80587), .B(n80589), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N155) );
+  sky130_fd_sc_hd__nand2_1 U82227 ( .A(n36846), .B(n80728), .Y(n36176) );
+  sky130_fd_sc_hd__nand2_1 U82228 ( .A(n63183), .B(n80736), .Y(n80730) );
+  sky130_fd_sc_hd__nand2_1 U82229 ( .A(n86753), .B(n80730), .Y(n36175) );
+  sky130_fd_sc_hd__nand3_1 U82230 ( .A(n79313), .B(n63184), .C(n80904), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N192)
+         );
+  sky130_fd_sc_hd__nand4_1 U82231 ( .A(n63187), .B(n63186), .C(n36803), .D(
+        n63185), .Y(n63709) );
+  sky130_fd_sc_hd__nor2_1 U82232 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_io_full), .B(n63188), .Y(n63191) );
+  sky130_fd_sc_hd__o22ai_1 U82233 ( .A1(n63192), .A2(n85525), .B1(n63191), 
+        .B2(n63190), .Y(n83395) );
+  sky130_fd_sc_hd__nor2_1 U82234 ( .A(n63709), .B(n83395), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_N7) );
+  sky130_fd_sc_hd__nand2_1 U82235 ( .A(n37039), .B(n83464), .Y(n36351) );
+  sky130_fd_sc_hd__nand2_1 U82236 ( .A(n86753), .B(n83472), .Y(n36259) );
+  sky130_fd_sc_hd__nand2_1 U82237 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_pc[1]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_pc[2]), .Y(n63253) );
+  sky130_fd_sc_hd__clkinv_1 U82238 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_pc[0]), .Y(n78749) );
+  sky130_fd_sc_hd__nand3_1 U82239 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[1]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[0]), .C(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[2]), .Y(n81448) );
+  sky130_fd_sc_hd__clkinv_1 U82240 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[3]), .Y(n81447) );
+  sky130_fd_sc_hd__nor2_1 U82241 ( .A(n81448), .B(n81447), .Y(n81449) );
+  sky130_fd_sc_hd__nand2_1 U82242 ( .A(n81449), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[4]), .Y(n81452) );
+  sky130_fd_sc_hd__clkinv_1 U82243 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[5]), .Y(n81451) );
+  sky130_fd_sc_hd__nor2_1 U82244 ( .A(n81452), .B(n81451), .Y(n81453) );
+  sky130_fd_sc_hd__nand2_1 U82245 ( .A(n81453), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[6]), .Y(n81457) );
+  sky130_fd_sc_hd__clkinv_1 U82246 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[7]), .Y(n81456) );
+  sky130_fd_sc_hd__nor2_1 U82247 ( .A(n81457), .B(n81456), .Y(n81458) );
+  sky130_fd_sc_hd__nand2_1 U82248 ( .A(n81458), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[8]), .Y(n81461) );
+  sky130_fd_sc_hd__clkinv_1 U82249 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[9]), .Y(n81460) );
+  sky130_fd_sc_hd__nor2_1 U82250 ( .A(n81461), .B(n81460), .Y(n81462) );
+  sky130_fd_sc_hd__nand2_1 U82251 ( .A(n81462), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[10]), .Y(n81466) );
+  sky130_fd_sc_hd__clkinv_1 U82252 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[11]), .Y(n81465) );
+  sky130_fd_sc_hd__nor2_1 U82253 ( .A(n81466), .B(n81465), .Y(n81467) );
+  sky130_fd_sc_hd__nand2_1 U82254 ( .A(n81467), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[12]), .Y(n81471) );
+  sky130_fd_sc_hd__clkinv_1 U82255 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[13]), .Y(n81470) );
+  sky130_fd_sc_hd__nor2_1 U82256 ( .A(n81471), .B(n81470), .Y(n81472) );
+  sky130_fd_sc_hd__clkinv_1 U82257 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_pc[1]), .Y(n78750) );
+  sky130_fd_sc_hd__nor3_1 U82258 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_pc[2]), .B(n78750), .C(
+        n78749), .Y(n63262) );
+  sky130_fd_sc_hd__nor3_1 U82259 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_pc[0]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_pc[1]), .C(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_pc[2]), .Y(n63260) );
+  sky130_fd_sc_hd__a22o_1 U82260 ( .A1(n63262), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_527[0]), .B1(n63260), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_424[0]), .X(n63202) );
+  sky130_fd_sc_hd__clkinv_1 U82261 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_pc[2]), .Y(n78755) );
+  sky130_fd_sc_hd__nor3_1 U82262 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_pc[0]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_pc[1]), .C(n78755), .Y(
+        n63264) );
+  sky130_fd_sc_hd__nor3_1 U82263 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_pc[1]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_pc[2]), .C(n78749), .Y(
+        n63263) );
+  sky130_fd_sc_hd__a22oi_1 U82264 ( .A1(n63264), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_789[0]), .B1(n63263), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_364[0]), .Y(n63195) );
+  sky130_fd_sc_hd__nor3_1 U82265 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_pc[0]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_pc[2]), .C(n78750), .Y(
+        n63259) );
+  sky130_fd_sc_hd__nor2_1 U82266 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_pc[0]), .B(n63253), .Y(
+        n63258) );
+  sky130_fd_sc_hd__a22oi_1 U82267 ( .A1(n63259), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_486[0]), .B1(n63258), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_350[0]), .Y(n63194) );
+  sky130_fd_sc_hd__nor3_1 U82268 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_pc[1]), .B(n78749), .C(
+        n78755), .Y(n63261) );
+  sky130_fd_sc_hd__nor2_1 U82269 ( .A(n78749), .B(n63253), .Y(n63233) );
+  sky130_fd_sc_hd__a22oi_1 U82270 ( .A1(n63261), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_105[0]), .B1(n63233), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_447[0]), .Y(n63193) );
+  sky130_fd_sc_hd__nand4_1 U82271 ( .A(n63195), .B(n63194), .C(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_awake), .D(n63193), .Y(
+        n63201) );
+  sky130_fd_sc_hd__a22o_1 U82272 ( .A1(n63258), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_318[0]), .B1(n63261), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_371[0]), .X(n63200) );
+  sky130_fd_sc_hd__a22oi_1 U82273 ( .A1(n63264), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_461[0]), .B1(n63233), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_775[0]), .Y(n63198) );
+  sky130_fd_sc_hd__a22oi_1 U82274 ( .A1(n63263), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_385[0]), .B1(n63259), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_325[0]), .Y(n63197) );
+  sky130_fd_sc_hd__a22oi_1 U82275 ( .A1(n63262), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_734[0]), .B1(n63260), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_693[0]), .Y(n63196) );
+  sky130_fd_sc_hd__clkinv_1 U82276 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_awake), .Y(n77653) );
+  sky130_fd_sc_hd__nand4_1 U82277 ( .A(n63198), .B(n63197), .C(n63196), .D(
+        n77653), .Y(n63199) );
+  sky130_fd_sc_hd__o22ai_1 U82278 ( .A1(n63202), .A2(n63201), .B1(n63200), 
+        .B2(n63199), .Y(n63227) );
+  sky130_fd_sc_hd__a22oi_1 U82279 ( .A1(n63258), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_350[1]), .B1(n63233), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_447[1]), .Y(n63213) );
+  sky130_fd_sc_hd__a22oi_1 U82280 ( .A1(n63259), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_486[1]), .B1(n63261), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_105[1]), .Y(n63205) );
+  sky130_fd_sc_hd__a22oi_1 U82281 ( .A1(n63262), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_527[1]), .B1(n63264), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_789[1]), .Y(n63204) );
+  sky130_fd_sc_hd__a22oi_1 U82282 ( .A1(n63260), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_424[1]), .B1(n63263), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_364[1]), .Y(n63203) );
+  sky130_fd_sc_hd__and3_1 U82283 ( .A(n63205), .B(n63204), .C(n63203), .X(
+        n63212) );
+  sky130_fd_sc_hd__a22o_1 U82284 ( .A1(n63259), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_325[1]), .B1(n63233), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_775[1]), .X(n63210) );
+  sky130_fd_sc_hd__a22oi_1 U82285 ( .A1(n63258), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_318[1]), .B1(n63261), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_371[1]), .Y(n63208) );
+  sky130_fd_sc_hd__a22oi_1 U82286 ( .A1(n63260), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_693[1]), .B1(n63264), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_461[1]), .Y(n63207) );
+  sky130_fd_sc_hd__a22oi_1 U82287 ( .A1(n63262), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_734[1]), .B1(n63263), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_385[1]), .Y(n63206) );
+  sky130_fd_sc_hd__nand3_1 U82288 ( .A(n63208), .B(n63207), .C(n63206), .Y(
+        n63209) );
+  sky130_fd_sc_hd__nor3_1 U82289 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_awake), .B(n63210), .C(
+        n63209), .Y(n63211) );
+  sky130_fd_sc_hd__a31oi_1 U82290 ( .A1(n63213), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_awake), .A3(n63212), .B1(
+        n63211), .Y(n63243) );
+  sky130_fd_sc_hd__nor2b_1 U82291 ( .B_N(n81467), .A(n63243), .Y(n63214) );
+  sky130_fd_sc_hd__a222oi_1 U82292 ( .A1(n81472), .A2(n63227), .B1(n81472), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[14]), .C1(
+        n63227), .C2(n63214), .Y(n63252) );
+  sky130_fd_sc_hd__clkinv_1 U82293 ( .A(n81457), .Y(n81454) );
+  sky130_fd_sc_hd__clkinv_1 U82294 ( .A(n63243), .Y(n63248) );
+  sky130_fd_sc_hd__and2_0 U82295 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[1]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[0]), .X(n81445) );
+  sky130_fd_sc_hd__a222oi_1 U82296 ( .A1(n63227), .A2(n63248), .B1(n63227), 
+        .B2(n81445), .C1(n63248), .C2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[0]), .Y(n63226) );
+  sky130_fd_sc_hd__a22oi_1 U82297 ( .A1(n63262), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_527[2]), .B1(n63260), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_424[2]), .Y(n63225) );
+  sky130_fd_sc_hd__a22oi_1 U82298 ( .A1(n63259), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_486[2]), .B1(n63258), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_350[2]), .Y(n63217) );
+  sky130_fd_sc_hd__a22oi_1 U82299 ( .A1(n63264), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_789[2]), .B1(n63233), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_447[2]), .Y(n63216) );
+  sky130_fd_sc_hd__a22oi_1 U82300 ( .A1(n63263), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_364[2]), .B1(n63261), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_105[2]), .Y(n63215) );
+  sky130_fd_sc_hd__and3_1 U82301 ( .A(n63217), .B(n63216), .C(n63215), .X(
+        n63224) );
+  sky130_fd_sc_hd__a22o_1 U82302 ( .A1(n63260), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_693[2]), .B1(n63263), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_385[2]), .X(n63222) );
+  sky130_fd_sc_hd__a22oi_1 U82303 ( .A1(n63261), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_371[2]), .B1(n63233), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_775[2]), .Y(n63220) );
+  sky130_fd_sc_hd__a22oi_1 U82304 ( .A1(n63262), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_734[2]), .B1(n63264), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_461[2]), .Y(n63219) );
+  sky130_fd_sc_hd__a22oi_1 U82305 ( .A1(n63259), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_325[2]), .B1(n63258), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_318[2]), .Y(n63218) );
+  sky130_fd_sc_hd__nand3_1 U82306 ( .A(n63220), .B(n63219), .C(n63218), .Y(
+        n63221) );
+  sky130_fd_sc_hd__nor3_1 U82307 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_awake), .B(n63222), .C(
+        n63221), .Y(n63223) );
+  sky130_fd_sc_hd__a31oi_1 U82308 ( .A1(n63225), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_awake), .A3(n63224), .B1(
+        n63223), .Y(n63246) );
+  sky130_fd_sc_hd__a21oi_1 U82309 ( .A1(n63226), .A2(n81448), .B1(n63246), .Y(
+        n63242) );
+  sky130_fd_sc_hd__clkinv_1 U82310 ( .A(n63227), .Y(n63245) );
+  sky130_fd_sc_hd__a21oi_1 U82311 ( .A1(n81449), .A2(n63248), .B1(n81453), .Y(
+        n63228) );
+  sky130_fd_sc_hd__o22ai_1 U82312 ( .A1(n63245), .A2(n63228), .B1(n63243), 
+        .B2(n81452), .Y(n63241) );
+  sky130_fd_sc_hd__a22oi_1 U82313 ( .A1(n63260), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_693[3]), .B1(n63261), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_371[3]), .Y(n63232) );
+  sky130_fd_sc_hd__a22oi_1 U82314 ( .A1(n63264), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_461[3]), .B1(n63258), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_318[3]), .Y(n63231) );
+  sky130_fd_sc_hd__a22oi_1 U82315 ( .A1(n63263), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_385[3]), .B1(n63233), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_775[3]), .Y(n63230) );
+  sky130_fd_sc_hd__a22oi_1 U82316 ( .A1(n63262), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_734[3]), .B1(n63259), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_325[3]), .Y(n63229) );
+  sky130_fd_sc_hd__nand4_1 U82317 ( .A(n63232), .B(n63231), .C(n63230), .D(
+        n63229), .Y(n63239) );
+  sky130_fd_sc_hd__a22oi_1 U82318 ( .A1(n63262), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_527[3]), .B1(n63260), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_424[3]), .Y(n63237) );
+  sky130_fd_sc_hd__a22oi_1 U82319 ( .A1(n63263), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_364[3]), .B1(n63261), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_105[3]), .Y(n63236) );
+  sky130_fd_sc_hd__a22oi_1 U82320 ( .A1(n63259), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_486[3]), .B1(n63233), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_447[3]), .Y(n63235) );
+  sky130_fd_sc_hd__a22oi_1 U82321 ( .A1(n63264), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_789[3]), .B1(n63258), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_350[3]), .Y(n63234) );
+  sky130_fd_sc_hd__nand4_1 U82322 ( .A(n63237), .B(n63236), .C(n63235), .D(
+        n63234), .Y(n63238) );
+  sky130_fd_sc_hd__o22ai_1 U82323 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_awake), .A2(n63239), .B1(
+        n77653), .B2(n63238), .Y(n63240) );
+  sky130_fd_sc_hd__o31ai_1 U82324 ( .A1(n81454), .A2(n63242), .A3(n63241), 
+        .B1(n63240), .Y(n63251) );
+  sky130_fd_sc_hd__clkinv_1 U82325 ( .A(n81466), .Y(n81463) );
+  sky130_fd_sc_hd__a21oi_1 U82326 ( .A1(n81458), .A2(n63248), .B1(n81462), .Y(
+        n63244) );
+  sky130_fd_sc_hd__o22ai_1 U82327 ( .A1(n63245), .A2(n63244), .B1(n63243), 
+        .B2(n81461), .Y(n63247) );
+  sky130_fd_sc_hd__o21bai_1 U82328 ( .A1(n81463), .A2(n63247), .B1_N(n63246), 
+        .Y(n63250) );
+  sky130_fd_sc_hd__clkinv_1 U82329 ( .A(n81471), .Y(n81468) );
+  sky130_fd_sc_hd__nand2_1 U82330 ( .A(n81468), .B(n63248), .Y(n63249) );
+  sky130_fd_sc_hd__nand4_1 U82331 ( .A(n63252), .B(n63251), .C(n63250), .D(
+        n63249), .Y(n78748) );
+  sky130_fd_sc_hd__o211ai_1 U82332 ( .A1(n63253), .A2(n78749), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_run), .C1(n78748), .Y(
+        n63272) );
+  sky130_fd_sc_hd__a21oi_1 U82333 ( .A1(n63258), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_318[7]), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_awake), .Y(n63257) );
+  sky130_fd_sc_hd__a22oi_1 U82334 ( .A1(n63260), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_693[7]), .B1(n63263), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_385[7]), .Y(n63256) );
+  sky130_fd_sc_hd__a22oi_1 U82335 ( .A1(n63262), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_734[7]), .B1(n63259), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_325[7]), .Y(n63255) );
+  sky130_fd_sc_hd__a22oi_1 U82336 ( .A1(n63264), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_461[7]), .B1(n63261), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_371[7]), .Y(n63254) );
+  sky130_fd_sc_hd__nand4_1 U82337 ( .A(n63257), .B(n63256), .C(n63255), .D(
+        n63254), .Y(n63270) );
+  sky130_fd_sc_hd__a21oi_1 U82338 ( .A1(n63258), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_350[7]), .B1(n77653), .Y(
+        n63268) );
+  sky130_fd_sc_hd__a22oi_1 U82339 ( .A1(n63260), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_424[7]), .B1(n63259), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_486[7]), .Y(n63267) );
+  sky130_fd_sc_hd__a22oi_1 U82340 ( .A1(n63262), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_527[7]), .B1(n63261), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_105[7]), .Y(n63266) );
+  sky130_fd_sc_hd__a22oi_1 U82341 ( .A1(n63264), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_789[7]), .B1(n63263), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_364[7]), .Y(n63265) );
+  sky130_fd_sc_hd__nand4_1 U82342 ( .A(n63268), .B(n63267), .C(n63266), .D(
+        n63265), .Y(n63269) );
+  sky130_fd_sc_hd__a21oi_1 U82343 ( .A1(n63270), .A2(n63269), .B1(n63272), .Y(
+        n63271) );
+  sky130_fd_sc_hd__a21o_1 U82344 ( .A1(n63272), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_latch_reg_io_q_3_), .B1(n63271), .X(n445) );
+  sky130_fd_sc_hd__nand2_1 U82345 ( .A(n63273), .B(n83351), .Y(n36241) );
+  sky130_fd_sc_hd__nor2_1 U82346 ( .A(n75720), .B(n75726), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_N37) );
+  sky130_fd_sc_hd__nand2_1 U82347 ( .A(n37037), .B(n73567), .Y(n63448) );
+  sky130_fd_sc_hd__nor2_1 U82348 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_beatsLeft[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_beatsLeft[0]), .Y(
+        n63274) );
+  sky130_fd_sc_hd__nand2_1 U82349 ( .A(n63274), .B(n63450), .Y(n63382) );
+  sky130_fd_sc_hd__nor2_1 U82350 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_beatsLeft[2]), .B(
+        n63382), .Y(n63454) );
+  sky130_fd_sc_hd__a21oi_1 U82351 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_beatsLeft[2]), .A2(
+        n63382), .B1(n63454), .Y(n63361) );
+  sky130_fd_sc_hd__nand2b_1 U82352 ( .A_N(n78312), .B(n63275), .Y(n63389) );
+  sky130_fd_sc_hd__nor2_1 U82353 ( .A(n63276), .B(n63389), .Y(n63369) );
+  sky130_fd_sc_hd__nand2_1 U82354 ( .A(n63345), .B(n63362), .Y(n63278) );
+  sky130_fd_sc_hd__nand3_1 U82355 ( .A(n63342), .B(n63277), .C(n83737), .Y(
+        n63430) );
+  sky130_fd_sc_hd__o22ai_1 U82356 ( .A1(n63432), .A2(n63278), .B1(n63340), 
+        .B2(n63430), .Y(n63286) );
+  sky130_fd_sc_hd__nand2b_1 U82357 ( .A_N(n73754), .B(n63279), .Y(n63423) );
+  sky130_fd_sc_hd__nand2b_1 U82358 ( .A_N(n63423), .B(n63281), .Y(n63366) );
+  sky130_fd_sc_hd__nand3_1 U82359 ( .A(n63284), .B(n83653), .C(n63283), .Y(
+        n63364) );
+  sky130_fd_sc_hd__o22ai_1 U82360 ( .A1(n63424), .A2(n63366), .B1(n63386), 
+        .B2(n63364), .Y(n63285) );
+  sky130_fd_sc_hd__a211oi_1 U82361 ( .A1(n63369), .A2(n63287), .B1(n63286), 
+        .C1(n63285), .Y(n63327) );
+  sky130_fd_sc_hd__nand2b_1 U82362 ( .A_N(n63290), .B(n63289), .Y(n63437) );
+  sky130_fd_sc_hd__nor2_1 U82363 ( .A(n63291), .B(n63437), .Y(n63367) );
+  sky130_fd_sc_hd__nand2b_1 U82364 ( .A_N(n63492), .B(n63293), .Y(n63426) );
+  sky130_fd_sc_hd__nor2_1 U82365 ( .A(n63294), .B(n63426), .Y(n63368) );
+  sky130_fd_sc_hd__a22oi_1 U82366 ( .A1(n63367), .A2(n63439), .B1(n63368), 
+        .B2(n63295), .Y(n63326) );
+  sky130_fd_sc_hd__nand2_1 U82367 ( .A(n63298), .B(n63297), .Y(n63411) );
+  sky130_fd_sc_hd__nor2_1 U82368 ( .A(n63299), .B(n63411), .Y(n63376) );
+  sky130_fd_sc_hd__nand2_1 U82370 ( .A(n63300), .B(n83555), .Y(n63392) );
+  sky130_fd_sc_hd__nor2_1 U82371 ( .A(n63301), .B(n63392), .Y(n63375) );
+  sky130_fd_sc_hd__nand2_1 U82372 ( .A(n63304), .B(n63303), .Y(n63402) );
+  sky130_fd_sc_hd__nor2_1 U82373 ( .A(n63305), .B(n63402), .Y(n63377) );
+  sky130_fd_sc_hd__a222oi_1 U82374 ( .A1(n63307), .A2(n63376), .B1(n63306), 
+        .B2(n63375), .C1(n63398), .C2(n63377), .Y(n63325) );
+  sky130_fd_sc_hd__nand2_1 U82375 ( .A(n73747), .B(n63308), .Y(n63420) );
+  sky130_fd_sc_hd__nor2_1 U82376 ( .A(n63309), .B(n63420), .Y(n63378) );
+  sky130_fd_sc_hd__nor2_1 U82377 ( .A(n76316), .B(n63311), .Y(n63419) );
+  sky130_fd_sc_hd__nand2_1 U82378 ( .A(n63419), .B(n63312), .Y(n63374) );
+  sky130_fd_sc_hd__or3_1 U82379 ( .A(n63314), .B(n63313), .C(n73750), .X(
+        n63373) );
+  sky130_fd_sc_hd__o22ai_1 U82380 ( .A1(n63404), .A2(n63374), .B1(n63315), 
+        .B2(n63373), .Y(n63322) );
+  sky130_fd_sc_hd__nor2_1 U82381 ( .A(n75713), .B(n63317), .Y(n63330) );
+  sky130_fd_sc_hd__nand2_1 U82382 ( .A(n63330), .B(n63318), .Y(n63414) );
+  sky130_fd_sc_hd__nor2_1 U82383 ( .A(n76388), .B(n63320), .Y(n63334) );
+  sky130_fd_sc_hd__nand2_1 U82384 ( .A(n63334), .B(n63332), .Y(n63372) );
+  sky130_fd_sc_hd__o22ai_1 U82385 ( .A1(n63328), .A2(n63414), .B1(n63401), 
+        .B2(n63372), .Y(n63321) );
+  sky130_fd_sc_hd__a211oi_1 U82386 ( .A1(n63378), .A2(n63323), .B1(n63322), 
+        .C1(n63321), .Y(n63324) );
+  sky130_fd_sc_hd__nand4_1 U82387 ( .A(n63327), .B(n63326), .C(n63325), .D(
+        n63324), .Y(n63453) );
+  sky130_fd_sc_hd__nand2_1 U82388 ( .A(n63330), .B(n63329), .Y(n63371) );
+  sky130_fd_sc_hd__o22ai_1 U82389 ( .A1(n63373), .A2(n63331), .B1(n63415), 
+        .B2(n63371), .Y(n63359) );
+  sky130_fd_sc_hd__nand2_1 U82390 ( .A(n63334), .B(n63333), .Y(n63400) );
+  sky130_fd_sc_hd__o22ai_1 U82391 ( .A1(n63405), .A2(n63374), .B1(n63335), 
+        .B2(n63400), .Y(n63358) );
+  sky130_fd_sc_hd__a222oi_1 U82392 ( .A1(n63338), .A2(n63376), .B1(n63337), 
+        .B2(n63375), .C1(n63399), .C2(n63377), .Y(n63356) );
+  sky130_fd_sc_hd__a22oi_1 U82393 ( .A1(n63368), .A2(n63339), .B1(n63367), 
+        .B2(n63438), .Y(n63355) );
+  sky130_fd_sc_hd__nand3_1 U82394 ( .A(n63342), .B(n83737), .C(n63341), .Y(
+        n63363) );
+  sky130_fd_sc_hd__nand2_1 U82395 ( .A(n63345), .B(n63344), .Y(n63431) );
+  sky130_fd_sc_hd__o22ai_1 U82396 ( .A1(n63346), .A2(n63431), .B1(n63425), 
+        .B2(n63366), .Y(n63347) );
+  sky130_fd_sc_hd__a21oi_1 U82397 ( .A1(n63349), .A2(n63348), .B1(n63347), .Y(
+        n63354) );
+  sky130_fd_sc_hd__o22ai_1 U82398 ( .A1(n63364), .A2(n63387), .B1(n63390), 
+        .B2(n63350), .Y(n63351) );
+  sky130_fd_sc_hd__a21oi_1 U82399 ( .A1(n63378), .A2(n63352), .B1(n63351), .Y(
+        n63353) );
+  sky130_fd_sc_hd__nand4_1 U82400 ( .A(n63356), .B(n63355), .C(n63354), .D(
+        n63353), .Y(n63357) );
+  sky130_fd_sc_hd__nor4_1 U82401 ( .A(n63453), .B(n63359), .C(n63358), .D(
+        n63357), .Y(n63360) );
+  sky130_fd_sc_hd__o22ai_1 U82402 ( .A1(n63448), .A2(n63361), .B1(n63457), 
+        .B2(n63360), .Y(n36220) );
+  sky130_fd_sc_hd__nor4_1 U82403 ( .A(n63370), .B(n63369), .C(n63368), .D(
+        n63367), .Y(n63381) );
+  sky130_fd_sc_hd__and4_1 U82404 ( .A(n63374), .B(n63373), .C(n63372), .D(
+        n63371), .X(n63380) );
+  sky130_fd_sc_hd__nor4_1 U82405 ( .A(n63378), .B(n63377), .C(n63376), .D(
+        n63375), .Y(n63379) );
+  sky130_fd_sc_hd__nand4_1 U82406 ( .A(n38437), .B(n63381), .C(n63380), .D(
+        n63379), .Y(n63447) );
+  sky130_fd_sc_hd__a221oi_1 U82407 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_beatsLeft[0]), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_beatsLeft[1]), .B1(
+        n83809), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_beatsLeft[1]), .C1(
+        n63383), .Y(n63384) );
+  sky130_fd_sc_hd__o22ai_1 U82408 ( .A1(n63385), .A2(n63457), .B1(n63448), 
+        .B2(n63384), .Y(n36221) );
+  sky130_fd_sc_hd__nor4_1 U82409 ( .A(n63388), .B(n63387), .C(n63386), .D(
+        n63489), .Y(n63397) );
+  sky130_fd_sc_hd__nor3_1 U82410 ( .A(n63391), .B(n63390), .C(n63389), .Y(
+        n63396) );
+  sky130_fd_sc_hd__nor3_1 U82411 ( .A(n63394), .B(n63393), .C(n63392), .Y(
+        n63395) );
+  sky130_fd_sc_hd__or3_1 U82412 ( .A(n63397), .B(n63396), .C(n63395), .X(
+        n63446) );
+  sky130_fd_sc_hd__nand2_1 U82413 ( .A(n63399), .B(n63398), .Y(n63403) );
+  sky130_fd_sc_hd__o22ai_1 U82414 ( .A1(n63403), .A2(n63402), .B1(n63401), 
+        .B2(n63400), .Y(n63445) );
+  sky130_fd_sc_hd__nor2_1 U82415 ( .A(n63405), .B(n63404), .Y(n63418) );
+  sky130_fd_sc_hd__nand4_1 U82416 ( .A(n63409), .B(n63408), .C(n63407), .D(
+        n63406), .Y(n63410) );
+  sky130_fd_sc_hd__o31ai_1 U82417 ( .A1(n63413), .A2(n63412), .A3(n63411), 
+        .B1(n63410), .Y(n63417) );
+  sky130_fd_sc_hd__nor2_1 U82418 ( .A(n63415), .B(n63414), .Y(n63416) );
+  sky130_fd_sc_hd__a211oi_1 U82419 ( .A1(n63419), .A2(n63418), .B1(n63417), 
+        .C1(n63416), .Y(n63443) );
+  sky130_fd_sc_hd__nor3_1 U82420 ( .A(n63422), .B(n63421), .C(n63420), .Y(
+        n63436) );
+  sky130_fd_sc_hd__nor3_1 U82421 ( .A(n63425), .B(n63424), .C(n63423), .Y(
+        n63435) );
+  sky130_fd_sc_hd__nor3_1 U82422 ( .A(n63428), .B(n63427), .C(n63426), .Y(
+        n63434) );
+  sky130_fd_sc_hd__o22ai_1 U82423 ( .A1(n63432), .A2(n63431), .B1(n63430), 
+        .B2(n63429), .Y(n63433) );
+  sky130_fd_sc_hd__nor4_1 U82424 ( .A(n63436), .B(n63435), .C(n63434), .D(
+        n63433), .Y(n63442) );
+  sky130_fd_sc_hd__nand3_1 U82425 ( .A(n63440), .B(n63439), .C(n63438), .Y(
+        n63441) );
+  sky130_fd_sc_hd__nand3_1 U82426 ( .A(n63443), .B(n63442), .C(n63441), .Y(
+        n63444) );
+  sky130_fd_sc_hd__nor4_1 U82427 ( .A(n63447), .B(n63446), .C(n63445), .D(
+        n63444), .Y(n63452) );
+  sky130_fd_sc_hd__a21oi_1 U82428 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_beatsLeft[0]), .A2(
+        n63450), .B1(n63448), .Y(n63449) );
+  sky130_fd_sc_hd__o21ai_1 U82429 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_beatsLeft[0]), .A2(
+        n63450), .B1(n63449), .Y(n63451) );
+  sky130_fd_sc_hd__o21ai_1 U82430 ( .A1(n63457), .A2(n63452), .B1(n63451), .Y(
+        n36222) );
+  sky130_fd_sc_hd__nand2_1 U82431 ( .A(n37039), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_beatsLeft[3]), .Y(
+        n63455) );
+  sky130_fd_sc_hd__o22ai_1 U82432 ( .A1(n63457), .A2(n63456), .B1(n63455), 
+        .B2(n63454), .Y(n36219) );
+  sky130_fd_sc_hd__nor2_1 U82433 ( .A(n83788), .B(n36973), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_N55) );
+  sky130_fd_sc_hd__nand2_1 U82434 ( .A(n63458), .B(n86752), .Y(n36366) );
+  sky130_fd_sc_hd__nand2_1 U82435 ( .A(n63460), .B(n86752), .Y(n36227) );
+  sky130_fd_sc_hd__nor2_1 U82436 ( .A(n76299), .B(n76309), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_N35) );
+  sky130_fd_sc_hd__nor2_1 U82437 ( .A(n63461), .B(n63460), .Y(n63462) );
+  sky130_fd_sc_hd__a211oi_1 U82438 ( .A1(n73789), .A2(n63463), .B1(n37041), 
+        .C1(n63462), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_N6) );
+  sky130_fd_sc_hd__nand2_1 U82439 ( .A(n83776), .B(n86752), .Y(n36225) );
+  sky130_fd_sc_hd__nand2_1 U82440 ( .A(n76453), .B(n63465), .Y(n76314) );
+  sky130_fd_sc_hd__nand2_1 U82441 ( .A(n76453), .B(n63466), .Y(n76455) );
+  sky130_fd_sc_hd__nor2_1 U82442 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_io_full), .B(n60965), .Y(n63467) );
+  sky130_fd_sc_hd__a211oi_1 U82443 ( .A1(n63469), .A2(n63468), .B1(n36802), 
+        .C1(n63467), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_N6) );
+  sky130_fd_sc_hd__nor2_1 U82444 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_io_full), .B(n61092), .Y(n63470) );
+  sky130_fd_sc_hd__a211oi_1 U82445 ( .A1(n63472), .A2(n63471), .B1(n36802), 
+        .C1(n63470), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_repeater_N6) );
+  sky130_fd_sc_hd__nor2_1 U82446 ( .A(n83763), .B(n83773), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_N34) );
+  sky130_fd_sc_hd__inv_1 U82447 ( .A(n63472), .Y(n63473) );
+  sky130_fd_sc_hd__nand2_1 U82448 ( .A(n63473), .B(n83351), .Y(n36226) );
+  sky130_fd_sc_hd__nor2_1 U82449 ( .A(n76323), .B(n76327), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_N35) );
+  sky130_fd_sc_hd__nand2_1 U82450 ( .A(n76346), .B(n63528), .Y(n75703) );
+  sky130_fd_sc_hd__nor2_1 U82451 ( .A(n81355), .B(n75703), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N41) );
+  sky130_fd_sc_hd__nand2_1 U82452 ( .A(n75703), .B(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .Y(
+        n36102) );
+  sky130_fd_sc_hd__nand2_1 U82453 ( .A(n63475), .B(n63474), .Y(n73547) );
+  sky130_fd_sc_hd__nor2_1 U82454 ( .A(n63525), .B(n73547), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N41) );
+  sky130_fd_sc_hd__nand2_1 U82456 ( .A(n63480), .B(n86752), .Y(n36121) );
+  sky130_fd_sc_hd__nand3_1 U82457 ( .A(n63477), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_MPORT_addr[0]), .C(n76258), .Y(n76256) );
+  sky130_fd_sc_hd__o22ai_1 U82458 ( .A1(n36848), .A2(n76256), .B1(n76258), 
+        .B2(n63476), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_N40) );
+  sky130_fd_sc_hd__a31oi_1 U82459 ( .A1(n79910), .A2(n63477), .A3(n76258), 
+        .B1(n85526), .Y(n63478) );
+  sky130_fd_sc_hd__o22ai_1 U82460 ( .A1(n37038), .A2(n63479), .B1(n76255), 
+        .B2(n63478), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_N41) );
+  sky130_fd_sc_hd__nor2_1 U82461 ( .A(n36619), .B(n63480), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_N52) );
+  sky130_fd_sc_hd__xnor2_1 U82462 ( .A(n79201), .B(n63480), .Y(n63481) );
+  sky130_fd_sc_hd__nand2_1 U82463 ( .A(n63481), .B(n36846), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_N51) );
+  sky130_fd_sc_hd__a21oi_1 U82464 ( .A1(n63484), .A2(n63483), .B1(n63482), .Y(
+        n63485) );
+  sky130_fd_sc_hd__o21ai_0 U82465 ( .A1(n63485), .A2(n84169), .B1(n86402), .Y(
+        n63486) );
+  sky130_fd_sc_hd__a21oi_1 U82466 ( .A1(n63488), .A2(n63487), .B1(n63486), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N6) );
+  sky130_fd_sc_hd__nor2_1 U82467 ( .A(n78313), .B(n63489), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N171) );
+  sky130_fd_sc_hd__nand2_2 U82468 ( .A(n79920), .B(n63490), .Y(n75701) );
+  sky130_fd_sc_hd__nand2_1 U82469 ( .A(n75701), .B(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_31_o_oe), .Y(n63491) );
+  sky130_fd_sc_hd__o21ai_0 U82470 ( .A1(n76449), .A2(n75701), .B1(n63491), .Y(
+        n409) );
+  sky130_fd_sc_hd__nor2_1 U82471 ( .A(n78313), .B(n63492), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N173) );
+  sky130_fd_sc_hd__nand2_1 U82472 ( .A(n80420), .B(n63493), .Y(n80414) );
+  sky130_fd_sc_hd__nand2_1 U82473 ( .A(n80414), .B(n86752), .Y(n36188) );
+  sky130_fd_sc_hd__nand2_1 U82474 ( .A(n63494), .B(n80420), .Y(n80426) );
+  sky130_fd_sc_hd__nor2_1 U82475 ( .A(n81040), .B(n80426), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N117) );
+  sky130_fd_sc_hd__nand2_1 U82476 ( .A(n80426), .B(n86752), .Y(n36190) );
+  sky130_fd_sc_hd__nand2_1 U82477 ( .A(n63495), .B(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .Y(
+        n36117) );
+  sky130_fd_sc_hd__xnor2_1 U82478 ( .A(n63497), .B(n63496), .Y(n63498) );
+  sky130_fd_sc_hd__nor2_1 U82479 ( .A(n63498), .B(n81195), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N47) );
+  sky130_fd_sc_hd__nor2_1 U82480 ( .A(n81023), .B(n81040), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N249) );
+  sky130_fd_sc_hd__nand2_1 U82481 ( .A(n85756), .B(n36801), .Y(n81357) );
+  sky130_fd_sc_hd__nor2_1 U82482 ( .A(n81357), .B(n80414), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N243) );
+  sky130_fd_sc_hd__o21ai_1 U82483 ( .A1(n63701), .A2(n63500), .B1(n63499), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_scale_io_regs_cfg_write_scale) );
+  sky130_fd_sc_hd__o21ai_0 U82484 ( .A1(n63504), .A2(n84164), .B1(n83351), .Y(
+        n63505) );
+  sky130_fd_sc_hd__a21oi_1 U82485 ( .A1(n63672), .A2(n63506), .B1(n63505), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_N6) );
+  sky130_fd_sc_hd__nor2_1 U82487 ( .A(n78313), .B(n73748), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N176) );
+  sky130_fd_sc_hd__o21ai_1 U82488 ( .A1(n63701), .A2(n63509), .B1(n63508), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_scale_io_regs_cfg_write_scale) );
+  sky130_fd_sc_hd__nand3_1 U82489 ( .A(n63529), .B(n86752), .C(n73550), .Y(
+        n76364) );
+  sky130_fd_sc_hd__nor2_1 U82490 ( .A(n76434), .B(n76364), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N59) );
+  sky130_fd_sc_hd__nand2_1 U82492 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[17]), .B(n36766), .Y(n63515) );
+  sky130_fd_sc_hd__o21ai_0 U82493 ( .A1(n36766), .A2(n76433), .B1(n63515), .Y(
+        n367) );
+  sky130_fd_sc_hd__nand2_1 U82494 ( .A(n75701), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[17]), .Y(n63516) );
+  sky130_fd_sc_hd__o21ai_0 U82495 ( .A1(n76433), .A2(n75701), .B1(n63516), .Y(
+        n418) );
+  sky130_fd_sc_hd__nand2_1 U82496 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[17]), .B(n37067), .Y(n63518) );
+  sky130_fd_sc_hd__o21ai_0 U82497 ( .A1(n37067), .A2(n76433), .B1(n63518), .Y(
+        n342) );
+  sky130_fd_sc_hd__a21oi_1 U82498 ( .A1(n73789), .A2(n68437), .B1(n63519), .Y(
+        n63523) );
+  sky130_fd_sc_hd__nand3_1 U82499 ( .A(n63523), .B(n49230), .C(n63520), .Y(
+        n73816) );
+  sky130_fd_sc_hd__nor2_1 U82500 ( .A(n76433), .B(n73816), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N57) );
+  sky130_fd_sc_hd__o21ai_1 U82501 ( .A1(n73549), .A2(n63524), .B1(n83351), .Y(
+        n36123) );
+  sky130_fd_sc_hd__nor2_1 U82502 ( .A(n38431), .B(n76380), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N57) );
+  sky130_fd_sc_hd__o21ai_1 U82503 ( .A1(n84203), .A2(n63527), .B1(n79910), .Y(
+        n36115) );
+  sky130_fd_sc_hd__nand3_1 U82504 ( .A(n63523), .B(n37037), .C(n63522), .Y(
+        n73541) );
+  sky130_fd_sc_hd__nor2_1 U82505 ( .A(n76433), .B(n73541), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N50) );
+  sky130_fd_sc_hd__nor2_1 U82507 ( .A(n76433), .B(n76364), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N57) );
+  sky130_fd_sc_hd__nor2_1 U82508 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_io_full), .B(n63526), .Y(n67114) );
+  sky130_fd_sc_hd__nor2_1 U82509 ( .A(n38364), .B(n76380), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N50) );
+  sky130_fd_sc_hd__nand2_1 U82510 ( .A(n86402), .B(n38364), .Y(n36106) );
+  sky130_fd_sc_hd__nor2_1 U82511 ( .A(n38430), .B(n76380), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N50) );
+  sky130_fd_sc_hd__o21ai_1 U82512 ( .A1(n73552), .A2(n63527), .B1(n36801), .Y(
+        n36114) );
+  sky130_fd_sc_hd__nand3_1 U82513 ( .A(n63529), .B(n86752), .C(n63528), .Y(
+        n73537) );
+  sky130_fd_sc_hd__nor2_1 U82514 ( .A(n76433), .B(n73537), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N50) );
+  sky130_fd_sc_hd__o21ai_1 U82515 ( .A1(n63531), .A2(n63530), .B1(n79910), .Y(
+        n36098) );
+  sky130_fd_sc_hd__nor2_1 U82516 ( .A(n78313), .B(n73750), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N174) );
+  sky130_fd_sc_hd__nor2_1 U82518 ( .A(n68257), .B(n68256), .Y(n68259) );
+  sky130_fd_sc_hd__nand2_1 U82519 ( .A(n68259), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[2]), 
+        .Y(n68262) );
+  sky130_fd_sc_hd__nor2_1 U82520 ( .A(n68263), .B(n68262), .Y(n73499) );
+  sky130_fd_sc_hd__nand2_1 U82521 ( .A(n73499), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[4]), 
+        .Y(n63667) );
+  sky130_fd_sc_hd__nand2_1 U82522 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[11]), .B(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[12]), 
+        .Y(n63532) );
+  sky130_fd_sc_hd__nand2_1 U82523 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[9]), 
+        .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[10]), .Y(n67209) );
+  sky130_fd_sc_hd__nor2_1 U82524 ( .A(n63532), .B(n67209), .Y(n63534) );
+  sky130_fd_sc_hd__nand2_1 U82525 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[6]), 
+        .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[5]), 
+        .Y(n67317) );
+  sky130_fd_sc_hd__nand2_1 U82526 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[7]), 
+        .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[8]), 
+        .Y(n63533) );
+  sky130_fd_sc_hd__nor2_1 U82527 ( .A(n67317), .B(n63533), .Y(n67208) );
+  sky130_fd_sc_hd__nand2_1 U82528 ( .A(n63534), .B(n67208), .Y(n63540) );
+  sky130_fd_sc_hd__nand2_1 U82529 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[15]), .B(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[16]), 
+        .Y(n63535) );
+  sky130_fd_sc_hd__nand2_1 U82530 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[13]), .B(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[14]), 
+        .Y(n67226) );
+  sky130_fd_sc_hd__nor2_1 U82531 ( .A(n63535), .B(n67226), .Y(n63541) );
+  sky130_fd_sc_hd__nand2_1 U82532 ( .A(n63541), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[17]), .Y(n63536) );
+  sky130_fd_sc_hd__nor2_1 U82533 ( .A(n63540), .B(n63536), .Y(n67116) );
+  sky130_fd_sc_hd__nand2_1 U82534 ( .A(n67116), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[18]), .Y(n63537) );
+  sky130_fd_sc_hd__ha_1 U82535 ( .A(n63538), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[25]), .COUT(n63631), .SUM(n67215) );
+  sky130_fd_sc_hd__xnor2_1 U82536 ( .A(n63539), .B(n67215), .Y(n63545) );
+  sky130_fd_sc_hd__nand2_1 U82537 ( .A(n67228), .B(n63541), .Y(n63668) );
+  sky130_fd_sc_hd__ha_1 U82538 ( .A(n63542), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[21]), .COUT(n63633), .SUM(n67046) );
+  sky130_fd_sc_hd__xnor2_1 U82539 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[21]), .B(n67046), .Y(n63543) );
+  sky130_fd_sc_hd__o22ai_1 U82540 ( .A1(n63650), .A2(n63668), .B1(n63648), 
+        .B2(n63543), .Y(n63544) );
+  sky130_fd_sc_hd__a21oi_1 U82541 ( .A1(n63545), .A2(n63652), .B1(n63544), .Y(
+        n63548) );
+  sky130_fd_sc_hd__nor2_1 U82542 ( .A(n63546), .B(n63667), .Y(n63639) );
+  sky130_fd_sc_hd__a21oi_1 U82543 ( .A1(n38398), .A2(n63548), .B1(n63547), .Y(
+        n63560) );
+  sky130_fd_sc_hd__ha_1 U82544 ( .A(n63549), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[28]), .COUT(n63630), .SUM(n67450) );
+  sky130_fd_sc_hd__xnor2_1 U82545 ( .A(n63550), .B(n67450), .Y(n63551) );
+  sky130_fd_sc_hd__nand2_1 U82546 ( .A(n63551), .B(n63652), .Y(n63557) );
+  sky130_fd_sc_hd__ha_1 U82547 ( .A(n63552), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[20]), .COUT(n63542), .SUM(n67153) );
+  sky130_fd_sc_hd__xnor2_1 U82548 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[20]), .B(n67153), .Y(n63555) );
+  sky130_fd_sc_hd__ha_1 U82549 ( .A(n63553), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[24]), .COUT(n63538), .SUM(n67094) );
+  sky130_fd_sc_hd__xnor2_1 U82550 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[24]), .B(n67094), .Y(n63554) );
+  sky130_fd_sc_hd__o22a_1 U82551 ( .A1(n63650), .A2(n63555), .B1(n63648), .B2(
+        n63554), .X(n63556) );
+  sky130_fd_sc_hd__a21oi_1 U82552 ( .A1(n63557), .A2(n63556), .B1(n63667), .Y(
+        n63559) );
+  sky130_fd_sc_hd__mux2i_1 U82553 ( .A0(n63560), .A1(n63559), .S(n63558), .Y(
+        n63629) );
+  sky130_fd_sc_hd__xor2_1 U82555 ( .A(n63561), .B(n73378), .X(n63563) );
+  sky130_fd_sc_hd__xor2_1 U82556 ( .A(n63561), .B(n73379), .X(n63596) );
+  sky130_fd_sc_hd__o22ai_1 U82557 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[8]), 
+        .A2(n63563), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[9]), 
+        .B2(n63562), .Y(n63600) );
+  sky130_fd_sc_hd__xor2_1 U82558 ( .A(n63561), .B(n73382), .X(n63594) );
+  sky130_fd_sc_hd__xor2_1 U82559 ( .A(n63561), .B(n73384), .X(n63580) );
+  sky130_fd_sc_hd__nor2_1 U82560 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[4]), 
+        .B(n63580), .Y(n63564) );
+  sky130_fd_sc_hd__xor2_1 U82561 ( .A(n73408), .B(n63561), .X(n63581) );
+  sky130_fd_sc_hd__nor2_1 U82562 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[5]), 
+        .B(n63581), .Y(n63583) );
+  sky130_fd_sc_hd__nor2_1 U82563 ( .A(n63564), .B(n63583), .Y(n63565) );
+  sky130_fd_sc_hd__xor2_1 U82564 ( .A(n63561), .B(n73383), .X(n63585) );
+  sky130_fd_sc_hd__nand2_1 U82565 ( .A(n63565), .B(n63587), .Y(n63591) );
+  sky130_fd_sc_hd__clkinv_1 U82566 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[0]), 
+        .Y(n63567) );
+  sky130_fd_sc_hd__xor2_1 U82567 ( .A(n73385), .B(n63561), .X(n63566) );
+  sky130_fd_sc_hd__xnor2_1 U82568 ( .A(n63561), .B(n73388), .Y(n63568) );
+  sky130_fd_sc_hd__nor2_1 U82569 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[1]), 
+        .B(n63568), .Y(n63570) );
+  sky130_fd_sc_hd__nand2_1 U82570 ( .A(n63568), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[1]), 
+        .Y(n63569) );
+  sky130_fd_sc_hd__o21ai_1 U82571 ( .A1(n38383), .A2(n63570), .B1(n63569), .Y(
+        n63579) );
+  sky130_fd_sc_hd__xnor2_1 U82572 ( .A(n63561), .B(n73393), .Y(n63572) );
+  sky130_fd_sc_hd__nor2_1 U82573 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[2]), 
+        .B(n63572), .Y(n63571) );
+  sky130_fd_sc_hd__xnor2_1 U82574 ( .A(n63561), .B(n73394), .Y(n63573) );
+  sky130_fd_sc_hd__nor2_1 U82575 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[3]), 
+        .B(n63573), .Y(n63575) );
+  sky130_fd_sc_hd__nor2_1 U82576 ( .A(n63571), .B(n63575), .Y(n63578) );
+  sky130_fd_sc_hd__nand2_1 U82577 ( .A(n63572), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[2]), 
+        .Y(n63576) );
+  sky130_fd_sc_hd__nand2_1 U82578 ( .A(n63573), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[3]), 
+        .Y(n63574) );
+  sky130_fd_sc_hd__o21ai_1 U82579 ( .A1(n63576), .A2(n63575), .B1(n63574), .Y(
+        n63577) );
+  sky130_fd_sc_hd__a21oi_1 U82580 ( .A1(n63579), .A2(n63578), .B1(n63577), .Y(
+        n63590) );
+  sky130_fd_sc_hd__nand2_1 U82581 ( .A(n63580), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[4]), 
+        .Y(n63584) );
+  sky130_fd_sc_hd__nand2_1 U82582 ( .A(n63581), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[5]), 
+        .Y(n63582) );
+  sky130_fd_sc_hd__o21ai_1 U82583 ( .A1(n63584), .A2(n63583), .B1(n63582), .Y(
+        n63588) );
+  sky130_fd_sc_hd__a21oi_1 U82584 ( .A1(n63588), .A2(n63587), .B1(n63586), .Y(
+        n63589) );
+  sky130_fd_sc_hd__o21a_1 U82586 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[7]), 
+        .A2(n63594), .B1(n63592), .X(n63593) );
+  sky130_fd_sc_hd__a21oi_1 U82587 ( .A1(n63594), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[7]), 
+        .B1(n63593), .Y(n63599) );
+  sky130_fd_sc_hd__a21oi_1 U82588 ( .A1(n73420), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[8]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[9]), 
+        .Y(n63595) );
+  sky130_fd_sc_hd__nor2_1 U82589 ( .A(n63596), .B(n63595), .Y(n63597) );
+  sky130_fd_sc_hd__a31oi_1 U82590 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[9]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[8]), 
+        .A3(n73424), .B1(n63597), .Y(n63598) );
+  sky130_fd_sc_hd__o21ai_1 U82591 ( .A1(n63600), .A2(n63599), .B1(n63598), .Y(
+        n63624) );
+  sky130_fd_sc_hd__xor2_1 U82592 ( .A(n63561), .B(n73429), .X(n63606) );
+  sky130_fd_sc_hd__xor2_1 U82593 ( .A(n63561), .B(n73430), .X(n63605) );
+  sky130_fd_sc_hd__nand2_1 U82594 ( .A(n63609), .B(n63601), .Y(n63603) );
+  sky130_fd_sc_hd__xor2_1 U82595 ( .A(n63561), .B(n73428), .X(n63610) );
+  sky130_fd_sc_hd__nor2_1 U82596 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[12]), .B(n63610), .Y(n63602) );
+  sky130_fd_sc_hd__xor2_1 U82597 ( .A(n63561), .B(n73377), .X(n63611) );
+  sky130_fd_sc_hd__nor2_1 U82598 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[13]), .B(n63611), .Y(n63613) );
+  sky130_fd_sc_hd__nor2_1 U82599 ( .A(n63603), .B(n63617), .Y(n63604) );
+  sky130_fd_sc_hd__xor2_1 U82600 ( .A(n63561), .B(n73376), .X(n63618) );
+  sky130_fd_sc_hd__a21oi_1 U82601 ( .A1(n63609), .A2(n63608), .B1(n63607), .Y(
+        n63616) );
+  sky130_fd_sc_hd__nand2_1 U82602 ( .A(n63610), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[12]), .Y(n63614) );
+  sky130_fd_sc_hd__nand2_1 U82603 ( .A(n63611), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[13]), .Y(n63612) );
+  sky130_fd_sc_hd__o21a_1 U82604 ( .A1(n63614), .A2(n63613), .B1(n63612), .X(
+        n63615) );
+  sky130_fd_sc_hd__o21ai_1 U82605 ( .A1(n63617), .A2(n63616), .B1(n63615), .Y(
+        n63621) );
+  sky130_fd_sc_hd__a21o_1 U82606 ( .A1(n63621), .A2(n63620), .B1(n63619), .X(
+        n63622) );
+  sky130_fd_sc_hd__a21o_1 U82607 ( .A1(n63624), .A2(n63623), .B1(n63622), .X(
+        n63627) );
+  sky130_fd_sc_hd__nor2_1 U82608 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[16]), .B(n73375), .Y(n63626) );
+  sky130_fd_sc_hd__nand2_1 U82609 ( .A(n63627), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[15]), .Y(n63625) );
+  sky130_fd_sc_hd__o2bb2ai_1 U82610 ( .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_369[15]), .B2(n63627), .A1_N(n63626), .A2_N(n63625), .Y(n68267) );
+  sky130_fd_sc_hd__nand2_1 U82611 ( .A(n68267), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_7[9]), .Y(n63628) );
+  sky130_fd_sc_hd__nand2_1 U82612 ( .A(n63629), .B(n63628), .Y(n63662) );
+  sky130_fd_sc_hd__ha_1 U82613 ( .A(n63630), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[29]), .COUT(n63641), .SUM(n68251) );
+  sky130_fd_sc_hd__ha_1 U82614 ( .A(n63631), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[26]), .COUT(n63643), .SUM(n68194) );
+  sky130_fd_sc_hd__xnor2_1 U82615 ( .A(n63632), .B(n68194), .Y(n63637) );
+  sky130_fd_sc_hd__ha_1 U82616 ( .A(n63633), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[22]), .COUT(n63646), .SUM(n63691) );
+  sky130_fd_sc_hd__xnor2_1 U82617 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[22]), .B(n63691), .Y(n63634) );
+  sky130_fd_sc_hd__o22ai_1 U82618 ( .A1(n63650), .A2(n63635), .B1(n63648), 
+        .B2(n63634), .Y(n63636) );
+  sky130_fd_sc_hd__a21oi_1 U82619 ( .A1(n63637), .A2(n63652), .B1(n63636), .Y(
+        n63638) );
+  sky130_fd_sc_hd__nand2_1 U82620 ( .A(n38399), .B(n63638), .Y(n63640) );
+  sky130_fd_sc_hd__nand2_1 U82621 ( .A(n63640), .B(n63639), .Y(n63660) );
+  sky130_fd_sc_hd__ha_1 U82622 ( .A(n63641), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[30]), .COUT(n63642), .SUM(n73503) );
+  sky130_fd_sc_hd__ha_1 U82623 ( .A(n63643), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[27]), .COUT(n63549), .SUM(n67217) );
+  sky130_fd_sc_hd__xnor2_1 U82624 ( .A(n63644), .B(n67217), .Y(n63653) );
+  sky130_fd_sc_hd__ha_1 U82625 ( .A(n63645), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[19]), .COUT(n63552), .SUM(n67237) );
+  sky130_fd_sc_hd__xnor2_1 U82626 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[19]), .B(n67237), .Y(n63649) );
+  sky130_fd_sc_hd__ha_1 U82627 ( .A(n63646), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[23]), .COUT(n63553), .SUM(n67082) );
+  sky130_fd_sc_hd__xnor2_1 U82628 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[23]), .B(n67082), .Y(n63647) );
+  sky130_fd_sc_hd__o22ai_1 U82629 ( .A1(n63650), .A2(n63649), .B1(n63648), 
+        .B2(n63647), .Y(n63651) );
+  sky130_fd_sc_hd__a21oi_1 U82630 ( .A1(n63653), .A2(n63652), .B1(n63651), .Y(
+        n63654) );
+  sky130_fd_sc_hd__nand2_1 U82631 ( .A(n63655), .B(n63654), .Y(n63657) );
+  sky130_fd_sc_hd__nand2_1 U82632 ( .A(n63657), .B(n63656), .Y(n63659) );
+  sky130_fd_sc_hd__mux2i_1 U82633 ( .A0(n63660), .A1(n63659), .S(n49105), .Y(
+        n63661) );
+  sky130_fd_sc_hd__nor2_2 U82634 ( .A(n63662), .B(n63661), .Y(n73372) );
+  sky130_fd_sc_hd__nand2_1 U82635 ( .A(n66788), .B(n63663), .Y(n63664) );
+  sky130_fd_sc_hd__nand3_2 U82636 ( .A(n73372), .B(n49230), .C(n68265), .Y(
+        n68261) );
+  sky130_fd_sc_hd__nand2_1 U82637 ( .A(n67330), .B(n37037), .Y(n73501) );
+  sky130_fd_sc_hd__nor2_1 U82638 ( .A(n63667), .B(n68261), .Y(n67081) );
+  sky130_fd_sc_hd__buf_2 U82639 ( .A(n67081), .X(n68252) );
+  sky130_fd_sc_hd__xor2_1 U82640 ( .A(n63668), .B(n63671), .X(n63669) );
+  sky130_fd_sc_hd__a22oi_1 U82641 ( .A1(n85667), .A2(n73508), .B1(n68252), 
+        .B2(n63669), .Y(n63670) );
+  sky130_fd_sc_hd__o21ai_1 U82642 ( .A1(n63671), .A2(n73510), .B1(n63670), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N50) );
+  sky130_fd_sc_hd__nand2_1 U82643 ( .A(n66788), .B(n63672), .Y(n63673) );
+  sky130_fd_sc_hd__nand2_1 U82644 ( .A(n63674), .B(n63673), .Y(n63676) );
+  sky130_fd_sc_hd__nand2_4 U82645 ( .A(n67429), .B(n63677), .Y(n73494) );
+  sky130_fd_sc_hd__nand2_1 U82646 ( .A(n67429), .B(n63678), .Y(n67382) );
+  sky130_fd_sc_hd__inv_4 U82647 ( .A(n67382), .Y(n73491) );
+  sky130_fd_sc_hd__xor2_1 U82648 ( .A(n63680), .B(n63679), .X(n63681) );
+  sky130_fd_sc_hd__a22oi_1 U82649 ( .A1(n85667), .A2(n67196), .B1(n73491), 
+        .B2(n63681), .Y(n63682) );
+  sky130_fd_sc_hd__o21ai_1 U82650 ( .A1(n63679), .A2(n73494), .B1(n63682), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N50) );
+  sky130_fd_sc_hd__nand2_1 U82651 ( .A(n37037), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[17]), 
+        .Y(n63687) );
+  sky130_fd_sc_hd__nor2_1 U82652 ( .A(n83631), .B(n85667), .Y(n81019) );
+  sky130_fd_sc_hd__o21ai_0 U82653 ( .A1(n73517), .A2(n81019), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[17]), 
+        .Y(n63684) );
+  sky130_fd_sc_hd__o21ai_1 U82654 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_17), 
+        .A2(n63687), .B1(n63684), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N518) );
+  sky130_fd_sc_hd__o21ai_0 U82655 ( .A1(n80049), .A2(n81019), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[17]), 
+        .Y(n63688) );
+  sky130_fd_sc_hd__nand2_1 U82656 ( .A(n63688), .B(n63687), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N419) );
+  sky130_fd_sc_hd__nor2_1 U82657 ( .A(n76437), .B(n76446), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N184) );
+  sky130_fd_sc_hd__nand2_1 U82658 ( .A(n75701), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[22]), .Y(n63689) );
+  sky130_fd_sc_hd__o21ai_0 U82659 ( .A1(n76446), .A2(n75701), .B1(n63689), .Y(
+        n416) );
+  sky130_fd_sc_hd__nand2_1 U82660 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__elapsed_center_T_3), .B(n86752), .Y(n67150) );
+  sky130_fd_sc_hd__nor2_1 U82661 ( .A(n76446), .B(n67150), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N81) );
+  sky130_fd_sc_hd__nand2_1 U82662 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__elapsed_center_T_3), .B(n37039), .Y(n67151) );
+  sky130_fd_sc_hd__nor2_1 U82663 ( .A(n76446), .B(n67151), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N81) );
+  sky130_fd_sc_hd__nand2_1 U82664 ( .A(n63690), .B(n83116), .Y(n36215) );
+  sky130_fd_sc_hd__nand2_1 U82665 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__elapsed_center_T_3), .B(n36801), .Y(n67152) );
+  sky130_fd_sc_hd__nor2_1 U82666 ( .A(n76446), .B(n67152), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N81) );
+  sky130_fd_sc_hd__a22oi_1 U82667 ( .A1(n73367), .A2(n73508), .B1(n73507), 
+        .B2(n63691), .Y(n63692) );
+  sky130_fd_sc_hd__o21ai_1 U82668 ( .A1(n63693), .A2(n73510), .B1(n63692), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N55) );
+  sky130_fd_sc_hd__nor2_1 U82669 ( .A(n36802), .B(n63694), .Y(n68431) );
+  sky130_fd_sc_hd__nand2_1 U82670 ( .A(n68431), .B(n63695), .Y(n76428) );
+  sky130_fd_sc_hd__nor2_1 U82671 ( .A(n76446), .B(n76428), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N191) );
+  sky130_fd_sc_hd__nand2_1 U82672 ( .A(n68431), .B(n63697), .Y(n76429) );
+  sky130_fd_sc_hd__nor2_1 U82673 ( .A(n76446), .B(n76429), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N213) );
+  sky130_fd_sc_hd__nand2_1 U82674 ( .A(n63697), .B(n63696), .Y(n63698) );
+  sky130_fd_sc_hd__nand2_1 U82675 ( .A(n36846), .B(n63698), .Y(n36142) );
+  sky130_fd_sc_hd__o21ai_1 U82676 ( .A1(n63701), .A2(n63700), .B1(n63699), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_scale_io_regs_cfg_write_scale) );
+  sky130_fd_sc_hd__nand2_1 U82677 ( .A(n36801), .B(n83535), .Y(n83534) );
+  sky130_fd_sc_hd__nor2_1 U82678 ( .A(n83534), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .Y(MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N55) );
+  sky130_fd_sc_hd__nor2_1 U82679 ( .A(n37041), .B(n77473), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N162) );
+  sky130_fd_sc_hd__nor2_1 U82680 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_full), .B(n63702), .Y(n63706) );
+  sky130_fd_sc_hd__nor2_1 U82681 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .B(n63703), .Y(n63705) );
+  sky130_fd_sc_hd__nand2_1 U82682 ( .A(n83469), .B(n83787), .Y(n63704) );
+  sky130_fd_sc_hd__nor4b_1 U82683 ( .D_N(n82450), .A(n63706), .B(n63705), .C(
+        n63704), .Y(n63710) );
+  sky130_fd_sc_hd__nand4_1 U82684 ( .A(n63710), .B(n63709), .C(n63708), .D(
+        n63707), .Y(n83537) );
+  sky130_fd_sc_hd__nor3_1 U82685 ( .A(n63711), .B(n36848), .C(n63712), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N55)
+         );
+  sky130_fd_sc_hd__nand2_1 U82686 ( .A(n63712), .B(n37037), .Y(n36357) );
+  sky130_fd_sc_hd__a21oi_1 U82687 ( .A1(n82615), .A2(n82614), .B1(n78199), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N592) );
+  sky130_fd_sc_hd__nand2_1 U82688 ( .A(n76545), .B(n36846), .Y(n36488) );
+  sky130_fd_sc_hd__nand2_1 U82689 ( .A(n86306), .B(n86752), .Y(n73338) );
+  sky130_fd_sc_hd__nor2_1 U82690 ( .A(n70810), .B(n73338), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N113) );
+  sky130_fd_sc_hd__nor2_1 U82691 ( .A(n63814), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[1]), .Y(n63713) );
+  sky130_fd_sc_hd__and2_2 U82692 ( .A(n63713), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[2]), .X(n73125) );
+  sky130_fd_sc_hd__nor2_1 U82693 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[2]), .B(n63714), .Y(n73244) );
+  sky130_fd_sc_hd__a22oi_1 U82694 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[174]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[238]), .Y(n63717) );
+  sky130_fd_sc_hd__nor2_1 U82695 ( .A(n63814), .B(n63715), .Y(n73245) );
+  sky130_fd_sc_hd__a22oi_1 U82696 ( .A1(n63814), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[46]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[110]), .Y(n63716) );
+  sky130_fd_sc_hd__nand2_1 U82697 ( .A(n63717), .B(n63716), .Y(n36517) );
+  sky130_fd_sc_hd__nor2_1 U82698 ( .A(n36848), .B(n63718), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N67) );
+  sky130_fd_sc_hd__a22oi_1 U82699 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[166]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[230]), .Y(n63720) );
+  sky130_fd_sc_hd__a22oi_1 U82700 ( .A1(n63814), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[38]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[102]), .Y(n63719) );
+  sky130_fd_sc_hd__nand2_1 U82701 ( .A(n63720), .B(n63719), .Y(n36494) );
+  sky130_fd_sc_hd__a22oi_1 U82702 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[134]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[198]), .Y(n63722) );
+  sky130_fd_sc_hd__a22oi_1 U82703 ( .A1(n63814), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[6]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[70]), .Y(n63721) );
+  sky130_fd_sc_hd__nand2_1 U82704 ( .A(n63722), .B(n63721), .Y(n36526) );
+  sky130_fd_sc_hd__nor2_1 U82705 ( .A(n73256), .B(n63723), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N21) );
+  sky130_fd_sc_hd__o22ai_1 U82706 ( .A1(n73256), .A2(n63724), .B1(n72748), 
+        .B2(n73151), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N20) );
+  sky130_fd_sc_hd__a22oi_1 U82707 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[182]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[246]), .Y(n63726) );
+  sky130_fd_sc_hd__a22oi_1 U82708 ( .A1(n63814), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[54]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[118]), .Y(n63725) );
+  sky130_fd_sc_hd__nand2_1 U82709 ( .A(n63726), .B(n63725), .Y(n36508) );
+  sky130_fd_sc_hd__a22oi_1 U82710 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[150]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[214]), .Y(n63728) );
+  sky130_fd_sc_hd__a22oi_1 U82711 ( .A1(n63814), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[22]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[86]), .Y(n63727) );
+  sky130_fd_sc_hd__nand2_1 U82712 ( .A(n63728), .B(n63727), .Y(n36540) );
+  sky130_fd_sc_hd__nand2_1 U82713 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[31]), .B(n37259), .Y(n63729) );
+  sky130_fd_sc_hd__o21ai_1 U82714 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .A2(n63899), .B1(n63729), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N311) );
+  sky130_fd_sc_hd__nand2_1 U82715 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[31]), .B(n76239), .Y(n63730) );
+  sky130_fd_sc_hd__o21ai_1 U82716 ( .A1(n76239), .A2(n63899), .B1(n63730), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N230) );
+  sky130_fd_sc_hd__nand2_1 U82717 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[31]), .B(n76241), .Y(n63731) );
+  sky130_fd_sc_hd__o21ai_1 U82718 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n63899), .B1(n63731), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N149) );
+  sky130_fd_sc_hd__nand2_1 U82719 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[31]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .Y(n63732) );
+  sky130_fd_sc_hd__a22oi_1 U82721 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[173]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[237]), .Y(n63734) );
+  sky130_fd_sc_hd__a22oi_1 U82722 ( .A1(n63814), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[45]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[109]), .Y(n63733) );
+  sky130_fd_sc_hd__nand2_1 U82723 ( .A(n63734), .B(n63733), .Y(n36518) );
+  sky130_fd_sc_hd__a22oi_1 U82724 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[141]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[205]), .Y(n63736) );
+  sky130_fd_sc_hd__a22oi_1 U82725 ( .A1(n63814), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[13]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[77]), .Y(n63735) );
+  sky130_fd_sc_hd__nand2_1 U82726 ( .A(n63736), .B(n63735), .Y(n36550) );
+  sky130_fd_sc_hd__a22oi_1 U82727 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[189]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[253]), .Y(n63738) );
+  sky130_fd_sc_hd__a22oi_1 U82728 ( .A1(n63814), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[61]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[125]), .Y(n63737) );
+  sky130_fd_sc_hd__nand2_1 U82729 ( .A(n63738), .B(n63737), .Y(n36501) );
+  sky130_fd_sc_hd__a22oi_1 U82730 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[157]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[221]), .Y(n63740) );
+  sky130_fd_sc_hd__a22oi_1 U82731 ( .A1(n63814), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[29]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[93]), .Y(n63739) );
+  sky130_fd_sc_hd__nand2_1 U82732 ( .A(n63740), .B(n63739), .Y(n36533) );
+  sky130_fd_sc_hd__a21oi_1 U82733 ( .A1(n73253), .A2(n63742), .B1(n63741), .Y(
+        n63745) );
+  sky130_fd_sc_hd__mux2i_1 U82734 ( .A0(n63747), .A1(n63746), .S(n73341), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1[13]) );
+  sky130_fd_sc_hd__a22oi_1 U82735 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[172]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[236]), .Y(n63749) );
+  sky130_fd_sc_hd__clkbuf_1 U82736 ( .A(n73245), .X(n73142) );
+  sky130_fd_sc_hd__a22oi_1 U82737 ( .A1(n63814), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[44]), .B1(n73142), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[108]), .Y(n63748) );
+  sky130_fd_sc_hd__nand2_1 U82738 ( .A(n63749), .B(n63748), .Y(n36519) );
+  sky130_fd_sc_hd__a22oi_1 U82739 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[140]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[204]), .Y(n63751) );
+  sky130_fd_sc_hd__a22oi_1 U82740 ( .A1(n63814), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[12]), .B1(n73142), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[76]), .Y(n63750) );
+  sky130_fd_sc_hd__nand2_1 U82741 ( .A(n63751), .B(n63750), .Y(n36551) );
+  sky130_fd_sc_hd__a22oi_1 U82742 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[188]), .B1(n63856), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[252]), .Y(n63753) );
+  sky130_fd_sc_hd__a22oi_1 U82743 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[60]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[124]), .Y(n63752) );
+  sky130_fd_sc_hd__nand2_1 U82744 ( .A(n63753), .B(n63752), .Y(n36502) );
+  sky130_fd_sc_hd__a22oi_1 U82745 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[156]), .B1(n63856), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[220]), .Y(n63755) );
+  sky130_fd_sc_hd__a22oi_1 U82746 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[28]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[92]), .Y(n63754) );
+  sky130_fd_sc_hd__nand2_1 U82747 ( .A(n63755), .B(n63754), .Y(n36534) );
+  sky130_fd_sc_hd__mux2i_1 U82748 ( .A0(n63757), .A1(n63756), .S(n73341), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1[12]) );
+  sky130_fd_sc_hd__a22oi_1 U82749 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[170]), .B1(n63856), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[234]), .Y(n63759) );
+  sky130_fd_sc_hd__a22oi_1 U82750 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[42]), .B1(n73142), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[106]), .Y(n63758) );
+  sky130_fd_sc_hd__nand2_1 U82751 ( .A(n63759), .B(n63758), .Y(n36521) );
+  sky130_fd_sc_hd__a22oi_1 U82752 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[138]), .B1(n63856), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[202]), .Y(n63761) );
+  sky130_fd_sc_hd__a22oi_1 U82753 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[10]), .B1(n73142), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[74]), .Y(n63760) );
+  sky130_fd_sc_hd__nand2_1 U82754 ( .A(n63761), .B(n63760), .Y(n36553) );
+  sky130_fd_sc_hd__a22oi_1 U82755 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[186]), .B1(n63856), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[250]), .Y(n63763) );
+  sky130_fd_sc_hd__a22oi_1 U82756 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[58]), .B1(n73142), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[122]), .Y(n63762) );
+  sky130_fd_sc_hd__nand2_1 U82757 ( .A(n63763), .B(n63762), .Y(n36504) );
+  sky130_fd_sc_hd__a22oi_1 U82758 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[154]), .B1(n63856), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[218]), .Y(n63765) );
+  sky130_fd_sc_hd__a22oi_1 U82759 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[26]), .B1(n73142), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[90]), .Y(n63764) );
+  sky130_fd_sc_hd__nand2_1 U82760 ( .A(n63765), .B(n63764), .Y(n36536) );
+  sky130_fd_sc_hd__mux2i_1 U82761 ( .A0(n63767), .A1(n63766), .S(n73341), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1[10]) );
+  sky130_fd_sc_hd__a22oi_1 U82762 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[171]), .B1(n63856), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[235]), .Y(n63769) );
+  sky130_fd_sc_hd__a22oi_1 U82763 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[43]), .B1(n73142), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[107]), .Y(n63768) );
+  sky130_fd_sc_hd__nand2_1 U82764 ( .A(n63769), .B(n63768), .Y(n36520) );
+  sky130_fd_sc_hd__a22oi_1 U82765 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[139]), .B1(n63856), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[203]), .Y(n63771) );
+  sky130_fd_sc_hd__a22oi_1 U82766 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[11]), .B1(n73142), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[75]), .Y(n63770) );
+  sky130_fd_sc_hd__nand2_1 U82767 ( .A(n63771), .B(n63770), .Y(n36552) );
+  sky130_fd_sc_hd__a22oi_1 U82768 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[187]), .B1(n63856), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[251]), .Y(n63773) );
+  sky130_fd_sc_hd__a22oi_1 U82769 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[59]), .B1(n73142), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[123]), .Y(n63772) );
+  sky130_fd_sc_hd__nand2_1 U82770 ( .A(n63773), .B(n63772), .Y(n36503) );
+  sky130_fd_sc_hd__a22oi_1 U82771 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[155]), .B1(n63856), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[219]), .Y(n63775) );
+  sky130_fd_sc_hd__a22oi_1 U82772 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[27]), .B1(n73142), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[91]), .Y(n63774) );
+  sky130_fd_sc_hd__nand2_1 U82773 ( .A(n63775), .B(n63774), .Y(n36535) );
+  sky130_fd_sc_hd__mux2i_1 U82774 ( .A0(n63777), .A1(n63776), .S(n73341), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1[11]) );
+  sky130_fd_sc_hd__a22oi_1 U82775 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[168]), .B1(n63856), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[232]), .Y(n63779) );
+  sky130_fd_sc_hd__a22oi_1 U82776 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[40]), .B1(n73142), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[104]), .Y(n63778) );
+  sky130_fd_sc_hd__nand2_1 U82777 ( .A(n63779), .B(n63778), .Y(n36492) );
+  sky130_fd_sc_hd__a22oi_1 U82778 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[136]), .B1(n63856), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[200]), .Y(n63781) );
+  sky130_fd_sc_hd__a22oi_1 U82779 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[8]), .B1(n73142), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[72]), .Y(n63780) );
+  sky130_fd_sc_hd__nand2_1 U82780 ( .A(n63781), .B(n63780), .Y(n36524) );
+  sky130_fd_sc_hd__a22oi_1 U82781 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[184]), .B1(n63856), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[248]), .Y(n63783) );
+  sky130_fd_sc_hd__a22oi_1 U82782 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[56]), .B1(n73142), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[120]), .Y(n63782) );
+  sky130_fd_sc_hd__nand2_1 U82783 ( .A(n63783), .B(n63782), .Y(n36506) );
+  sky130_fd_sc_hd__a22oi_1 U82784 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[152]), .B1(n63856), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[216]), .Y(n63785) );
+  sky130_fd_sc_hd__a22oi_1 U82785 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[24]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[88]), .Y(n63784) );
+  sky130_fd_sc_hd__nand2_1 U82786 ( .A(n63785), .B(n63784), .Y(n36538) );
+  sky130_fd_sc_hd__mux2i_1 U82787 ( .A0(n63787), .A1(n63786), .S(n73341), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1[8]) );
+  sky130_fd_sc_hd__a22oi_1 U82788 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[167]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[231]), .Y(n63789) );
+  sky130_fd_sc_hd__a22oi_1 U82789 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[39]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[103]), .Y(n63788) );
+  sky130_fd_sc_hd__nand2_1 U82790 ( .A(n63789), .B(n63788), .Y(n36493) );
+  sky130_fd_sc_hd__a22oi_1 U82791 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[135]), .B1(n63856), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[199]), .Y(n63791) );
+  sky130_fd_sc_hd__a22oi_1 U82792 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[7]), .B1(n73142), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[71]), .Y(n63790) );
+  sky130_fd_sc_hd__nand2_1 U82793 ( .A(n63791), .B(n63790), .Y(n36525) );
+  sky130_fd_sc_hd__a22oi_1 U82794 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[183]), .B1(n63856), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[247]), .Y(n63793) );
+  sky130_fd_sc_hd__a22oi_1 U82795 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[55]), .B1(n73142), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[119]), .Y(n63792) );
+  sky130_fd_sc_hd__nand2_1 U82796 ( .A(n63793), .B(n63792), .Y(n36507) );
+  sky130_fd_sc_hd__a22oi_1 U82797 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[151]), .B1(n63856), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[215]), .Y(n63795) );
+  sky130_fd_sc_hd__a22oi_1 U82798 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[23]), .B1(n73142), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[87]), .Y(n63794) );
+  sky130_fd_sc_hd__nand2_1 U82799 ( .A(n63795), .B(n63794), .Y(n36539) );
+  sky130_fd_sc_hd__mux2i_1 U82800 ( .A0(n63797), .A1(n63796), .S(n73341), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1[7]) );
+  sky130_fd_sc_hd__a22oi_1 U82801 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[169]), .B1(n63856), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[233]), .Y(n63799) );
+  sky130_fd_sc_hd__a22oi_1 U82802 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[41]), .B1(n73142), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[105]), .Y(n63798) );
+  sky130_fd_sc_hd__nand2_1 U82803 ( .A(n63799), .B(n63798), .Y(n36491) );
+  sky130_fd_sc_hd__a22oi_1 U82804 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[137]), .B1(n63856), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[201]), .Y(n63801) );
+  sky130_fd_sc_hd__a22oi_1 U82805 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[9]), .B1(n73142), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[73]), .Y(n63800) );
+  sky130_fd_sc_hd__nand2_1 U82806 ( .A(n63801), .B(n63800), .Y(n36523) );
+  sky130_fd_sc_hd__a22oi_1 U82807 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[185]), .B1(n63856), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[249]), .Y(n63803) );
+  sky130_fd_sc_hd__a22oi_1 U82808 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[57]), .B1(n73142), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[121]), .Y(n63802) );
+  sky130_fd_sc_hd__nand2_1 U82809 ( .A(n63803), .B(n63802), .Y(n36505) );
+  sky130_fd_sc_hd__a22oi_1 U82810 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[153]), .B1(n63856), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[217]), .Y(n63805) );
+  sky130_fd_sc_hd__a22oi_1 U82811 ( .A1(n63814), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[25]), .B1(n73142), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[89]), .Y(n63804) );
+  sky130_fd_sc_hd__nand2_1 U82812 ( .A(n63805), .B(n63804), .Y(n36537) );
+  sky130_fd_sc_hd__mux2i_1 U82813 ( .A0(n63807), .A1(n63806), .S(n73341), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1[9]) );
+  sky130_fd_sc_hd__a22oi_1 U82814 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[163]), .B1(n63856), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[227]), .Y(n63809) );
+  sky130_fd_sc_hd__a22oi_1 U82815 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[35]), .B1(n73142), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[99]), .Y(n63808) );
+  sky130_fd_sc_hd__nand2_1 U82816 ( .A(n63809), .B(n63808), .Y(n36497) );
+  sky130_fd_sc_hd__a22oi_1 U82817 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[131]), .B1(n63856), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[195]), .Y(n63811) );
+  sky130_fd_sc_hd__a22oi_1 U82818 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[3]), .B1(n73142), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[67]), .Y(n63810) );
+  sky130_fd_sc_hd__nand2_1 U82819 ( .A(n63811), .B(n63810), .Y(n36529) );
+  sky130_fd_sc_hd__a22oi_1 U82820 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[179]), .B1(n63856), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[243]), .Y(n63813) );
+  sky130_fd_sc_hd__a22oi_1 U82821 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[51]), .B1(n73142), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[115]), .Y(n63812) );
+  sky130_fd_sc_hd__nand2_1 U82822 ( .A(n63813), .B(n63812), .Y(n36512) );
+  sky130_fd_sc_hd__a22oi_1 U82823 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[147]), .B1(n63856), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[211]), .Y(n63816) );
+  sky130_fd_sc_hd__a22oi_1 U82824 ( .A1(n63814), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[19]), .B1(n73142), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[83]), .Y(n63815) );
+  sky130_fd_sc_hd__nand2_1 U82825 ( .A(n63816), .B(n63815), .Y(n36544) );
+  sky130_fd_sc_hd__nand2_1 U82826 ( .A(n73341), .B(n63817), .Y(n63818) );
+  sky130_fd_sc_hd__o21ai_1 U82827 ( .A1(n63819), .A2(n73341), .B1(n63818), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1[3]) );
+  sky130_fd_sc_hd__nor2_1 U82828 ( .A(n63820), .B(n63822), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N385) );
+  sky130_fd_sc_hd__nor2_1 U82829 ( .A(n63823), .B(n63822), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N426) );
+  sky130_fd_sc_hd__a22oi_1 U82830 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[165]), .B1(n63856), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[229]), .Y(n63825) );
+  sky130_fd_sc_hd__a22oi_1 U82831 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[37]), .B1(n73142), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[101]), .Y(n63824) );
+  sky130_fd_sc_hd__nand2_1 U82832 ( .A(n63825), .B(n63824), .Y(n36495) );
+  sky130_fd_sc_hd__a22oi_1 U82833 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[133]), .B1(n63856), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[197]), .Y(n63827) );
+  sky130_fd_sc_hd__a22oi_1 U82834 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[5]), .B1(n73142), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[69]), .Y(n63826) );
+  sky130_fd_sc_hd__nand2_1 U82835 ( .A(n63827), .B(n63826), .Y(n36527) );
+  sky130_fd_sc_hd__a22oi_1 U82836 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[181]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[245]), .Y(n63829) );
+  sky130_fd_sc_hd__a22oi_1 U82837 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[53]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[117]), .Y(n63828) );
+  sky130_fd_sc_hd__nand2_1 U82838 ( .A(n63829), .B(n63828), .Y(n36509) );
+  sky130_fd_sc_hd__a22oi_1 U82839 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[149]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[213]), .Y(n63831) );
+  sky130_fd_sc_hd__a22oi_1 U82840 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[21]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[85]), .Y(n63830) );
+  sky130_fd_sc_hd__nand2_1 U82841 ( .A(n63831), .B(n63830), .Y(n36541) );
+  sky130_fd_sc_hd__mux2i_1 U82842 ( .A0(n63833), .A1(n63832), .S(n73341), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1[5]) );
+  sky130_fd_sc_hd__a22oi_1 U82843 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[162]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[226]), .Y(n63835) );
+  sky130_fd_sc_hd__a22oi_1 U82844 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[34]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[98]), .Y(n63834) );
+  sky130_fd_sc_hd__nand2_1 U82845 ( .A(n63835), .B(n63834), .Y(n36500) );
+  sky130_fd_sc_hd__a22oi_1 U82846 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[130]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[194]), .Y(n63837) );
+  sky130_fd_sc_hd__a22oi_1 U82847 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[2]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[66]), .Y(n63836) );
+  sky130_fd_sc_hd__nand2_1 U82848 ( .A(n63837), .B(n63836), .Y(n36532) );
+  sky130_fd_sc_hd__a22oi_1 U82849 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[178]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[242]), .Y(n63839) );
+  sky130_fd_sc_hd__a22oi_1 U82850 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[50]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[114]), .Y(n63838) );
+  sky130_fd_sc_hd__nand2_1 U82851 ( .A(n63839), .B(n63838), .Y(n36513) );
+  sky130_fd_sc_hd__a22oi_1 U82852 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[146]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[210]), .Y(n63841) );
+  sky130_fd_sc_hd__a22oi_1 U82853 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[18]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[82]), .Y(n63840) );
+  sky130_fd_sc_hd__nand2_1 U82854 ( .A(n63841), .B(n63840), .Y(n36545) );
+  sky130_fd_sc_hd__mux2i_1 U82855 ( .A0(n63843), .A1(n63842), .S(n73341), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1[2]) );
+  sky130_fd_sc_hd__a22oi_1 U82856 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[180]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[244]), .Y(n63845) );
+  sky130_fd_sc_hd__a22oi_1 U82857 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[52]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[116]), .Y(n63844) );
+  sky130_fd_sc_hd__nand2_1 U82858 ( .A(n63845), .B(n63844), .Y(n36510) );
+  sky130_fd_sc_hd__a22oi_1 U82859 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[148]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[212]), .Y(n63847) );
+  sky130_fd_sc_hd__a22oi_1 U82860 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[20]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[84]), .Y(n63846) );
+  sky130_fd_sc_hd__nand2_1 U82861 ( .A(n63847), .B(n63846), .Y(n36542) );
+  sky130_fd_sc_hd__mux2i_1 U82862 ( .A0(n63849), .A1(n63848), .S(n73341), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1[4]) );
+  sky130_fd_sc_hd__a22oi_1 U82863 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[190]), .B1(n63856), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[254]), .Y(n63851) );
+  sky130_fd_sc_hd__a22oi_1 U82864 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[62]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[126]), .Y(n63850) );
+  sky130_fd_sc_hd__nand2_1 U82865 ( .A(n63851), .B(n63850), .Y(n36499) );
+  sky130_fd_sc_hd__a22oi_1 U82866 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[158]), .B1(n63856), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[222]), .Y(n63853) );
+  sky130_fd_sc_hd__a22oi_1 U82867 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[30]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[94]), .Y(n63852) );
+  sky130_fd_sc_hd__nand2_1 U82868 ( .A(n63853), .B(n63852), .Y(n36531) );
+  sky130_fd_sc_hd__a22oi_1 U82869 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[175]), .B1(n63856), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[239]), .Y(n63855) );
+  sky130_fd_sc_hd__a22oi_1 U82870 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[47]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[111]), .Y(n63854) );
+  sky130_fd_sc_hd__nand2_1 U82871 ( .A(n63855), .B(n63854), .Y(n36516) );
+  sky130_fd_sc_hd__a22oi_1 U82872 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[143]), .B1(n63856), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[207]), .Y(n63858) );
+  sky130_fd_sc_hd__a22oi_1 U82873 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[15]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[79]), .Y(n63857) );
+  sky130_fd_sc_hd__nand2_1 U82874 ( .A(n63858), .B(n63857), .Y(n36548) );
+  sky130_fd_sc_hd__a22oi_1 U82875 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[191]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[255]), .Y(n63860) );
+  sky130_fd_sc_hd__a22oi_1 U82876 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[63]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[127]), .Y(n63859) );
+  sky130_fd_sc_hd__nand2_1 U82877 ( .A(n63860), .B(n63859), .Y(n36498) );
+  sky130_fd_sc_hd__a22oi_1 U82878 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[159]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[223]), .Y(n63862) );
+  sky130_fd_sc_hd__a22oi_1 U82879 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[31]), .B1(n73142), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[95]), .Y(n63861) );
+  sky130_fd_sc_hd__nand2_1 U82880 ( .A(n63862), .B(n63861), .Y(n36530) );
+  sky130_fd_sc_hd__o21ai_1 U82881 ( .A1(n85530), .A2(n63863), .B1(n85529), .Y(
+        n63866) );
+  sky130_fd_sc_hd__nand3_1 U82882 ( .A(n63866), .B(n36824), .C(n63865), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N391) );
+  sky130_fd_sc_hd__nand2_1 U82883 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_jalr), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_status_debug), .Y(n76395) );
+  sky130_fd_sc_hd__nand2b_1 U82884 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_flush_pipe), .B(n76395), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__GEN_81) );
+  sky130_fd_sc_hd__nor3_1 U82885 ( .A(n36802), .B(n77601), .C(n72670), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N443) );
+  sky130_fd_sc_hd__ha_1 U82886 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__metaArb_io_in_5_bits_addr_T_1[11]), .B(n63867), .COUT(n78352), .SUM(n62884) );
+  sky130_fd_sc_hd__a21oi_1 U82888 ( .A1(n63869), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_0_valid), .B1(n63868), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N616) );
+  sky130_fd_sc_hd__nand2_1 U82889 ( .A(n85545), .B(n85544), .Y(n63871) );
+  sky130_fd_sc_hd__a31oi_1 U82890 ( .A1(n63871), .A2(n63870), .A3(n39534), 
+        .B1(n64368), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_ctrl_decoder_15[0]) );
+  sky130_fd_sc_hd__a21oi_1 U82891 ( .A1(n63873), .A2(n63872), .B1(n60015), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N604) );
+  sky130_fd_sc_hd__a22oi_1 U82892 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[177]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[241]), .Y(n63875) );
+  sky130_fd_sc_hd__a22oi_1 U82893 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[49]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[113]), .Y(n63874) );
+  sky130_fd_sc_hd__nand2_1 U82894 ( .A(n63875), .B(n63874), .Y(n36514) );
+  sky130_fd_sc_hd__a22oi_1 U82895 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[145]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[209]), .Y(n63877) );
+  sky130_fd_sc_hd__a22oi_1 U82896 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[17]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[81]), .Y(n63876) );
+  sky130_fd_sc_hd__nand2_1 U82897 ( .A(n63877), .B(n63876), .Y(n36546) );
+  sky130_fd_sc_hd__nand2_1 U82898 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[30]), .B(n37259), .Y(n63879) );
+  sky130_fd_sc_hd__o21ai_1 U82899 ( .A1(n37259), .A2(n73099), .B1(n63879), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N310) );
+  sky130_fd_sc_hd__nand2_1 U82900 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[30]), .B(n76239), .Y(n63880) );
+  sky130_fd_sc_hd__o21ai_1 U82901 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n73099), .B1(n63880), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N229) );
+  sky130_fd_sc_hd__nand2_1 U82902 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[30]), .B(n76241), .Y(n63881) );
+  sky130_fd_sc_hd__o21ai_1 U82903 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n73099), .B1(n63881), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N148) );
+  sky130_fd_sc_hd__nand2_1 U82904 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[30]), .B(n73340), .Y(n63882) );
+  sky130_fd_sc_hd__nand2_1 U82906 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[7]), .Y(n63883) );
+  sky130_fd_sc_hd__nand2_1 U82907 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[5]), .Y(n64008) );
+  sky130_fd_sc_hd__nor2_1 U82908 ( .A(n63883), .B(n64008), .Y(n63885) );
+  sky130_fd_sc_hd__nand2_1 U82909 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[1]), .B(n72714), .Y(n72715) );
+  sky130_fd_sc_hd__nand2_1 U82910 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[3]), .Y(n63884) );
+  sky130_fd_sc_hd__nor2_1 U82911 ( .A(n72715), .B(n63884), .Y(n63928) );
+  sky130_fd_sc_hd__nand2_1 U82912 ( .A(n63885), .B(n63928), .Y(n63916) );
+  sky130_fd_sc_hd__nand2_1 U82913 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[11]), .Y(n63886) );
+  sky130_fd_sc_hd__nand2_1 U82914 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[9]), .Y(n64026) );
+  sky130_fd_sc_hd__nor2_1 U82915 ( .A(n63886), .B(n64026), .Y(n63917) );
+  sky130_fd_sc_hd__nor2_1 U82916 ( .A(n63919), .B(n64038), .Y(n63887) );
+  sky130_fd_sc_hd__nand2_1 U82917 ( .A(n63917), .B(n63887), .Y(n63888) );
+  sky130_fd_sc_hd__nor2_1 U82918 ( .A(n63916), .B(n63888), .Y(n63891) );
+  sky130_fd_sc_hd__nand2_1 U82919 ( .A(n63891), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[14]), .Y(n72365) );
+  sky130_fd_sc_hd__nor2_1 U82920 ( .A(n72364), .B(n72365), .Y(n72306) );
+  sky130_fd_sc_hd__nand2_1 U82921 ( .A(n63889), .B(n73179), .Y(n63890) );
+  sky130_fd_sc_hd__o21ai_0 U82922 ( .A1(n73179), .A2(n72456), .B1(n63890), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[28]) );
+  sky130_fd_sc_hd__nor2_1 U82923 ( .A(n70804), .B(n73338), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N96) );
+  sky130_fd_sc_hd__xnor2_1 U82924 ( .A(n63892), .B(n63891), .Y(n63893) );
+  sky130_fd_sc_hd__nand2_1 U82925 ( .A(n73179), .B(n63893), .Y(n63894) );
+  sky130_fd_sc_hd__o21ai_0 U82926 ( .A1(n72409), .A2(n73179), .B1(n63894), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[14]) );
+  sky130_fd_sc_hd__ha_1 U82927 ( .A(n63895), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[28]), .COUT(n63909), .SUM(n63889) );
+  sky130_fd_sc_hd__xor2_1 U82928 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[31]), .B(n63896), .X(n63897) );
+  sky130_fd_sc_hd__nand2_1 U82929 ( .A(n63897), .B(n73179), .Y(n63898) );
+  sky130_fd_sc_hd__o21ai_0 U82930 ( .A1(n73179), .A2(n63899), .B1(n63898), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[31]) );
+  sky130_fd_sc_hd__o22ai_1 U82931 ( .A1(n72426), .A2(n72374), .B1(n72427), 
+        .B2(n72372), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1493) );
+  sky130_fd_sc_hd__ha_1 U82932 ( .A(n63900), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[30]), .COUT(n63896), .SUM(n63901) );
+  sky130_fd_sc_hd__nand2_1 U82933 ( .A(n63901), .B(n73179), .Y(n63902) );
+  sky130_fd_sc_hd__o21ai_0 U82934 ( .A1(n73179), .A2(n73099), .B1(n63902), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[30]) );
+  sky130_fd_sc_hd__o22ai_1 U82935 ( .A1(n73138), .A2(n72374), .B1(n73139), 
+        .B2(n72372), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1417) );
+  sky130_fd_sc_hd__o21ai_1 U82936 ( .A1(n63904), .A2(n63903), .B1(n73135), .Y(
+        n72371) );
+  sky130_fd_sc_hd__o22ai_1 U82937 ( .A1(n72374), .A2(n72371), .B1(n72370), 
+        .B2(n72372), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1398) );
+  sky130_fd_sc_hd__nor2_1 U82938 ( .A(n63905), .B(n73338), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N101) );
+  sky130_fd_sc_hd__ha_1 U82939 ( .A(n63906), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[19]), .COUT(n68992), .SUM(n63907) );
+  sky130_fd_sc_hd__nand2_1 U82940 ( .A(n73179), .B(n63907), .Y(n63908) );
+  sky130_fd_sc_hd__o21ai_0 U82941 ( .A1(n72242), .A2(n73179), .B1(n63908), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[19]) );
+  sky130_fd_sc_hd__ha_1 U82942 ( .A(n63909), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[29]), .COUT(n63900), .SUM(n63910) );
+  sky130_fd_sc_hd__nand2_1 U82943 ( .A(n63910), .B(n73179), .Y(n63911) );
+  sky130_fd_sc_hd__o21ai_0 U82944 ( .A1(n73179), .A2(n72520), .B1(n63911), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[29]) );
+  sky130_fd_sc_hd__o22ai_1 U82945 ( .A1(n72430), .A2(n72374), .B1(n72429), 
+        .B2(n72372), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1474) );
+  sky130_fd_sc_hd__nor2_1 U82946 ( .A(n63913), .B(n63923), .Y(n64012) );
+  sky130_fd_sc_hd__nand2_1 U82947 ( .A(n64013), .B(n64012), .Y(n73068) );
+  sky130_fd_sc_hd__a21oi_1 U82948 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_valid), .A2(n64000), .B1(n64015), .Y(n64048) );
+  sky130_fd_sc_hd__nand2_1 U82949 ( .A(n64045), .B(n73068), .Y(n73062) );
+  sky130_fd_sc_hd__o21ai_1 U82950 ( .A1(n73273), .A2(n73063), .B1(n73062), .Y(
+        n63914) );
+  sky130_fd_sc_hd__o21ai_1 U82951 ( .A1(n64048), .A2(n63914), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[1]), .Y(n63915) );
+  sky130_fd_sc_hd__o21ai_1 U82952 ( .A1(n73068), .A2(n73060), .B1(n63915), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1502) );
+  sky130_fd_sc_hd__nor2_1 U82953 ( .A(n53207), .B(n73338), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N95) );
+  sky130_fd_sc_hd__nand2_1 U82954 ( .A(n64028), .B(n63917), .Y(n64039) );
+  sky130_fd_sc_hd__nor2_1 U82955 ( .A(n64038), .B(n64039), .Y(n63918) );
+  sky130_fd_sc_hd__xnor2_1 U82956 ( .A(n63919), .B(n63918), .Y(n63920) );
+  sky130_fd_sc_hd__nand2_1 U82957 ( .A(n73179), .B(n63920), .Y(n63921) );
+  sky130_fd_sc_hd__o21ai_0 U82958 ( .A1(n54954), .A2(n73179), .B1(n63921), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[13]) );
+  sky130_fd_sc_hd__nor3_1 U82959 ( .A(n63979), .B(n63923), .C(n63922), .Y(
+        n63924) );
+  sky130_fd_sc_hd__nor2_1 U82960 ( .A(n63924), .B(n64015), .Y(n64061) );
+  sky130_fd_sc_hd__o21ai_1 U82962 ( .A1(n73274), .A2(n73063), .B1(n70517), .Y(
+        n63926) );
+  sky130_fd_sc_hd__o21ai_1 U82963 ( .A1(n64061), .A2(n63926), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[16]), .Y(n63927) );
+  sky130_fd_sc_hd__o21ai_1 U82964 ( .A1(n64063), .A2(n73067), .B1(n63927), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1517) );
+  sky130_fd_sc_hd__nor2_1 U82965 ( .A(n44172), .B(n73338), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N86) );
+  sky130_fd_sc_hd__xor2_1 U82966 ( .A(n64022), .B(n64023), .X(n72882) );
+  sky130_fd_sc_hd__mux2_2 U82967 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[4]), .A1(n72882), .S(n73179), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[4]) );
+  sky130_fd_sc_hd__nor2_1 U82968 ( .A(n63979), .B(n64013), .Y(n63929) );
+  sky130_fd_sc_hd__nor2_1 U82969 ( .A(n63929), .B(n64015), .Y(n73058) );
+  sky130_fd_sc_hd__o21ai_1 U82970 ( .A1(n73272), .A2(n73063), .B1(n70517), .Y(
+        n63930) );
+  sky130_fd_sc_hd__o21ai_1 U82972 ( .A1(n73061), .A2(n73067), .B1(n63931), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1521) );
+  sky130_fd_sc_hd__nor2_1 U82973 ( .A(n72713), .B(n63932), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N390) );
+  sky130_fd_sc_hd__nor4_1 U82974 ( .A(n65105), .B(n64368), .C(n63934), .D(
+        n63933), .Y(n63943) );
+  sky130_fd_sc_hd__a21oi_1 U82975 ( .A1(n64159), .A2(n85532), .B1(n63943), .Y(
+        n63939) );
+  sky130_fd_sc_hd__nand3_1 U82976 ( .A(n63935), .B(n85546), .C(n85534), .Y(
+        n64215) );
+  sky130_fd_sc_hd__o22ai_1 U82977 ( .A1(n73345), .A2(n63937), .B1(n64159), 
+        .B2(n63936), .Y(n63938) );
+  sky130_fd_sc_hd__a211oi_1 U82978 ( .A1(n63944), .A2(n64159), .B1(n63943), 
+        .C1(n63942), .Y(n63946) );
+  sky130_fd_sc_hd__nor2_1 U82979 ( .A(n71920), .B(n73338), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N103) );
+  sky130_fd_sc_hd__ha_1 U82980 ( .A(n63947), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[21]), .COUT(n64961), .SUM(n63948) );
+  sky130_fd_sc_hd__nand2_1 U82981 ( .A(n73179), .B(n63948), .Y(n63949) );
+  sky130_fd_sc_hd__o21ai_0 U82982 ( .A1(n70599), .A2(n73179), .B1(n63949), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[21]) );
+  sky130_fd_sc_hd__o22ai_1 U82983 ( .A1(n72312), .A2(n72374), .B1(n72311), 
+        .B2(n72372), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1436) );
+  sky130_fd_sc_hd__ha_1 U82984 ( .A(n63951), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[27]), .COUT(n63895), .SUM(n63952) );
+  sky130_fd_sc_hd__nand2_1 U82985 ( .A(n63952), .B(n73179), .Y(n63953) );
+  sky130_fd_sc_hd__o21ai_0 U82986 ( .A1(n73179), .A2(n70553), .B1(n63953), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[27]) );
+  sky130_fd_sc_hd__nor2_1 U82987 ( .A(n63956), .B(n63955), .Y(n63961) );
+  sky130_fd_sc_hd__nor2_1 U82988 ( .A(n36802), .B(n63957), .Y(n78476) );
+  sky130_fd_sc_hd__nand2b_1 U82989 ( .A_N(n78463), .B(n78476), .Y(n63971) );
+  sky130_fd_sc_hd__nand4_1 U82990 ( .A(n63959), .B(n78476), .C(n63958), .D(
+        n78463), .Y(n63960) );
+  sky130_fd_sc_hd__o21ai_1 U82991 ( .A1(n63961), .A2(n63971), .B1(n63960), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1695) );
+  sky130_fd_sc_hd__nor2_1 U82992 ( .A(n63962), .B(n63971), .Y(n78474) );
+  sky130_fd_sc_hd__nand2b_1 U82993 ( .A_N(n78474), .B(n63963), .Y(n63964) );
+  sky130_fd_sc_hd__nand2_1 U82994 ( .A(n63964), .B(n86753), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1733) );
+  sky130_fd_sc_hd__nor3_1 U82995 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pos[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pos[0]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pos[2]), .Y(n63965) );
+  sky130_fd_sc_hd__nor2b_1 U82996 ( .B_N(n63969), .A(n63965), .Y(n63968) );
+  sky130_fd_sc_hd__nand4_1 U82997 ( .A(n78463), .B(n78476), .C(n63966), .D(
+        n63969), .Y(n63967) );
+  sky130_fd_sc_hd__o21ai_1 U82998 ( .A1(n63968), .A2(n63971), .B1(n63967), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1696) );
+  sky130_fd_sc_hd__nand2_1 U82999 ( .A(n63969), .B(n78476), .Y(n63970) );
+  sky130_fd_sc_hd__a21oi_1 U83000 ( .A1(n63971), .A2(n63970), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pos[0]), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1694) );
+  sky130_fd_sc_hd__nand2_1 U83001 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_hi_lo_hi_1[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .Y(n63972) );
+  sky130_fd_sc_hd__nor4_1 U83002 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_hi_lo_hi_1[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_hi_lo_hi_1[6]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_hi_lo_hi_1[7]), .D(n63972), .Y(n63973) );
+  sky130_fd_sc_hd__a21oi_1 U83003 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_br_target_T_3_11_), .A2(n72751), .B1(n63973), .Y(n63976) );
+  sky130_fd_sc_hd__nand2_1 U83004 ( .A(n38421), .B(n63974), .Y(n63975) );
+  sky130_fd_sc_hd__o21ai_1 U83005 ( .A1(n63976), .A2(n38421), .B1(n63975), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_cfiType[1]) );
+  sky130_fd_sc_hd__nand2_1 U83006 ( .A(n72751), .B(n63977), .Y(n63978) );
+  sky130_fd_sc_hd__o22ai_1 U83007 ( .A1(n73163), .A2(n63978), .B1(n78463), 
+        .B2(n73179), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_cfiType[0]) );
+  sky130_fd_sc_hd__nor2_1 U83008 ( .A(n63980), .B(n63979), .Y(n63981) );
+  sky130_fd_sc_hd__nor2_1 U83009 ( .A(n63981), .B(n64015), .Y(n70519) );
+  sky130_fd_sc_hd__o21ai_1 U83011 ( .A1(n72732), .A2(n73063), .B1(n64055), .Y(
+        n63983) );
+  sky130_fd_sc_hd__o21ai_1 U83013 ( .A1(n70521), .A2(n72767), .B1(n63984), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1528) );
+  sky130_fd_sc_hd__nand2_1 U83014 ( .A(n63986), .B(n63987), .Y(n64071) );
+  sky130_fd_sc_hd__nor2_1 U83015 ( .A(n63987), .B(n64015), .Y(n64067) );
+  sky130_fd_sc_hd__a211oi_1 U83016 ( .A1(n72753), .A2(n63988), .B1(n64067), 
+        .C1(n73064), .Y(n63989) );
+  sky130_fd_sc_hd__o22ai_1 U83017 ( .A1(n63991), .A2(n64071), .B1(n63990), 
+        .B2(n63989), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1509) );
+  sky130_fd_sc_hd__nor2_1 U83018 ( .A(n58336), .B(n73338), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N91) );
+  sky130_fd_sc_hd__nand2_1 U83019 ( .A(n64028), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[8]), .Y(n63993) );
+  sky130_fd_sc_hd__xor2_1 U83020 ( .A(n63993), .B(n63992), .X(n72910) );
+  sky130_fd_sc_hd__nand2_1 U83021 ( .A(n73179), .B(n72910), .Y(n63994) );
+  sky130_fd_sc_hd__o21ai_0 U83022 ( .A1(n54963), .A2(n73179), .B1(n63994), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[9]) );
+  sky130_fd_sc_hd__nor2_1 U83023 ( .A(n58559), .B(n73338), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N84) );
+  sky130_fd_sc_hd__xor2_1 U83024 ( .A(n64004), .B(n72715), .X(n72886) );
+  sky130_fd_sc_hd__mux2_2 U83025 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[2]), .A1(n72886), .S(n73179), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[2]) );
+  sky130_fd_sc_hd__a211oi_1 U83026 ( .A1(n72753), .A2(n63995), .B1(n64067), 
+        .C1(n72764), .Y(n63996) );
+  sky130_fd_sc_hd__o22ai_1 U83027 ( .A1(n63998), .A2(n64071), .B1(n63997), 
+        .B2(n63996), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1512) );
+  sky130_fd_sc_hd__a211oi_1 U83028 ( .A1(n72753), .A2(n63999), .B1(n64067), 
+        .C1(n64048), .Y(n64002) );
+  sky130_fd_sc_hd__o22ai_1 U83029 ( .A1(n64003), .A2(n64002), .B1(n64001), 
+        .B2(n64071), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1510) );
+  sky130_fd_sc_hd__nor2_1 U83030 ( .A(n41845), .B(n73338), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N85) );
+  sky130_fd_sc_hd__nor2_1 U83031 ( .A(n72715), .B(n64004), .Y(n64005) );
+  sky130_fd_sc_hd__xnor2_1 U83032 ( .A(n64006), .B(n64005), .Y(n72890) );
+  sky130_fd_sc_hd__mux2_2 U83033 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[3]), .A1(n72890), .S(n73179), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[3]) );
+  sky130_fd_sc_hd__nor2_1 U83034 ( .A(n64007), .B(n73338), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N89) );
+  sky130_fd_sc_hd__nor2_1 U83035 ( .A(n64008), .B(n64022), .Y(n64042) );
+  sky130_fd_sc_hd__nand2_1 U83036 ( .A(n64042), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[6]), .Y(n64010) );
+  sky130_fd_sc_hd__xor2_1 U83037 ( .A(n64010), .B(n64009), .X(n72995) );
+  sky130_fd_sc_hd__nand2_1 U83038 ( .A(n73179), .B(n72995), .Y(n64011) );
+  sky130_fd_sc_hd__o21ai_0 U83039 ( .A1(n54966), .A2(n73179), .B1(n64011), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[7]) );
+  sky130_fd_sc_hd__nor2_1 U83040 ( .A(n64014), .B(n64013), .Y(n64016) );
+  sky130_fd_sc_hd__nor2_1 U83041 ( .A(n64016), .B(n64015), .Y(n64052) );
+  sky130_fd_sc_hd__o21ai_1 U83042 ( .A1(n73298), .A2(n73063), .B1(n64055), .Y(
+        n64017) );
+  sky130_fd_sc_hd__o21ai_1 U83044 ( .A1(n64054), .A2(n72767), .B1(n64018), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1508) );
+  sky130_fd_sc_hd__nor2_1 U83045 ( .A(n64019), .B(n73338), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N90) );
+  sky130_fd_sc_hd__xnor2_1 U83046 ( .A(n64020), .B(n64028), .Y(n72920) );
+  sky130_fd_sc_hd__nand2_1 U83047 ( .A(n73179), .B(n72920), .Y(n64021) );
+  sky130_fd_sc_hd__o21ai_0 U83048 ( .A1(n68540), .A2(n73179), .B1(n64021), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[8]) );
+  sky130_fd_sc_hd__nor2_1 U83049 ( .A(n71585), .B(n73338), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N87) );
+  sky130_fd_sc_hd__nor2_1 U83050 ( .A(n64023), .B(n64022), .Y(n64024) );
+  sky130_fd_sc_hd__xnor2_1 U83051 ( .A(n37683), .B(n64024), .Y(n72895) );
+  sky130_fd_sc_hd__mux2_2 U83052 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[5]), .A1(n72895), .S(n73179), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[5]) );
+  sky130_fd_sc_hd__nor2_1 U83053 ( .A(n64025), .B(n73338), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N92) );
+  sky130_fd_sc_hd__nand2_1 U83054 ( .A(n64028), .B(n64027), .Y(n64033) );
+  sky130_fd_sc_hd__xor2_1 U83055 ( .A(n64033), .B(n64034), .X(n72932) );
+  sky130_fd_sc_hd__nand2_1 U83056 ( .A(n73179), .B(n72932), .Y(n64029) );
+  sky130_fd_sc_hd__o21ai_0 U83057 ( .A1(n65963), .A2(n73179), .B1(n64029), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[10]) );
+  sky130_fd_sc_hd__o21ai_0 U83058 ( .A1(n64032), .A2(n73322), .B1(n64031), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[11]) );
+  sky130_fd_sc_hd__nor2_1 U83059 ( .A(n71575), .B(n73338), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N93) );
+  sky130_fd_sc_hd__nor2_1 U83060 ( .A(n64034), .B(n64033), .Y(n64035) );
+  sky130_fd_sc_hd__xnor2_1 U83061 ( .A(n64036), .B(n64035), .Y(n72885) );
+  sky130_fd_sc_hd__nand2_1 U83062 ( .A(n73179), .B(n72885), .Y(n64037) );
+  sky130_fd_sc_hd__o21ai_0 U83063 ( .A1(n65363), .A2(n73179), .B1(n64037), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[11]) );
+  sky130_fd_sc_hd__nor2_1 U83064 ( .A(n71652), .B(n73338), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N94) );
+  sky130_fd_sc_hd__xor2_1 U83065 ( .A(n64039), .B(n64038), .X(n72889) );
+  sky130_fd_sc_hd__nand2_1 U83066 ( .A(n73179), .B(n72889), .Y(n64040) );
+  sky130_fd_sc_hd__o21ai_0 U83067 ( .A1(n66600), .A2(n73179), .B1(n64040), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[12]) );
+  sky130_fd_sc_hd__o21ai_1 U83068 ( .A1(n64041), .A2(n73156), .B1(n49230), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N88) );
+  sky130_fd_sc_hd__xnor2_1 U83069 ( .A(n37682), .B(n64042), .Y(n72898) );
+  sky130_fd_sc_hd__nand2_1 U83070 ( .A(n73179), .B(n72898), .Y(n64043) );
+  sky130_fd_sc_hd__o21ai_0 U83071 ( .A1(n65182), .A2(n73179), .B1(n64043), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[6]) );
+  sky130_fd_sc_hd__o21ai_1 U83074 ( .A1(n64052), .A2(n64046), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[6]), .Y(n64047) );
+  sky130_fd_sc_hd__o21ai_1 U83075 ( .A1(n64054), .A2(n72778), .B1(n64047), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1507) );
+  sky130_fd_sc_hd__o21ai_1 U83076 ( .A1(n73297), .A2(n73063), .B1(n73056), .Y(
+        n64049) );
+  sky130_fd_sc_hd__o21ai_1 U83077 ( .A1(n64052), .A2(n64049), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[5]), .Y(n64050) );
+  sky130_fd_sc_hd__o21ai_1 U83078 ( .A1(n64054), .A2(n73060), .B1(n64050), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1506) );
+  sky130_fd_sc_hd__o21ai_1 U83079 ( .A1(n73294), .A2(n73063), .B1(n70517), .Y(
+        n64051) );
+  sky130_fd_sc_hd__o21ai_1 U83080 ( .A1(n64052), .A2(n64051), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[4]), .Y(n64053) );
+  sky130_fd_sc_hd__o21ai_1 U83081 ( .A1(n64054), .A2(n73067), .B1(n64053), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1505) );
+  sky130_fd_sc_hd__o21ai_1 U83084 ( .A1(n64063), .A2(n72767), .B1(n64057), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1520) );
+  sky130_fd_sc_hd__o21ai_1 U83085 ( .A1(n73295), .A2(n73063), .B1(n70514), .Y(
+        n64058) );
+  sky130_fd_sc_hd__o21ai_1 U83086 ( .A1(n64061), .A2(n64058), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[18]), .Y(n64059) );
+  sky130_fd_sc_hd__o21ai_1 U83088 ( .A1(n73289), .A2(n73063), .B1(n73056), .Y(
+        n64060) );
+  sky130_fd_sc_hd__o21ai_1 U83089 ( .A1(n64061), .A2(n64060), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[17]), .Y(n64062) );
+  sky130_fd_sc_hd__o21ai_1 U83090 ( .A1(n64063), .A2(n73060), .B1(n64062), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1518) );
+  sky130_fd_sc_hd__a211oi_1 U83091 ( .A1(n72753), .A2(n64064), .B1(n73058), 
+        .C1(n72764), .Y(n64065) );
+  sky130_fd_sc_hd__o22ai_1 U83092 ( .A1(n73061), .A2(n72767), .B1(n64066), 
+        .B2(n64065), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1524) );
+  sky130_fd_sc_hd__a211oi_1 U83093 ( .A1(n72753), .A2(n64068), .B1(n64067), 
+        .C1(n72775), .Y(n64069) );
+  sky130_fd_sc_hd__o22ai_1 U83094 ( .A1(n64072), .A2(n64071), .B1(n64070), 
+        .B2(n64069), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1511) );
+  sky130_fd_sc_hd__a21oi_1 U83095 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_status_debug), .A2(n64074), .B1(n64073), .Y(n82491) );
+  sky130_fd_sc_hd__o211ai_1 U83096 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_1_status_prv[1]), .A2(n74165), .B1(n82491), .C1(n65609), .Y(n64080) );
+  sky130_fd_sc_hd__a21oi_1 U83098 ( .A1(n64078), .A2(n78270), .B1(n64075), .Y(
+        n78246) );
+  sky130_fd_sc_hd__nor2_1 U83099 ( .A(n64076), .B(n64078), .Y(n64077) );
+  sky130_fd_sc_hd__a21oi_1 U83100 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_lo_6_0), .A2(n64078), .B1(n64077), .Y(n82485) );
+  sky130_fd_sc_hd__nor2_1 U83101 ( .A(n82485), .B(n78245), .Y(n82489) );
+  sky130_fd_sc_hd__a21oi_1 U83102 ( .A1(n78246), .A2(n82489), .B1(n83631), .Y(
+        n64079) );
+  sky130_fd_sc_hd__nand2_1 U83103 ( .A(n64080), .B(n64079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N292) );
+  sky130_fd_sc_hd__o211ai_1 U83104 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_1_status_prv[0]), .A2(n78268), .B1(n83351), .C1(n64081), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N290) );
+  sky130_fd_sc_hd__nor3_1 U83105 ( .A(n64083), .B(n64082), .C(n83821), .Y(
+        n36579) );
+  sky130_fd_sc_hd__nand2_1 U83106 ( .A(n59913), .B(n64084), .Y(n70394) );
+  sky130_fd_sc_hd__nor2_1 U83107 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_cfg_l), .B(n70394), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N670) );
+  sky130_fd_sc_hd__nand2_1 U83108 ( .A(n64085), .B(n36801), .Y(n36560) );
+  sky130_fd_sc_hd__nand2_1 U83109 ( .A(n69978), .B(n86752), .Y(n64106) );
+  sky130_fd_sc_hd__nor3_1 U83110 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_cease), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_inhibit_cycle), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_wfi), .Y(n78532) );
+  sky130_fd_sc_hd__nand2_1 U83111 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[0]), .B(n78532), .Y(n78531) );
+  sky130_fd_sc_hd__nand2_1 U83112 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[2]), .B(n70485), .Y(n78535) );
+  sky130_fd_sc_hd__nor2_1 U83113 ( .A(n78536), .B(n78535), .Y(n78539) );
+  sky130_fd_sc_hd__nand2_1 U83114 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[4]), .B(n78539), .Y(n70413) );
+  sky130_fd_sc_hd__nand2b_1 U83115 ( .A_N(n70413), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[5]), .Y(n70414) );
+  sky130_fd_sc_hd__nand2_1 U83116 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[32]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[33]), .Y(n64086) );
+  sky130_fd_sc_hd__nand2_1 U83117 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[30]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[31]), .Y(n70131) );
+  sky130_fd_sc_hd__nor2_1 U83118 ( .A(n64086), .B(n70131), .Y(n69986) );
+  sky130_fd_sc_hd__nand2_1 U83119 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[36]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[37]), .Y(n64087) );
+  sky130_fd_sc_hd__nand2_1 U83120 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[34]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[35]), .Y(n69988) );
+  sky130_fd_sc_hd__nor2_1 U83121 ( .A(n64087), .B(n69988), .Y(n64088) );
+  sky130_fd_sc_hd__nand2_1 U83122 ( .A(n69986), .B(n64088), .Y(n64092) );
+  sky130_fd_sc_hd__nand2_1 U83123 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[25]), .Y(n64089) );
+  sky130_fd_sc_hd__nand2_1 U83124 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[22]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[23]), .Y(n66084) );
+  sky130_fd_sc_hd__nor2_1 U83125 ( .A(n64089), .B(n66084), .Y(n68875) );
+  sky130_fd_sc_hd__nand2_1 U83126 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[28]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[29]), .Y(n64090) );
+  sky130_fd_sc_hd__nand2_1 U83127 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[26]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[27]), .Y(n68878) );
+  sky130_fd_sc_hd__nor2_1 U83128 ( .A(n64090), .B(n68878), .Y(n64091) );
+  sky130_fd_sc_hd__nand2_1 U83129 ( .A(n68875), .B(n64091), .Y(n64146) );
+  sky130_fd_sc_hd__nor2_1 U83130 ( .A(n64092), .B(n64146), .Y(n64100) );
+  sky130_fd_sc_hd__nand2_1 U83131 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[13]), .Y(n64093) );
+  sky130_fd_sc_hd__nand2_1 U83132 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[11]), .Y(n66563) );
+  sky130_fd_sc_hd__nor2_1 U83133 ( .A(n64093), .B(n66563), .Y(n64095) );
+  sky130_fd_sc_hd__nand2_1 U83134 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[6]), .Y(n68543) );
+  sky130_fd_sc_hd__nand2_1 U83135 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[9]), .Y(n64094) );
+  sky130_fd_sc_hd__nor2_1 U83136 ( .A(n68543), .B(n64094), .Y(n65975) );
+  sky130_fd_sc_hd__nand2_1 U83137 ( .A(n64095), .B(n65975), .Y(n64110) );
+  sky130_fd_sc_hd__nand2_1 U83138 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[17]), .Y(n64096) );
+  sky130_fd_sc_hd__nand2_1 U83139 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[15]), .Y(n66341) );
+  sky130_fd_sc_hd__nor2_1 U83140 ( .A(n64096), .B(n66341), .Y(n64896) );
+  sky130_fd_sc_hd__nand2_1 U83141 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[20]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[21]), .Y(n64097) );
+  sky130_fd_sc_hd__nand2_1 U83142 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[18]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[19]), .Y(n69045) );
+  sky130_fd_sc_hd__nor2_1 U83143 ( .A(n64097), .B(n69045), .Y(n64098) );
+  sky130_fd_sc_hd__nand2_1 U83144 ( .A(n64896), .B(n64098), .Y(n64099) );
+  sky130_fd_sc_hd__nor2_1 U83145 ( .A(n64110), .B(n64099), .Y(n64145) );
+  sky130_fd_sc_hd__nand2_1 U83146 ( .A(n64100), .B(n64145), .Y(n64142) );
+  sky130_fd_sc_hd__nand2_1 U83147 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[40]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[41]), .Y(n64101) );
+  sky130_fd_sc_hd__nand2_1 U83148 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[38]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[39]), .Y(n68551) );
+  sky130_fd_sc_hd__nor2_1 U83149 ( .A(n64101), .B(n68551), .Y(n65972) );
+  sky130_fd_sc_hd__nand2_1 U83150 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[44]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[45]), .Y(n64102) );
+  sky130_fd_sc_hd__nand2_1 U83151 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[42]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[43]), .Y(n69110) );
+  sky130_fd_sc_hd__nor2_1 U83152 ( .A(n64102), .B(n69110), .Y(n64103) );
+  sky130_fd_sc_hd__nand2_1 U83153 ( .A(n65972), .B(n64103), .Y(n70424) );
+  sky130_fd_sc_hd__nor2_1 U83154 ( .A(n70426), .B(n70424), .Y(n64140) );
+  sky130_fd_sc_hd__nand2_1 U83155 ( .A(n70438), .B(n64140), .Y(n64105) );
+  sky130_fd_sc_hd__xor2_1 U83156 ( .A(n64105), .B(n64104), .X(n64108) );
+  sky130_fd_sc_hd__nand2_1 U83157 ( .A(n70414), .B(n64107), .Y(n70420) );
+  sky130_fd_sc_hd__a22oi_1 U83158 ( .A1(n70471), .A2(n64108), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[47]), .Y(n64109) );
+  sky130_fd_sc_hd__o21ai_0 U83159 ( .A1(n36580), .A2(n72316), .B1(n64109), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1551) );
+  sky130_fd_sc_hd__nand2_1 U83160 ( .A(n70456), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[14]), .Y(n64112) );
+  sky130_fd_sc_hd__xor2_1 U83161 ( .A(n64112), .B(n64111), .X(n64113) );
+  sky130_fd_sc_hd__a22oi_1 U83162 ( .A1(n70471), .A2(n64113), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[15]), .Y(n64114) );
+  sky130_fd_sc_hd__o21ai_1 U83163 ( .A1(n78537), .A2(n64115), .B1(n64114), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1519) );
+  sky130_fd_sc_hd__nand2b_1 U83164 ( .A_N(n78546), .B(n59913), .Y(n78554) );
+  sky130_fd_sc_hd__nand2_1 U83165 ( .A(n78554), .B(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .Y(
+        n78552) );
+  sky130_fd_sc_hd__buf_2 U83166 ( .A(n68555), .X(n70337) );
+  sky130_fd_sc_hd__nand2_1 U83167 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[32]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[33]), .Y(n64116) );
+  sky130_fd_sc_hd__nand2_1 U83168 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[30]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[31]), .Y(n70145) );
+  sky130_fd_sc_hd__nor2_1 U83169 ( .A(n64116), .B(n70145), .Y(n69980) );
+  sky130_fd_sc_hd__nand2_1 U83170 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[36]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[37]), .Y(n64117) );
+  sky130_fd_sc_hd__nand2_1 U83171 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[34]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[35]), .Y(n69982) );
+  sky130_fd_sc_hd__nor2_1 U83172 ( .A(n64117), .B(n69982), .Y(n64118) );
+  sky130_fd_sc_hd__nand2_1 U83173 ( .A(n69980), .B(n64118), .Y(n64122) );
+  sky130_fd_sc_hd__nand2_1 U83174 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[25]), .Y(n64119) );
+  sky130_fd_sc_hd__nand2_1 U83175 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[22]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[23]), .Y(n66088) );
+  sky130_fd_sc_hd__nor2_1 U83176 ( .A(n64119), .B(n66088), .Y(n68882) );
+  sky130_fd_sc_hd__nand2_1 U83177 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[28]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[29]), .Y(n64120) );
+  sky130_fd_sc_hd__nand2_1 U83178 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[26]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[27]), .Y(n68885) );
+  sky130_fd_sc_hd__nor2_1 U83179 ( .A(n64120), .B(n68885), .Y(n64121) );
+  sky130_fd_sc_hd__nand2_1 U83180 ( .A(n68882), .B(n64121), .Y(n64151) );
+  sky130_fd_sc_hd__nor2_1 U83181 ( .A(n64122), .B(n64151), .Y(n64130) );
+  sky130_fd_sc_hd__nand2_1 U83182 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[13]), .Y(n64123) );
+  sky130_fd_sc_hd__nand2_1 U83183 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[11]), .Y(n66567) );
+  sky130_fd_sc_hd__nor2_1 U83184 ( .A(n64123), .B(n66567), .Y(n64125) );
+  sky130_fd_sc_hd__nand2_1 U83185 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[6]), .Y(n68547) );
+  sky130_fd_sc_hd__nand2_1 U83186 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[9]), .Y(n64124) );
+  sky130_fd_sc_hd__nor2_1 U83187 ( .A(n68547), .B(n64124), .Y(n65983) );
+  sky130_fd_sc_hd__nand2_1 U83188 ( .A(n64125), .B(n65983), .Y(n64902) );
+  sky130_fd_sc_hd__nand2_1 U83189 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[17]), .Y(n64126) );
+  sky130_fd_sc_hd__nand2_1 U83190 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[15]), .Y(n66351) );
+  sky130_fd_sc_hd__nor2_1 U83191 ( .A(n64126), .B(n66351), .Y(n64903) );
+  sky130_fd_sc_hd__nand2_1 U83192 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[20]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[21]), .Y(n64127) );
+  sky130_fd_sc_hd__nand2_1 U83193 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[18]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[19]), .Y(n69054) );
+  sky130_fd_sc_hd__nor2_1 U83194 ( .A(n64127), .B(n69054), .Y(n64128) );
+  sky130_fd_sc_hd__nand2_1 U83195 ( .A(n64903), .B(n64128), .Y(n64129) );
+  sky130_fd_sc_hd__nor2_1 U83196 ( .A(n64902), .B(n64129), .Y(n64150) );
+  sky130_fd_sc_hd__nand2_1 U83197 ( .A(n64130), .B(n64150), .Y(n65978) );
+  sky130_fd_sc_hd__nand2_1 U83198 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[40]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[41]), .Y(n64131) );
+  sky130_fd_sc_hd__nand2_1 U83199 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[38]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[39]), .Y(n68556) );
+  sky130_fd_sc_hd__nor2_1 U83200 ( .A(n64131), .B(n68556), .Y(n65979) );
+  sky130_fd_sc_hd__nand2_1 U83201 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[44]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[45]), .Y(n64132) );
+  sky130_fd_sc_hd__nand2_1 U83202 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[42]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[43]), .Y(n66558) );
+  sky130_fd_sc_hd__nor2_1 U83203 ( .A(n64132), .B(n66558), .Y(n64133) );
+  sky130_fd_sc_hd__nand2_1 U83204 ( .A(n65979), .B(n64133), .Y(n70318) );
+  sky130_fd_sc_hd__nor2_1 U83205 ( .A(n70320), .B(n70318), .Y(n70378) );
+  sky130_fd_sc_hd__nand2_1 U83206 ( .A(n70378), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[47]), .Y(n64134) );
+  sky130_fd_sc_hd__nor2_1 U83207 ( .A(n65978), .B(n64134), .Y(n68481) );
+  sky130_fd_sc_hd__xor2_1 U83208 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[63]), .B(n64135), .X(n64138) );
+  sky130_fd_sc_hd__nand2_1 U83209 ( .A(n70384), .B(n64136), .Y(n64137) );
+  sky130_fd_sc_hd__a222oi_1 U83210 ( .A1(n70316), .A2(n85533), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[63]), .B2(n70337), .C1(n64138), .C2(n70335), .Y(n64139) );
+  sky130_fd_sc_hd__nand2_1 U83211 ( .A(n64140), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[47]), .Y(n64141) );
+  sky130_fd_sc_hd__nor2_1 U83212 ( .A(n64142), .B(n64141), .Y(n68473) );
+  sky130_fd_sc_hd__a222oi_1 U83213 ( .A1(n70408), .A2(n85628), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[62]), .C1(n64143), .C2(n70471), .Y(n64144) );
+  sky130_fd_sc_hd__nor2_1 U83214 ( .A(n64146), .B(n68876), .Y(n70451) );
+  sky130_fd_sc_hd__xor2_1 U83215 ( .A(n70130), .B(n64147), .X(n64148) );
+  sky130_fd_sc_hd__a22oi_1 U83216 ( .A1(n70471), .A2(n64148), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[30]), .Y(n64149) );
+  sky130_fd_sc_hd__o21ai_0 U83217 ( .A1(n36581), .A2(n78519), .B1(n64149), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1534) );
+  sky130_fd_sc_hd__nor2_1 U83218 ( .A(n64151), .B(n68883), .Y(n70353) );
+  sky130_fd_sc_hd__xor2_1 U83219 ( .A(n70144), .B(n64152), .X(n64153) );
+  sky130_fd_sc_hd__a22oi_1 U83220 ( .A1(n70337), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[30]), .B1(n64153), .B2(n70374), .Y(n64154) );
+  sky130_fd_sc_hd__o21ai_0 U83221 ( .A1(n36576), .A2(n78519), .B1(n64154), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1271) );
+  sky130_fd_sc_hd__o21ai_0 U83223 ( .A1(n64157), .A2(n64369), .B1(n64167), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_ctrl_decoder_11[0]) );
+  sky130_fd_sc_hd__a211oi_1 U83225 ( .A1(n64163), .A2(n64162), .B1(n64161), 
+        .C1(n64160), .Y(n64164) );
+  sky130_fd_sc_hd__nand2_1 U83227 ( .A(n49230), .B(n85883), .Y(n64170) );
+  sky130_fd_sc_hd__nor2_1 U83228 ( .A(n64170), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_opcode_io_deq_bits_MPORT_addr), .Y(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N50)
+         );
+  sky130_fd_sc_hd__nand2_1 U83229 ( .A(n83033), .B(n36846), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N49) );
+  sky130_fd_sc_hd__o22ai_1 U83230 ( .A1(n83027), .A2(n64172), .B1(n64171), 
+        .B2(n83033), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N526) );
+  sky130_fd_sc_hd__o22ai_1 U83231 ( .A1(n78403), .A2(n85818), .B1(n85817), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_probe_way[0]), .Y(n78408) );
+  sky130_fd_sc_hd__nand2_1 U83232 ( .A(n64176), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_did_read), .Y(n64177) );
+  sky130_fd_sc_hd__o22ai_1 U83233 ( .A1(n64176), .A2(n78408), .B1(n64173), 
+        .B2(n64177), .Y(n64183) );
+  sky130_fd_sc_hd__nand2_1 U83234 ( .A(n64183), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0_sel_0_), .Y(n64174) );
+  sky130_fd_sc_hd__nor2_1 U83235 ( .A(n64174), .B(n85816), .Y(n64419) );
+  sky130_fd_sc_hd__a22oi_1 U83236 ( .A1(n85816), .A2(n64175), .B1(n36826), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[12]), .Y(n64188) );
+  sky130_fd_sc_hd__o22ai_1 U83237 ( .A1(n78403), .A2(n85819), .B1(n85817), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_probe_way[1]), .Y(n78415) );
+  sky130_fd_sc_hd__o22ai_1 U83238 ( .A1(n64178), .A2(n64177), .B1(n64176), 
+        .B2(n78415), .Y(n64180) );
+  sky130_fd_sc_hd__nand2_1 U83239 ( .A(n64180), .B(n64182), .Y(n64179) );
+  sky130_fd_sc_hd__inv_2 U83240 ( .A(n64476), .Y(n64199) );
+  sky130_fd_sc_hd__nand2_1 U83241 ( .A(n64724), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[108]), .Y(n64187) );
+  sky130_fd_sc_hd__nand2_1 U83242 ( .A(n64180), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0_sel_0_), .Y(n64181) );
+  sky130_fd_sc_hd__inv_2 U83243 ( .A(n64470), .Y(n64200) );
+  sky130_fd_sc_hd__nand2_1 U83244 ( .A(n65147), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[44]), .Y(n64186) );
+  sky130_fd_sc_hd__nand2_1 U83245 ( .A(n64183), .B(n64182), .Y(n64184) );
+  sky130_fd_sc_hd__nand2_1 U83246 ( .A(n65227), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[76]), .Y(n64185) );
+  sky130_fd_sc_hd__nand4_1 U83247 ( .A(n64188), .B(n64187), .C(n64186), .D(
+        n64185), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[12]) );
+  sky130_fd_sc_hd__a22oi_1 U83248 ( .A1(n85816), .A2(n64189), .B1(n36826), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[1]), .Y(n64193) );
+  sky130_fd_sc_hd__nand2_1 U83249 ( .A(n68442), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[97]), .Y(n64192) );
+  sky130_fd_sc_hd__nand2_1 U83250 ( .A(n65147), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[33]), .Y(n64191) );
+  sky130_fd_sc_hd__nand2_1 U83251 ( .A(n65227), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[65]), .Y(n64190) );
+  sky130_fd_sc_hd__nand4_1 U83252 ( .A(n64193), .B(n64192), .C(n64191), .D(
+        n64190), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[1]) );
+  sky130_fd_sc_hd__a22oi_1 U83253 ( .A1(n85816), .A2(n78437), .B1(n36826), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[25]), .Y(n64197) );
+  sky130_fd_sc_hd__nand2_1 U83254 ( .A(n64724), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[121]), .Y(n64196) );
+  sky130_fd_sc_hd__nand2_1 U83255 ( .A(n70204), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[57]), .Y(n64195) );
+  sky130_fd_sc_hd__nand2_1 U83256 ( .A(n70205), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[89]), .Y(n64194) );
+  sky130_fd_sc_hd__nand4_1 U83257 ( .A(n64197), .B(n64196), .C(n64195), .D(
+        n64194), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[25]) );
+  sky130_fd_sc_hd__a22oi_1 U83258 ( .A1(n85816), .A2(n64198), .B1(n36826), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[9]), .Y(n64204) );
+  sky130_fd_sc_hd__nand2_1 U83259 ( .A(n68442), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[105]), .Y(n64203) );
+  sky130_fd_sc_hd__nand2_1 U83260 ( .A(n65147), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[41]), .Y(n64202) );
+  sky130_fd_sc_hd__nand2_1 U83261 ( .A(n65148), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[73]), .Y(n64201) );
+  sky130_fd_sc_hd__nand4_1 U83262 ( .A(n64204), .B(n64203), .C(n64202), .D(
+        n64201), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[9]) );
+  sky130_fd_sc_hd__a22oi_1 U83263 ( .A1(n85816), .A2(n64205), .B1(n36826), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[17]), .Y(n64209) );
+  sky130_fd_sc_hd__nand2_1 U83264 ( .A(n68442), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[113]), .Y(n64208) );
+  sky130_fd_sc_hd__nand2_1 U83265 ( .A(n70204), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[49]), .Y(n64207) );
+  sky130_fd_sc_hd__nand2_1 U83266 ( .A(n65227), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[81]), .Y(n64206) );
+  sky130_fd_sc_hd__nand4_1 U83267 ( .A(n64209), .B(n64208), .C(n64207), .D(
+        n64206), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[17]) );
+  sky130_fd_sc_hd__nand2_1 U83268 ( .A(n78286), .B(n49230), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1312) );
+  sky130_fd_sc_hd__o211ai_1 U83269 ( .A1(n73263), .A2(n85822), .B1(n59913), 
+        .C1(n64210), .Y(n64211) );
+  sky130_fd_sc_hd__nand2_1 U83270 ( .A(n64211), .B(n36801), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1309) );
+  sky130_fd_sc_hd__a21oi_1 U83271 ( .A1(n64212), .A2(n37462), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_xcpt), .Y(n64214) );
+  sky130_fd_sc_hd__o22a_1 U83272 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[1]), .A2(n64214), .B1(n64213), .B2(n70191), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_int_wdata[1]) );
+  sky130_fd_sc_hd__nand2_1 U83273 ( .A(n64216), .B(n64215), .Y(n64217) );
+  sky130_fd_sc_hd__o21ai_1 U83274 ( .A1(n85537), .A2(n64217), .B1(n85536), .Y(
+        n64219) );
+  sky130_fd_sc_hd__ha_1 U83275 ( .A(n64220), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[53]), .COUT(n64972), .SUM(n64221) );
+  sky130_fd_sc_hd__a222oi_1 U83276 ( .A1(n70408), .A2(n85750), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[53]), .C1(n64221), .C2(n70471), .Y(n64222) );
+  sky130_fd_sc_hd__nand2_1 U83277 ( .A(n64223), .B(n59913), .Y(n65969) );
+  sky130_fd_sc_hd__nor2_1 U83278 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_cfg_l), .B(n65969), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N580) );
+  sky130_fd_sc_hd__nand2_1 U83279 ( .A(n64224), .B(n83351), .Y(n36571) );
+  sky130_fd_sc_hd__nor2_1 U83280 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_cfg_l), .B(n65969), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N634) );
+  sky130_fd_sc_hd__nand2_1 U83281 ( .A(n64225), .B(n83351), .Y(n36574) );
+  sky130_fd_sc_hd__nor2_1 U83282 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_l), .B(n70394), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N652) );
+  sky130_fd_sc_hd__nand2_1 U83283 ( .A(n64226), .B(n86752), .Y(n36559) );
+  sky130_fd_sc_hd__a22oi_1 U83284 ( .A1(n85816), .A2(n64227), .B1(n36826), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[4]), .Y(n64231) );
+  sky130_fd_sc_hd__nand2_1 U83285 ( .A(n68442), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[100]), .Y(n64230) );
+  sky130_fd_sc_hd__nand2_1 U83286 ( .A(n65147), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[36]), .Y(n64229) );
+  sky130_fd_sc_hd__nand2_1 U83287 ( .A(n65227), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[68]), .Y(n64228) );
+  sky130_fd_sc_hd__nand4_1 U83288 ( .A(n64231), .B(n64230), .C(n64229), .D(
+        n64228), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[4]) );
+  sky130_fd_sc_hd__a22oi_1 U83289 ( .A1(n85816), .A2(n78446), .B1(n36826), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[28]), .Y(n64235) );
+  sky130_fd_sc_hd__nand2_1 U83290 ( .A(n64724), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[124]), .Y(n64234) );
+  sky130_fd_sc_hd__nand2_1 U83291 ( .A(n65147), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[60]), .Y(n64233) );
+  sky130_fd_sc_hd__nand2_1 U83292 ( .A(n65148), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[92]), .Y(n64232) );
+  sky130_fd_sc_hd__nand4_1 U83293 ( .A(n64235), .B(n64234), .C(n64233), .D(
+        n64232), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[28]) );
+  sky130_fd_sc_hd__a22oi_1 U83294 ( .A1(n85816), .A2(n78422), .B1(n36826), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[20]), .Y(n64239) );
+  sky130_fd_sc_hd__nand2_1 U83295 ( .A(n68442), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[116]), .Y(n64238) );
+  sky130_fd_sc_hd__nand2_1 U83296 ( .A(n64470), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[52]), .Y(n64237) );
+  sky130_fd_sc_hd__nand2_1 U83297 ( .A(n64471), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[84]), .Y(n64236) );
+  sky130_fd_sc_hd__nand4_1 U83298 ( .A(n64239), .B(n64238), .C(n64237), .D(
+        n64236), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[20]) );
+  sky130_fd_sc_hd__a22oi_1 U83299 ( .A1(n86584), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_tag[2]), .B1(n85816), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_uncachedReqs_0_tag[2]), .Y(n64240) );
+  sky130_fd_sc_hd__a22oi_1 U83301 ( .A1(n86584), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_tag[4]), .B1(n85816), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_uncachedReqs_0_tag[4]), .Y(n64242) );
+  sky130_fd_sc_hd__o21ai_1 U83302 ( .A1(n64243), .A2(n78376), .B1(n64242), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N757) );
+  sky130_fd_sc_hd__a22oi_1 U83303 ( .A1(n86584), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_tag[5]), .B1(n85816), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_uncachedReqs_0_tag[5]), .Y(n64244) );
+  sky130_fd_sc_hd__a22oi_1 U83305 ( .A1(n86584), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_tag[3]), .B1(n85816), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_uncachedReqs_0_tag[3]), .Y(n64246) );
+  sky130_fd_sc_hd__a22oi_1 U83307 ( .A1(n86584), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_tag[1]), .B1(n85816), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_uncachedReqs_0_tag[1]), .Y(n64248) );
+  sky130_fd_sc_hd__o21ai_1 U83308 ( .A1(n64249), .A2(n78376), .B1(n64248), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N754) );
+  sky130_fd_sc_hd__nor2_1 U83309 ( .A(n83821), .B(n64250), .Y(n86588) );
+  sky130_fd_sc_hd__nor2_1 U83310 ( .A(n64251), .B(n83821), .Y(n86587) );
+  sky130_fd_sc_hd__a22oi_1 U83311 ( .A1(n37076), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[932]), .B1(n66629), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[836]), .Y(n64253) );
+  sky130_fd_sc_hd__a22oi_1 U83312 ( .A1(n64394), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[132]), .B1(n64395), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[356]), .Y(n64252) );
+  sky130_fd_sc_hd__nand2_1 U83313 ( .A(n64253), .B(n64252), .Y(n64260) );
+  sky130_fd_sc_hd__a22oi_1 U83314 ( .A1(n64442), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[964]), .B1(n70101), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[740]), .Y(n64258) );
+  sky130_fd_sc_hd__a22oi_1 U83315 ( .A1(n64392), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[772]), .B1(n66620), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[900]), .Y(n64257) );
+  sky130_fd_sc_hd__a22oi_1 U83316 ( .A1(n64396), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[708]), .B1(n64254), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[420]), .Y(n64256) );
+  sky130_fd_sc_hd__a22oi_1 U83317 ( .A1(n64393), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[324]), .B1(n64375), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[260]), .Y(n64255) );
+  sky130_fd_sc_hd__nand4_1 U83318 ( .A(n64258), .B(n64257), .C(n64256), .D(
+        n64255), .Y(n64259) );
+  sky130_fd_sc_hd__a211oi_1 U83319 ( .A1(n69311), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[4]), .B1(n64260), .C1(n64259), .Y(n64276) );
+  sky130_fd_sc_hd__a22oi_1 U83320 ( .A1(n64374), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[292]), .B1(n64378), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[452]), .Y(n64267) );
+  sky130_fd_sc_hd__a22oi_1 U83321 ( .A1(n64377), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[644]), .B1(n64261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[868]), .Y(n64266) );
+  sky130_fd_sc_hd__a22oi_1 U83322 ( .A1(n64379), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[100]), .B1(n64376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[388]), .Y(n64265) );
+  sky130_fd_sc_hd__a22oi_1 U83323 ( .A1(n64262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[196]), .B1(n64263), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[612]), .Y(n64264) );
+  sky130_fd_sc_hd__nand4_1 U83324 ( .A(n64267), .B(n64266), .C(n64265), .D(
+        n64264), .Y(n64272) );
+  sky130_fd_sc_hd__a22oi_1 U83325 ( .A1(n69566), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[68]), .B1(n64384), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[516]), .Y(n64270) );
+  sky130_fd_sc_hd__a22oi_1 U83326 ( .A1(n64385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[676]), .B1(n66525), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[804]), .Y(n64269) );
+  sky130_fd_sc_hd__a22oi_1 U83327 ( .A1(n63012), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[164]), .B1(n64386), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[228]), .Y(n64268) );
+  sky130_fd_sc_hd__nand3_1 U83328 ( .A(n64270), .B(n64269), .C(n64268), .Y(
+        n64271) );
+  sky130_fd_sc_hd__nor2_1 U83329 ( .A(n64272), .B(n64271), .Y(n64275) );
+  sky130_fd_sc_hd__a22oi_1 U83330 ( .A1(n70107), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[36]), .B1(n64403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[548]), .Y(n64274) );
+  sky130_fd_sc_hd__a22oi_1 U83331 ( .A1(n70059), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[580]), .B1(n70058), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[484]), .Y(n64273) );
+  sky130_fd_sc_hd__nand4_1 U83332 ( .A(n64276), .B(n64275), .C(n64274), .D(
+        n64273), .Y(n64277) );
+  sky130_fd_sc_hd__a22oi_1 U83333 ( .A1(n70075), .A2(n64278), .B1(n69540), 
+        .B2(n64277), .Y(n64279) );
+  sky130_fd_sc_hd__o21ai_1 U83334 ( .A1(n64280), .A2(n70078), .B1(n64279), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N559) );
+  sky130_fd_sc_hd__nor2_1 U83335 ( .A(n64282), .B(n70075), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N539) );
+  sky130_fd_sc_hd__a22oi_1 U83336 ( .A1(n85816), .A2(n64283), .B1(n36826), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[3]), .Y(n64287) );
+  sky130_fd_sc_hd__nand2_1 U83337 ( .A(n64724), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[99]), .Y(n64286) );
+  sky130_fd_sc_hd__nand2_1 U83338 ( .A(n64470), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[35]), .Y(n64285) );
+  sky130_fd_sc_hd__nand2_1 U83339 ( .A(n64471), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[67]), .Y(n64284) );
+  sky130_fd_sc_hd__nand4_1 U83340 ( .A(n64287), .B(n64286), .C(n64285), .D(
+        n64284), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[3]) );
+  sky130_fd_sc_hd__a22oi_1 U83341 ( .A1(n85816), .A2(n78443), .B1(n36826), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[27]), .Y(n64291) );
+  sky130_fd_sc_hd__nand2_1 U83342 ( .A(n68442), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[123]), .Y(n64290) );
+  sky130_fd_sc_hd__nand2_1 U83343 ( .A(n65147), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[59]), .Y(n64289) );
+  sky130_fd_sc_hd__nand2_1 U83344 ( .A(n65148), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[91]), .Y(n64288) );
+  sky130_fd_sc_hd__nand4_1 U83345 ( .A(n64291), .B(n64290), .C(n64289), .D(
+        n64288), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[27]) );
+  sky130_fd_sc_hd__a22oi_1 U83346 ( .A1(n85816), .A2(n64292), .B1(n36826), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[11]), .Y(n64296) );
+  sky130_fd_sc_hd__nand2_1 U83347 ( .A(n64724), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[107]), .Y(n64295) );
+  sky130_fd_sc_hd__nand2_1 U83348 ( .A(n64470), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[43]), .Y(n64294) );
+  sky130_fd_sc_hd__nand2_1 U83349 ( .A(n64471), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[75]), .Y(n64293) );
+  sky130_fd_sc_hd__nand4_1 U83350 ( .A(n64296), .B(n64295), .C(n64294), .D(
+        n64293), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[11]) );
+  sky130_fd_sc_hd__a22oi_1 U83351 ( .A1(n85816), .A2(n78419), .B1(n36826), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[19]), .Y(n64300) );
+  sky130_fd_sc_hd__nand2_1 U83352 ( .A(n64724), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[115]), .Y(n64299) );
+  sky130_fd_sc_hd__nand2_1 U83353 ( .A(n64470), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[51]), .Y(n64298) );
+  sky130_fd_sc_hd__nand2_1 U83354 ( .A(n64471), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[83]), .Y(n64297) );
+  sky130_fd_sc_hd__nand4_1 U83355 ( .A(n64300), .B(n64299), .C(n64298), .D(
+        n64297), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[19]) );
+  sky130_fd_sc_hd__xnor2_1 U83356 ( .A(n64301), .B(n64329), .Y(n64314) );
+  sky130_fd_sc_hd__o22ai_1 U83357 ( .A1(n64304), .A2(n64303), .B1(n64302), 
+        .B2(n64328), .Y(n64313) );
+  sky130_fd_sc_hd__xnor2_1 U83358 ( .A(n64306), .B(n64305), .Y(n64309) );
+  sky130_fd_sc_hd__xnor2_1 U83359 ( .A(n64307), .B(n36771), .Y(n64308) );
+  sky130_fd_sc_hd__nor2_1 U83360 ( .A(n64309), .B(n64308), .Y(n64312) );
+  sky130_fd_sc_hd__xnor2_1 U83361 ( .A(n64310), .B(n36796), .Y(n64311) );
+  sky130_fd_sc_hd__nand4_1 U83362 ( .A(n64314), .B(n64313), .C(n64312), .D(
+        n64311), .Y(n64316) );
+  sky130_fd_sc_hd__nand2_1 U83363 ( .A(n64317), .B(n36796), .Y(n64320) );
+  sky130_fd_sc_hd__a22oi_1 U83364 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[643]), .A2(n70212), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[355]), .B2(n70222), .Y(n64324) );
+  sky130_fd_sc_hd__a22oi_1 U83365 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[835]), .A2(n70238), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[387]), .B2(n70237), .Y(n64323) );
+  sky130_fd_sc_hd__a22oi_1 U83366 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[803]), .A2(n64319), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[419]), .B2(n70243), .Y(n64322) );
+  sky130_fd_sc_hd__nand2_1 U83367 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[451]), .B(n70235), .Y(n64321) );
+  sky130_fd_sc_hd__nand4_1 U83368 ( .A(n64324), .B(n64323), .C(n64322), .D(
+        n64321), .Y(n64365) );
+  sky130_fd_sc_hd__a22oi_1 U83369 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[899]), .A2(n64325), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[931]), .B2(n64326), .Y(n64337) );
+  sky130_fd_sc_hd__nand3_1 U83370 ( .A(n64329), .B(n64328), .C(n64327), .Y(
+        n64350) );
+  sky130_fd_sc_hd__nor2_1 U83371 ( .A(n64349), .B(n64350), .Y(n70152) );
+  sky130_fd_sc_hd__a22oi_1 U83372 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[579]), .A2(n70236), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[227]), .B2(n70152), .Y(n64336) );
+  sky130_fd_sc_hd__a22oi_1 U83373 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[739]), .A2(n64330), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[611]), .B2(n70213), .Y(n64335) );
+  sky130_fd_sc_hd__a22oi_1 U83374 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[163]), .A2(n70229), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[35]), .B2(n70231), .Y(n64334) );
+  sky130_fd_sc_hd__nand4_1 U83375 ( .A(n64337), .B(n64336), .C(n64335), .D(
+        n64334), .Y(n64364) );
+  sky130_fd_sc_hd__a22oi_1 U83376 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[67]), .A2(n70246), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[675]), .B2(n70224), .Y(n64347) );
+  sky130_fd_sc_hd__a22oi_1 U83377 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[259]), .A2(n70245), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[771]), .B2(n70234), .Y(n64346) );
+  sky130_fd_sc_hd__a22oi_1 U83378 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[99]), .A2(n68781), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[195]), .B2(n69952), .Y(n64345) );
+  sky130_fd_sc_hd__a22oi_1 U83379 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[707]), .A2(n70216), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[867]), .B2(n64638), .Y(n64344) );
+  sky130_fd_sc_hd__nand4_1 U83380 ( .A(n64347), .B(n64346), .C(n64345), .D(
+        n64344), .Y(n64363) );
+  sky130_fd_sc_hd__a22oi_1 U83381 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[131]), .A2(n70150), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[291]), .B2(n70230), .Y(n64361) );
+  sky130_fd_sc_hd__a22oi_1 U83382 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[483]), .A2(n70211), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[323]), .B2(n70214), .Y(n64360) );
+  sky130_fd_sc_hd__a22oi_1 U83383 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[3]), .A2(n70223), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[515]), .B2(n70221), .Y(n64359) );
+  sky130_fd_sc_hd__a22oi_1 U83384 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[547]), .A2(n70215), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[963]), .B2(n70244), .Y(n64358) );
+  sky130_fd_sc_hd__nand4_1 U83385 ( .A(n64361), .B(n64360), .C(n64359), .D(
+        n64358), .Y(n64362) );
+  sky130_fd_sc_hd__nor4_1 U83386 ( .A(n64365), .B(n64364), .C(n64363), .D(
+        n64362), .Y(n64367) );
+  sky130_fd_sc_hd__nand2_1 U83387 ( .A(n65334), .B(n85621), .Y(n64366) );
+  sky130_fd_sc_hd__o21ai_1 U83388 ( .A1(n65334), .A2(n64367), .B1(n64366), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[3]) );
+  sky130_fd_sc_hd__mux2_2 U83389 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[3]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[3]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N283) );
+  sky130_fd_sc_hd__mux2_2 U83390 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[3]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[3]), .S(n76239), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N202) );
+  sky130_fd_sc_hd__mux2_2 U83391 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[3]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[3]), .S(n76241), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N121) );
+  sky130_fd_sc_hd__mux2_2 U83392 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[3]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[3]), .S(n73340), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N40) );
+  sky130_fd_sc_hd__a21oi_1 U83393 ( .A1(n64369), .A2(n64368), .B1(n85538), .Y(
+        n64371) );
+  sky130_fd_sc_hd__inv_1 U83394 ( .A(n70198), .Y(n64370) );
+  sky130_fd_sc_hd__o21ai_1 U83395 ( .A1(n64371), .A2(n64370), .B1(n70197), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N358) );
+  sky130_fd_sc_hd__nand2_1 U83396 ( .A(n64372), .B(n38066), .Y(n70046) );
+  sky130_fd_sc_hd__nor2_2 U83397 ( .A(n64373), .B(n70071), .Y(n69914) );
+  sky130_fd_sc_hd__nand2_1 U83398 ( .A(n69914), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[737]), .Y(n64413) );
+  sky130_fd_sc_hd__a22oi_1 U83399 ( .A1(n64374), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[289]), .B1(n64375), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[257]), .Y(n64383) );
+  sky130_fd_sc_hd__a22oi_1 U83400 ( .A1(n64261), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[865]), .B1(n64376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[385]), .Y(n64382) );
+  sky130_fd_sc_hd__a22oi_1 U83401 ( .A1(n64377), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[641]), .B1(n64378), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[449]), .Y(n64381) );
+  sky130_fd_sc_hd__a22oi_1 U83402 ( .A1(n64379), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[97]), .B1(n64262), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[193]), .Y(n64380) );
+  sky130_fd_sc_hd__nand4_1 U83403 ( .A(n64383), .B(n64382), .C(n64381), .D(
+        n64380), .Y(n64409) );
+  sky130_fd_sc_hd__a22oi_1 U83404 ( .A1(n69566), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[65]), .B1(n64384), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[513]), .Y(n64389) );
+  sky130_fd_sc_hd__a22oi_1 U83405 ( .A1(n64263), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[609]), .B1(n66525), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[801]), .Y(n64388) );
+  sky130_fd_sc_hd__a22oi_1 U83406 ( .A1(n64385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[673]), .B1(n64386), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[225]), .Y(n64387) );
+  sky130_fd_sc_hd__nand3_1 U83407 ( .A(n64389), .B(n64388), .C(n64387), .Y(
+        n64408) );
+  sky130_fd_sc_hd__clkinv_1 U83408 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[161]), .Y(n64391) );
+  sky130_fd_sc_hd__a22oi_1 U83409 ( .A1(n37076), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[929]), .B1(n66629), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[833]), .Y(n64390) );
+  sky130_fd_sc_hd__o21ai_1 U83410 ( .A1(n69572), .A2(n64391), .B1(n64390), .Y(
+        n64402) );
+  sky130_fd_sc_hd__a22oi_1 U83411 ( .A1(n64254), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[417]), .B1(n64392), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[769]), .Y(n64400) );
+  sky130_fd_sc_hd__a22oi_1 U83412 ( .A1(n64393), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[321]), .B1(n66620), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[897]), .Y(n64399) );
+  sky130_fd_sc_hd__a22oi_1 U83413 ( .A1(n64394), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[129]), .B1(n64395), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[353]), .Y(n64398) );
+  sky130_fd_sc_hd__a22oi_1 U83414 ( .A1(n64396), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[705]), .B1(n64442), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[961]), .Y(n64397) );
+  sky130_fd_sc_hd__nand4_1 U83415 ( .A(n64400), .B(n64399), .C(n64398), .D(
+        n64397), .Y(n64401) );
+  sky130_fd_sc_hd__a211oi_1 U83416 ( .A1(n69311), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[1]), .B1(n64402), .C1(n64401), .Y(n64406) );
+  sky130_fd_sc_hd__a22oi_1 U83417 ( .A1(n70107), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[33]), .B1(n64403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[545]), .Y(n64405) );
+  sky130_fd_sc_hd__a22oi_1 U83418 ( .A1(n70059), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[577]), .B1(n70058), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[481]), .Y(n64404) );
+  sky130_fd_sc_hd__nand3_1 U83419 ( .A(n64406), .B(n64405), .C(n64404), .Y(
+        n64407) );
+  sky130_fd_sc_hd__nor3_1 U83420 ( .A(n64409), .B(n64408), .C(n64407), .Y(
+        n64410) );
+  sky130_fd_sc_hd__a21oi_1 U83421 ( .A1(n70119), .A2(n85626), .B1(n64411), .Y(
+        n64412) );
+  sky130_fd_sc_hd__o211ai_1 U83422 ( .A1(n70045), .A2(n70046), .B1(n64413), 
+        .C1(n64412), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N545) );
+  sky130_fd_sc_hd__a22oi_1 U83423 ( .A1(n85816), .A2(n64414), .B1(n36826), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[2]), .Y(n64418) );
+  sky130_fd_sc_hd__nand2_1 U83424 ( .A(n68442), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[98]), .Y(n64417) );
+  sky130_fd_sc_hd__nand2_1 U83425 ( .A(n65147), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[34]), .Y(n64416) );
+  sky130_fd_sc_hd__nand2_1 U83426 ( .A(n65148), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[66]), .Y(n64415) );
+  sky130_fd_sc_hd__nand4_1 U83427 ( .A(n64418), .B(n64417), .C(n64416), .D(
+        n64415), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[2]) );
+  sky130_fd_sc_hd__a22oi_1 U83428 ( .A1(n85816), .A2(n78440), .B1(n36826), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[26]), .Y(n64423) );
+  sky130_fd_sc_hd__nand2_1 U83429 ( .A(n68442), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[122]), .Y(n64422) );
+  sky130_fd_sc_hd__nand2_1 U83430 ( .A(n70204), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[58]), .Y(n64421) );
+  sky130_fd_sc_hd__nand2_1 U83431 ( .A(n70205), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[90]), .Y(n64420) );
+  sky130_fd_sc_hd__nand4_1 U83432 ( .A(n64423), .B(n64422), .C(n64421), .D(
+        n64420), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[26]) );
+  sky130_fd_sc_hd__a22oi_1 U83433 ( .A1(n85816), .A2(n64424), .B1(n36826), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[10]), .Y(n64428) );
+  sky130_fd_sc_hd__nand2_1 U83434 ( .A(n68442), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[106]), .Y(n64427) );
+  sky130_fd_sc_hd__nand2_1 U83435 ( .A(n65147), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[42]), .Y(n64426) );
+  sky130_fd_sc_hd__nand2_1 U83436 ( .A(n65148), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[74]), .Y(n64425) );
+  sky130_fd_sc_hd__nand4_1 U83437 ( .A(n64428), .B(n64427), .C(n64426), .D(
+        n64425), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[10]) );
+  sky130_fd_sc_hd__a22oi_1 U83438 ( .A1(n85816), .A2(n78416), .B1(n36826), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[18]), .Y(n64432) );
+  sky130_fd_sc_hd__nand2_1 U83439 ( .A(n68442), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[114]), .Y(n64431) );
+  sky130_fd_sc_hd__nand2_1 U83440 ( .A(n65147), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[50]), .Y(n64430) );
+  sky130_fd_sc_hd__nand2_1 U83441 ( .A(n65148), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[82]), .Y(n64429) );
+  sky130_fd_sc_hd__nand4_1 U83442 ( .A(n64432), .B(n64431), .C(n64430), .D(
+        n64429), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[18]) );
+  sky130_fd_sc_hd__a22oi_1 U83443 ( .A1(n64374), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[290]), .B1(n64375), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[258]), .Y(n64436) );
+  sky130_fd_sc_hd__a22oi_1 U83444 ( .A1(n64261), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[866]), .B1(n64376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[386]), .Y(n64435) );
+  sky130_fd_sc_hd__a22oi_1 U83445 ( .A1(n64377), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[642]), .B1(n64378), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[450]), .Y(n64434) );
+  sky130_fd_sc_hd__a22oi_1 U83446 ( .A1(n64379), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[98]), .B1(n64262), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[194]), .Y(n64433) );
+  sky130_fd_sc_hd__nand4_1 U83447 ( .A(n64436), .B(n64435), .C(n64434), .D(
+        n64433), .Y(n64454) );
+  sky130_fd_sc_hd__a22oi_1 U83448 ( .A1(n69566), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[66]), .B1(n64384), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[514]), .Y(n64439) );
+  sky130_fd_sc_hd__a22oi_1 U83449 ( .A1(n64263), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[610]), .B1(n66525), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[802]), .Y(n64438) );
+  sky130_fd_sc_hd__a22oi_1 U83450 ( .A1(n64385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[674]), .B1(n64386), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[226]), .Y(n64437) );
+  sky130_fd_sc_hd__nand3_1 U83451 ( .A(n64439), .B(n64438), .C(n64437), .Y(
+        n64453) );
+  sky130_fd_sc_hd__clkinv_1 U83452 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[162]), .Y(n64441) );
+  sky130_fd_sc_hd__a22oi_1 U83453 ( .A1(n37076), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[930]), .B1(n66629), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[834]), .Y(n64440) );
+  sky130_fd_sc_hd__a22oi_1 U83455 ( .A1(n64254), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[418]), .B1(n64392), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[770]), .Y(n64446) );
+  sky130_fd_sc_hd__a22oi_1 U83456 ( .A1(n64393), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[322]), .B1(n66620), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[898]), .Y(n64445) );
+  sky130_fd_sc_hd__a22oi_1 U83457 ( .A1(n64394), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[130]), .B1(n64395), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[354]), .Y(n64444) );
+  sky130_fd_sc_hd__a22oi_1 U83458 ( .A1(n64396), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[706]), .B1(n64442), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[962]), .Y(n64443) );
+  sky130_fd_sc_hd__nand4_1 U83459 ( .A(n64446), .B(n64445), .C(n64444), .D(
+        n64443), .Y(n64447) );
+  sky130_fd_sc_hd__a211oi_1 U83460 ( .A1(n69311), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[2]), .B1(n64448), .C1(n64447), .Y(n64451) );
+  sky130_fd_sc_hd__a22oi_1 U83461 ( .A1(n70107), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[34]), .B1(n64403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[546]), .Y(n64450) );
+  sky130_fd_sc_hd__a22oi_1 U83462 ( .A1(n70059), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[578]), .B1(n70058), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[482]), .Y(n64449) );
+  sky130_fd_sc_hd__nand3_1 U83463 ( .A(n64451), .B(n64450), .C(n64449), .Y(
+        n64452) );
+  sky130_fd_sc_hd__nor3_1 U83464 ( .A(n64454), .B(n64453), .C(n64452), .Y(
+        n64456) );
+  sky130_fd_sc_hd__o22ai_1 U83465 ( .A1(n64456), .A2(n70071), .B1(n64455), 
+        .B2(n70115), .Y(n64457) );
+  sky130_fd_sc_hd__a21oi_1 U83466 ( .A1(n70119), .A2(n85620), .B1(n64457), .Y(
+        n64458) );
+  sky130_fd_sc_hd__o21ai_1 U83467 ( .A1(n69591), .A2(n64459), .B1(n64458), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N557) );
+  sky130_fd_sc_hd__mux2_2 U83468 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[2]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[2]), .S(n37259), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N282) );
+  sky130_fd_sc_hd__mux2_2 U83469 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[2]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[2]), .S(n76239), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N201) );
+  sky130_fd_sc_hd__mux2_2 U83470 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[2]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[2]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N120) );
+  sky130_fd_sc_hd__mux2_2 U83471 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[2]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[2]), .S(n73340), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N39) );
+  sky130_fd_sc_hd__a22oi_1 U83472 ( .A1(n85816), .A2(n78457), .B1(n36826), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[31]), .Y(n64463) );
+  sky130_fd_sc_hd__nand2_1 U83473 ( .A(n64724), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[127]), .Y(n64462) );
+  sky130_fd_sc_hd__nand2_1 U83474 ( .A(n65147), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[63]), .Y(n64461) );
+  sky130_fd_sc_hd__nand2_1 U83475 ( .A(n65227), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[95]), .Y(n64460) );
+  sky130_fd_sc_hd__nand4_1 U83476 ( .A(n64463), .B(n64462), .C(n64461), .D(
+        n64460), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[31]) );
+  sky130_fd_sc_hd__a22oi_1 U83477 ( .A1(n85816), .A2(n64464), .B1(n36826), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[15]), .Y(n64468) );
+  sky130_fd_sc_hd__nand2_1 U83478 ( .A(n68442), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[111]), .Y(n64467) );
+  sky130_fd_sc_hd__nand2_1 U83479 ( .A(n65147), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[47]), .Y(n64466) );
+  sky130_fd_sc_hd__nand2_1 U83480 ( .A(n65227), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[79]), .Y(n64465) );
+  sky130_fd_sc_hd__nand4_1 U83481 ( .A(n64468), .B(n64467), .C(n64466), .D(
+        n64465), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[15]) );
+  sky130_fd_sc_hd__a22oi_1 U83482 ( .A1(n85816), .A2(n64469), .B1(n36826), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[7]), .Y(n64475) );
+  sky130_fd_sc_hd__nand2_1 U83483 ( .A(n64724), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[103]), .Y(n64474) );
+  sky130_fd_sc_hd__nand2_1 U83484 ( .A(n64470), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[39]), .Y(n64473) );
+  sky130_fd_sc_hd__nand2_1 U83485 ( .A(n64471), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[71]), .Y(n64472) );
+  sky130_fd_sc_hd__nand4_1 U83486 ( .A(n64475), .B(n64474), .C(n64473), .D(
+        n64472), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[7]) );
+  sky130_fd_sc_hd__a22oi_1 U83487 ( .A1(n85816), .A2(n78431), .B1(n36826), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[23]), .Y(n64480) );
+  sky130_fd_sc_hd__nand2_1 U83488 ( .A(n64476), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[119]), .Y(n64479) );
+  sky130_fd_sc_hd__nand2_1 U83489 ( .A(n70204), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[55]), .Y(n64478) );
+  sky130_fd_sc_hd__nand2_1 U83490 ( .A(n65227), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[87]), .Y(n64477) );
+  sky130_fd_sc_hd__nand4_1 U83491 ( .A(n64480), .B(n64479), .C(n64478), .D(
+        n64477), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[23]) );
+  sky130_fd_sc_hd__a22oi_1 U83492 ( .A1(n85816), .A2(n78452), .B1(n36826), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[30]), .Y(n64484) );
+  sky130_fd_sc_hd__nand2_1 U83493 ( .A(n64724), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[126]), .Y(n64483) );
+  sky130_fd_sc_hd__nand2_1 U83494 ( .A(n65147), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[62]), .Y(n64482) );
+  sky130_fd_sc_hd__nand2_1 U83495 ( .A(n65227), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[94]), .Y(n64481) );
+  sky130_fd_sc_hd__nand4_1 U83496 ( .A(n64484), .B(n64483), .C(n64482), .D(
+        n64481), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[30]) );
+  sky130_fd_sc_hd__nand2_1 U83497 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count[1]), .Y(n64486) );
+  sky130_fd_sc_hd__nor2_1 U83498 ( .A(n64489), .B(n64486), .Y(n82470) );
+  sky130_fd_sc_hd__nand2_1 U83499 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count[3]), .B(n82470), .Y(n82474) );
+  sky130_fd_sc_hd__nor2_1 U83500 ( .A(n82475), .B(n82474), .Y(n82473) );
+  sky130_fd_sc_hd__xnor2_1 U83501 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count[5]), .B(n82473), .Y(n64485) );
+  sky130_fd_sc_hd__nor2_1 U83502 ( .A(n82472), .B(n64485), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N53) );
+  sky130_fd_sc_hd__a211oi_1 U83503 ( .A1(n64489), .A2(n64486), .B1(n82470), 
+        .C1(n82472), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N50) );
+  sky130_fd_sc_hd__nor2_1 U83504 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count[1]), .Y(n64488) );
+  sky130_fd_sc_hd__nor3_1 U83505 ( .A(n64488), .B(n64487), .C(n82472), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N49) );
+  sky130_fd_sc_hd__nor2_1 U83506 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count[0]), .B(n82472), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N48) );
+  sky130_fd_sc_hd__nor2_1 U83507 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count[3]), .Y(n64491) );
+  sky130_fd_sc_hd__nor2_1 U83508 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count[2]), .Y(n64572) );
+  sky130_fd_sc_hd__and4_1 U83509 ( .A(n69796), .B(n64491), .C(n64572), .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count[1]), .X(n64575) );
+  sky130_fd_sc_hd__nand4_1 U83510 ( .A(n64490), .B(n64574), .C(n64489), .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count[5]), .Y(n64493) );
+  sky130_fd_sc_hd__nand2b_1 U83511 ( .A_N(n82472), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_state[0]), .Y(n65662) );
+  sky130_fd_sc_hd__nor3_1 U83512 ( .A(n64493), .B(n64492), .C(n65662), .Y(
+        n70123) );
+  sky130_fd_sc_hd__a21oi_1 U83513 ( .A1(n64575), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count[0]), .B1(n70123), .Y(n65313) );
+  sky130_fd_sc_hd__a21oi_1 U83514 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_io_kill_REG), .A2(n77480), .B1(n60015), .Y(n64495) );
+  sky130_fd_sc_hd__a21oi_1 U83516 ( .A1(n65313), .A2(n64498), .B1(n72573), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N43) );
+  sky130_fd_sc_hd__nor2_1 U83517 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[2]), .Y(n64872) );
+  sky130_fd_sc_hd__o21ai_1 U83518 ( .A1(n64872), .A2(n70124), .B1(n86393), .Y(
+        n64501) );
+  sky130_fd_sc_hd__nand3_1 U83519 ( .A(n70125), .B(n70124), .C(n69603), .Y(
+        n64499) );
+  sky130_fd_sc_hd__xor2_1 U83520 ( .A(n70126), .B(n64499), .X(n64500) );
+  sky130_fd_sc_hd__nor2_1 U83521 ( .A(n64501), .B(n64500), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N61) );
+  sky130_fd_sc_hd__o21ai_1 U83522 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[2]), .A2(n70124), .B1(n69603), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_cmdHi) );
+  sky130_fd_sc_hd__xor2_1 U83523 ( .A(n64502), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[63]), .X(n64569) );
+  sky130_fd_sc_hd__nor2_1 U83524 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[62]), .B(n60090), .Y(n69606) );
+  sky130_fd_sc_hd__nor2_1 U83525 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[60]), .B(n64565), .Y(n65878) );
+  sky130_fd_sc_hd__nor2_1 U83526 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[58]), .B(n64562), .Y(n65857) );
+  sky130_fd_sc_hd__nor2_1 U83527 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[56]), .B(n64559), .Y(n65830) );
+  sky130_fd_sc_hd__nor2_1 U83528 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[54]), .B(n64556), .Y(n65805) );
+  sky130_fd_sc_hd__nor2_1 U83529 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[52]), .B(n64553), .Y(n65770) );
+  sky130_fd_sc_hd__nor2_1 U83530 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[50]), .B(n64550), .Y(n65746) );
+  sky130_fd_sc_hd__nor2_1 U83531 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[37]), .B(n64512), .Y(n65404) );
+  sky130_fd_sc_hd__nand2_1 U83532 ( .A(n65389), .B(n38372), .Y(n64517) );
+  sky130_fd_sc_hd__nor2_1 U83533 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[36]), .B(n64511), .Y(n65299) );
+  sky130_fd_sc_hd__nor2_1 U83534 ( .A(n64517), .B(n65299), .Y(n65413) );
+  sky130_fd_sc_hd__nand2_1 U83535 ( .A(n65439), .B(n38411), .Y(n64523) );
+  sky130_fd_sc_hd__nor2_1 U83536 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[39]), .B(n64518), .Y(n65429) );
+  sky130_fd_sc_hd__nor2_1 U83537 ( .A(n64523), .B(n65429), .Y(n64525) );
+  sky130_fd_sc_hd__nand2_1 U83538 ( .A(n65413), .B(n64525), .Y(n64527) );
+  sky130_fd_sc_hd__nand2_1 U83539 ( .A(n65284), .B(n38379), .Y(n64508) );
+  sky130_fd_sc_hd__nor2_1 U83540 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[33]), .B(n64503), .Y(n65277) );
+  sky130_fd_sc_hd__nor2_1 U83541 ( .A(n64508), .B(n65277), .Y(n64510) );
+  sky130_fd_sc_hd__nor2_1 U83542 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[32]), .B(n62422), .Y(n65249) );
+  sky130_fd_sc_hd__nor2_1 U83543 ( .A(n62420), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div__prod_T_2_8_), .Y(n65253) );
+  sky130_fd_sc_hd__nand2_1 U83544 ( .A(n62422), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[32]), .Y(n65250) );
+  sky130_fd_sc_hd__o21ai_1 U83545 ( .A1(n65249), .A2(n65253), .B1(n65250), .Y(
+        n65265) );
+  sky130_fd_sc_hd__nand2_1 U83546 ( .A(n64503), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[33]), .Y(n65275) );
+  sky130_fd_sc_hd__nand2_1 U83547 ( .A(n64504), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[34]), .Y(n65274) );
+  sky130_fd_sc_hd__nand2_1 U83548 ( .A(n64505), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[35]), .Y(n65286) );
+  sky130_fd_sc_hd__a21oi_1 U83549 ( .A1(n38379), .A2(n65283), .B1(n64506), .Y(
+        n64507) );
+  sky130_fd_sc_hd__a21oi_1 U83551 ( .A1(n64510), .A2(n65265), .B1(n64509), .Y(
+        n65300) );
+  sky130_fd_sc_hd__nand2_1 U83552 ( .A(n64511), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[36]), .Y(n65386) );
+  sky130_fd_sc_hd__nand2_1 U83553 ( .A(n64512), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[37]), .Y(n65402) );
+  sky130_fd_sc_hd__nand2_1 U83554 ( .A(n64513), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[38]), .Y(n65401) );
+  sky130_fd_sc_hd__a21oi_1 U83555 ( .A1(n38372), .A2(n64515), .B1(n64514), .Y(
+        n64516) );
+  sky130_fd_sc_hd__nand2_1 U83557 ( .A(n64518), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[39]), .Y(n65427) );
+  sky130_fd_sc_hd__nand2_1 U83558 ( .A(n64519), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[40]), .Y(n65426) );
+  sky130_fd_sc_hd__nand2_1 U83559 ( .A(n64520), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[41]), .Y(n65441) );
+  sky130_fd_sc_hd__a21oi_1 U83560 ( .A1(n38411), .A2(n65438), .B1(n64521), .Y(
+        n64522) );
+  sky130_fd_sc_hd__a21oi_1 U83562 ( .A1(n64525), .A2(n65412), .B1(n64524), .Y(
+        n64526) );
+  sky130_fd_sc_hd__o21ai_1 U83563 ( .A1(n64527), .A2(n65300), .B1(n64526), .Y(
+        n65458) );
+  sky130_fd_sc_hd__nand2_1 U83564 ( .A(n65726), .B(n65729), .Y(n64547) );
+  sky130_fd_sc_hd__nand2_1 U83565 ( .A(n65485), .B(n38407), .Y(n64533) );
+  sky130_fd_sc_hd__nor2_1 U83567 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[42]), .B(n64528), .Y(n65468) );
+  sky130_fd_sc_hd__nor2_1 U83568 ( .A(n64533), .B(n65468), .Y(n65498) );
+  sky130_fd_sc_hd__nor2_1 U83569 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[46]), .B(n64535), .Y(n65701) );
+  sky130_fd_sc_hd__nand2_1 U83570 ( .A(n65692), .B(n38408), .Y(n64540) );
+  sky130_fd_sc_hd__nor2_1 U83571 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[45]), .B(n64534), .Y(n65497) );
+  sky130_fd_sc_hd__nor2_1 U83572 ( .A(n64540), .B(n65497), .Y(n64542) );
+  sky130_fd_sc_hd__nand2_1 U83573 ( .A(n65498), .B(n64542), .Y(n65716) );
+  sky130_fd_sc_hd__nor2_1 U83574 ( .A(n64547), .B(n65716), .Y(n64549) );
+  sky130_fd_sc_hd__nand2_1 U83575 ( .A(n64528), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[42]), .Y(n65467) );
+  sky130_fd_sc_hd__nand2_1 U83576 ( .A(n64529), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[43]), .Y(n65466) );
+  sky130_fd_sc_hd__nand2_1 U83577 ( .A(n64530), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[44]), .Y(n65487) );
+  sky130_fd_sc_hd__a21oi_1 U83578 ( .A1(n38407), .A2(n65484), .B1(n64531), .Y(
+        n64532) );
+  sky130_fd_sc_hd__o21ai_1 U83579 ( .A1(n65467), .A2(n64533), .B1(n64532), .Y(
+        n65499) );
+  sky130_fd_sc_hd__nand2_1 U83580 ( .A(n64534), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[45]), .Y(n65688) );
+  sky130_fd_sc_hd__nand2_1 U83581 ( .A(n64535), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[46]), .Y(n65699) );
+  sky130_fd_sc_hd__nand2_1 U83582 ( .A(n64536), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[47]), .Y(n65698) );
+  sky130_fd_sc_hd__a21oi_1 U83583 ( .A1(n38408), .A2(n64538), .B1(n64537), .Y(
+        n64539) );
+  sky130_fd_sc_hd__a21oi_1 U83585 ( .A1(n64542), .A2(n65499), .B1(n64541), .Y(
+        n65714) );
+  sky130_fd_sc_hd__nand2_1 U83586 ( .A(n64543), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[48]), .Y(n65713) );
+  sky130_fd_sc_hd__nand2_1 U83587 ( .A(n64544), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[49]), .Y(n65728) );
+  sky130_fd_sc_hd__a21oi_1 U83588 ( .A1(n65725), .A2(n65729), .B1(n64545), .Y(
+        n64546) );
+  sky130_fd_sc_hd__o21ai_1 U83589 ( .A1(n64547), .A2(n65714), .B1(n64546), .Y(
+        n64548) );
+  sky130_fd_sc_hd__nand2_1 U83590 ( .A(n64550), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[50]), .Y(n65747) );
+  sky130_fd_sc_hd__nand2_1 U83591 ( .A(n64551), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[51]), .Y(n65753) );
+  sky130_fd_sc_hd__nand2_1 U83592 ( .A(n64553), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[52]), .Y(n65771) );
+  sky130_fd_sc_hd__nand2_1 U83593 ( .A(n64554), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[53]), .Y(n65782) );
+  sky130_fd_sc_hd__nand2_1 U83594 ( .A(n64556), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[54]), .Y(n65806) );
+  sky130_fd_sc_hd__nand2_1 U83595 ( .A(n64557), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[55]), .Y(n65817) );
+  sky130_fd_sc_hd__nand2_1 U83596 ( .A(n64559), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[56]), .Y(n65831) );
+  sky130_fd_sc_hd__nand2_1 U83597 ( .A(n64560), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[57]), .Y(n65839) );
+  sky130_fd_sc_hd__nand2_1 U83598 ( .A(n64562), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[58]), .Y(n65858) );
+  sky130_fd_sc_hd__nand2_1 U83599 ( .A(n64563), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[59]), .Y(n65868) );
+  sky130_fd_sc_hd__nand2_1 U83600 ( .A(n64565), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[60]), .Y(n65879) );
+  sky130_fd_sc_hd__nand2_1 U83601 ( .A(n64566), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[61]), .Y(n69613) );
+  sky130_fd_sc_hd__nand2_1 U83602 ( .A(n60090), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[62]), .Y(n69607) );
+  sky130_fd_sc_hd__o21ai_1 U83603 ( .A1(n69606), .A2(n69610), .B1(n69607), .Y(
+        n64568) );
+  sky130_fd_sc_hd__xor2_1 U83604 ( .A(n64569), .B(n64568), .X(n65243) );
+  sky130_fd_sc_hd__nor4_1 U83605 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_isHi), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count[0]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count[4]), .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count[1]), .Y(n64571) );
+  sky130_fd_sc_hd__nand4_1 U83606 ( .A(n69745), .B(n64572), .C(n64571), .D(
+        n64570), .Y(n64573) );
+  sky130_fd_sc_hd__nand2_1 U83607 ( .A(n64573), .B(n69709), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N60) );
+  sky130_fd_sc_hd__nand3_1 U83608 ( .A(n64575), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_neg_out), .C(n64574), .Y(n64576) );
+  sky130_fd_sc_hd__o21ai_1 U83609 ( .A1(n64577), .A2(n65662), .B1(n64576), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N138) );
+  sky130_fd_sc_hd__a22oi_1 U83610 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[871]), .A2(n64638), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[807]), .B2(n64319), .Y(n64581) );
+  sky130_fd_sc_hd__a22oi_1 U83611 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[551]), .A2(n70215), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[967]), .B2(n70244), .Y(n64580) );
+  sky130_fd_sc_hd__a22oi_1 U83612 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[743]), .A2(n64330), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[839]), .B2(n70238), .Y(n64579) );
+  sky130_fd_sc_hd__a22oi_1 U83613 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[263]), .A2(n70245), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[199]), .B2(n69952), .Y(n64578) );
+  sky130_fd_sc_hd__nand4_1 U83614 ( .A(n64581), .B(n64580), .C(n64579), .D(
+        n64578), .Y(n64598) );
+  sky130_fd_sc_hd__a22oi_1 U83615 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[935]), .A2(n64326), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[679]), .B2(n70224), .Y(n64586) );
+  sky130_fd_sc_hd__a22oi_1 U83616 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[455]), .A2(n70235), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[615]), .B2(n70213), .Y(n64585) );
+  sky130_fd_sc_hd__a22oi_1 U83617 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[135]), .A2(n70150), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[423]), .B2(n70243), .Y(n64584) );
+  sky130_fd_sc_hd__a22oi_1 U83618 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[103]), .A2(n68781), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[711]), .B2(n70216), .Y(n64583) );
+  sky130_fd_sc_hd__nand4_1 U83619 ( .A(n64586), .B(n64585), .C(n64584), .D(
+        n64583), .Y(n64597) );
+  sky130_fd_sc_hd__a22oi_1 U83620 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[7]), .A2(n70223), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[519]), .B2(n70221), .Y(n64590) );
+  sky130_fd_sc_hd__a22oi_1 U83621 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[71]), .A2(n70246), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[39]), .B2(n70231), .Y(n64589) );
+  sky130_fd_sc_hd__a22oi_1 U83622 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[359]), .A2(n70222), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[231]), .B2(n70152), .Y(n64588) );
+  sky130_fd_sc_hd__nand2_1 U83623 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[327]), .B(n70214), .Y(n64587) );
+  sky130_fd_sc_hd__nand4_1 U83624 ( .A(n64590), .B(n64589), .C(n64588), .D(
+        n64587), .Y(n64596) );
+  sky130_fd_sc_hd__a22oi_1 U83625 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[903]), .A2(n64325), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[775]), .B2(n70234), .Y(n64594) );
+  sky130_fd_sc_hd__a22oi_1 U83626 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[167]), .A2(n70229), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[295]), .B2(n70230), .Y(n64593) );
+  sky130_fd_sc_hd__a22oi_1 U83627 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[391]), .A2(n70237), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[583]), .B2(n70236), .Y(n64592) );
+  sky130_fd_sc_hd__a22oi_1 U83628 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[487]), .A2(n70211), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[647]), .B2(n70212), .Y(n64591) );
+  sky130_fd_sc_hd__nand4_1 U83629 ( .A(n64594), .B(n64593), .C(n64592), .D(
+        n64591), .Y(n64595) );
+  sky130_fd_sc_hd__nor4_1 U83630 ( .A(n64598), .B(n64597), .C(n64596), .D(
+        n64595), .Y(n64600) );
+  sky130_fd_sc_hd__nand2_1 U83631 ( .A(n65334), .B(n85610), .Y(n64599) );
+  sky130_fd_sc_hd__o21ai_1 U83632 ( .A1(n65334), .A2(n64600), .B1(n64599), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[7]) );
+  sky130_fd_sc_hd__nand2_1 U83633 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .Y(n64601) );
+  sky130_fd_sc_hd__o21ai_1 U83634 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .A2(n54966), .B1(n64601), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N287) );
+  sky130_fd_sc_hd__nand2_1 U83635 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[7]), .B(n76239), .Y(n64602) );
+  sky130_fd_sc_hd__o21ai_1 U83636 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n54966), .B1(n64602), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N206) );
+  sky130_fd_sc_hd__nand2_1 U83637 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[7]), .B(n76241), .Y(n64603) );
+  sky130_fd_sc_hd__o21ai_1 U83638 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n54966), .B1(n64603), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N125) );
+  sky130_fd_sc_hd__nand2_1 U83639 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .Y(n64604) );
+  sky130_fd_sc_hd__o21ai_1 U83640 ( .A1(n73340), .A2(n54966), .B1(n64604), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N44) );
+  sky130_fd_sc_hd__a22oi_1 U83641 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[411]), .A2(n70237), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[635]), .B2(n70213), .Y(n64608) );
+  sky130_fd_sc_hd__a22oi_1 U83642 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[827]), .A2(n64319), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[315]), .B2(n70230), .Y(n64607) );
+  sky130_fd_sc_hd__a22oi_1 U83643 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[891]), .A2(n64638), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[699]), .B2(n70224), .Y(n64606) );
+  sky130_fd_sc_hd__nand2_1 U83644 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[443]), .B(n70243), .Y(n64605) );
+  sky130_fd_sc_hd__nand4_1 U83645 ( .A(n64608), .B(n64607), .C(n64606), .D(
+        n64605), .Y(n64627) );
+  sky130_fd_sc_hd__a22oi_1 U83646 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[987]), .A2(n69943), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[571]), .B2(n70215), .Y(n64612) );
+  sky130_fd_sc_hd__a22oi_1 U83647 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[603]), .A2(n70236), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[283]), .B2(n70245), .Y(n64611) );
+  sky130_fd_sc_hd__a22oi_1 U83648 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[507]), .A2(n70211), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[475]), .B2(n70235), .Y(n64610) );
+  sky130_fd_sc_hd__a22oi_1 U83649 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[155]), .A2(n70150), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[763]), .B2(n64330), .Y(n64609) );
+  sky130_fd_sc_hd__nand4_1 U83650 ( .A(n64612), .B(n64611), .C(n64610), .D(
+        n64609), .Y(n64626) );
+  sky130_fd_sc_hd__a22oi_1 U83651 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[27]), .A2(n70223), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[347]), .B2(n70214), .Y(n64617) );
+  sky130_fd_sc_hd__a22oi_1 U83652 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[219]), .A2(n69952), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[379]), .B2(n70222), .Y(n64616) );
+  sky130_fd_sc_hd__a22oi_1 U83653 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[187]), .A2(n70229), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[251]), .B2(n70152), .Y(n64615) );
+  sky130_fd_sc_hd__a22oi_1 U83654 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[955]), .A2(n64326), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[795]), .B2(n70234), .Y(n64614) );
+  sky130_fd_sc_hd__nand4_1 U83655 ( .A(n64617), .B(n64616), .C(n64615), .D(
+        n64614), .Y(n64625) );
+  sky130_fd_sc_hd__a22oi_1 U83656 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[539]), .A2(n70221), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[731]), .B2(n70216), .Y(n64623) );
+  sky130_fd_sc_hd__a22oi_1 U83657 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[91]), .A2(n70246), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[923]), .B2(n64325), .Y(n64622) );
+  sky130_fd_sc_hd__a22oi_1 U83658 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[667]), .A2(n70212), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[123]), .B2(n68781), .Y(n64621) );
+  sky130_fd_sc_hd__a22oi_1 U83659 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[59]), .A2(n70231), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[859]), .B2(n70238), .Y(n64620) );
+  sky130_fd_sc_hd__nand4_1 U83660 ( .A(n64623), .B(n64622), .C(n64621), .D(
+        n64620), .Y(n64624) );
+  sky130_fd_sc_hd__nor4_1 U83661 ( .A(n64627), .B(n64626), .C(n64625), .D(
+        n64624), .Y(n64629) );
+  sky130_fd_sc_hd__nand2_1 U83662 ( .A(n65334), .B(n85609), .Y(n64628) );
+  sky130_fd_sc_hd__o21ai_1 U83663 ( .A1(n65334), .A2(n64629), .B1(n64628), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[27]) );
+  sky130_fd_sc_hd__a22oi_1 U83664 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[252]), .A2(n70152), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[924]), .B2(n64325), .Y(n64633) );
+  sky130_fd_sc_hd__a22oi_1 U83665 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[60]), .A2(n70231), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[316]), .B2(n70230), .Y(n64632) );
+  sky130_fd_sc_hd__a22oi_1 U83666 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[668]), .A2(n70212), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[124]), .B2(n68781), .Y(n64631) );
+  sky130_fd_sc_hd__nand2_1 U83667 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[412]), .B(n70237), .Y(n64630) );
+  sky130_fd_sc_hd__nand4_1 U83668 ( .A(n64633), .B(n64632), .C(n64631), .D(
+        n64630), .Y(n64650) );
+  sky130_fd_sc_hd__a22oi_1 U83669 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[220]), .A2(n69952), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[956]), .B2(n64326), .Y(n64637) );
+  sky130_fd_sc_hd__a22oi_1 U83670 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[796]), .A2(n70234), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[348]), .B2(n70214), .Y(n64636) );
+  sky130_fd_sc_hd__a22oi_1 U83671 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[732]), .A2(n70216), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[540]), .B2(n70221), .Y(n64635) );
+  sky130_fd_sc_hd__a22oi_1 U83672 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[284]), .A2(n70245), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[188]), .B2(n70229), .Y(n64634) );
+  sky130_fd_sc_hd__nand4_1 U83673 ( .A(n64637), .B(n64636), .C(n64635), .D(
+        n64634), .Y(n64649) );
+  sky130_fd_sc_hd__a22oi_1 U83674 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[476]), .A2(n70235), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[892]), .B2(n64638), .Y(n64642) );
+  sky130_fd_sc_hd__a22oi_1 U83675 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[636]), .A2(n70213), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[828]), .B2(n64319), .Y(n64641) );
+  sky130_fd_sc_hd__a22oi_1 U83676 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[604]), .A2(n70236), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[92]), .B2(n70246), .Y(n64640) );
+  sky130_fd_sc_hd__a22oi_1 U83677 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[988]), .A2(n70244), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[156]), .B2(n70150), .Y(n64639) );
+  sky130_fd_sc_hd__nand4_1 U83678 ( .A(n64642), .B(n64641), .C(n64640), .D(
+        n64639), .Y(n64648) );
+  sky130_fd_sc_hd__a22oi_1 U83679 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[700]), .A2(n70224), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[28]), .B2(n70223), .Y(n64646) );
+  sky130_fd_sc_hd__a22oi_1 U83680 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[444]), .A2(n70243), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[380]), .B2(n70222), .Y(n64645) );
+  sky130_fd_sc_hd__a22oi_1 U83681 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[508]), .A2(n70211), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[860]), .B2(n70238), .Y(n64644) );
+  sky130_fd_sc_hd__a22oi_1 U83682 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[764]), .A2(n64330), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[572]), .B2(n70215), .Y(n64643) );
+  sky130_fd_sc_hd__nand4_1 U83683 ( .A(n64646), .B(n64645), .C(n64644), .D(
+        n64643), .Y(n64647) );
+  sky130_fd_sc_hd__nor4_1 U83684 ( .A(n64650), .B(n64649), .C(n64648), .D(
+        n64647), .Y(n64652) );
+  sky130_fd_sc_hd__nand2_1 U83685 ( .A(n65334), .B(n85608), .Y(n64651) );
+  sky130_fd_sc_hd__o21ai_1 U83686 ( .A1(n65334), .A2(n64652), .B1(n64651), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[28]) );
+  sky130_fd_sc_hd__a22oi_1 U83687 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[858]), .A2(n70238), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[794]), .B2(n70234), .Y(n64656) );
+  sky130_fd_sc_hd__a22oi_1 U83688 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[762]), .A2(n64330), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[314]), .B2(n70230), .Y(n64655) );
+  sky130_fd_sc_hd__a22oi_1 U83689 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[378]), .A2(n70222), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[538]), .B2(n70221), .Y(n64654) );
+  sky130_fd_sc_hd__nand2_1 U83690 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[570]), .B(n70215), .Y(n64653) );
+  sky130_fd_sc_hd__nand4_1 U83691 ( .A(n64656), .B(n64655), .C(n64654), .D(
+        n64653), .Y(n64672) );
+  sky130_fd_sc_hd__a22oi_1 U83692 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[442]), .A2(n70243), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[186]), .B2(n70229), .Y(n64660) );
+  sky130_fd_sc_hd__a22oi_1 U83693 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[122]), .A2(n68781), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[890]), .B2(n64638), .Y(n64659) );
+  sky130_fd_sc_hd__a22oi_1 U83694 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[474]), .A2(n70235), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[346]), .B2(n70214), .Y(n64658) );
+  sky130_fd_sc_hd__a22oi_1 U83695 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[698]), .A2(n70224), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[666]), .B2(n70212), .Y(n64657) );
+  sky130_fd_sc_hd__nand4_1 U83696 ( .A(n64660), .B(n64659), .C(n64658), .D(
+        n64657), .Y(n64671) );
+  sky130_fd_sc_hd__a22oi_1 U83697 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[730]), .A2(n70216), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[954]), .B2(n64326), .Y(n64664) );
+  sky130_fd_sc_hd__a22oi_1 U83698 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[826]), .A2(n64319), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[634]), .B2(n70213), .Y(n64663) );
+  sky130_fd_sc_hd__a22oi_1 U83699 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[218]), .A2(n69952), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[986]), .B2(n70244), .Y(n64662) );
+  sky130_fd_sc_hd__a22oi_1 U83700 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[602]), .A2(n70236), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[250]), .B2(n70152), .Y(n64661) );
+  sky130_fd_sc_hd__nand4_1 U83701 ( .A(n64664), .B(n64663), .C(n64662), .D(
+        n64661), .Y(n64670) );
+  sky130_fd_sc_hd__a22oi_1 U83702 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[922]), .A2(n64325), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[410]), .B2(n70237), .Y(n64668) );
+  sky130_fd_sc_hd__a22oi_1 U83703 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[58]), .A2(n70231), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[90]), .B2(n70246), .Y(n64667) );
+  sky130_fd_sc_hd__a22oi_1 U83704 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[154]), .A2(n70150), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[282]), .B2(n70245), .Y(n64666) );
+  sky130_fd_sc_hd__a22oi_1 U83705 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[26]), .A2(n70223), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[506]), .B2(n70211), .Y(n64665) );
+  sky130_fd_sc_hd__nand4_1 U83706 ( .A(n64668), .B(n64667), .C(n64666), .D(
+        n64665), .Y(n64669) );
+  sky130_fd_sc_hd__nor4_1 U83707 ( .A(n64672), .B(n64671), .C(n64670), .D(
+        n64669), .Y(n64674) );
+  sky130_fd_sc_hd__nand2_1 U83708 ( .A(n65334), .B(n85607), .Y(n64673) );
+  sky130_fd_sc_hd__o21ai_1 U83709 ( .A1(n65334), .A2(n64674), .B1(n64673), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[26]) );
+  sky130_fd_sc_hd__a22oi_1 U83710 ( .A1(n85816), .A2(n78449), .B1(n36826), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[29]), .Y(n64678) );
+  sky130_fd_sc_hd__nand2_1 U83711 ( .A(n64724), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[125]), .Y(n64677) );
+  sky130_fd_sc_hd__nand2_1 U83712 ( .A(n70204), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[61]), .Y(n64676) );
+  sky130_fd_sc_hd__nand2_1 U83713 ( .A(n70205), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[93]), .Y(n64675) );
+  sky130_fd_sc_hd__nand4_1 U83714 ( .A(n64678), .B(n64677), .C(n64676), .D(
+        n64675), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[29]) );
+  sky130_fd_sc_hd__a22oi_1 U83715 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[445]), .A2(n70243), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[61]), .B2(n70231), .Y(n64682) );
+  sky130_fd_sc_hd__a22oi_1 U83716 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[765]), .A2(n64330), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[413]), .B2(n70237), .Y(n64681) );
+  sky130_fd_sc_hd__a22oi_1 U83717 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[733]), .A2(n70216), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[253]), .B2(n70152), .Y(n64680) );
+  sky130_fd_sc_hd__nand2_1 U83718 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[637]), .B(n70213), .Y(n64679) );
+  sky130_fd_sc_hd__nand4_1 U83719 ( .A(n64682), .B(n64681), .C(n64680), .D(
+        n64679), .Y(n64698) );
+  sky130_fd_sc_hd__a22oi_1 U83720 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[605]), .A2(n70236), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[157]), .B2(n70150), .Y(n64686) );
+  sky130_fd_sc_hd__a22oi_1 U83721 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[349]), .A2(n70214), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[925]), .B2(n64325), .Y(n64685) );
+  sky130_fd_sc_hd__a22oi_1 U83722 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[93]), .A2(n70246), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[189]), .B2(n70229), .Y(n64684) );
+  sky130_fd_sc_hd__a22oi_1 U83723 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[285]), .A2(n70245), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[957]), .B2(n64326), .Y(n64683) );
+  sky130_fd_sc_hd__nand4_1 U83724 ( .A(n64686), .B(n64685), .C(n64684), .D(
+        n64683), .Y(n64697) );
+  sky130_fd_sc_hd__a22oi_1 U83725 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[893]), .A2(n64638), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[573]), .B2(n70215), .Y(n64690) );
+  sky130_fd_sc_hd__a22oi_1 U83726 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[221]), .A2(n69952), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[477]), .B2(n70235), .Y(n64689) );
+  sky130_fd_sc_hd__a22oi_1 U83727 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[797]), .A2(n70234), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[989]), .B2(n70244), .Y(n64688) );
+  sky130_fd_sc_hd__a22oi_1 U83728 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[509]), .A2(n70211), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[701]), .B2(n70224), .Y(n64687) );
+  sky130_fd_sc_hd__nand4_1 U83729 ( .A(n64690), .B(n64689), .C(n64688), .D(
+        n64687), .Y(n64696) );
+  sky130_fd_sc_hd__a22oi_1 U83730 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[829]), .A2(n64319), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[861]), .B2(n70238), .Y(n64694) );
+  sky130_fd_sc_hd__a22oi_1 U83731 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[317]), .A2(n70230), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[669]), .B2(n70212), .Y(n64693) );
+  sky130_fd_sc_hd__a22oi_1 U83732 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[29]), .A2(n70223), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[541]), .B2(n70221), .Y(n64692) );
+  sky130_fd_sc_hd__a22oi_1 U83733 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[381]), .A2(n70222), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[125]), .B2(n68781), .Y(n64691) );
+  sky130_fd_sc_hd__nand4_1 U83734 ( .A(n64694), .B(n64693), .C(n64692), .D(
+        n64691), .Y(n64695) );
+  sky130_fd_sc_hd__nor4_1 U83735 ( .A(n64698), .B(n64697), .C(n64696), .D(
+        n64695), .Y(n64700) );
+  sky130_fd_sc_hd__nand2_1 U83736 ( .A(n65334), .B(n85605), .Y(n64699) );
+  sky130_fd_sc_hd__a22oi_1 U83738 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[505]), .A2(n70211), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[57]), .B2(n70231), .Y(n64704) );
+  sky130_fd_sc_hd__a22oi_1 U83739 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[953]), .A2(n64326), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[345]), .B2(n70214), .Y(n64703) );
+  sky130_fd_sc_hd__a22oi_1 U83740 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[633]), .A2(n70213), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[729]), .B2(n70216), .Y(n64702) );
+  sky130_fd_sc_hd__nand2_1 U83741 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[281]), .B(n70245), .Y(n64701) );
+  sky130_fd_sc_hd__nand4_1 U83742 ( .A(n64704), .B(n64703), .C(n64702), .D(
+        n64701), .Y(n64720) );
+  sky130_fd_sc_hd__a22oi_1 U83743 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[601]), .A2(n70236), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[185]), .B2(n70229), .Y(n64708) );
+  sky130_fd_sc_hd__a22oi_1 U83744 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[985]), .A2(n70244), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[377]), .B2(n70222), .Y(n64707) );
+  sky130_fd_sc_hd__a22oi_1 U83745 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[857]), .A2(n70238), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[889]), .B2(n64638), .Y(n64706) );
+  sky130_fd_sc_hd__a22oi_1 U83746 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[441]), .A2(n70243), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[921]), .B2(n64325), .Y(n64705) );
+  sky130_fd_sc_hd__nand4_1 U83747 ( .A(n64708), .B(n64707), .C(n64706), .D(
+        n64705), .Y(n64719) );
+  sky130_fd_sc_hd__a22oi_1 U83748 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[249]), .A2(n70152), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[121]), .B2(n68781), .Y(n64712) );
+  sky130_fd_sc_hd__a22oi_1 U83749 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[313]), .A2(n70230), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[473]), .B2(n70235), .Y(n64711) );
+  sky130_fd_sc_hd__a22oi_1 U83750 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[89]), .A2(n70246), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[217]), .B2(n69952), .Y(n64710) );
+  sky130_fd_sc_hd__a22oi_1 U83751 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[25]), .A2(n70223), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[569]), .B2(n70215), .Y(n64709) );
+  sky130_fd_sc_hd__nand4_1 U83752 ( .A(n64712), .B(n64711), .C(n64710), .D(
+        n64709), .Y(n64718) );
+  sky130_fd_sc_hd__a22oi_1 U83753 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[761]), .A2(n64330), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[665]), .B2(n70212), .Y(n64716) );
+  sky130_fd_sc_hd__a22oi_1 U83754 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[153]), .A2(n70150), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[825]), .B2(n64319), .Y(n64715) );
+  sky130_fd_sc_hd__a22oi_1 U83755 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[537]), .A2(n70221), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[409]), .B2(n70237), .Y(n64714) );
+  sky130_fd_sc_hd__a22oi_1 U83756 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[793]), .A2(n70234), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[697]), .B2(n70224), .Y(n64713) );
+  sky130_fd_sc_hd__nand4_1 U83757 ( .A(n64716), .B(n64715), .C(n64714), .D(
+        n64713), .Y(n64717) );
+  sky130_fd_sc_hd__nor4_1 U83758 ( .A(n64720), .B(n64719), .C(n64718), .D(
+        n64717), .Y(n64722) );
+  sky130_fd_sc_hd__nand2_1 U83759 ( .A(n65334), .B(n85602), .Y(n64721) );
+  sky130_fd_sc_hd__o21ai_1 U83760 ( .A1(n65334), .A2(n64722), .B1(n64721), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[25]) );
+  sky130_fd_sc_hd__a22oi_1 U83761 ( .A1(n85816), .A2(n64723), .B1(n36826), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[13]), .Y(n64728) );
+  sky130_fd_sc_hd__nand2_1 U83762 ( .A(n64724), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[109]), .Y(n64727) );
+  sky130_fd_sc_hd__nand2_1 U83763 ( .A(n65147), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[45]), .Y(n64726) );
+  sky130_fd_sc_hd__nand2_1 U83764 ( .A(n65148), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[77]), .Y(n64725) );
+  sky130_fd_sc_hd__nand4_1 U83765 ( .A(n64728), .B(n64727), .C(n64726), .D(
+        n64725), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[13]) );
+  sky130_fd_sc_hd__a22oi_1 U83766 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[141]), .A2(n70150), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[621]), .B2(n70213), .Y(n64732) );
+  sky130_fd_sc_hd__a22oi_1 U83767 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[173]), .A2(n70229), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[269]), .B2(n70245), .Y(n64731) );
+  sky130_fd_sc_hd__a22oi_1 U83768 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[13]), .A2(n70223), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[877]), .B2(n64638), .Y(n64730) );
+  sky130_fd_sc_hd__a22oi_1 U83769 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[941]), .A2(n64326), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[557]), .B2(n70215), .Y(n64729) );
+  sky130_fd_sc_hd__nand4_1 U83770 ( .A(n64732), .B(n64731), .C(n64730), .D(
+        n64729), .Y(n64748) );
+  sky130_fd_sc_hd__a22oi_1 U83771 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[749]), .A2(n64330), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[493]), .B2(n70211), .Y(n64736) );
+  sky130_fd_sc_hd__a22oi_1 U83772 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[205]), .A2(n69952), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[333]), .B2(n70214), .Y(n64735) );
+  sky130_fd_sc_hd__a22oi_1 U83773 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[685]), .A2(n70224), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[973]), .B2(n70244), .Y(n64734) );
+  sky130_fd_sc_hd__a22oi_1 U83774 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[909]), .A2(n64325), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[77]), .B2(n70246), .Y(n64733) );
+  sky130_fd_sc_hd__nand4_1 U83775 ( .A(n64736), .B(n64735), .C(n64734), .D(
+        n64733), .Y(n64747) );
+  sky130_fd_sc_hd__a22oi_1 U83776 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[461]), .A2(n70235), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[781]), .B2(n70234), .Y(n64740) );
+  sky130_fd_sc_hd__a22oi_1 U83777 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[109]), .A2(n68781), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[717]), .B2(n70216), .Y(n64739) );
+  sky130_fd_sc_hd__a22oi_1 U83778 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[429]), .A2(n70243), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[813]), .B2(n64319), .Y(n64738) );
+  sky130_fd_sc_hd__nand2_1 U83779 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[301]), .B(n70230), .Y(n64737) );
+  sky130_fd_sc_hd__nand4_1 U83780 ( .A(n64740), .B(n64739), .C(n64738), .D(
+        n64737), .Y(n64746) );
+  sky130_fd_sc_hd__a22oi_1 U83781 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[653]), .A2(n70212), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[845]), .B2(n70238), .Y(n64744) );
+  sky130_fd_sc_hd__a22oi_1 U83782 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[365]), .A2(n70222), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[589]), .B2(n70236), .Y(n64743) );
+  sky130_fd_sc_hd__a22oi_1 U83783 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[45]), .A2(n70231), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[525]), .B2(n70221), .Y(n64742) );
+  sky130_fd_sc_hd__a22oi_1 U83784 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[237]), .A2(n70152), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[397]), .B2(n70237), .Y(n64741) );
+  sky130_fd_sc_hd__nand4_1 U83785 ( .A(n64744), .B(n64743), .C(n64742), .D(
+        n64741), .Y(n64745) );
+  sky130_fd_sc_hd__nor4_1 U83786 ( .A(n64748), .B(n64747), .C(n64746), .D(
+        n64745), .Y(n64750) );
+  sky130_fd_sc_hd__nand2_1 U83787 ( .A(n65334), .B(n85601), .Y(n64749) );
+  sky130_fd_sc_hd__o21ai_1 U83788 ( .A1(n65334), .A2(n64750), .B1(n64749), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[13]) );
+  sky130_fd_sc_hd__a22oi_1 U83789 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[84]), .A2(n70246), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[500]), .B2(n70211), .Y(n64754) );
+  sky130_fd_sc_hd__a22oi_1 U83790 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[820]), .A2(n64319), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[276]), .B2(n70245), .Y(n64753) );
+  sky130_fd_sc_hd__a22oi_1 U83791 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[52]), .A2(n70231), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[244]), .B2(n70152), .Y(n64752) );
+  sky130_fd_sc_hd__nand2_1 U83792 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[852]), .B(n70238), .Y(n64751) );
+  sky130_fd_sc_hd__nand4_1 U83793 ( .A(n64754), .B(n64753), .C(n64752), .D(
+        n64751), .Y(n64770) );
+  sky130_fd_sc_hd__a22oi_1 U83794 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[596]), .A2(n70236), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[468]), .B2(n70235), .Y(n64758) );
+  sky130_fd_sc_hd__a22oi_1 U83795 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[116]), .A2(n68781), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[180]), .B2(n70229), .Y(n64757) );
+  sky130_fd_sc_hd__a22oi_1 U83796 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[308]), .A2(n70230), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[980]), .B2(n70244), .Y(n64756) );
+  sky130_fd_sc_hd__a22oi_1 U83797 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[628]), .A2(n70213), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[948]), .B2(n64326), .Y(n64755) );
+  sky130_fd_sc_hd__nand4_1 U83798 ( .A(n64758), .B(n64757), .C(n64756), .D(
+        n64755), .Y(n64769) );
+  sky130_fd_sc_hd__a22oi_1 U83799 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[692]), .A2(n70224), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[372]), .B2(n70222), .Y(n64762) );
+  sky130_fd_sc_hd__a22oi_1 U83800 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[212]), .A2(n69952), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[436]), .B2(n70243), .Y(n64761) );
+  sky130_fd_sc_hd__a22oi_1 U83801 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[148]), .A2(n70150), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[756]), .B2(n64330), .Y(n64760) );
+  sky130_fd_sc_hd__a22oi_1 U83802 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[404]), .A2(n70237), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[532]), .B2(n70221), .Y(n64759) );
+  sky130_fd_sc_hd__nand4_1 U83803 ( .A(n64762), .B(n64761), .C(n64760), .D(
+        n64759), .Y(n64768) );
+  sky130_fd_sc_hd__a22oi_1 U83804 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[564]), .A2(n70215), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[916]), .B2(n64325), .Y(n64766) );
+  sky130_fd_sc_hd__a22oi_1 U83805 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[20]), .A2(n70223), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[724]), .B2(n70216), .Y(n64765) );
+  sky130_fd_sc_hd__a22oi_1 U83806 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[660]), .A2(n70212), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[884]), .B2(n64638), .Y(n64764) );
+  sky130_fd_sc_hd__a22oi_1 U83807 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[340]), .A2(n70214), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[788]), .B2(n70234), .Y(n64763) );
+  sky130_fd_sc_hd__nand4_1 U83808 ( .A(n64766), .B(n64765), .C(n64764), .D(
+        n64763), .Y(n64767) );
+  sky130_fd_sc_hd__nor4_1 U83809 ( .A(n64770), .B(n64769), .C(n64768), .D(
+        n64767), .Y(n64772) );
+  sky130_fd_sc_hd__nand2_1 U83810 ( .A(n65334), .B(n85600), .Y(n64771) );
+  sky130_fd_sc_hd__o21ai_1 U83811 ( .A1(n65334), .A2(n64772), .B1(n64771), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[20]) );
+  sky130_fd_sc_hd__a22oi_1 U83812 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[690]), .A2(n70224), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[18]), .B2(n70223), .Y(n64776) );
+  sky130_fd_sc_hd__a22oi_1 U83813 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[626]), .A2(n70213), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[658]), .B2(n70212), .Y(n64775) );
+  sky130_fd_sc_hd__a22oi_1 U83814 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[146]), .A2(n70150), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[274]), .B2(n70245), .Y(n64774) );
+  sky130_fd_sc_hd__nand2_1 U83815 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[786]), .B(n70234), .Y(n64773) );
+  sky130_fd_sc_hd__nand4_1 U83816 ( .A(n64776), .B(n64775), .C(n64774), .D(
+        n64773), .Y(n64792) );
+  sky130_fd_sc_hd__a22oi_1 U83817 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[946]), .A2(n64326), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[978]), .B2(n70244), .Y(n64780) );
+  sky130_fd_sc_hd__a22oi_1 U83818 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[498]), .A2(n70211), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[210]), .B2(n69952), .Y(n64779) );
+  sky130_fd_sc_hd__a22oi_1 U83819 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[754]), .A2(n64330), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[50]), .B2(n70231), .Y(n64778) );
+  sky130_fd_sc_hd__a22oi_1 U83820 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[82]), .A2(n70246), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[242]), .B2(n70152), .Y(n64777) );
+  sky130_fd_sc_hd__nand4_1 U83821 ( .A(n64780), .B(n64779), .C(n64778), .D(
+        n64777), .Y(n64791) );
+  sky130_fd_sc_hd__a22oi_1 U83822 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[882]), .A2(n64638), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[850]), .B2(n70238), .Y(n64784) );
+  sky130_fd_sc_hd__a22oi_1 U83823 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[178]), .A2(n70229), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[306]), .B2(n70230), .Y(n64783) );
+  sky130_fd_sc_hd__a22oi_1 U83824 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[594]), .A2(n70236), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[114]), .B2(n68781), .Y(n64782) );
+  sky130_fd_sc_hd__a22oi_1 U83825 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[434]), .A2(n70243), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[466]), .B2(n70235), .Y(n64781) );
+  sky130_fd_sc_hd__nand4_1 U83826 ( .A(n64784), .B(n64783), .C(n64782), .D(
+        n64781), .Y(n64790) );
+  sky130_fd_sc_hd__a22oi_1 U83827 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[370]), .A2(n70222), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[402]), .B2(n70237), .Y(n64788) );
+  sky130_fd_sc_hd__a22oi_1 U83828 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[722]), .A2(n70216), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[338]), .B2(n70214), .Y(n64787) );
+  sky130_fd_sc_hd__a22oi_1 U83829 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[914]), .A2(n64325), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[530]), .B2(n70221), .Y(n64786) );
+  sky130_fd_sc_hd__a22oi_1 U83830 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[562]), .A2(n70215), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[818]), .B2(n64319), .Y(n64785) );
+  sky130_fd_sc_hd__nand4_1 U83831 ( .A(n64788), .B(n64787), .C(n64786), .D(
+        n64785), .Y(n64789) );
+  sky130_fd_sc_hd__nor4_1 U83832 ( .A(n64792), .B(n64791), .C(n64790), .D(
+        n64789), .Y(n64794) );
+  sky130_fd_sc_hd__nand2_1 U83833 ( .A(n65334), .B(n85539), .Y(n64793) );
+  sky130_fd_sc_hd__o21ai_1 U83834 ( .A1(n65334), .A2(n64794), .B1(n64793), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[18]) );
+  sky130_fd_sc_hd__nor2_1 U83835 ( .A(n66037), .B(n72140), .Y(n70276) );
+  sky130_fd_sc_hd__nor2_1 U83836 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[3]), .B(n64795), .Y(n64875) );
+  sky130_fd_sc_hd__nand2_1 U83837 ( .A(n64875), .B(n69603), .Y(n64796) );
+  sky130_fd_sc_hd__nand3_1 U83838 ( .A(n64795), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[3]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[1]), .Y(n64889) );
+  sky130_fd_sc_hd__nand2_1 U83839 ( .A(n64796), .B(n64889), .Y(n64797) );
+  sky130_fd_sc_hd__inv_2 U83840 ( .A(n72147), .Y(n70309) );
+  sky130_fd_sc_hd__nand2_1 U83841 ( .A(n64835), .B(n72147), .Y(n70278) );
+  sky130_fd_sc_hd__o22a_1 U83842 ( .A1(n65207), .A2(n70279), .B1(n66501), .B2(
+        n70278), .X(n64800) );
+  sky130_fd_sc_hd__nor2_1 U83843 ( .A(n72147), .B(n64835), .Y(n66059) );
+  sky130_fd_sc_hd__nand2_1 U83844 ( .A(n66059), .B(n66477), .Y(n64799) );
+  sky130_fd_sc_hd__nand2_1 U83845 ( .A(n66061), .B(n65953), .Y(n64798) );
+  sky130_fd_sc_hd__nand3_1 U83846 ( .A(n64800), .B(n64799), .C(n64798), .Y(
+        n68453) );
+  sky130_fd_sc_hd__o22a_1 U83847 ( .A1(n65203), .A2(n70279), .B1(n69086), .B2(
+        n70278), .X(n64803) );
+  sky130_fd_sc_hd__nand2_1 U83848 ( .A(n66059), .B(n65589), .Y(n64802) );
+  sky130_fd_sc_hd__nand2_1 U83849 ( .A(n66061), .B(n70178), .Y(n64801) );
+  sky130_fd_sc_hd__nand3_1 U83850 ( .A(n64803), .B(n64802), .C(n64801), .Y(
+        n68451) );
+  sky130_fd_sc_hd__nand2_1 U83851 ( .A(n72140), .B(n69851), .Y(n66607) );
+  sky130_fd_sc_hd__o22ai_1 U83852 ( .A1(n69484), .A2(n64804), .B1(n65579), 
+        .B2(n66607), .Y(n64815) );
+  sky130_fd_sc_hd__nor2_1 U83853 ( .A(n65584), .B(n69851), .Y(n70273) );
+  sky130_fd_sc_hd__o22a_1 U83854 ( .A1(n68604), .A2(n70278), .B1(n64805), .B2(
+        n70279), .X(n64808) );
+  sky130_fd_sc_hd__nand2_1 U83855 ( .A(n66059), .B(n68793), .Y(n64807) );
+  sky130_fd_sc_hd__nand2_1 U83856 ( .A(n66061), .B(n68529), .Y(n64806) );
+  sky130_fd_sc_hd__nand3_1 U83857 ( .A(n64808), .B(n64807), .C(n64806), .Y(
+        n68452) );
+  sky130_fd_sc_hd__o22a_1 U83858 ( .A1(n66054), .A2(n70279), .B1(n69553), .B2(
+        n70278), .X(n64811) );
+  sky130_fd_sc_hd__nand2_1 U83859 ( .A(n66059), .B(n69154), .Y(n64810) );
+  sky130_fd_sc_hd__nand2_1 U83860 ( .A(n66061), .B(n65219), .Y(n64809) );
+  sky130_fd_sc_hd__nand3_1 U83861 ( .A(n64811), .B(n64810), .C(n64809), .Y(
+        n66041) );
+  sky130_fd_sc_hd__nand2_1 U83862 ( .A(n65584), .B(n66037), .Y(n69489) );
+  sky130_fd_sc_hd__o22ai_1 U83863 ( .A1(n69486), .A2(n64813), .B1(n64812), 
+        .B2(n69489), .Y(n64814) );
+  sky130_fd_sc_hd__nor2_1 U83864 ( .A(n64815), .B(n64814), .Y(n65948) );
+  sky130_fd_sc_hd__nand2b_1 U83865 ( .A_N(n69836), .B(n72147), .Y(n64817) );
+  sky130_fd_sc_hd__nand2_1 U83866 ( .A(n70084), .B(n70309), .Y(n64816) );
+  sky130_fd_sc_hd__nand2_1 U83867 ( .A(n64817), .B(n64816), .Y(n64836) );
+  sky130_fd_sc_hd__nand2_1 U83868 ( .A(n64836), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[3]), .Y(n64840) );
+  sky130_fd_sc_hd__nand2_1 U83869 ( .A(n64818), .B(n69838), .Y(n64821) );
+  sky130_fd_sc_hd__nand2_1 U83870 ( .A(n64864), .B(n64819), .Y(n64820) );
+  sky130_fd_sc_hd__o211ai_1 U83871 ( .A1(n72139), .A2(n70278), .B1(n64821), 
+        .C1(n64820), .Y(n65572) );
+  sky130_fd_sc_hd__nor2_1 U83872 ( .A(n65584), .B(n65572), .Y(n64822) );
+  sky130_fd_sc_hd__a21oi_1 U83873 ( .A1(n64823), .A2(n65584), .B1(n64822), .Y(
+        n65186) );
+  sky130_fd_sc_hd__o22a_1 U83874 ( .A1(n69261), .A2(n70279), .B1(n69260), .B2(
+        n70278), .X(n64826) );
+  sky130_fd_sc_hd__nand2_1 U83875 ( .A(n66059), .B(n69499), .Y(n64825) );
+  sky130_fd_sc_hd__nand2_1 U83876 ( .A(n66061), .B(n69964), .Y(n64824) );
+  sky130_fd_sc_hd__nand3_1 U83877 ( .A(n64826), .B(n64825), .C(n64824), .Y(
+        n65576) );
+  sky130_fd_sc_hd__o22a_1 U83878 ( .A1(n69885), .A2(n70278), .B1(n69426), .B2(
+        n70279), .X(n64829) );
+  sky130_fd_sc_hd__nand2_1 U83879 ( .A(n66059), .B(n70283), .Y(n64828) );
+  sky130_fd_sc_hd__nand2_1 U83880 ( .A(n66061), .B(n70281), .Y(n64827) );
+  sky130_fd_sc_hd__nand3_1 U83881 ( .A(n64829), .B(n64828), .C(n64827), .Y(
+        n65571) );
+  sky130_fd_sc_hd__a222oi_1 U83882 ( .A1(n66037), .A2(n65186), .B1(n70284), 
+        .B2(n66036), .C1(n64830), .C2(n70276), .Y(n65943) );
+  sky130_fd_sc_hd__nand2_1 U83883 ( .A(n65943), .B(n69434), .Y(n64831) );
+  sky130_fd_sc_hd__o21ai_0 U83884 ( .A1(n65948), .A2(n69434), .B1(n64831), .Y(
+        n69269) );
+  sky130_fd_sc_hd__nand2b_1 U83885 ( .A_N(n64840), .B(n70270), .Y(n70268) );
+  sky130_fd_sc_hd__a21oi_1 U83886 ( .A1(n69269), .A2(n69829), .B1(n69481), .Y(
+        n69099) );
+  sky130_fd_sc_hd__o22a_1 U83887 ( .A1(n69852), .A2(n70278), .B1(n69256), .B2(
+        n70279), .X(n64834) );
+  sky130_fd_sc_hd__nand2_1 U83888 ( .A(n66059), .B(n70304), .Y(n64833) );
+  sky130_fd_sc_hd__nand2_1 U83889 ( .A(n66061), .B(n72139), .Y(n64832) );
+  sky130_fd_sc_hd__nand3_1 U83890 ( .A(n64834), .B(n64833), .C(n64832), .Y(
+        n66044) );
+  sky130_fd_sc_hd__nand2_1 U83891 ( .A(n64837), .B(n64836), .Y(n65583) );
+  sky130_fd_sc_hd__nor2_1 U83892 ( .A(n72140), .B(n65583), .Y(n64838) );
+  sky130_fd_sc_hd__a21o_1 U83893 ( .A1(n66044), .A2(n72140), .B1(n64838), .X(
+        n65214) );
+  sky130_fd_sc_hd__nand2_1 U83894 ( .A(n64840), .B(n66037), .Y(n66047) );
+  sky130_fd_sc_hd__a21oi_1 U83895 ( .A1(n64839), .A2(n69851), .B1(n65185), .Y(
+        n65951) );
+  sky130_fd_sc_hd__nor2_1 U83896 ( .A(n69884), .B(n64840), .Y(n66251) );
+  sky130_fd_sc_hd__a21oi_1 U83897 ( .A1(n65951), .A2(n69884), .B1(n66251), .Y(
+        n69270) );
+  sky130_fd_sc_hd__o22a_1 U83898 ( .A1(n66058), .A2(n70278), .B1(n66060), .B2(
+        n70279), .X(n64843) );
+  sky130_fd_sc_hd__nand2_1 U83899 ( .A(n66059), .B(n68719), .Y(n64842) );
+  sky130_fd_sc_hd__nand2_1 U83900 ( .A(n66061), .B(n68603), .Y(n64841) );
+  sky130_fd_sc_hd__nand3_1 U83901 ( .A(n64843), .B(n64842), .C(n64841), .Y(
+        n66258) );
+  sky130_fd_sc_hd__o22a_1 U83902 ( .A1(n66055), .A2(n70279), .B1(n68530), .B2(
+        n70278), .X(n64846) );
+  sky130_fd_sc_hd__nand2_1 U83903 ( .A(n66059), .B(n66073), .Y(n64845) );
+  sky130_fd_sc_hd__nand2_1 U83904 ( .A(n66061), .B(n69552), .Y(n64844) );
+  sky130_fd_sc_hd__nand3_1 U83905 ( .A(n64846), .B(n64845), .C(n64844), .Y(
+        n66257) );
+  sky130_fd_sc_hd__o22ai_1 U83906 ( .A1(n66607), .A2(n66258), .B1(n69484), 
+        .B2(n66257), .Y(n64854) );
+  sky130_fd_sc_hd__o22a_1 U83907 ( .A1(n69428), .A2(n70279), .B1(n69427), .B2(
+        n70278), .X(n64849) );
+  sky130_fd_sc_hd__nand2_1 U83908 ( .A(n66059), .B(n69441), .Y(n64848) );
+  sky130_fd_sc_hd__nand2_1 U83909 ( .A(n66061), .B(n69882), .Y(n64847) );
+  sky130_fd_sc_hd__nand3_1 U83910 ( .A(n64849), .B(n64848), .C(n64847), .Y(
+        n66042) );
+  sky130_fd_sc_hd__o22a_1 U83911 ( .A1(n66604), .A2(n70279), .B1(n66603), .B2(
+        n70278), .X(n64852) );
+  sky130_fd_sc_hd__nand2_1 U83912 ( .A(n66059), .B(n69325), .Y(n64851) );
+  sky130_fd_sc_hd__nand2_1 U83913 ( .A(n66061), .B(n66614), .Y(n64850) );
+  sky130_fd_sc_hd__nand3_1 U83914 ( .A(n64852), .B(n64851), .C(n64850), .Y(
+        n66043) );
+  sky130_fd_sc_hd__o22ai_1 U83915 ( .A1(n69489), .A2(n66042), .B1(n69486), 
+        .B2(n66043), .Y(n64853) );
+  sky130_fd_sc_hd__nor2_1 U83916 ( .A(n64854), .B(n64853), .Y(n65950) );
+  sky130_fd_sc_hd__nand2b_1 U83917 ( .A_N(n70270), .B(n69434), .Y(n70295) );
+  sky130_fd_sc_hd__o22a_1 U83918 ( .A1(n70180), .A2(n70278), .B1(n66050), .B2(
+        n70279), .X(n64857) );
+  sky130_fd_sc_hd__nand2_1 U83919 ( .A(n66059), .B(n68981), .Y(n64856) );
+  sky130_fd_sc_hd__nand2_1 U83920 ( .A(n66061), .B(n66499), .Y(n64855) );
+  sky130_fd_sc_hd__nand3_1 U83921 ( .A(n64857), .B(n64856), .C(n64855), .Y(
+        n66256) );
+  sky130_fd_sc_hd__o22a_1 U83922 ( .A1(n66382), .A2(n70279), .B1(n66268), .B2(
+        n70278), .X(n64860) );
+  sky130_fd_sc_hd__nand2_1 U83923 ( .A(n66059), .B(n64874), .Y(n64859) );
+  sky130_fd_sc_hd__nand2_1 U83924 ( .A(n66061), .B(n69084), .Y(n64858) );
+  sky130_fd_sc_hd__nand3_1 U83925 ( .A(n64860), .B(n64859), .C(n64858), .Y(
+        n66505) );
+  sky130_fd_sc_hd__o22ai_1 U83926 ( .A1(n69489), .A2(n66256), .B1(n69486), 
+        .B2(n66505), .Y(n64870) );
+  sky130_fd_sc_hd__o22a_1 U83927 ( .A1(n69084), .A2(n70279), .B1(n64874), .B2(
+        n70278), .X(n64863) );
+  sky130_fd_sc_hd__nand2_1 U83928 ( .A(n66059), .B(n66268), .Y(n64862) );
+  sky130_fd_sc_hd__nand2_1 U83929 ( .A(n66061), .B(n66382), .Y(n64861) );
+  sky130_fd_sc_hd__nand3_1 U83930 ( .A(n64863), .B(n64862), .C(n64861), .Y(
+        n68594) );
+  sky130_fd_sc_hd__o21ai_0 U83931 ( .A1(n66061), .A2(n64864), .B1(n68681), .Y(
+        n64867) );
+  sky130_fd_sc_hd__nand2_1 U83933 ( .A(n64867), .B(n64866), .Y(n68591) );
+  sky130_fd_sc_hd__o22ai_1 U83934 ( .A1(n66607), .A2(n64868), .B1(n69484), 
+        .B2(n66066), .Y(n64869) );
+  sky130_fd_sc_hd__nor2_1 U83935 ( .A(n64870), .B(n64869), .Y(n66602) );
+  sky130_fd_sc_hd__nand2_1 U83936 ( .A(n69829), .B(n69884), .Y(n70275) );
+  sky130_fd_sc_hd__a22oi_1 U83937 ( .A1(n65950), .A2(n68599), .B1(n66602), 
+        .B2(n68524), .Y(n64871) );
+  sky130_fd_sc_hd__o21ai_1 U83938 ( .A1(n69829), .A2(n69270), .B1(n64871), .Y(
+        n69089) );
+  sky130_fd_sc_hd__nand2_1 U83939 ( .A(n64872), .B(n72547), .Y(n64890) );
+  sky130_fd_sc_hd__nor2_1 U83940 ( .A(n70124), .B(n64890), .Y(n72149) );
+  sky130_fd_sc_hd__nand2_1 U83941 ( .A(n64875), .B(n70124), .Y(n70301) );
+  sky130_fd_sc_hd__xnor2_1 U83942 ( .A(n64874), .B(n64873), .Y(n72554) );
+  sky130_fd_sc_hd__nor2_1 U83943 ( .A(n69603), .B(n64876), .Y(n70305) );
+  sky130_fd_sc_hd__or3_1 U83944 ( .A(n72141), .B(n64877), .C(n65203), .X(
+        n64878) );
+  sky130_fd_sc_hd__a21oi_1 U83946 ( .A1(n69089), .A2(n72149), .B1(n64879), .Y(
+        n64892) );
+  sky130_fd_sc_hd__o21ai_1 U83947 ( .A1(n64883), .A2(n64882), .B1(n64881), .Y(
+        n68673) );
+  sky130_fd_sc_hd__nand2_1 U83949 ( .A(n65594), .B(n64887), .Y(n64888) );
+  sky130_fd_sc_hd__xor2_1 U83950 ( .A(n66483), .B(n64888), .X(n74063) );
+  sky130_fd_sc_hd__nand2_1 U83951 ( .A(n74063), .B(n70187), .Y(n64891) );
+  sky130_fd_sc_hd__o211ai_1 U83952 ( .A1(n69099), .A2(n72147), .B1(n64892), 
+        .C1(n64891), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[18]) );
+  sky130_fd_sc_hd__ha_1 U83953 ( .A(n64893), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[50]), .COUT(n65619), .SUM(n64894) );
+  sky130_fd_sc_hd__a22oi_1 U83954 ( .A1(n70469), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[50]), .B1(n64894), .B2(n70471), .Y(n64895) );
+  sky130_fd_sc_hd__o21ai_0 U83955 ( .A1(n36580), .A2(n68927), .B1(n64895), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1554) );
+  sky130_fd_sc_hd__nand2_1 U83956 ( .A(n70456), .B(n64896), .Y(n65622) );
+  sky130_fd_sc_hd__xnor2_1 U83957 ( .A(n65623), .B(n69047), .Y(n64897) );
+  sky130_fd_sc_hd__a22oi_1 U83958 ( .A1(n70471), .A2(n64897), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[18]), .Y(n64898) );
+  sky130_fd_sc_hd__o21ai_0 U83959 ( .A1(n36581), .A2(n68927), .B1(n64898), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1522) );
+  sky130_fd_sc_hd__ha_1 U83960 ( .A(n64899), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[50]), .COUT(n65628), .SUM(n64900) );
+  sky130_fd_sc_hd__a22oi_1 U83961 ( .A1(n68555), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[50]), .B1(n64900), .B2(n70374), .Y(n64901) );
+  sky130_fd_sc_hd__nand2_1 U83963 ( .A(n85748), .B(n49230), .Y(n68925) );
+  sky130_fd_sc_hd__nand2_1 U83964 ( .A(n70367), .B(n64903), .Y(n65631) );
+  sky130_fd_sc_hd__xnor2_1 U83965 ( .A(n65632), .B(n69056), .Y(n64904) );
+  sky130_fd_sc_hd__a22oi_1 U83966 ( .A1(n70335), .A2(n64904), .B1(n70369), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[18]), .Y(n64905) );
+  sky130_fd_sc_hd__o21ai_1 U83967 ( .A1(n78554), .A2(n68925), .B1(n64905), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1259) );
+  sky130_fd_sc_hd__nor2_1 U83968 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_l), .B(n70394), .Y(n86585) );
+  sky130_fd_sc_hd__nand2_1 U83969 ( .A(n64906), .B(n37039), .Y(n36561) );
+  sky130_fd_sc_hd__ha_1 U83970 ( .A(n64907), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[23]), .COUT(n72210), .SUM(n64908) );
+  sky130_fd_sc_hd__nand2_1 U83971 ( .A(n73179), .B(n64908), .Y(n64909) );
+  sky130_fd_sc_hd__o21ai_0 U83972 ( .A1(n68856), .A2(n73179), .B1(n64909), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[23]) );
+  sky130_fd_sc_hd__a22oi_1 U83973 ( .A1(n64911), .A2(n69523), .B1(n70119), 
+        .B2(n85595), .Y(n64934) );
+  sky130_fd_sc_hd__nand2_1 U83974 ( .A(n70082), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[87]), .Y(n64933) );
+  sky130_fd_sc_hd__a22oi_1 U83975 ( .A1(n64385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[695]), .B1(n66525), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[823]), .Y(n64916) );
+  sky130_fd_sc_hd__a22oi_1 U83976 ( .A1(n64379), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[119]), .B1(n64376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[407]), .Y(n64915) );
+  sky130_fd_sc_hd__a22oi_1 U83977 ( .A1(n63012), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[183]), .B1(n64386), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[247]), .Y(n64914) );
+  sky130_fd_sc_hd__a22oi_1 U83978 ( .A1(n64262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[215]), .B1(n64263), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[631]), .Y(n64913) );
+  sky130_fd_sc_hd__nand4_1 U83979 ( .A(n64916), .B(n64915), .C(n64914), .D(
+        n64913), .Y(n64931) );
+  sky130_fd_sc_hd__a22oi_1 U83980 ( .A1(n64393), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[343]), .B1(n64392), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[791]), .Y(n64920) );
+  sky130_fd_sc_hd__a22oi_1 U83981 ( .A1(n64375), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[279]), .B1(n66620), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[919]), .Y(n64919) );
+  sky130_fd_sc_hd__a22oi_1 U83982 ( .A1(n64377), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[663]), .B1(n64261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[887]), .Y(n64918) );
+  sky130_fd_sc_hd__a22oi_1 U83983 ( .A1(n64374), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[311]), .B1(n64378), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[471]), .Y(n64917) );
+  sky130_fd_sc_hd__nand4_1 U83984 ( .A(n64920), .B(n64919), .C(n64918), .D(
+        n64917), .Y(n64930) );
+  sky130_fd_sc_hd__a22oi_1 U83985 ( .A1(n64396), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[727]), .B1(n70101), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[759]), .Y(n64924) );
+  sky130_fd_sc_hd__a22oi_1 U83986 ( .A1(n64395), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[375]), .B1(n66629), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[855]), .Y(n64923) );
+  sky130_fd_sc_hd__a22oi_1 U83987 ( .A1(n64394), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[151]), .B1(n37076), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[951]), .Y(n64922) );
+  sky130_fd_sc_hd__a22oi_1 U83988 ( .A1(n64254), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[439]), .B1(n64442), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[983]), .Y(n64921) );
+  sky130_fd_sc_hd__nand4_1 U83989 ( .A(n64924), .B(n64923), .C(n64922), .D(
+        n64921), .Y(n64928) );
+  sky130_fd_sc_hd__a22oi_1 U83990 ( .A1(n64384), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[535]), .B1(n64403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[567]), .Y(n64927) );
+  sky130_fd_sc_hd__a22oi_1 U83991 ( .A1(n70107), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[55]), .B1(n70059), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[599]), .Y(n64926) );
+  sky130_fd_sc_hd__a22oi_1 U83992 ( .A1(n69311), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[23]), .B1(n70058), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[503]), .Y(n64925) );
+  sky130_fd_sc_hd__nand4b_1 U83993 ( .A_N(n64928), .B(n64927), .C(n64926), .D(
+        n64925), .Y(n64929) );
+  sky130_fd_sc_hd__o31ai_1 U83994 ( .A1(n64931), .A2(n64930), .A3(n64929), 
+        .B1(n69540), .Y(n64932) );
+  sky130_fd_sc_hd__nand3_1 U83995 ( .A(n64934), .B(n64933), .C(n64932), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N578) );
+  sky130_fd_sc_hd__a22oi_1 U83996 ( .A1(n85816), .A2(n78428), .B1(n36826), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[22]), .Y(n64938) );
+  sky130_fd_sc_hd__nand2_1 U83997 ( .A(n68442), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[118]), .Y(n64937) );
+  sky130_fd_sc_hd__nand2_1 U83998 ( .A(n70204), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[54]), .Y(n64936) );
+  sky130_fd_sc_hd__nand2_1 U83999 ( .A(n70205), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[86]), .Y(n64935) );
+  sky130_fd_sc_hd__nand4_1 U84000 ( .A(n64938), .B(n64937), .C(n64936), .D(
+        n64935), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[22]) );
+  sky130_fd_sc_hd__a22oi_1 U84001 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[982]), .A2(n70244), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[534]), .B2(n70221), .Y(n64942) );
+  sky130_fd_sc_hd__a22oi_1 U84002 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[822]), .A2(n64319), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[790]), .B2(n70234), .Y(n64941) );
+  sky130_fd_sc_hd__a22oi_1 U84003 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[406]), .A2(n70237), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[854]), .B2(n70238), .Y(n64940) );
+  sky130_fd_sc_hd__nand2_1 U84004 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[694]), .B(n70224), .Y(n64939) );
+  sky130_fd_sc_hd__nand4_1 U84005 ( .A(n64942), .B(n64941), .C(n64940), .D(
+        n64939), .Y(n64958) );
+  sky130_fd_sc_hd__a22oi_1 U84006 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[118]), .A2(n68781), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[918]), .B2(n64325), .Y(n64946) );
+  sky130_fd_sc_hd__a22oi_1 U84007 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[22]), .A2(n70223), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[726]), .B2(n70216), .Y(n64945) );
+  sky130_fd_sc_hd__a22oi_1 U84008 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[438]), .A2(n70243), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[342]), .B2(n70214), .Y(n64944) );
+  sky130_fd_sc_hd__a22oi_1 U84009 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[246]), .A2(n70152), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[758]), .B2(n64330), .Y(n64943) );
+  sky130_fd_sc_hd__nand4_1 U84010 ( .A(n64946), .B(n64945), .C(n64944), .D(
+        n64943), .Y(n64957) );
+  sky130_fd_sc_hd__a22oi_1 U84011 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[950]), .A2(n64326), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[630]), .B2(n70213), .Y(n64950) );
+  sky130_fd_sc_hd__a22oi_1 U84012 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[598]), .A2(n70236), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[470]), .B2(n70235), .Y(n64949) );
+  sky130_fd_sc_hd__a22oi_1 U84013 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[86]), .A2(n70246), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[662]), .B2(n70212), .Y(n64948) );
+  sky130_fd_sc_hd__a22oi_1 U84014 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[214]), .A2(n69952), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[182]), .B2(n70229), .Y(n64947) );
+  sky130_fd_sc_hd__nand4_1 U84015 ( .A(n64950), .B(n64949), .C(n64948), .D(
+        n64947), .Y(n64956) );
+  sky130_fd_sc_hd__a22oi_1 U84016 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[310]), .A2(n70230), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[278]), .B2(n70245), .Y(n64954) );
+  sky130_fd_sc_hd__a22oi_1 U84017 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[502]), .A2(n70211), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[886]), .B2(n64638), .Y(n64953) );
+  sky130_fd_sc_hd__a22oi_1 U84018 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[374]), .A2(n70222), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[150]), .B2(n70150), .Y(n64952) );
+  sky130_fd_sc_hd__a22oi_1 U84019 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[54]), .A2(n70231), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[566]), .B2(n70215), .Y(n64951) );
+  sky130_fd_sc_hd__nand4_1 U84020 ( .A(n64954), .B(n64953), .C(n64952), .D(
+        n64951), .Y(n64955) );
+  sky130_fd_sc_hd__nor4_1 U84021 ( .A(n64958), .B(n64957), .C(n64956), .D(
+        n64955), .Y(n64960) );
+  sky130_fd_sc_hd__nand2_1 U84022 ( .A(n65334), .B(n85594), .Y(n64959) );
+  sky130_fd_sc_hd__o21ai_1 U84023 ( .A1(n65334), .A2(n64960), .B1(n64959), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[22]) );
+  sky130_fd_sc_hd__ha_1 U84024 ( .A(n64961), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[22]), .COUT(n64907), .SUM(n64962) );
+  sky130_fd_sc_hd__nand2_1 U84025 ( .A(n73179), .B(n64962), .Y(n64963) );
+  sky130_fd_sc_hd__o21ai_0 U84026 ( .A1(n65028), .A2(n73179), .B1(n64963), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[22]) );
+  sky130_fd_sc_hd__xor2_1 U84027 ( .A(n68876), .B(n68861), .X(n64964) );
+  sky130_fd_sc_hd__a22oi_1 U84028 ( .A1(n70471), .A2(n64964), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[22]), .Y(n64965) );
+  sky130_fd_sc_hd__o21ai_0 U84029 ( .A1(n78537), .A2(n64971), .B1(n64965), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1526) );
+  sky130_fd_sc_hd__ha_1 U84030 ( .A(n64966), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[54]), .COUT(n68867), .SUM(n64967) );
+  sky130_fd_sc_hd__a222oi_1 U84031 ( .A1(n70316), .A2(n85540), .B1(n70337), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[54]), .C1(n64967), .C2(n70335), .Y(n64968) );
+  sky130_fd_sc_hd__xor2_1 U84032 ( .A(n68883), .B(n68870), .X(n64969) );
+  sky130_fd_sc_hd__a22oi_1 U84033 ( .A1(n70335), .A2(n64969), .B1(n70369), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[22]), .Y(n64970) );
+  sky130_fd_sc_hd__o21ai_1 U84034 ( .A1(n78546), .A2(n64971), .B1(n64970), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1263) );
+  sky130_fd_sc_hd__ha_1 U84035 ( .A(n64972), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[54]), .COUT(n68858), .SUM(n64973) );
+  sky130_fd_sc_hd__a222oi_1 U84036 ( .A1(n70408), .A2(n85541), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[54]), .C1(n64973), .C2(n70471), .Y(n64974) );
+  sky130_fd_sc_hd__nand3_1 U84037 ( .A(n74165), .B(n78251), .C(n72576), .Y(
+        n78269) );
+  sky130_fd_sc_hd__a22oi_1 U84038 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[22]), .A2(n78527), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[22]), .B2(n78526), .Y(n64976) );
+  sky130_fd_sc_hd__o21ai_0 U84039 ( .A1(n78529), .A2(n78504), .B1(n64976), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N439) );
+  sky130_fd_sc_hd__nand2_1 U84040 ( .A(n64977), .B(n59913), .Y(n73123) );
+  sky130_fd_sc_hd__a222oi_1 U84041 ( .A1(n85541), .A2(n64978), .B1(n73183), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[22]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[22]), .C2(n73182), .Y(n64979) );
+  sky130_fd_sc_hd__nand2b_1 U84042 ( .A_N(n83821), .B(n64980), .Y(n78502) );
+  sky130_fd_sc_hd__a222oi_1 U84043 ( .A1(n73185), .A2(n85541), .B1(n78500), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[22]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[22]), .C2(n78499), .Y(n64981) );
+  sky130_fd_sc_hd__o22ai_1 U84044 ( .A1(n64983), .A2(n73073), .B1(n64982), 
+        .B2(n58802), .Y(n65018) );
+  sky130_fd_sc_hd__nand2_1 U84045 ( .A(n64985), .B(n64984), .Y(n64991) );
+  sky130_fd_sc_hd__a21oi_1 U84046 ( .A1(n72220), .A2(n64988), .B1(n64987), .Y(
+        n68812) );
+  sky130_fd_sc_hd__o21ai_1 U84047 ( .A1(n64989), .A2(n68812), .B1(n70575), .Y(
+        n64990) );
+  sky130_fd_sc_hd__xnor2_1 U84048 ( .A(n64991), .B(n64990), .Y(n65002) );
+  sky130_fd_sc_hd__ha_1 U84049 ( .A(n64992), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[22]), .COUT(n68822), .SUM(n65001) );
+  sky130_fd_sc_hd__a21oi_1 U84050 ( .A1(n70583), .A2(n64995), .B1(n64994), .Y(
+        n64999) );
+  sky130_fd_sc_hd__nand2_1 U84051 ( .A(n64997), .B(n64996), .Y(n64998) );
+  sky130_fd_sc_hd__xor2_1 U84052 ( .A(n64999), .B(n64998), .X(n65000) );
+  sky130_fd_sc_hd__a222oi_1 U84053 ( .A1(n73319), .A2(n65002), .B1(n73229), 
+        .B2(n65001), .C1(n65000), .C2(n73312), .Y(n65013) );
+  sky130_fd_sc_hd__nand2_1 U84054 ( .A(n73192), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[22]), .Y(n65007) );
+  sky130_fd_sc_hd__a22oi_1 U84055 ( .A1(n78262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[22]), .B1(n73261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[22]), .Y(n65006) );
+  sky130_fd_sc_hd__nand2_1 U84056 ( .A(n73187), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[22]), .Y(n65005) );
+  sky130_fd_sc_hd__nand2_1 U84057 ( .A(n78265), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[22]), .Y(n65004) );
+  sky130_fd_sc_hd__nand4_1 U84058 ( .A(n65007), .B(n65006), .C(n65005), .D(
+        n65004), .Y(n65008) );
+  sky130_fd_sc_hd__a21oi_1 U84059 ( .A1(n73097), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[22]), .B1(n65008), .Y(n65009) );
+  sky130_fd_sc_hd__o21ai_0 U84060 ( .A1(n65028), .A2(n73154), .B1(n65009), .Y(
+        n65010) );
+  sky130_fd_sc_hd__a21oi_1 U84061 ( .A1(n65011), .A2(n72499), .B1(n65010), .Y(
+        n65012) );
+  sky130_fd_sc_hd__o21ai_1 U84062 ( .A1(n73322), .A2(n65013), .B1(n65012), .Y(
+        n65014) );
+  sky130_fd_sc_hd__a21oi_1 U84063 ( .A1(n72504), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[22]), .B1(n65014), .Y(n65015) );
+  sky130_fd_sc_hd__o21ai_0 U84064 ( .A1(n65016), .A2(n73106), .B1(n65015), .Y(
+        n65017) );
+  sky130_fd_sc_hd__a211oi_1 U84065 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[8]), .A2(n73110), .B1(n65018), .C1(n65017), .Y(n65023) );
+  sky130_fd_sc_hd__a22oi_1 U84066 ( .A1(n73327), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[22]), .B1(n72509), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[22]), .Y(n65022) );
+  sky130_fd_sc_hd__a22oi_1 U84067 ( .A1(n72357), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[22]), .B1(n69413), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[22]), .Y(n65021) );
+  sky130_fd_sc_hd__a22o_1 U84068 ( .A1(n72510), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[22]), .B1(n73111), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[8]), .X(n65019) );
+  sky130_fd_sc_hd__a21oi_1 U84069 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[8]), .A2(n73113), .B1(n65019), .Y(n65020) );
+  sky130_fd_sc_hd__nand4_1 U84070 ( .A(n65023), .B(n65022), .C(n65021), .D(
+        n65020), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[22]) );
+  sky130_fd_sc_hd__nor2_1 U84071 ( .A(n70816), .B(n73338), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N104) );
+  sky130_fd_sc_hd__nand2_1 U84072 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[22]), .B(n37259), .Y(n65024) );
+  sky130_fd_sc_hd__o21ai_1 U84073 ( .A1(n37259), .A2(n65028), .B1(n65024), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N302) );
+  sky130_fd_sc_hd__nand2_1 U84074 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[22]), .B(n76239), .Y(n65025) );
+  sky130_fd_sc_hd__o21ai_1 U84075 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n65028), .B1(n65025), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N221) );
+  sky130_fd_sc_hd__nand2_1 U84076 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[22]), .B(n76241), .Y(n65026) );
+  sky130_fd_sc_hd__o21ai_1 U84077 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n65028), .B1(n65026), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N140) );
+  sky130_fd_sc_hd__nand2_1 U84078 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[22]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .Y(n65027) );
+  sky130_fd_sc_hd__o21ai_1 U84079 ( .A1(n73340), .A2(n65028), .B1(n65027), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N59) );
+  sky130_fd_sc_hd__a22oi_1 U84080 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[79]), .A2(n70246), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[815]), .B2(n64319), .Y(n65032) );
+  sky130_fd_sc_hd__a22oi_1 U84081 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[495]), .A2(n70211), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[239]), .B2(n70152), .Y(n65031) );
+  sky130_fd_sc_hd__a22oi_1 U84082 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[527]), .A2(n70221), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[623]), .B2(n70213), .Y(n65030) );
+  sky130_fd_sc_hd__nand2_1 U84083 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[943]), .B(n64326), .Y(n65029) );
+  sky130_fd_sc_hd__nand4_1 U84084 ( .A(n65032), .B(n65031), .C(n65030), .D(
+        n65029), .Y(n65048) );
+  sky130_fd_sc_hd__a22oi_1 U84085 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[175]), .A2(n70229), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[751]), .B2(n64330), .Y(n65036) );
+  sky130_fd_sc_hd__a22oi_1 U84086 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[335]), .A2(n70214), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[559]), .B2(n70215), .Y(n65035) );
+  sky130_fd_sc_hd__a22oi_1 U84087 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[975]), .A2(n70244), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[15]), .B2(n70223), .Y(n65034) );
+  sky130_fd_sc_hd__a22oi_1 U84088 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[399]), .A2(n70237), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[303]), .B2(n70230), .Y(n65033) );
+  sky130_fd_sc_hd__nand4_1 U84089 ( .A(n65036), .B(n65035), .C(n65034), .D(
+        n65033), .Y(n65047) );
+  sky130_fd_sc_hd__a22oi_1 U84090 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[143]), .A2(n70150), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[655]), .B2(n70212), .Y(n65040) );
+  sky130_fd_sc_hd__a22oi_1 U84091 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[687]), .A2(n70224), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[847]), .B2(n70238), .Y(n65039) );
+  sky130_fd_sc_hd__a22oi_1 U84092 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[367]), .A2(n70222), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[879]), .B2(n64638), .Y(n65038) );
+  sky130_fd_sc_hd__a22oi_1 U84093 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[111]), .A2(n68781), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[463]), .B2(n70235), .Y(n65037) );
+  sky130_fd_sc_hd__nand4_1 U84094 ( .A(n65040), .B(n65039), .C(n65038), .D(
+        n65037), .Y(n65046) );
+  sky130_fd_sc_hd__a22oi_1 U84095 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[591]), .A2(n70236), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[911]), .B2(n64325), .Y(n65044) );
+  sky130_fd_sc_hd__a22oi_1 U84096 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[207]), .A2(n69952), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[47]), .B2(n70231), .Y(n65043) );
+  sky130_fd_sc_hd__a22oi_1 U84097 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[431]), .A2(n70243), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[271]), .B2(n70245), .Y(n65042) );
+  sky130_fd_sc_hd__a22oi_1 U84098 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[719]), .A2(n70216), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[783]), .B2(n70234), .Y(n65041) );
+  sky130_fd_sc_hd__nand4_1 U84099 ( .A(n65044), .B(n65043), .C(n65042), .D(
+        n65041), .Y(n65045) );
+  sky130_fd_sc_hd__nor4_1 U84100 ( .A(n65048), .B(n65047), .C(n65046), .D(
+        n65045), .Y(n65050) );
+  sky130_fd_sc_hd__nand2_1 U84101 ( .A(n65334), .B(n85593), .Y(n65049) );
+  sky130_fd_sc_hd__o21ai_1 U84102 ( .A1(n65334), .A2(n65050), .B1(n65049), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[15]) );
+  sky130_fd_sc_hd__a22oi_1 U84103 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[105]), .A2(n68781), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[9]), .B2(n70223), .Y(n65055) );
+  sky130_fd_sc_hd__a22oi_1 U84104 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[585]), .A2(n70236), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[489]), .B2(n70211), .Y(n65054) );
+  sky130_fd_sc_hd__a22oi_1 U84105 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[201]), .A2(n69952), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[393]), .B2(n70237), .Y(n65053) );
+  sky130_fd_sc_hd__a22oi_1 U84106 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[777]), .A2(n70234), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[681]), .B2(n70224), .Y(n65052) );
+  sky130_fd_sc_hd__nand4_1 U84107 ( .A(n65055), .B(n65054), .C(n65053), .D(
+        n65052), .Y(n65071) );
+  sky130_fd_sc_hd__a22oi_1 U84108 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[969]), .A2(n70244), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[841]), .B2(n70238), .Y(n65059) );
+  sky130_fd_sc_hd__a22oi_1 U84109 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[329]), .A2(n70214), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[41]), .B2(n70231), .Y(n65058) );
+  sky130_fd_sc_hd__a22oi_1 U84110 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[73]), .A2(n70246), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[553]), .B2(n70215), .Y(n65057) );
+  sky130_fd_sc_hd__a22oi_1 U84111 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[649]), .A2(n70212), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[425]), .B2(n70243), .Y(n65056) );
+  sky130_fd_sc_hd__nand4_1 U84112 ( .A(n65059), .B(n65058), .C(n65057), .D(
+        n65056), .Y(n65070) );
+  sky130_fd_sc_hd__a22oi_1 U84113 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[233]), .A2(n70152), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[361]), .B2(n70222), .Y(n65063) );
+  sky130_fd_sc_hd__a22oi_1 U84114 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[937]), .A2(n64326), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[265]), .B2(n70245), .Y(n65062) );
+  sky130_fd_sc_hd__a22oi_1 U84115 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[713]), .A2(n70216), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[169]), .B2(n70229), .Y(n65061) );
+  sky130_fd_sc_hd__nand2_1 U84116 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[137]), .B(n70150), .Y(n65060) );
+  sky130_fd_sc_hd__nand4_1 U84117 ( .A(n65063), .B(n65062), .C(n65061), .D(
+        n65060), .Y(n65069) );
+  sky130_fd_sc_hd__a22oi_1 U84118 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[809]), .A2(n64319), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[873]), .B2(n64638), .Y(n65067) );
+  sky130_fd_sc_hd__a22oi_1 U84119 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[457]), .A2(n70235), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[521]), .B2(n70221), .Y(n65066) );
+  sky130_fd_sc_hd__a22oi_1 U84120 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[297]), .A2(n70230), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[905]), .B2(n64325), .Y(n65065) );
+  sky130_fd_sc_hd__a22oi_1 U84121 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[745]), .A2(n64330), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[617]), .B2(n70213), .Y(n65064) );
+  sky130_fd_sc_hd__nand4_1 U84122 ( .A(n65067), .B(n65066), .C(n65065), .D(
+        n65064), .Y(n65068) );
+  sky130_fd_sc_hd__nor4_1 U84123 ( .A(n65071), .B(n65070), .C(n65069), .D(
+        n65068), .Y(n65073) );
+  sky130_fd_sc_hd__nand2_1 U84124 ( .A(n65334), .B(n85592), .Y(n65072) );
+  sky130_fd_sc_hd__o21ai_1 U84125 ( .A1(n65334), .A2(n65073), .B1(n65072), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[9]) );
+  sky130_fd_sc_hd__a22oi_1 U84126 ( .A1(n85816), .A2(n78434), .B1(n36826), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[24]), .Y(n65077) );
+  sky130_fd_sc_hd__nand2_1 U84127 ( .A(n64724), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[120]), .Y(n65076) );
+  sky130_fd_sc_hd__nand2_1 U84128 ( .A(n65147), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[56]), .Y(n65075) );
+  sky130_fd_sc_hd__nand2_1 U84129 ( .A(n65148), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[88]), .Y(n65074) );
+  sky130_fd_sc_hd__nand4_1 U84130 ( .A(n65077), .B(n65076), .C(n65075), .D(
+        n65074), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[24]) );
+  sky130_fd_sc_hd__a22oi_1 U84131 ( .A1(n85816), .A2(n65078), .B1(n36826), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[8]), .Y(n65082) );
+  sky130_fd_sc_hd__nand2_1 U84132 ( .A(n68442), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[104]), .Y(n65081) );
+  sky130_fd_sc_hd__nand2_1 U84133 ( .A(n70204), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[40]), .Y(n65080) );
+  sky130_fd_sc_hd__nand2_1 U84134 ( .A(n70205), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[72]), .Y(n65079) );
+  sky130_fd_sc_hd__nand4_1 U84135 ( .A(n65082), .B(n65081), .C(n65080), .D(
+        n65079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[8]) );
+  sky130_fd_sc_hd__a22oi_1 U84136 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[904]), .A2(n64325), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[456]), .B2(n70235), .Y(n65086) );
+  sky130_fd_sc_hd__a22oi_1 U84137 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[712]), .A2(n70216), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[104]), .B2(n68781), .Y(n65085) );
+  sky130_fd_sc_hd__a22oi_1 U84138 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[8]), .A2(n70223), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[872]), .B2(n64638), .Y(n65084) );
+  sky130_fd_sc_hd__a22oi_1 U84139 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[584]), .A2(n70236), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[168]), .B2(n70229), .Y(n65083) );
+  sky130_fd_sc_hd__nand4_1 U84140 ( .A(n65086), .B(n65085), .C(n65084), .D(
+        n65083), .Y(n65102) );
+  sky130_fd_sc_hd__a22oi_1 U84141 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[808]), .A2(n64319), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[200]), .B2(n69952), .Y(n65090) );
+  sky130_fd_sc_hd__a22oi_1 U84142 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[136]), .A2(n70150), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[424]), .B2(n70243), .Y(n65089) );
+  sky130_fd_sc_hd__a22oi_1 U84143 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[552]), .A2(n70215), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[232]), .B2(n70152), .Y(n65088) );
+  sky130_fd_sc_hd__nand2_1 U84144 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[840]), .B(n70238), .Y(n65087) );
+  sky130_fd_sc_hd__nand4_1 U84145 ( .A(n65090), .B(n65089), .C(n65088), .D(
+        n65087), .Y(n65101) );
+  sky130_fd_sc_hd__a22oi_1 U84146 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[72]), .A2(n70246), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[744]), .B2(n64330), .Y(n65094) );
+  sky130_fd_sc_hd__a22oi_1 U84147 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[392]), .A2(n70237), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[616]), .B2(n70213), .Y(n65093) );
+  sky130_fd_sc_hd__a22oi_1 U84148 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[776]), .A2(n70234), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[968]), .B2(n70244), .Y(n65092) );
+  sky130_fd_sc_hd__a22oi_1 U84149 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[296]), .A2(n70230), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[328]), .B2(n70214), .Y(n65091) );
+  sky130_fd_sc_hd__nand4_1 U84150 ( .A(n65094), .B(n65093), .C(n65092), .D(
+        n65091), .Y(n65100) );
+  sky130_fd_sc_hd__a22oi_1 U84151 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[936]), .A2(n64326), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[520]), .B2(n70221), .Y(n65098) );
+  sky130_fd_sc_hd__a22oi_1 U84152 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[488]), .A2(n70211), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[40]), .B2(n70231), .Y(n65097) );
+  sky130_fd_sc_hd__a22oi_1 U84153 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[264]), .A2(n70245), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[648]), .B2(n70212), .Y(n65096) );
+  sky130_fd_sc_hd__a22oi_1 U84154 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[360]), .A2(n70222), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[680]), .B2(n70224), .Y(n65095) );
+  sky130_fd_sc_hd__nand4_1 U84155 ( .A(n65098), .B(n65097), .C(n65096), .D(
+        n65095), .Y(n65099) );
+  sky130_fd_sc_hd__nor4_1 U84156 ( .A(n65102), .B(n65101), .C(n65100), .D(
+        n65099), .Y(n65104) );
+  sky130_fd_sc_hd__nand2_1 U84157 ( .A(n65334), .B(n85542), .Y(n65103) );
+  sky130_fd_sc_hd__o21ai_1 U84158 ( .A1(n65334), .A2(n65104), .B1(n65103), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[8]) );
+  sky130_fd_sc_hd__nand3_1 U84159 ( .A(n85543), .B(n65106), .C(n65105), .Y(
+        n65107) );
+  sky130_fd_sc_hd__nor2_1 U84160 ( .A(n85544), .B(n65107), .Y(n65108) );
+  sky130_fd_sc_hd__nand3_1 U84161 ( .A(n65108), .B(n85545), .C(n39534), .Y(
+        n73344) );
+  sky130_fd_sc_hd__nand2_1 U84162 ( .A(n73344), .B(n85546), .Y(n65109) );
+  sky130_fd_sc_hd__o21ai_1 U84163 ( .A1(n73344), .A2(n65110), .B1(n65109), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N430) );
+  sky130_fd_sc_hd__a22oi_1 U84164 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[592]), .A2(n70236), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[880]), .B2(n64638), .Y(n65114) );
+  sky130_fd_sc_hd__a22oi_1 U84165 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[752]), .A2(n64330), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[816]), .B2(n64319), .Y(n65113) );
+  sky130_fd_sc_hd__a22oi_1 U84166 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[528]), .A2(n70221), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[688]), .B2(n70224), .Y(n65112) );
+  sky130_fd_sc_hd__nand2_1 U84167 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[304]), .B(n70230), .Y(n65111) );
+  sky130_fd_sc_hd__nand4_1 U84168 ( .A(n65114), .B(n65113), .C(n65112), .D(
+        n65111), .Y(n65130) );
+  sky130_fd_sc_hd__a22oi_1 U84169 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[784]), .A2(n70234), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[976]), .B2(n70244), .Y(n65118) );
+  sky130_fd_sc_hd__a22oi_1 U84170 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[208]), .A2(n69952), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[336]), .B2(n70214), .Y(n65117) );
+  sky130_fd_sc_hd__a22oi_1 U84171 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[144]), .A2(n70150), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[912]), .B2(n64325), .Y(n65116) );
+  sky130_fd_sc_hd__a22oi_1 U84172 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[400]), .A2(n70237), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[176]), .B2(n70229), .Y(n65115) );
+  sky130_fd_sc_hd__nand4_1 U84173 ( .A(n65118), .B(n65117), .C(n65116), .D(
+        n65115), .Y(n65129) );
+  sky130_fd_sc_hd__a22oi_1 U84174 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[240]), .A2(n70152), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[272]), .B2(n70245), .Y(n65122) );
+  sky130_fd_sc_hd__a22oi_1 U84175 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[80]), .A2(n70246), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[944]), .B2(n64326), .Y(n65121) );
+  sky130_fd_sc_hd__a22oi_1 U84176 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[432]), .A2(n70243), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[368]), .B2(n70222), .Y(n65120) );
+  sky130_fd_sc_hd__a22oi_1 U84177 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[560]), .A2(n70215), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[48]), .B2(n70231), .Y(n65119) );
+  sky130_fd_sc_hd__nand4_1 U84178 ( .A(n65122), .B(n65121), .C(n65120), .D(
+        n65119), .Y(n65128) );
+  sky130_fd_sc_hd__a22oi_1 U84179 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[624]), .A2(n70213), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[496]), .B2(n70211), .Y(n65126) );
+  sky130_fd_sc_hd__a22oi_1 U84180 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[16]), .A2(n70223), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[720]), .B2(n70216), .Y(n65125) );
+  sky130_fd_sc_hd__a22oi_1 U84181 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[464]), .A2(n70235), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[112]), .B2(n68781), .Y(n65124) );
+  sky130_fd_sc_hd__a22oi_1 U84182 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[848]), .A2(n70238), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[656]), .B2(n70212), .Y(n65123) );
+  sky130_fd_sc_hd__nand4_1 U84183 ( .A(n65126), .B(n65125), .C(n65124), .D(
+        n65123), .Y(n65127) );
+  sky130_fd_sc_hd__nor4_1 U84184 ( .A(n65130), .B(n65129), .C(n65128), .D(
+        n65127), .Y(n65132) );
+  sky130_fd_sc_hd__nand2_1 U84185 ( .A(n65334), .B(n85590), .Y(n65131) );
+  sky130_fd_sc_hd__o21ai_1 U84186 ( .A1(n65334), .A2(n65132), .B1(n65131), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[16]) );
+  sky130_fd_sc_hd__a22oi_1 U84187 ( .A1(n66758), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[16]), .B1(n69672), .B2(n66757), .Y(n65133) );
+  sky130_fd_sc_hd__nor2_1 U84189 ( .A(n36802), .B(n65134), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N163) );
+  sky130_fd_sc_hd__nand2_1 U84190 ( .A(n65135), .B(n85548), .Y(n36590) );
+  sky130_fd_sc_hd__o22a_1 U84191 ( .A1(n66747), .A2(n69646), .B1(n66746), .B2(
+        n69645), .X(n65136) );
+  sky130_fd_sc_hd__o21ai_1 U84192 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_size[1]), .A2(n65137), .B1(n65136), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N482) );
+  sky130_fd_sc_hd__nand2_1 U84193 ( .A(n85549), .B(n65139), .Y(n65138) );
+  sky130_fd_sc_hd__o21ai_1 U84194 ( .A1(n65140), .A2(n65139), .B1(n65138), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N490) );
+  sky130_fd_sc_hd__a22oi_1 U84195 ( .A1(n85816), .A2(n65141), .B1(n36826), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[6]), .Y(n65145) );
+  sky130_fd_sc_hd__nand2_1 U84196 ( .A(n64476), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[102]), .Y(n65144) );
+  sky130_fd_sc_hd__nand2_1 U84197 ( .A(n70204), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[38]), .Y(n65143) );
+  sky130_fd_sc_hd__nand2_1 U84198 ( .A(n70205), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[70]), .Y(n65142) );
+  sky130_fd_sc_hd__nand4_1 U84199 ( .A(n65145), .B(n65144), .C(n65143), .D(
+        n65142), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[6]) );
+  sky130_fd_sc_hd__a22oi_1 U84200 ( .A1(n85816), .A2(n65146), .B1(n36826), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[14]), .Y(n65152) );
+  sky130_fd_sc_hd__nand2_1 U84201 ( .A(n68442), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[110]), .Y(n65151) );
+  sky130_fd_sc_hd__nand2_1 U84202 ( .A(n65147), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[46]), .Y(n65150) );
+  sky130_fd_sc_hd__nand2_1 U84203 ( .A(n65148), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[78]), .Y(n65149) );
+  sky130_fd_sc_hd__nand4_1 U84204 ( .A(n65152), .B(n65151), .C(n65150), .D(
+        n65149), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[14]) );
+  sky130_fd_sc_hd__a22oi_1 U84205 ( .A1(n37076), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[934]), .B1(n66629), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[838]), .Y(n65154) );
+  sky130_fd_sc_hd__a22oi_1 U84206 ( .A1(n64394), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[134]), .B1(n64395), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[358]), .Y(n65153) );
+  sky130_fd_sc_hd__nand2_1 U84207 ( .A(n65154), .B(n65153), .Y(n65160) );
+  sky130_fd_sc_hd__a22oi_1 U84208 ( .A1(n64442), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[966]), .B1(n70101), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[742]), .Y(n65158) );
+  sky130_fd_sc_hd__a22oi_1 U84209 ( .A1(n64392), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[774]), .B1(n66620), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[902]), .Y(n65157) );
+  sky130_fd_sc_hd__a22oi_1 U84210 ( .A1(n64396), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[710]), .B1(n64254), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[422]), .Y(n65156) );
+  sky130_fd_sc_hd__a22oi_1 U84211 ( .A1(n64393), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[326]), .B1(n64375), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[262]), .Y(n65155) );
+  sky130_fd_sc_hd__nand4_1 U84212 ( .A(n65158), .B(n65157), .C(n65156), .D(
+        n65155), .Y(n65159) );
+  sky130_fd_sc_hd__a211oi_1 U84213 ( .A1(n69311), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[6]), .B1(n65160), .C1(n65159), .Y(n65173) );
+  sky130_fd_sc_hd__a22oi_1 U84214 ( .A1(n64374), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[294]), .B1(n64378), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[454]), .Y(n65164) );
+  sky130_fd_sc_hd__a22oi_1 U84215 ( .A1(n64377), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[646]), .B1(n64261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[870]), .Y(n65163) );
+  sky130_fd_sc_hd__a22oi_1 U84216 ( .A1(n64379), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[102]), .B1(n64376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[390]), .Y(n65162) );
+  sky130_fd_sc_hd__a22oi_1 U84217 ( .A1(n64262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[198]), .B1(n64263), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[614]), .Y(n65161) );
+  sky130_fd_sc_hd__nand4_1 U84218 ( .A(n65164), .B(n65163), .C(n65162), .D(
+        n65161), .Y(n65169) );
+  sky130_fd_sc_hd__a22oi_1 U84219 ( .A1(n69566), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[70]), .B1(n64384), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[518]), .Y(n65167) );
+  sky130_fd_sc_hd__a22oi_1 U84220 ( .A1(n64385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[678]), .B1(n66525), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[806]), .Y(n65166) );
+  sky130_fd_sc_hd__a22oi_1 U84221 ( .A1(n63012), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[166]), .B1(n64386), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[230]), .Y(n65165) );
+  sky130_fd_sc_hd__nand3_1 U84222 ( .A(n65167), .B(n65166), .C(n65165), .Y(
+        n65168) );
+  sky130_fd_sc_hd__nor2_1 U84223 ( .A(n65169), .B(n65168), .Y(n65172) );
+  sky130_fd_sc_hd__a22oi_1 U84224 ( .A1(n70107), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[38]), .B1(n64403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[550]), .Y(n65171) );
+  sky130_fd_sc_hd__a22oi_1 U84225 ( .A1(n70059), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[582]), .B1(n70058), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[486]), .Y(n65170) );
+  sky130_fd_sc_hd__nand4_1 U84226 ( .A(n65173), .B(n65172), .C(n65171), .D(
+        n65170), .Y(n65174) );
+  sky130_fd_sc_hd__a22oi_1 U84227 ( .A1(n70075), .A2(n65175), .B1(n69540), 
+        .B2(n65174), .Y(n65176) );
+  sky130_fd_sc_hd__o21ai_1 U84228 ( .A1(n65177), .A2(n70078), .B1(n65176), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N561) );
+  sky130_fd_sc_hd__nand2_1 U84229 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .Y(n65178) );
+  sky130_fd_sc_hd__o21ai_1 U84230 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .A2(n65182), .B1(n65178), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N286) );
+  sky130_fd_sc_hd__nand2_1 U84231 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[6]), .B(n76239), .Y(n65179) );
+  sky130_fd_sc_hd__o21ai_1 U84232 ( .A1(n76239), .A2(n65182), .B1(n65179), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N205) );
+  sky130_fd_sc_hd__nand2_1 U84233 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[6]), .B(n76241), .Y(n65180) );
+  sky130_fd_sc_hd__nand2_1 U84235 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[6]), .B(n73340), .Y(n65181) );
+  sky130_fd_sc_hd__o21ai_1 U84236 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .A2(n65182), .B1(n65181), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N43) );
+  sky130_fd_sc_hd__o22ai_1 U84237 ( .A1(n69489), .A2(n65571), .B1(n69484), 
+        .B2(n66041), .Y(n65184) );
+  sky130_fd_sc_hd__o22ai_1 U84238 ( .A1(n66607), .A2(n68452), .B1(n69486), 
+        .B2(n65576), .Y(n65183) );
+  sky130_fd_sc_hd__nor2_1 U84239 ( .A(n65184), .B(n65183), .Y(n66253) );
+  sky130_fd_sc_hd__a21oi_1 U84240 ( .A1(n65186), .A2(n69851), .B1(n65185), .Y(
+        n66252) );
+  sky130_fd_sc_hd__nand2_1 U84241 ( .A(n66252), .B(n69434), .Y(n65187) );
+  sky130_fd_sc_hd__o21ai_0 U84242 ( .A1(n69434), .A2(n65188), .B1(n65187), .Y(
+        n68590) );
+  sky130_fd_sc_hd__o22ai_1 U84243 ( .A1(n69489), .A2(n68453), .B1(n69486), 
+        .B2(n68451), .Y(n65197) );
+  sky130_fd_sc_hd__o22a_1 U84244 ( .A1(n68464), .A2(n70279), .B1(n68679), .B2(
+        n70278), .X(n65191) );
+  sky130_fd_sc_hd__nand2_1 U84245 ( .A(n66059), .B(n66382), .Y(n65190) );
+  sky130_fd_sc_hd__nand2_1 U84246 ( .A(n66061), .B(n66268), .Y(n65189) );
+  sky130_fd_sc_hd__nand3_1 U84247 ( .A(n65191), .B(n65190), .C(n65189), .Y(
+        n65945) );
+  sky130_fd_sc_hd__o22a_1 U84248 ( .A1(n66267), .A2(n70279), .B1(n66380), .B2(
+        n70278), .X(n65194) );
+  sky130_fd_sc_hd__nand2_1 U84249 ( .A(n66059), .B(n68681), .Y(n65193) );
+  sky130_fd_sc_hd__nand2_1 U84250 ( .A(n66061), .B(n68466), .Y(n65192) );
+  sky130_fd_sc_hd__nand3_1 U84251 ( .A(n65194), .B(n65193), .C(n65192), .Y(
+        n68519) );
+  sky130_fd_sc_hd__o22ai_1 U84252 ( .A1(n69484), .A2(n68450), .B1(n65195), 
+        .B2(n66607), .Y(n65196) );
+  sky130_fd_sc_hd__nor2_1 U84253 ( .A(n65197), .B(n65196), .Y(n66254) );
+  sky130_fd_sc_hd__o22a_1 U84254 ( .A1(n66055), .A2(n70278), .B1(n68530), .B2(
+        n70279), .X(n65200) );
+  sky130_fd_sc_hd__nand2_1 U84255 ( .A(n66059), .B(n68603), .Y(n65199) );
+  sky130_fd_sc_hd__nand2_1 U84256 ( .A(n66061), .B(n68719), .Y(n65198) );
+  sky130_fd_sc_hd__nand3_1 U84257 ( .A(n65200), .B(n65199), .C(n65198), .Y(
+        n69485) );
+  sky130_fd_sc_hd__o22ai_1 U84258 ( .A1(n66604), .A2(n70278), .B1(n66603), 
+        .B2(n70279), .Y(n65202) );
+  sky130_fd_sc_hd__o22ai_1 U84259 ( .A1(n66054), .A2(n70282), .B1(n69553), 
+        .B2(n70280), .Y(n65201) );
+  sky130_fd_sc_hd__nor2_1 U84260 ( .A(n65202), .B(n65201), .Y(n69823) );
+  sky130_fd_sc_hd__nor2_1 U84261 ( .A(n66607), .B(n69823), .Y(n65212) );
+  sky130_fd_sc_hd__o22a_1 U84262 ( .A1(n65589), .A2(n70278), .B1(n70178), .B2(
+        n70279), .X(n65206) );
+  sky130_fd_sc_hd__nand2_1 U84263 ( .A(n66059), .B(n69086), .Y(n65205) );
+  sky130_fd_sc_hd__nand2_1 U84264 ( .A(n66061), .B(n65203), .Y(n65204) );
+  sky130_fd_sc_hd__nand3_1 U84265 ( .A(n65206), .B(n65205), .C(n65204), .Y(
+        n68520) );
+  sky130_fd_sc_hd__o22a_1 U84266 ( .A1(n66477), .A2(n70278), .B1(n65953), .B2(
+        n70279), .X(n65210) );
+  sky130_fd_sc_hd__nand2_1 U84267 ( .A(n66059), .B(n66501), .Y(n65209) );
+  sky130_fd_sc_hd__nand2_1 U84268 ( .A(n66061), .B(n65207), .Y(n65208) );
+  sky130_fd_sc_hd__nand3_1 U84269 ( .A(n65210), .B(n65209), .C(n65208), .Y(
+        n69487) );
+  sky130_fd_sc_hd__o22ai_1 U84270 ( .A1(n69489), .A2(n68520), .B1(n69486), 
+        .B2(n69487), .Y(n65211) );
+  sky130_fd_sc_hd__a211o_1 U84271 ( .A1(n70276), .A2(n69485), .B1(n65212), 
+        .C1(n65211), .X(n65213) );
+  sky130_fd_sc_hd__a222oi_1 U84272 ( .A1(n68590), .A2(n70270), .B1(n66254), 
+        .B2(n68599), .C1(n65213), .C2(n68524), .Y(n69166) );
+  sky130_fd_sc_hd__nand2_1 U84273 ( .A(n65214), .B(n66037), .Y(n65217) );
+  sky130_fd_sc_hd__nand2_1 U84274 ( .A(n66042), .B(n70276), .Y(n65216) );
+  sky130_fd_sc_hd__nand2_1 U84275 ( .A(n66043), .B(n70284), .Y(n65215) );
+  sky130_fd_sc_hd__nand3_1 U84276 ( .A(n65217), .B(n65216), .C(n65215), .Y(
+        n70289) );
+  sky130_fd_sc_hd__a21oi_1 U84277 ( .A1(n70289), .A2(n69884), .B1(n66251), .Y(
+        n68601) );
+  sky130_fd_sc_hd__o21ai_0 U84278 ( .A1(n70270), .A2(n68601), .B1(n70268), .Y(
+        n69158) );
+  sky130_fd_sc_hd__xnor2_1 U84279 ( .A(n65219), .B(n65218), .Y(n72534) );
+  sky130_fd_sc_hd__or3_1 U84280 ( .A(n72141), .B(n65220), .C(n66603), .X(
+        n65221) );
+  sky130_fd_sc_hd__a21oi_1 U84282 ( .A1(n69158), .A2(n72149), .B1(n65222), .Y(
+        n65224) );
+  sky130_fd_sc_hd__nand2_1 U84283 ( .A(n76586), .B(n70187), .Y(n65223) );
+  sky130_fd_sc_hd__o211ai_1 U84284 ( .A1(n69166), .A2(n72147), .B1(n65224), 
+        .C1(n65223), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[6]) );
+  sky130_fd_sc_hd__mux2_2 U84285 ( .A0(n65225), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[6]), .S(n70191), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_int_wdata[6]) );
+  sky130_fd_sc_hd__a22oi_1 U84286 ( .A1(n85816), .A2(n65226), .B1(n36826), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[5]), .Y(n65231) );
+  sky130_fd_sc_hd__nand2_1 U84287 ( .A(n64724), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[101]), .Y(n65230) );
+  sky130_fd_sc_hd__nand2_1 U84288 ( .A(n70204), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[37]), .Y(n65229) );
+  sky130_fd_sc_hd__nand2_1 U84289 ( .A(n65227), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[69]), .Y(n65228) );
+  sky130_fd_sc_hd__nand4_1 U84290 ( .A(n65231), .B(n65230), .C(n65229), .D(
+        n65228), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[5]) );
+  sky130_fd_sc_hd__a22oi_1 U84291 ( .A1(n85816), .A2(n78425), .B1(n36826), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[21]), .Y(n65236) );
+  sky130_fd_sc_hd__nand2_1 U84292 ( .A(n68442), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[117]), .Y(n65235) );
+  sky130_fd_sc_hd__nand2_1 U84293 ( .A(n70204), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[53]), .Y(n65234) );
+  sky130_fd_sc_hd__nand2_1 U84294 ( .A(n70205), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[85]), .Y(n65233) );
+  sky130_fd_sc_hd__nand4_1 U84295 ( .A(n65236), .B(n65235), .C(n65234), .D(
+        n65233), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[21]) );
+  sky130_fd_sc_hd__mux2_2 U84296 ( .A0(n65237), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[5]), .S(n70191), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_int_wdata[5]) );
+  sky130_fd_sc_hd__nand2_1 U84297 ( .A(n65240), .B(n65239), .Y(n65242) );
+  sky130_fd_sc_hd__xor2_1 U84298 ( .A(n65242), .B(n65241), .X(n65244) );
+  sky130_fd_sc_hd__xnor2_1 U84299 ( .A(n62420), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div__prod_T_2_8_), .Y(n69708) );
+  sky130_fd_sc_hd__a222oi_1 U84300 ( .A1(n69796), .A2(n65244), .B1(n69745), 
+        .B2(n69708), .C1(n69743), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div__prod_T_2_8_), .Y(n65245) );
+  sky130_fd_sc_hd__nand2_1 U84301 ( .A(n65247), .B(n65260), .Y(n65248) );
+  sky130_fd_sc_hd__xor2_1 U84302 ( .A(n65261), .B(n65248), .X(n65254) );
+  sky130_fd_sc_hd__nand2_1 U84303 ( .A(n65251), .B(n65250), .Y(n65252) );
+  sky130_fd_sc_hd__xor2_1 U84304 ( .A(n65253), .B(n65252), .X(n69707) );
+  sky130_fd_sc_hd__a22oi_1 U84305 ( .A1(n69796), .A2(n65254), .B1(n69745), 
+        .B2(n69707), .Y(n65255) );
+  sky130_fd_sc_hd__o21ai_1 U84306 ( .A1(n65256), .A2(n65281), .B1(n65255), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N140) );
+  sky130_fd_sc_hd__inv_1 U84307 ( .A(n65257), .Y(n65259) );
+  sky130_fd_sc_hd__nand2_1 U84308 ( .A(n65259), .B(n65258), .Y(n65264) );
+  sky130_fd_sc_hd__o21ai_1 U84309 ( .A1(n65262), .A2(n65261), .B1(n65260), .Y(
+        n65263) );
+  sky130_fd_sc_hd__xnor2_1 U84310 ( .A(n65264), .B(n65263), .Y(n65268) );
+  sky130_fd_sc_hd__nand2_1 U84311 ( .A(n65266), .B(n65275), .Y(n65267) );
+  sky130_fd_sc_hd__xor2_1 U84312 ( .A(n65276), .B(n65267), .X(n69705) );
+  sky130_fd_sc_hd__a22oi_1 U84313 ( .A1(n69796), .A2(n65268), .B1(n69745), 
+        .B2(n69705), .Y(n65269) );
+  sky130_fd_sc_hd__o21ai_1 U84314 ( .A1(n65270), .A2(n65281), .B1(n65269), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N141) );
+  sky130_fd_sc_hd__nand2_1 U84315 ( .A(n65291), .B(n65289), .Y(n65273) );
+  sky130_fd_sc_hd__inv_1 U84316 ( .A(n65272), .Y(n65304) );
+  sky130_fd_sc_hd__xnor2_1 U84317 ( .A(n65273), .B(n65304), .Y(n65279) );
+  sky130_fd_sc_hd__nand2_1 U84318 ( .A(n65284), .B(n65274), .Y(n65278) );
+  sky130_fd_sc_hd__xnor2_1 U84320 ( .A(n65278), .B(n65285), .Y(n69704) );
+  sky130_fd_sc_hd__a22oi_1 U84321 ( .A1(n65279), .A2(n69796), .B1(n69745), 
+        .B2(n69704), .Y(n65280) );
+  sky130_fd_sc_hd__o21ai_1 U84322 ( .A1(n65282), .A2(n65281), .B1(n65280), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N142) );
+  sky130_fd_sc_hd__a21oi_1 U84323 ( .A1(n65285), .A2(n65284), .B1(n65283), .Y(
+        n65288) );
+  sky130_fd_sc_hd__nand2_1 U84324 ( .A(n38379), .B(n65286), .Y(n65287) );
+  sky130_fd_sc_hd__xor2_1 U84325 ( .A(n65288), .B(n65287), .X(n69703) );
+  sky130_fd_sc_hd__a21oi_1 U84326 ( .A1(n65304), .A2(n65291), .B1(n65290), .Y(
+        n65296) );
+  sky130_fd_sc_hd__nand2_1 U84327 ( .A(n65294), .B(n65293), .Y(n65295) );
+  sky130_fd_sc_hd__xor2_1 U84328 ( .A(n65296), .B(n65295), .X(n65297) );
+  sky130_fd_sc_hd__a222oi_1 U84329 ( .A1(n69745), .A2(n69703), .B1(n69743), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[35]), .C1(n69796), .C2(n65297), .Y(n65298) );
+  sky130_fd_sc_hd__inv_1 U84330 ( .A(n65298), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N143) );
+  sky130_fd_sc_hd__nand2_1 U84331 ( .A(n65388), .B(n65386), .Y(n65301) );
+  sky130_fd_sc_hd__xnor2_1 U84332 ( .A(n65301), .B(n65414), .Y(n69702) );
+  sky130_fd_sc_hd__a21oi_1 U84333 ( .A1(n65304), .A2(n65303), .B1(n65302), .Y(
+        n65395) );
+  sky130_fd_sc_hd__nand2_1 U84334 ( .A(n65305), .B(n65394), .Y(n65306) );
+  sky130_fd_sc_hd__xor2_1 U84335 ( .A(n65395), .B(n65306), .X(n65307) );
+  sky130_fd_sc_hd__a222oi_1 U84336 ( .A1(n69745), .A2(n69702), .B1(n69743), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[36]), .C1(n65307), .C2(n69796), .Y(n65308) );
+  sky130_fd_sc_hd__inv_1 U84337 ( .A(n65308), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N144) );
+  sky130_fd_sc_hd__nor2_1 U84338 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_isHi), .B(n65313), .Y(n65311) );
+  sky130_fd_sc_hd__a211oi_1 U84339 ( .A1(n65313), .A2(n65312), .B1(n86393), 
+        .C1(n65311), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N65) );
+  sky130_fd_sc_hd__a22oi_1 U84340 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[901]), .A2(n64325), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[133]), .B2(n70150), .Y(n65317) );
+  sky130_fd_sc_hd__a22oi_1 U84341 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[325]), .A2(n70214), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[581]), .B2(n70236), .Y(n65316) );
+  sky130_fd_sc_hd__a22oi_1 U84342 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[37]), .A2(n70231), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[197]), .B2(n69952), .Y(n65315) );
+  sky130_fd_sc_hd__nand2_1 U84343 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[453]), .B(n70235), .Y(n65314) );
+  sky130_fd_sc_hd__nand4_1 U84344 ( .A(n65317), .B(n65316), .C(n65315), .D(
+        n65314), .Y(n65333) );
+  sky130_fd_sc_hd__a22oi_1 U84345 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[805]), .A2(n64319), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[5]), .B2(n70223), .Y(n65321) );
+  sky130_fd_sc_hd__a22oi_1 U84346 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[69]), .A2(n70246), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[229]), .B2(n70152), .Y(n65320) );
+  sky130_fd_sc_hd__a22oi_1 U84347 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[293]), .A2(n70230), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[101]), .B2(n68781), .Y(n65319) );
+  sky130_fd_sc_hd__a22oi_1 U84348 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[517]), .A2(n70221), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[677]), .B2(n70224), .Y(n65318) );
+  sky130_fd_sc_hd__nand4_1 U84349 ( .A(n65321), .B(n65320), .C(n65319), .D(
+        n65318), .Y(n65332) );
+  sky130_fd_sc_hd__a22oi_1 U84350 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[773]), .A2(n70234), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[165]), .B2(n70229), .Y(n65325) );
+  sky130_fd_sc_hd__a22oi_1 U84351 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[613]), .A2(n70213), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[549]), .B2(n70215), .Y(n65324) );
+  sky130_fd_sc_hd__a22oi_1 U84352 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[261]), .A2(n70245), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[645]), .B2(n70212), .Y(n65323) );
+  sky130_fd_sc_hd__a22oi_1 U84353 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[485]), .A2(n70211), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[709]), .B2(n70216), .Y(n65322) );
+  sky130_fd_sc_hd__nand4_1 U84354 ( .A(n65325), .B(n65324), .C(n65323), .D(
+        n65322), .Y(n65331) );
+  sky130_fd_sc_hd__a22oi_1 U84355 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[421]), .A2(n70243), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[357]), .B2(n70222), .Y(n65329) );
+  sky130_fd_sc_hd__a22oi_1 U84356 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[869]), .A2(n64638), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[933]), .B2(n64326), .Y(n65328) );
+  sky130_fd_sc_hd__a22oi_1 U84357 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[965]), .A2(n70244), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[837]), .B2(n70238), .Y(n65327) );
+  sky130_fd_sc_hd__a22oi_1 U84358 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[389]), .A2(n70237), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[741]), .B2(n64330), .Y(n65326) );
+  sky130_fd_sc_hd__nand4_1 U84359 ( .A(n65329), .B(n65328), .C(n65327), .D(
+        n65326), .Y(n65330) );
+  sky130_fd_sc_hd__nor4_1 U84360 ( .A(n65333), .B(n65332), .C(n65331), .D(
+        n65330), .Y(n65336) );
+  sky130_fd_sc_hd__nand2_1 U84361 ( .A(n65334), .B(n85559), .Y(n65335) );
+  sky130_fd_sc_hd__o21ai_1 U84362 ( .A1(n65334), .A2(n65336), .B1(n65335), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[5]) );
+  sky130_fd_sc_hd__mux2_2 U84363 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[5]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[5]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N285) );
+  sky130_fd_sc_hd__mux2_2 U84364 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[5]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[5]), .S(n76239), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N204) );
+  sky130_fd_sc_hd__mux2_2 U84365 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[5]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[5]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N123) );
+  sky130_fd_sc_hd__mux2_2 U84366 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[5]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[5]), .S(n73340), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N42) );
+  sky130_fd_sc_hd__a22oi_1 U84367 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[811]), .A2(n64319), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[395]), .B2(n70237), .Y(n65340) );
+  sky130_fd_sc_hd__a22oi_1 U84368 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[107]), .A2(n68781), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[523]), .B2(n70221), .Y(n65339) );
+  sky130_fd_sc_hd__a22oi_1 U84369 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[779]), .A2(n70234), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[939]), .B2(n64326), .Y(n65338) );
+  sky130_fd_sc_hd__nand2_1 U84370 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[971]), .B(n70244), .Y(n65337) );
+  sky130_fd_sc_hd__nand4_1 U84371 ( .A(n65340), .B(n65339), .C(n65338), .D(
+        n65337), .Y(n65356) );
+  sky130_fd_sc_hd__a22oi_1 U84372 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[75]), .A2(n70246), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[651]), .B2(n70212), .Y(n65344) );
+  sky130_fd_sc_hd__a22oi_1 U84373 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[331]), .A2(n70214), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[747]), .B2(n64330), .Y(n65343) );
+  sky130_fd_sc_hd__a22oi_1 U84374 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[235]), .A2(n70152), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[619]), .B2(n70213), .Y(n65342) );
+  sky130_fd_sc_hd__a22oi_1 U84375 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[11]), .A2(n70223), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[171]), .B2(n70229), .Y(n65341) );
+  sky130_fd_sc_hd__nand4_1 U84376 ( .A(n65344), .B(n65343), .C(n65342), .D(
+        n65341), .Y(n65355) );
+  sky130_fd_sc_hd__a22oi_1 U84377 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[43]), .A2(n70231), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[491]), .B2(n70211), .Y(n65348) );
+  sky130_fd_sc_hd__a22oi_1 U84378 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[843]), .A2(n70238), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[363]), .B2(n70222), .Y(n65347) );
+  sky130_fd_sc_hd__a22oi_1 U84379 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[203]), .A2(n69952), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[459]), .B2(n70235), .Y(n65346) );
+  sky130_fd_sc_hd__a22oi_1 U84380 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[715]), .A2(n70216), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[299]), .B2(n70230), .Y(n65345) );
+  sky130_fd_sc_hd__nand4_1 U84381 ( .A(n65348), .B(n65347), .C(n65346), .D(
+        n65345), .Y(n65354) );
+  sky130_fd_sc_hd__a22oi_1 U84382 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[907]), .A2(n64325), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[427]), .B2(n70243), .Y(n65352) );
+  sky130_fd_sc_hd__a22oi_1 U84383 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[587]), .A2(n70236), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[267]), .B2(n70245), .Y(n65351) );
+  sky130_fd_sc_hd__a22oi_1 U84384 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[875]), .A2(n64638), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[139]), .B2(n70150), .Y(n65350) );
+  sky130_fd_sc_hd__a22oi_1 U84385 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[555]), .A2(n70215), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[683]), .B2(n70224), .Y(n65349) );
+  sky130_fd_sc_hd__nand4_1 U84386 ( .A(n65352), .B(n65351), .C(n65350), .D(
+        n65349), .Y(n65353) );
+  sky130_fd_sc_hd__nor4_1 U84387 ( .A(n65356), .B(n65355), .C(n65354), .D(
+        n65353), .Y(n65358) );
+  sky130_fd_sc_hd__nand2_1 U84388 ( .A(n65334), .B(n85550), .Y(n65357) );
+  sky130_fd_sc_hd__o21ai_1 U84389 ( .A1(n65334), .A2(n65358), .B1(n65357), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[11]) );
+  sky130_fd_sc_hd__nand2_1 U84390 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[11]), .B(n37259), .Y(n65359) );
+  sky130_fd_sc_hd__o21ai_1 U84391 ( .A1(n37259), .A2(n65363), .B1(n65359), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N291) );
+  sky130_fd_sc_hd__nand2_1 U84392 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[11]), .B(n76239), .Y(n65360) );
+  sky130_fd_sc_hd__o21ai_1 U84393 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n65363), .B1(n65360), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N210) );
+  sky130_fd_sc_hd__nand2_1 U84394 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[11]), .B(n76241), .Y(n65361) );
+  sky130_fd_sc_hd__o21ai_1 U84395 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n65363), .B1(n65361), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N129) );
+  sky130_fd_sc_hd__nand2_1 U84396 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[11]), .B(n73340), .Y(n65362) );
+  sky130_fd_sc_hd__a22oi_1 U84398 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[245]), .A2(n70152), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[725]), .B2(n70216), .Y(n65367) );
+  sky130_fd_sc_hd__a22oi_1 U84399 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[757]), .A2(n64330), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[53]), .B2(n70231), .Y(n65366) );
+  sky130_fd_sc_hd__a22oi_1 U84400 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[469]), .A2(n70235), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[853]), .B2(n70238), .Y(n65365) );
+  sky130_fd_sc_hd__nand2_1 U84401 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[981]), .B(n70244), .Y(n65364) );
+  sky130_fd_sc_hd__nand4_1 U84402 ( .A(n65367), .B(n65366), .C(n65365), .D(
+        n65364), .Y(n65383) );
+  sky130_fd_sc_hd__a22oi_1 U84403 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[117]), .A2(n68781), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[405]), .B2(n70237), .Y(n65371) );
+  sky130_fd_sc_hd__a22oi_1 U84404 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[949]), .A2(n64326), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[437]), .B2(n70243), .Y(n65370) );
+  sky130_fd_sc_hd__a22oi_1 U84405 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[533]), .A2(n70221), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[21]), .B2(n70223), .Y(n65369) );
+  sky130_fd_sc_hd__a22oi_1 U84406 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[149]), .A2(n70150), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[693]), .B2(n70224), .Y(n65368) );
+  sky130_fd_sc_hd__nand4_1 U84407 ( .A(n65371), .B(n65370), .C(n65369), .D(
+        n65368), .Y(n65382) );
+  sky130_fd_sc_hd__a22oi_1 U84408 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[597]), .A2(n70236), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[917]), .B2(n64325), .Y(n65375) );
+  sky130_fd_sc_hd__a22oi_1 U84409 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[821]), .A2(n64319), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[565]), .B2(n70215), .Y(n65374) );
+  sky130_fd_sc_hd__a22oi_1 U84410 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[501]), .A2(n70211), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[85]), .B2(n70246), .Y(n65373) );
+  sky130_fd_sc_hd__a22oi_1 U84411 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[629]), .A2(n70213), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[277]), .B2(n70245), .Y(n65372) );
+  sky130_fd_sc_hd__nand4_1 U84412 ( .A(n65375), .B(n65374), .C(n65373), .D(
+        n65372), .Y(n65381) );
+  sky130_fd_sc_hd__a22oi_1 U84413 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[213]), .A2(n69952), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[181]), .B2(n70229), .Y(n65379) );
+  sky130_fd_sc_hd__a22oi_1 U84414 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[789]), .A2(n70234), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[661]), .B2(n70212), .Y(n65378) );
+  sky130_fd_sc_hd__a22oi_1 U84415 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[309]), .A2(n70230), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[373]), .B2(n70222), .Y(n65377) );
+  sky130_fd_sc_hd__a22oi_1 U84416 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[885]), .A2(n64638), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[341]), .B2(n70214), .Y(n65376) );
+  sky130_fd_sc_hd__nand4_1 U84417 ( .A(n65379), .B(n65378), .C(n65377), .D(
+        n65376), .Y(n65380) );
+  sky130_fd_sc_hd__nor4_1 U84418 ( .A(n65383), .B(n65382), .C(n65381), .D(
+        n65380), .Y(n65385) );
+  sky130_fd_sc_hd__nand2_1 U84419 ( .A(n65334), .B(n85551), .Y(n65384) );
+  sky130_fd_sc_hd__o21ai_1 U84420 ( .A1(n65334), .A2(n65385), .B1(n65384), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[21]) );
+  sky130_fd_sc_hd__a21oi_1 U84421 ( .A1(n65414), .A2(n65388), .B1(n65387), .Y(
+        n65403) );
+  sky130_fd_sc_hd__nand2_1 U84422 ( .A(n65389), .B(n65402), .Y(n65390) );
+  sky130_fd_sc_hd__xor2_1 U84423 ( .A(n65403), .B(n65390), .X(n69701) );
+  sky130_fd_sc_hd__nand2_1 U84424 ( .A(n65393), .B(n65392), .Y(n65398) );
+  sky130_fd_sc_hd__o21ai_1 U84425 ( .A1(n65396), .A2(n65395), .B1(n65394), .Y(
+        n65397) );
+  sky130_fd_sc_hd__xnor2_1 U84426 ( .A(n65398), .B(n65397), .Y(n65399) );
+  sky130_fd_sc_hd__a222oi_1 U84427 ( .A1(n69745), .A2(n69701), .B1(n69743), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[37]), .C1(n65399), .C2(n69796), .Y(n65400) );
+  sky130_fd_sc_hd__inv_1 U84428 ( .A(n65400), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N145) );
+  sky130_fd_sc_hd__nand2_1 U84429 ( .A(n38372), .B(n65401), .Y(n65406) );
+  sky130_fd_sc_hd__o21ai_1 U84430 ( .A1(n65404), .A2(n65403), .B1(n65402), .Y(
+        n65405) );
+  sky130_fd_sc_hd__xnor2_1 U84431 ( .A(n65406), .B(n65405), .Y(n69700) );
+  sky130_fd_sc_hd__inv_1 U84432 ( .A(n65407), .Y(n65517) );
+  sky130_fd_sc_hd__nand2_1 U84433 ( .A(n65408), .B(n65420), .Y(n65409) );
+  sky130_fd_sc_hd__xor2_1 U84434 ( .A(n65517), .B(n65409), .X(n65410) );
+  sky130_fd_sc_hd__a222oi_1 U84435 ( .A1(n69745), .A2(n69700), .B1(n69743), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[38]), .C1(n65410), .C2(n69932), .Y(n65411) );
+  sky130_fd_sc_hd__a21oi_1 U84436 ( .A1(n65414), .A2(n65413), .B1(n65412), .Y(
+        n65428) );
+  sky130_fd_sc_hd__nand2_1 U84437 ( .A(n65415), .B(n65427), .Y(n65416) );
+  sky130_fd_sc_hd__xor2_1 U84438 ( .A(n65428), .B(n65416), .X(n69695) );
+  sky130_fd_sc_hd__nand2_1 U84439 ( .A(n65419), .B(n65418), .Y(n65423) );
+  sky130_fd_sc_hd__o21ai_1 U84440 ( .A1(n65421), .A2(n65517), .B1(n65420), .Y(
+        n65422) );
+  sky130_fd_sc_hd__xnor2_1 U84441 ( .A(n65423), .B(n65422), .Y(n65424) );
+  sky130_fd_sc_hd__a222oi_1 U84442 ( .A1(n69745), .A2(n69695), .B1(n69743), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[39]), .C1(n65424), .C2(n69796), .Y(n65425) );
+  sky130_fd_sc_hd__inv_1 U84443 ( .A(n65425), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N147) );
+  sky130_fd_sc_hd__nand2_1 U84444 ( .A(n65439), .B(n65426), .Y(n65430) );
+  sky130_fd_sc_hd__o21ai_1 U84445 ( .A1(n65429), .A2(n65428), .B1(n65427), .Y(
+        n65440) );
+  sky130_fd_sc_hd__xnor2_1 U84446 ( .A(n65430), .B(n65440), .Y(n69692) );
+  sky130_fd_sc_hd__nand2_1 U84447 ( .A(n65450), .B(n65448), .Y(n65435) );
+  sky130_fd_sc_hd__o21ai_1 U84448 ( .A1(n65433), .A2(n65517), .B1(n65432), .Y(
+        n65434) );
+  sky130_fd_sc_hd__xnor2_1 U84449 ( .A(n65435), .B(n65434), .Y(n65436) );
+  sky130_fd_sc_hd__a222oi_1 U84450 ( .A1(n69745), .A2(n69692), .B1(n69743), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[40]), .C1(n65436), .C2(n69796), .Y(n65437) );
+  sky130_fd_sc_hd__inv_1 U84451 ( .A(n65437), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N148) );
+  sky130_fd_sc_hd__a21oi_1 U84452 ( .A1(n65440), .A2(n65439), .B1(n65438), .Y(
+        n65443) );
+  sky130_fd_sc_hd__nand2_1 U84453 ( .A(n38411), .B(n65441), .Y(n65442) );
+  sky130_fd_sc_hd__xor2_1 U84454 ( .A(n65443), .B(n65442), .X(n69689) );
+  sky130_fd_sc_hd__nand2_1 U84455 ( .A(n65446), .B(n65445), .Y(n65455) );
+  sky130_fd_sc_hd__nand2_1 U84456 ( .A(n65447), .B(n65450), .Y(n65453) );
+  sky130_fd_sc_hd__a21oi_1 U84457 ( .A1(n65451), .A2(n65450), .B1(n65449), .Y(
+        n65452) );
+  sky130_fd_sc_hd__xnor2_1 U84459 ( .A(n65455), .B(n65454), .Y(n65456) );
+  sky130_fd_sc_hd__a222oi_1 U84460 ( .A1(n69745), .A2(n69689), .B1(n69743), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[41]), .C1(n65456), .C2(n69796), .Y(n65457) );
+  sky130_fd_sc_hd__inv_1 U84461 ( .A(n65457), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N149) );
+  sky130_fd_sc_hd__nand2_1 U84462 ( .A(n65459), .B(n65467), .Y(n65460) );
+  sky130_fd_sc_hd__xor2_1 U84463 ( .A(n65715), .B(n65460), .X(n69686) );
+  sky130_fd_sc_hd__nand2_1 U84464 ( .A(n65477), .B(n65475), .Y(n65463) );
+  sky130_fd_sc_hd__o21ai_1 U84465 ( .A1(n65473), .A2(n65517), .B1(n65474), .Y(
+        n65462) );
+  sky130_fd_sc_hd__xnor2_1 U84466 ( .A(n65463), .B(n65462), .Y(n65464) );
+  sky130_fd_sc_hd__a222oi_1 U84467 ( .A1(n69745), .A2(n69686), .B1(n69743), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[42]), .C1(n65464), .C2(n69796), .Y(n65465) );
+  sky130_fd_sc_hd__nand2_1 U84469 ( .A(n65485), .B(n65466), .Y(n65469) );
+  sky130_fd_sc_hd__o21ai_1 U84470 ( .A1(n65468), .A2(n65715), .B1(n65467), .Y(
+        n65486) );
+  sky130_fd_sc_hd__xnor2_1 U84471 ( .A(n65469), .B(n65486), .Y(n69683) );
+  sky130_fd_sc_hd__nand2_1 U84472 ( .A(n65472), .B(n65471), .Y(n65481) );
+  sky130_fd_sc_hd__nand2_1 U84473 ( .A(n65508), .B(n65477), .Y(n65479) );
+  sky130_fd_sc_hd__inv_1 U84474 ( .A(n65474), .Y(n65515) );
+  sky130_fd_sc_hd__a21oi_1 U84475 ( .A1(n65515), .A2(n65477), .B1(n65476), .Y(
+        n65478) );
+  sky130_fd_sc_hd__o21ai_1 U84476 ( .A1(n65479), .A2(n65517), .B1(n65478), .Y(
+        n65480) );
+  sky130_fd_sc_hd__xnor2_1 U84477 ( .A(n65481), .B(n65480), .Y(n65482) );
+  sky130_fd_sc_hd__a222oi_1 U84478 ( .A1(n69745), .A2(n69683), .B1(n69743), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[43]), .C1(n65482), .C2(n69796), .Y(n65483) );
+  sky130_fd_sc_hd__inv_1 U84479 ( .A(n65483), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N151) );
+  sky130_fd_sc_hd__a21oi_1 U84480 ( .A1(n65486), .A2(n65485), .B1(n65484), .Y(
+        n65489) );
+  sky130_fd_sc_hd__nand2_1 U84481 ( .A(n38407), .B(n65487), .Y(n65488) );
+  sky130_fd_sc_hd__xor2_1 U84482 ( .A(n65489), .B(n65488), .X(n69680) );
+  sky130_fd_sc_hd__nand2_1 U84483 ( .A(n65490), .B(n65510), .Y(n65494) );
+  sky130_fd_sc_hd__nand2_1 U84484 ( .A(n65508), .B(n65506), .Y(n65492) );
+  sky130_fd_sc_hd__a21oi_1 U84485 ( .A1(n65515), .A2(n65506), .B1(n65509), .Y(
+        n65491) );
+  sky130_fd_sc_hd__o21ai_1 U84486 ( .A1(n65492), .A2(n65517), .B1(n65491), .Y(
+        n65493) );
+  sky130_fd_sc_hd__xnor2_1 U84487 ( .A(n65494), .B(n65493), .Y(n65495) );
+  sky130_fd_sc_hd__a222oi_1 U84488 ( .A1(n69745), .A2(n69680), .B1(n69743), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[44]), .C1(n65495), .C2(n69796), .Y(n65496) );
+  sky130_fd_sc_hd__inv_1 U84489 ( .A(n65496), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N152) );
+  sky130_fd_sc_hd__nand2_1 U84490 ( .A(n65690), .B(n65688), .Y(n65502) );
+  sky130_fd_sc_hd__o21ai_1 U84491 ( .A1(n65501), .A2(n65715), .B1(n65500), .Y(
+        n65691) );
+  sky130_fd_sc_hd__xnor2_1 U84492 ( .A(n65502), .B(n65691), .Y(n69677) );
+  sky130_fd_sc_hd__nand2_1 U84493 ( .A(n65505), .B(n65504), .Y(n65520) );
+  sky130_fd_sc_hd__nor2_1 U84495 ( .A(n65512), .B(n65507), .Y(n65514) );
+  sky130_fd_sc_hd__nand2_1 U84496 ( .A(n65514), .B(n65508), .Y(n65518) );
+  sky130_fd_sc_hd__o21ai_1 U84497 ( .A1(n65512), .A2(n65511), .B1(n65510), .Y(
+        n65513) );
+  sky130_fd_sc_hd__a21oi_1 U84498 ( .A1(n65515), .A2(n65514), .B1(n65513), .Y(
+        n65516) );
+  sky130_fd_sc_hd__xnor2_1 U84500 ( .A(n65520), .B(n65519), .Y(n65521) );
+  sky130_fd_sc_hd__a222oi_1 U84501 ( .A1(n69745), .A2(n69677), .B1(n69743), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[45]), .C1(n65521), .C2(n69796), .Y(n65522) );
+  sky130_fd_sc_hd__inv_1 U84502 ( .A(n65522), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N153) );
+  sky130_fd_sc_hd__a22oi_1 U84503 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[526]), .A2(n70221), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[590]), .B2(n70236), .Y(n65526) );
+  sky130_fd_sc_hd__a22oi_1 U84504 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[750]), .A2(n64330), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[46]), .B2(n70231), .Y(n65525) );
+  sky130_fd_sc_hd__a22oi_1 U84505 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[270]), .A2(n70245), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[366]), .B2(n70222), .Y(n65524) );
+  sky130_fd_sc_hd__nand2_1 U84506 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[686]), .B(n70224), .Y(n65523) );
+  sky130_fd_sc_hd__nand4_1 U84507 ( .A(n65526), .B(n65525), .C(n65524), .D(
+        n65523), .Y(n65542) );
+  sky130_fd_sc_hd__a22oi_1 U84508 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[78]), .A2(n70246), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[942]), .B2(n64326), .Y(n65530) );
+  sky130_fd_sc_hd__a22oi_1 U84509 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[718]), .A2(n70216), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[782]), .B2(n70234), .Y(n65529) );
+  sky130_fd_sc_hd__a22oi_1 U84510 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[622]), .A2(n70213), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[910]), .B2(n64325), .Y(n65528) );
+  sky130_fd_sc_hd__a22oi_1 U84511 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[206]), .A2(n69952), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[302]), .B2(n70230), .Y(n65527) );
+  sky130_fd_sc_hd__nand4_1 U84512 ( .A(n65530), .B(n65529), .C(n65528), .D(
+        n65527), .Y(n65541) );
+  sky130_fd_sc_hd__a22oi_1 U84513 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[846]), .A2(n70238), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[174]), .B2(n70229), .Y(n65534) );
+  sky130_fd_sc_hd__a22oi_1 U84514 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[142]), .A2(n70150), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[430]), .B2(n70243), .Y(n65533) );
+  sky130_fd_sc_hd__a22oi_1 U84515 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[878]), .A2(n64638), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[110]), .B2(n68781), .Y(n65532) );
+  sky130_fd_sc_hd__a22oi_1 U84516 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[398]), .A2(n70237), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[238]), .B2(n70152), .Y(n65531) );
+  sky130_fd_sc_hd__nand4_1 U84517 ( .A(n65534), .B(n65533), .C(n65532), .D(
+        n65531), .Y(n65540) );
+  sky130_fd_sc_hd__a22oi_1 U84518 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[974]), .A2(n70244), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[814]), .B2(n64319), .Y(n65538) );
+  sky130_fd_sc_hd__a22oi_1 U84519 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[462]), .A2(n70235), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[494]), .B2(n70211), .Y(n65537) );
+  sky130_fd_sc_hd__a22oi_1 U84520 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[558]), .A2(n70215), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[14]), .B2(n70223), .Y(n65536) );
+  sky130_fd_sc_hd__a22oi_1 U84521 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[334]), .A2(n70214), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[654]), .B2(n70212), .Y(n65535) );
+  sky130_fd_sc_hd__nand4_1 U84522 ( .A(n65538), .B(n65537), .C(n65536), .D(
+        n65535), .Y(n65539) );
+  sky130_fd_sc_hd__nor4_1 U84523 ( .A(n65542), .B(n65541), .C(n65540), .D(
+        n65539), .Y(n65544) );
+  sky130_fd_sc_hd__nand2_1 U84524 ( .A(n65334), .B(n85557), .Y(n65543) );
+  sky130_fd_sc_hd__nand2_1 U84526 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .Y(n65545) );
+  sky130_fd_sc_hd__o21ai_1 U84527 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .A2(n72409), .B1(n65545), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N294) );
+  sky130_fd_sc_hd__nand2_1 U84528 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[14]), .B(n76239), .Y(n65546) );
+  sky130_fd_sc_hd__o21ai_1 U84529 ( .A1(n76239), .A2(n72409), .B1(n65546), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N213) );
+  sky130_fd_sc_hd__nand2_1 U84530 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[14]), .B(n76241), .Y(n65547) );
+  sky130_fd_sc_hd__nand2_1 U84532 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .Y(n65548) );
+  sky130_fd_sc_hd__a22oi_1 U84534 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[787]), .A2(n70234), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[307]), .B2(n70230), .Y(n65552) );
+  sky130_fd_sc_hd__a22oi_1 U84535 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[531]), .A2(n70221), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[563]), .B2(n70215), .Y(n65551) );
+  sky130_fd_sc_hd__a22oi_1 U84536 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[19]), .A2(n70223), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[691]), .B2(n70224), .Y(n65550) );
+  sky130_fd_sc_hd__a22oi_1 U84537 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[819]), .A2(n64319), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[371]), .B2(n70222), .Y(n65549) );
+  sky130_fd_sc_hd__nand4_1 U84538 ( .A(n65552), .B(n65551), .C(n65550), .D(
+        n65549), .Y(n65568) );
+  sky130_fd_sc_hd__a22oi_1 U84539 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[659]), .A2(n70212), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[851]), .B2(n70238), .Y(n65556) );
+  sky130_fd_sc_hd__a22oi_1 U84540 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[83]), .A2(n70246), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[275]), .B2(n70245), .Y(n65555) );
+  sky130_fd_sc_hd__a22oi_1 U84541 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[723]), .A2(n70216), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[595]), .B2(n70236), .Y(n65554) );
+  sky130_fd_sc_hd__a22oi_1 U84542 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[403]), .A2(n70237), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[499]), .B2(n70211), .Y(n65553) );
+  sky130_fd_sc_hd__nand4_1 U84543 ( .A(n65556), .B(n65555), .C(n65554), .D(
+        n65553), .Y(n65567) );
+  sky130_fd_sc_hd__a22oi_1 U84544 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[883]), .A2(n64638), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[627]), .B2(n70213), .Y(n65560) );
+  sky130_fd_sc_hd__a22oi_1 U84545 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[915]), .A2(n64325), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[179]), .B2(n70229), .Y(n65559) );
+  sky130_fd_sc_hd__a22oi_1 U84546 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[467]), .A2(n70235), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[755]), .B2(n64330), .Y(n65558) );
+  sky130_fd_sc_hd__nand2_1 U84547 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[243]), .B(n70152), .Y(n65557) );
+  sky130_fd_sc_hd__nand4_1 U84548 ( .A(n65560), .B(n65559), .C(n65558), .D(
+        n65557), .Y(n65566) );
+  sky130_fd_sc_hd__a22oi_1 U84549 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[947]), .A2(n64326), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[147]), .B2(n70150), .Y(n65564) );
+  sky130_fd_sc_hd__a22oi_1 U84550 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[339]), .A2(n70214), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[211]), .B2(n69952), .Y(n65563) );
+  sky130_fd_sc_hd__a22oi_1 U84551 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[435]), .A2(n70243), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[51]), .B2(n70231), .Y(n65562) );
+  sky130_fd_sc_hd__a22oi_1 U84552 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[115]), .A2(n68781), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[979]), .B2(n70244), .Y(n65561) );
+  sky130_fd_sc_hd__nand4_1 U84553 ( .A(n65564), .B(n65563), .C(n65562), .D(
+        n65561), .Y(n65565) );
+  sky130_fd_sc_hd__nor4_1 U84554 ( .A(n65568), .B(n65567), .C(n65566), .D(
+        n65565), .Y(n65570) );
+  sky130_fd_sc_hd__nand2_1 U84555 ( .A(n65334), .B(n85556), .Y(n65569) );
+  sky130_fd_sc_hd__o21ai_1 U84556 ( .A1(n65334), .A2(n65570), .B1(n65569), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[19]) );
+  sky130_fd_sc_hd__nand2b_1 U84557 ( .A_N(n65571), .B(n72140), .Y(n65574) );
+  sky130_fd_sc_hd__nand2_1 U84558 ( .A(n65572), .B(n65584), .Y(n65573) );
+  sky130_fd_sc_hd__nand2_1 U84559 ( .A(n65574), .B(n65573), .Y(n66038) );
+  sky130_fd_sc_hd__o21ai_1 U84560 ( .A1(n66037), .A2(n65575), .B1(n66047), .Y(
+        n69497) );
+  sky130_fd_sc_hd__o21ai_0 U84561 ( .A1(n69434), .A2(n69497), .B1(n66502), .Y(
+        n69425) );
+  sky130_fd_sc_hd__a22oi_1 U84562 ( .A1(n68452), .A2(n70276), .B1(n70284), 
+        .B2(n68453), .Y(n65578) );
+  sky130_fd_sc_hd__a22oi_1 U84563 ( .A1(n65576), .A2(n70272), .B1(n70273), 
+        .B2(n66041), .Y(n65577) );
+  sky130_fd_sc_hd__nand2_1 U84564 ( .A(n65578), .B(n65577), .Y(n69495) );
+  sky130_fd_sc_hd__o22ai_1 U84565 ( .A1(n66607), .A2(n68520), .B1(n69484), 
+        .B2(n68519), .Y(n65581) );
+  sky130_fd_sc_hd__o22ai_1 U84566 ( .A1(n65945), .A2(n69486), .B1(n65579), 
+        .B2(n69489), .Y(n65580) );
+  sky130_fd_sc_hd__nor2_1 U84567 ( .A(n65581), .B(n65580), .Y(n69493) );
+  sky130_fd_sc_hd__a222oi_1 U84568 ( .A1(n69425), .A2(n70270), .B1(n69495), 
+        .B2(n68599), .C1(n65582), .C2(n68524), .Y(n70190) );
+  sky130_fd_sc_hd__a21oi_1 U84569 ( .A1(n72547), .A2(n65584), .B1(n65583), .Y(
+        n66048) );
+  sky130_fd_sc_hd__a222oi_1 U84570 ( .A1(n66042), .A2(n70284), .B1(n66044), 
+        .B2(n70276), .C1(n66037), .C2(n66048), .Y(n69435) );
+  sky130_fd_sc_hd__a22oi_1 U84571 ( .A1(n66258), .A2(n70276), .B1(n70284), 
+        .B2(n66256), .Y(n65586) );
+  sky130_fd_sc_hd__a22oi_1 U84572 ( .A1(n66043), .A2(n70272), .B1(n70273), 
+        .B2(n66257), .Y(n65585) );
+  sky130_fd_sc_hd__nand2_1 U84573 ( .A(n65586), .B(n65585), .Y(n69436) );
+  sky130_fd_sc_hd__a21oi_1 U84574 ( .A1(n69436), .A2(n68524), .B1(n69481), .Y(
+        n65587) );
+  sky130_fd_sc_hd__o21ai_1 U84575 ( .A1(n70295), .A2(n69435), .B1(n65587), .Y(
+        n70183) );
+  sky130_fd_sc_hd__xnor2_1 U84576 ( .A(n65589), .B(n65588), .Y(n72545) );
+  sky130_fd_sc_hd__or3_1 U84577 ( .A(n72141), .B(n65590), .C(n66050), .X(
+        n65591) );
+  sky130_fd_sc_hd__a21oi_1 U84579 ( .A1(n70183), .A2(n70309), .B1(n65592), .Y(
+        n65601) );
+  sky130_fd_sc_hd__a21oi_1 U84580 ( .A1(n65595), .A2(n65594), .B1(n65593), .Y(
+        n65599) );
+  sky130_fd_sc_hd__nand2_1 U84581 ( .A(n65597), .B(n65596), .Y(n65598) );
+  sky130_fd_sc_hd__xor2_1 U84582 ( .A(n65599), .B(n65598), .X(n74142) );
+  sky130_fd_sc_hd__nand2_1 U84583 ( .A(n74142), .B(n70187), .Y(n65600) );
+  sky130_fd_sc_hd__o211ai_1 U84584 ( .A1(n70190), .A2(n70307), .B1(n65601), 
+        .C1(n65600), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[19]) );
+  sky130_fd_sc_hd__nand2_1 U84585 ( .A(n59913), .B(n65602), .Y(n78488) );
+  sky130_fd_sc_hd__nor2_1 U84586 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_cause[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_cause[0]), .Y(n65604) );
+  sky130_fd_sc_hd__nand3_1 U84587 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_cause[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_cause[3]), .C(n65604), .Y(n65603) );
+  sky130_fd_sc_hd__o31ai_1 U84588 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_cause[2]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_cause[3]), .A3(n65604), .B1(n65603), .Y(n65608) );
+  sky130_fd_sc_hd__a21oi_1 U84589 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_cause[31]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_xcpt), .B1(n65605), .Y(n65606) );
+  sky130_fd_sc_hd__o21ai_1 U84590 ( .A1(n65608), .A2(n65607), .B1(n65606), .Y(
+        n65639) );
+  sky130_fd_sc_hd__a22oi_1 U84591 ( .A1(n85552), .A2(n75874), .B1(n38422), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[19]), .Y(n65610) );
+  sky130_fd_sc_hd__o21ai_1 U84592 ( .A1(n65611), .A2(n72156), .B1(n65610), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1162) );
+  sky130_fd_sc_hd__nor2_1 U84593 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_cfg_l), .B(n65969), .Y(n86495) );
+  sky130_fd_sc_hd__nand2_1 U84594 ( .A(n65612), .B(n86752), .Y(n36573) );
+  sky130_fd_sc_hd__nand2_1 U84595 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[19]), .B(n37259), .Y(n65613) );
+  sky130_fd_sc_hd__o21ai_1 U84596 ( .A1(n37259), .A2(n72242), .B1(n65613), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N299) );
+  sky130_fd_sc_hd__nand2_1 U84597 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[19]), .B(n76239), .Y(n65614) );
+  sky130_fd_sc_hd__o21ai_1 U84598 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n72242), .B1(n65614), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N218) );
+  sky130_fd_sc_hd__nand2_1 U84599 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[19]), .B(n76241), .Y(n65615) );
+  sky130_fd_sc_hd__o21ai_1 U84600 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n72242), .B1(n65615), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N137) );
+  sky130_fd_sc_hd__nand2_1 U84601 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[19]), .B(n73340), .Y(n65616) );
+  sky130_fd_sc_hd__o21ai_1 U84602 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .A2(n72242), .B1(n65616), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N56) );
+  sky130_fd_sc_hd__a222oi_1 U84603 ( .A1(n85552), .A2(n64978), .B1(n73183), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[19]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[19]), .C2(n73182), .Y(n65617) );
+  sky130_fd_sc_hd__a222oi_1 U84604 ( .A1(n73185), .A2(n85552), .B1(n78500), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[19]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[19]), .C2(n78499), .Y(n65618) );
+  sky130_fd_sc_hd__ha_1 U84605 ( .A(n65619), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[51]), .COUT(n69042), .SUM(n65620) );
+  sky130_fd_sc_hd__a222oi_1 U84606 ( .A1(n70408), .A2(n85552), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[51]), .C1(n65620), .C2(n70471), .Y(n65621) );
+  sky130_fd_sc_hd__nor2_1 U84607 ( .A(n65623), .B(n65622), .Y(n65624) );
+  sky130_fd_sc_hd__xnor2_1 U84608 ( .A(n65625), .B(n65624), .Y(n65626) );
+  sky130_fd_sc_hd__a22oi_1 U84609 ( .A1(n70471), .A2(n65626), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[19]), .Y(n65627) );
+  sky130_fd_sc_hd__o21ai_0 U84610 ( .A1(n36581), .A2(n72215), .B1(n65627), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1523) );
+  sky130_fd_sc_hd__ha_1 U84611 ( .A(n65628), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[51]), .COUT(n69051), .SUM(n65629) );
+  sky130_fd_sc_hd__a222oi_1 U84612 ( .A1(n70337), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[51]), .B1(n70336), .B2(n85552), .C1(n65629), .C2(n70335), .Y(n65630) );
+  sky130_fd_sc_hd__nor2_1 U84613 ( .A(n65632), .B(n65631), .Y(n65633) );
+  sky130_fd_sc_hd__xnor2_1 U84614 ( .A(n65634), .B(n65633), .Y(n65635) );
+  sky130_fd_sc_hd__a22oi_1 U84615 ( .A1(n70374), .A2(n65635), .B1(n70369), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[19]), .Y(n65636) );
+  sky130_fd_sc_hd__o21ai_1 U84616 ( .A1(n36576), .A2(n72215), .B1(n65636), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1260) );
+  sky130_fd_sc_hd__nand2b_1 U84617 ( .A_N(n83821), .B(n65637), .Y(n78522) );
+  sky130_fd_sc_hd__a222oi_1 U84618 ( .A1(n85552), .A2(n75878), .B1(n37199), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[19]), .C1(n78520), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[19]), .Y(n65640) );
+  sky130_fd_sc_hd__nand2_1 U84619 ( .A(n66329), .B(n65641), .Y(n65649) );
+  sky130_fd_sc_hd__nor2_1 U84620 ( .A(n69920), .B(n69918), .Y(n65642) );
+  sky130_fd_sc_hd__nor2_1 U84621 ( .A(n66198), .B(n66197), .Y(n66204) );
+  sky130_fd_sc_hd__nand2_1 U84622 ( .A(n65642), .B(n66204), .Y(n66646) );
+  sky130_fd_sc_hd__nor2_1 U84623 ( .A(n66648), .B(n69928), .Y(n66655) );
+  sky130_fd_sc_hd__nor2_1 U84624 ( .A(n69595), .B(n69593), .Y(n65643) );
+  sky130_fd_sc_hd__nand2_1 U84625 ( .A(n66655), .B(n65643), .Y(n65644) );
+  sky130_fd_sc_hd__nor2_1 U84626 ( .A(n66646), .B(n65644), .Y(n65987) );
+  sky130_fd_sc_hd__nor2_1 U84627 ( .A(n66185), .B(n66550), .Y(n66302) );
+  sky130_fd_sc_hd__nor2_1 U84628 ( .A(n66313), .B(n66310), .Y(n65645) );
+  sky130_fd_sc_hd__nand2_1 U84629 ( .A(n66302), .B(n65645), .Y(n65647) );
+  sky130_fd_sc_hd__nor2_1 U84630 ( .A(n65995), .B(n65993), .Y(n66002) );
+  sky130_fd_sc_hd__nor2_1 U84631 ( .A(n66542), .B(n66539), .Y(n65646) );
+  sky130_fd_sc_hd__nand2_1 U84632 ( .A(n66002), .B(n65646), .Y(n66183) );
+  sky130_fd_sc_hd__nor2_1 U84633 ( .A(n65647), .B(n66183), .Y(n65648) );
+  sky130_fd_sc_hd__nand2_1 U84634 ( .A(n65987), .B(n65648), .Y(n66321) );
+  sky130_fd_sc_hd__nor2_1 U84635 ( .A(n65649), .B(n66321), .Y(n66212) );
+  sky130_fd_sc_hd__nand2_1 U84636 ( .A(n65661), .B(n69929), .Y(n65674) );
+  sky130_fd_sc_hd__a22oi_1 U84637 ( .A1(n69931), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[27]), .B1(n69934), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[28]), .Y(n65673) );
+  sky130_fd_sc_hd__nand2_1 U84638 ( .A(n65666), .B(n65665), .Y(n65668) );
+  sky130_fd_sc_hd__xor2_1 U84639 ( .A(n65668), .B(n65667), .X(n65669) );
+  sky130_fd_sc_hd__nand2_1 U84640 ( .A(n65669), .B(n69796), .Y(n65672) );
+  sky130_fd_sc_hd__nand2_1 U84641 ( .A(n65670), .B(n86393), .Y(n65671) );
+  sky130_fd_sc_hd__nand4_1 U84642 ( .A(n65674), .B(n65673), .C(n65672), .D(
+        n65671), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N134) );
+  sky130_fd_sc_hd__ha_1 U84643 ( .A(n65676), .B(n65675), .COUT(n69772), .SUM(
+        n65661) );
+  sky130_fd_sc_hd__nand2_1 U84644 ( .A(n65678), .B(n69929), .Y(n65687) );
+  sky130_fd_sc_hd__a22oi_1 U84645 ( .A1(n69931), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[28]), .B1(n69934), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[29]), .Y(n65686) );
+  sky130_fd_sc_hd__nand2_1 U84646 ( .A(n38487), .B(n65679), .Y(n65680) );
+  sky130_fd_sc_hd__xnor2_1 U84647 ( .A(n65681), .B(n65680), .Y(n65682) );
+  sky130_fd_sc_hd__nand2_1 U84648 ( .A(n65682), .B(n69796), .Y(n65685) );
+  sky130_fd_sc_hd__nand2_1 U84649 ( .A(n65683), .B(n86393), .Y(n65684) );
+  sky130_fd_sc_hd__nand4_1 U84650 ( .A(n65687), .B(n65686), .C(n65685), .D(
+        n65684), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N135) );
+  sky130_fd_sc_hd__a21oi_1 U84651 ( .A1(n65691), .A2(n65690), .B1(n65689), .Y(
+        n65700) );
+  sky130_fd_sc_hd__nand2_1 U84652 ( .A(n65692), .B(n65699), .Y(n65693) );
+  sky130_fd_sc_hd__xor2_1 U84653 ( .A(n65700), .B(n65693), .X(n69674) );
+  sky130_fd_sc_hd__nand2_1 U84654 ( .A(n65694), .B(n65707), .Y(n65695) );
+  sky130_fd_sc_hd__xor2_1 U84655 ( .A(n69740), .B(n65695), .X(n65696) );
+  sky130_fd_sc_hd__a222oi_1 U84656 ( .A1(n69745), .A2(n69674), .B1(n69743), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[46]), .C1(n65696), .C2(n69796), .Y(n65697) );
+  sky130_fd_sc_hd__inv_1 U84657 ( .A(n65697), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N154) );
+  sky130_fd_sc_hd__nand2_1 U84658 ( .A(n38408), .B(n65698), .Y(n65703) );
+  sky130_fd_sc_hd__o21ai_1 U84659 ( .A1(n65701), .A2(n65700), .B1(n65699), .Y(
+        n65702) );
+  sky130_fd_sc_hd__xnor2_1 U84660 ( .A(n65703), .B(n65702), .Y(n69671) );
+  sky130_fd_sc_hd__nand2_1 U84661 ( .A(n65706), .B(n65705), .Y(n65710) );
+  sky130_fd_sc_hd__o21ai_1 U84662 ( .A1(n65708), .A2(n69740), .B1(n65707), .Y(
+        n65709) );
+  sky130_fd_sc_hd__xnor2_1 U84663 ( .A(n65710), .B(n65709), .Y(n65711) );
+  sky130_fd_sc_hd__a222oi_1 U84664 ( .A1(n69745), .A2(n69671), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[47]), .B2(n69743), .C1(n65711), .C2(n69796), .Y(n65712) );
+  sky130_fd_sc_hd__inv_1 U84665 ( .A(n65712), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N155) );
+  sky130_fd_sc_hd__nand2_1 U84666 ( .A(n65726), .B(n65713), .Y(n65717) );
+  sky130_fd_sc_hd__o21ai_1 U84667 ( .A1(n65716), .A2(n65715), .B1(n65714), .Y(
+        n65727) );
+  sky130_fd_sc_hd__xnor2_1 U84668 ( .A(n65717), .B(n65727), .Y(n69668) );
+  sky130_fd_sc_hd__nand2_1 U84669 ( .A(n65738), .B(n65736), .Y(n65722) );
+  sky130_fd_sc_hd__o21ai_1 U84670 ( .A1(n65720), .A2(n69740), .B1(n65719), .Y(
+        n65721) );
+  sky130_fd_sc_hd__xnor2_1 U84671 ( .A(n65722), .B(n65721), .Y(n65723) );
+  sky130_fd_sc_hd__a222oi_1 U84672 ( .A1(n69745), .A2(n69668), .B1(n69743), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[48]), .C1(n65723), .C2(n69796), .Y(n65724) );
+  sky130_fd_sc_hd__inv_1 U84673 ( .A(n65724), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N156) );
+  sky130_fd_sc_hd__a21oi_1 U84674 ( .A1(n65727), .A2(n65726), .B1(n65725), .Y(
+        n65731) );
+  sky130_fd_sc_hd__nand2_1 U84675 ( .A(n65729), .B(n65728), .Y(n65730) );
+  sky130_fd_sc_hd__xor2_1 U84676 ( .A(n65731), .B(n65730), .X(n69665) );
+  sky130_fd_sc_hd__nand2_1 U84677 ( .A(n65734), .B(n65733), .Y(n65743) );
+  sky130_fd_sc_hd__nand2_1 U84678 ( .A(n65735), .B(n65738), .Y(n65741) );
+  sky130_fd_sc_hd__a21oi_1 U84679 ( .A1(n65739), .A2(n65738), .B1(n65737), .Y(
+        n65740) );
+  sky130_fd_sc_hd__o21ai_1 U84680 ( .A1(n65741), .A2(n69740), .B1(n65740), .Y(
+        n65742) );
+  sky130_fd_sc_hd__xnor2_1 U84681 ( .A(n65743), .B(n65742), .Y(n65744) );
+  sky130_fd_sc_hd__a222oi_1 U84682 ( .A1(n69745), .A2(n69665), .B1(n69743), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[49]), .C1(n65744), .C2(n69796), .Y(n65745) );
+  sky130_fd_sc_hd__inv_1 U84683 ( .A(n65745), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N157) );
+  sky130_fd_sc_hd__nand2_1 U84684 ( .A(n65748), .B(n65747), .Y(n65749) );
+  sky130_fd_sc_hd__xor2_1 U84685 ( .A(n65750), .B(n65749), .X(n69662) );
+  sky130_fd_sc_hd__nand2_1 U84686 ( .A(n65763), .B(n65762), .Y(n65752) );
+  sky130_fd_sc_hd__nand2_1 U84687 ( .A(n65754), .B(n65753), .Y(n65756) );
+  sky130_fd_sc_hd__xnor2_1 U84688 ( .A(n65756), .B(n65755), .Y(n69659) );
+  sky130_fd_sc_hd__nand2_1 U84689 ( .A(n65759), .B(n65758), .Y(n65767) );
+  sky130_fd_sc_hd__nand2_1 U84690 ( .A(n65791), .B(n65763), .Y(n65765) );
+  sky130_fd_sc_hd__inv_1 U84691 ( .A(n65761), .Y(n65798) );
+  sky130_fd_sc_hd__o21ai_1 U84692 ( .A1(n65765), .A2(n69740), .B1(n65764), .Y(
+        n65766) );
+  sky130_fd_sc_hd__xnor2_1 U84693 ( .A(n65767), .B(n65766), .Y(n65768) );
+  sky130_fd_sc_hd__a222oi_1 U84694 ( .A1(n69745), .A2(n69659), .B1(n69743), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[51]), .C1(n65768), .C2(n69932), .Y(n65769) );
+  sky130_fd_sc_hd__inv_1 U84695 ( .A(n65769), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N159) );
+  sky130_fd_sc_hd__nand2_1 U84696 ( .A(n65772), .B(n65771), .Y(n65773) );
+  sky130_fd_sc_hd__xor2_1 U84697 ( .A(n65774), .B(n65773), .X(n69656) );
+  sky130_fd_sc_hd__nand2_1 U84698 ( .A(n65775), .B(n65793), .Y(n65779) );
+  sky130_fd_sc_hd__nand2_1 U84699 ( .A(n65791), .B(n65789), .Y(n65777) );
+  sky130_fd_sc_hd__a21oi_1 U84700 ( .A1(n65798), .A2(n65789), .B1(n65792), .Y(
+        n65776) );
+  sky130_fd_sc_hd__o21ai_1 U84701 ( .A1(n65777), .A2(n69740), .B1(n65776), .Y(
+        n65778) );
+  sky130_fd_sc_hd__xnor2_1 U84702 ( .A(n65779), .B(n65778), .Y(n65780) );
+  sky130_fd_sc_hd__nand2_1 U84703 ( .A(n65783), .B(n65782), .Y(n65785) );
+  sky130_fd_sc_hd__xnor2_1 U84704 ( .A(n65785), .B(n65784), .Y(n69652) );
+  sky130_fd_sc_hd__nand2_1 U84705 ( .A(n65788), .B(n65787), .Y(n65802) );
+  sky130_fd_sc_hd__nor2_1 U84706 ( .A(n65795), .B(n65790), .Y(n65797) );
+  sky130_fd_sc_hd__nand2_1 U84707 ( .A(n65797), .B(n65791), .Y(n65800) );
+  sky130_fd_sc_hd__a21oi_1 U84709 ( .A1(n65798), .A2(n65797), .B1(n65796), .Y(
+        n65799) );
+  sky130_fd_sc_hd__o21ai_1 U84710 ( .A1(n65800), .A2(n69740), .B1(n65799), .Y(
+        n65801) );
+  sky130_fd_sc_hd__xnor2_1 U84711 ( .A(n65802), .B(n65801), .Y(n65803) );
+  sky130_fd_sc_hd__a222oi_1 U84712 ( .A1(n69745), .A2(n69652), .B1(n69743), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[53]), .C1(n65803), .C2(n69932), .Y(n65804) );
+  sky130_fd_sc_hd__inv_1 U84713 ( .A(n65804), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N161) );
+  sky130_fd_sc_hd__nand2_1 U84714 ( .A(n65807), .B(n65806), .Y(n65808) );
+  sky130_fd_sc_hd__xor2_1 U84715 ( .A(n65809), .B(n65808), .X(n69648) );
+  sky130_fd_sc_hd__nand2_1 U84716 ( .A(n65826), .B(n65824), .Y(n65814) );
+  sky130_fd_sc_hd__o21ai_1 U84717 ( .A1(n65812), .A2(n69740), .B1(n65811), .Y(
+        n65813) );
+  sky130_fd_sc_hd__xnor2_1 U84718 ( .A(n65814), .B(n65813), .Y(n65815) );
+  sky130_fd_sc_hd__a222oi_1 U84719 ( .A1(n69745), .A2(n69648), .B1(n69743), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[54]), .C1(n65815), .C2(n69932), .Y(n65816) );
+  sky130_fd_sc_hd__inv_1 U84720 ( .A(n65816), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N162) );
+  sky130_fd_sc_hd__nand2_1 U84721 ( .A(n65818), .B(n65817), .Y(n65820) );
+  sky130_fd_sc_hd__xnor2_1 U84722 ( .A(n65820), .B(n65819), .Y(n69644) );
+  sky130_fd_sc_hd__nand2_1 U84723 ( .A(n65823), .B(n65822), .Y(n65829) );
+  sky130_fd_sc_hd__nand2_1 U84724 ( .A(n69729), .B(n65826), .Y(n65828) );
+  sky130_fd_sc_hd__a21oi_1 U84725 ( .A1(n69738), .A2(n65826), .B1(n65825), .Y(
+        n65827) );
+  sky130_fd_sc_hd__nand2_1 U84726 ( .A(n65832), .B(n65831), .Y(n65833) );
+  sky130_fd_sc_hd__xor2_1 U84727 ( .A(n65834), .B(n65833), .X(n69640) );
+  sky130_fd_sc_hd__nand2_1 U84728 ( .A(n65835), .B(n65849), .Y(n65838) );
+  sky130_fd_sc_hd__nand2_1 U84729 ( .A(n69729), .B(n65846), .Y(n65837) );
+  sky130_fd_sc_hd__a21oi_1 U84730 ( .A1(n69738), .A2(n65846), .B1(n65848), .Y(
+        n65836) );
+  sky130_fd_sc_hd__nand2_1 U84731 ( .A(n65840), .B(n65839), .Y(n65842) );
+  sky130_fd_sc_hd__xnor2_1 U84732 ( .A(n65842), .B(n65841), .Y(n69636) );
+  sky130_fd_sc_hd__nand2_1 U84733 ( .A(n65845), .B(n65844), .Y(n65856) );
+  sky130_fd_sc_hd__nor2_1 U84734 ( .A(n65851), .B(n65847), .Y(n65853) );
+  sky130_fd_sc_hd__nand2_1 U84735 ( .A(n69729), .B(n65853), .Y(n65855) );
+  sky130_fd_sc_hd__o21ai_1 U84736 ( .A1(n65851), .A2(n65850), .B1(n65849), .Y(
+        n65852) );
+  sky130_fd_sc_hd__a21oi_1 U84737 ( .A1(n69738), .A2(n65853), .B1(n65852), .Y(
+        n65854) );
+  sky130_fd_sc_hd__nand2_1 U84738 ( .A(n65859), .B(n65858), .Y(n65860) );
+  sky130_fd_sc_hd__xor2_1 U84739 ( .A(n65861), .B(n65860), .X(n69632) );
+  sky130_fd_sc_hd__nand2_1 U84740 ( .A(n65862), .B(n65886), .Y(n65867) );
+  sky130_fd_sc_hd__nand2_1 U84741 ( .A(n69729), .B(n65864), .Y(n65866) );
+  sky130_fd_sc_hd__a21oi_1 U84742 ( .A1(n69738), .A2(n65864), .B1(n65863), .Y(
+        n65865) );
+  sky130_fd_sc_hd__nand2_1 U84743 ( .A(n65869), .B(n65868), .Y(n65871) );
+  sky130_fd_sc_hd__xnor2_1 U84744 ( .A(n65871), .B(n65870), .Y(n69628) );
+  sky130_fd_sc_hd__nand2_1 U84745 ( .A(n65872), .B(n65885), .Y(n65877) );
+  sky130_fd_sc_hd__nor2_1 U84746 ( .A(n65884), .B(n69728), .Y(n65874) );
+  sky130_fd_sc_hd__nand2_1 U84747 ( .A(n69729), .B(n65874), .Y(n65876) );
+  sky130_fd_sc_hd__o21ai_1 U84748 ( .A1(n65884), .A2(n69734), .B1(n65886), .Y(
+        n65873) );
+  sky130_fd_sc_hd__a21oi_1 U84749 ( .A1(n69738), .A2(n65874), .B1(n65873), .Y(
+        n65875) );
+  sky130_fd_sc_hd__nand2_1 U84750 ( .A(n65880), .B(n65879), .Y(n65881) );
+  sky130_fd_sc_hd__xor2_1 U84751 ( .A(n65882), .B(n65881), .X(n69624) );
+  sky130_fd_sc_hd__nand2_1 U84752 ( .A(n69731), .B(n65883), .Y(n65894) );
+  sky130_fd_sc_hd__nor2_1 U84753 ( .A(n65887), .B(n65884), .Y(n69727) );
+  sky130_fd_sc_hd__nor2_1 U84754 ( .A(n65889), .B(n69728), .Y(n65891) );
+  sky130_fd_sc_hd__nand2_1 U84755 ( .A(n69729), .B(n65891), .Y(n65893) );
+  sky130_fd_sc_hd__o21ai_1 U84757 ( .A1(n65889), .A2(n69734), .B1(n65888), .Y(
+        n65890) );
+  sky130_fd_sc_hd__a21oi_1 U84758 ( .A1(n69738), .A2(n65891), .B1(n65890), .Y(
+        n65892) );
+  sky130_fd_sc_hd__a22oi_1 U84759 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[56]), .A2(n70231), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[408]), .B2(n70237), .Y(n65898) );
+  sky130_fd_sc_hd__a22oi_1 U84760 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[344]), .A2(n70214), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[888]), .B2(n64638), .Y(n65897) );
+  sky130_fd_sc_hd__a22oi_1 U84761 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[280]), .A2(n70245), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[760]), .B2(n64330), .Y(n65896) );
+  sky130_fd_sc_hd__nand2_1 U84762 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[792]), .B(n70234), .Y(n65895) );
+  sky130_fd_sc_hd__nand4_1 U84763 ( .A(n65898), .B(n65897), .C(n65896), .D(
+        n65895), .Y(n65914) );
+  sky130_fd_sc_hd__a22oi_1 U84764 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[856]), .A2(n70238), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[952]), .B2(n64326), .Y(n65902) );
+  sky130_fd_sc_hd__a22oi_1 U84765 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[184]), .A2(n70229), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[568]), .B2(n70215), .Y(n65901) );
+  sky130_fd_sc_hd__a22oi_1 U84766 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[600]), .A2(n70236), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[632]), .B2(n70213), .Y(n65900) );
+  sky130_fd_sc_hd__a22oi_1 U84767 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[88]), .A2(n70246), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[376]), .B2(n70222), .Y(n65899) );
+  sky130_fd_sc_hd__nand4_1 U84768 ( .A(n65902), .B(n65901), .C(n65900), .D(
+        n65899), .Y(n65913) );
+  sky130_fd_sc_hd__a22oi_1 U84769 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[504]), .A2(n70211), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[440]), .B2(n70243), .Y(n65906) );
+  sky130_fd_sc_hd__a22oi_1 U84770 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[120]), .A2(n68781), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[920]), .B2(n64325), .Y(n65905) );
+  sky130_fd_sc_hd__a22oi_1 U84771 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[472]), .A2(n70235), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[536]), .B2(n70221), .Y(n65904) );
+  sky130_fd_sc_hd__a22oi_1 U84772 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[728]), .A2(n70216), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[664]), .B2(n70212), .Y(n65903) );
+  sky130_fd_sc_hd__nand4_1 U84773 ( .A(n65906), .B(n65905), .C(n65904), .D(
+        n65903), .Y(n65912) );
+  sky130_fd_sc_hd__a22oi_1 U84774 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[696]), .A2(n70224), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[24]), .B2(n70223), .Y(n65910) );
+  sky130_fd_sc_hd__a22oi_1 U84775 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[984]), .A2(n70244), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[824]), .B2(n64319), .Y(n65909) );
+  sky130_fd_sc_hd__a22oi_1 U84776 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[216]), .A2(n69952), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[248]), .B2(n70152), .Y(n65908) );
+  sky130_fd_sc_hd__a22oi_1 U84777 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[312]), .A2(n70230), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[152]), .B2(n70150), .Y(n65907) );
+  sky130_fd_sc_hd__nand4_1 U84778 ( .A(n65910), .B(n65909), .C(n65908), .D(
+        n65907), .Y(n65911) );
+  sky130_fd_sc_hd__nor4_1 U84779 ( .A(n65914), .B(n65913), .C(n65912), .D(
+        n65911), .Y(n65916) );
+  sky130_fd_sc_hd__nand2_1 U84780 ( .A(n65334), .B(n85553), .Y(n65915) );
+  sky130_fd_sc_hd__nand2_1 U84782 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .Y(n65917) );
+  sky130_fd_sc_hd__o21ai_1 U84783 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .A2(n72213), .B1(n65917), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N304) );
+  sky130_fd_sc_hd__nand2_1 U84784 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[24]), .B(n76239), .Y(n65918) );
+  sky130_fd_sc_hd__o21ai_1 U84785 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n72213), .B1(n65918), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N223) );
+  sky130_fd_sc_hd__nand2_1 U84786 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[24]), .B(n76241), .Y(n65919) );
+  sky130_fd_sc_hd__o21ai_1 U84787 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n72213), .B1(n65919), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N142) );
+  sky130_fd_sc_hd__nand2_1 U84788 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[24]), .B(n73340), .Y(n65920) );
+  sky130_fd_sc_hd__o21ai_1 U84789 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .A2(n72213), .B1(n65920), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N61) );
+  sky130_fd_sc_hd__a22oi_1 U84790 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[682]), .A2(n70224), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[554]), .B2(n70215), .Y(n65924) );
+  sky130_fd_sc_hd__a22oi_1 U84791 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[106]), .A2(n68781), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[10]), .B2(n70223), .Y(n65923) );
+  sky130_fd_sc_hd__a22oi_1 U84792 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[906]), .A2(n64325), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[74]), .B2(n70246), .Y(n65922) );
+  sky130_fd_sc_hd__a22oi_1 U84793 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[42]), .A2(n70231), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[586]), .B2(n70236), .Y(n65921) );
+  sky130_fd_sc_hd__nand4_1 U84794 ( .A(n65924), .B(n65923), .C(n65922), .D(
+        n65921), .Y(n65940) );
+  sky130_fd_sc_hd__a22oi_1 U84795 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[266]), .A2(n70245), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[938]), .B2(n64326), .Y(n65928) );
+  sky130_fd_sc_hd__a22oi_1 U84796 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[618]), .A2(n70213), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[426]), .B2(n70243), .Y(n65927) );
+  sky130_fd_sc_hd__a22oi_1 U84797 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[842]), .A2(n70238), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[330]), .B2(n70214), .Y(n65926) );
+  sky130_fd_sc_hd__a22oi_1 U84798 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[970]), .A2(n70244), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[522]), .B2(n70221), .Y(n65925) );
+  sky130_fd_sc_hd__nand4_1 U84799 ( .A(n65928), .B(n65927), .C(n65926), .D(
+        n65925), .Y(n65939) );
+  sky130_fd_sc_hd__a22oi_1 U84800 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[170]), .A2(n70229), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[714]), .B2(n70216), .Y(n65932) );
+  sky130_fd_sc_hd__a22oi_1 U84801 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[650]), .A2(n70212), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[298]), .B2(n70230), .Y(n65931) );
+  sky130_fd_sc_hd__a22oi_1 U84802 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[394]), .A2(n70237), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[490]), .B2(n70211), .Y(n65930) );
+  sky130_fd_sc_hd__nand2_1 U84803 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[874]), .B(n64638), .Y(n65929) );
+  sky130_fd_sc_hd__nand4_1 U84804 ( .A(n65932), .B(n65931), .C(n65930), .D(
+        n65929), .Y(n65938) );
+  sky130_fd_sc_hd__a22oi_1 U84805 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[746]), .A2(n64330), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[362]), .B2(n70222), .Y(n65936) );
+  sky130_fd_sc_hd__a22oi_1 U84806 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[458]), .A2(n70235), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[234]), .B2(n70152), .Y(n65935) );
+  sky130_fd_sc_hd__a22oi_1 U84807 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[810]), .A2(n64319), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[202]), .B2(n69952), .Y(n65934) );
+  sky130_fd_sc_hd__a22oi_1 U84808 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[778]), .A2(n70234), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[138]), .B2(n70150), .Y(n65933) );
+  sky130_fd_sc_hd__nand4_1 U84809 ( .A(n65936), .B(n65935), .C(n65934), .D(
+        n65933), .Y(n65937) );
+  sky130_fd_sc_hd__nor4_1 U84810 ( .A(n65940), .B(n65939), .C(n65938), .D(
+        n65937), .Y(n65942) );
+  sky130_fd_sc_hd__nand2_1 U84811 ( .A(n65334), .B(n85555), .Y(n65941) );
+  sky130_fd_sc_hd__o21ai_0 U84813 ( .A1(n69434), .A2(n65944), .B1(n66502), .Y(
+        n66601) );
+  sky130_fd_sc_hd__a22oi_1 U84814 ( .A1(n68520), .A2(n70276), .B1(n70284), 
+        .B2(n69487), .Y(n65947) );
+  sky130_fd_sc_hd__a22oi_1 U84815 ( .A1(n68519), .A2(n70273), .B1(n70272), 
+        .B2(n65945), .Y(n65946) );
+  sky130_fd_sc_hd__nand2_1 U84816 ( .A(n65947), .B(n65946), .Y(n69267) );
+  sky130_fd_sc_hd__o22ai_1 U84817 ( .A1(n70275), .A2(n69267), .B1(n70295), 
+        .B2(n65948), .Y(n65949) );
+  sky130_fd_sc_hd__a21oi_1 U84818 ( .A1(n66601), .A2(n70270), .B1(n65949), .Y(
+        n66491) );
+  sky130_fd_sc_hd__mux2i_1 U84819 ( .A0(n65951), .A1(n65950), .S(n69884), .Y(
+        n66612) );
+  sky130_fd_sc_hd__nand2_1 U84820 ( .A(n70268), .B(n70270), .Y(n68589) );
+  sky130_fd_sc_hd__a21oi_1 U84821 ( .A1(n66612), .A2(n70268), .B1(n66263), .Y(
+        n66481) );
+  sky130_fd_sc_hd__xnor2_1 U84822 ( .A(n65953), .B(n65952), .Y(n72556) );
+  sky130_fd_sc_hd__or3_1 U84823 ( .A(n66058), .B(n72141), .C(n65954), .X(
+        n65955) );
+  sky130_fd_sc_hd__o21ai_1 U84824 ( .A1(n70301), .A2(n72556), .B1(n65955), .Y(
+        n65956) );
+  sky130_fd_sc_hd__a21oi_1 U84825 ( .A1(n66481), .A2(n72149), .B1(n65956), .Y(
+        n65958) );
+  sky130_fd_sc_hd__nand2_1 U84826 ( .A(n76616), .B(n70187), .Y(n65957) );
+  sky130_fd_sc_hd__o211ai_1 U84827 ( .A1(n66491), .A2(n72147), .B1(n65958), 
+        .C1(n65957), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[10]) );
+  sky130_fd_sc_hd__nand2_1 U84828 ( .A(n85554), .B(n36801), .Y(n65986) );
+  sky130_fd_sc_hd__nand2_1 U84829 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[10]), .B(n37259), .Y(n65959) );
+  sky130_fd_sc_hd__o21ai_1 U84830 ( .A1(n37259), .A2(n65963), .B1(n65959), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N290) );
+  sky130_fd_sc_hd__nand2_1 U84831 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .Y(n65960) );
+  sky130_fd_sc_hd__o21ai_1 U84832 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n65963), .B1(n65960), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N209) );
+  sky130_fd_sc_hd__nand2_1 U84833 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[10]), .B(n76241), .Y(n65961) );
+  sky130_fd_sc_hd__o21ai_1 U84834 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n65963), .B1(n65961), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N128) );
+  sky130_fd_sc_hd__nand2_1 U84835 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .Y(n65962) );
+  sky130_fd_sc_hd__o21ai_1 U84836 ( .A1(n73340), .A2(n65963), .B1(n65962), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N47) );
+  sky130_fd_sc_hd__a222oi_1 U84837 ( .A1(n85554), .A2(n64978), .B1(n73183), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[10]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[10]), .C2(n73182), .Y(n65964) );
+  sky130_fd_sc_hd__a22oi_1 U84838 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[10]), .A2(n78526), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[10]), .B2(n78527), .Y(n65965) );
+  sky130_fd_sc_hd__o21ai_1 U84839 ( .A1(n78529), .A2(n65982), .B1(n65965), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N427) );
+  sky130_fd_sc_hd__a222oi_1 U84840 ( .A1(n85554), .A2(n75878), .B1(n37199), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[10]), .C1(n78520), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[10]), .Y(n65966) );
+  sky130_fd_sc_hd__a22oi_1 U84841 ( .A1(n85554), .A2(n75874), .B1(n38422), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[10]), .Y(n65967) );
+  sky130_fd_sc_hd__nor2_1 U84843 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_cfg_l), .B(n65969), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N598) );
+  sky130_fd_sc_hd__a22oi_1 U84844 ( .A1(n73185), .A2(n85554), .B1(n78499), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[10]), .Y(n65970) );
+  sky130_fd_sc_hd__o21ai_1 U84845 ( .A1(n65971), .A2(n70507), .B1(n65970), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1025) );
+  sky130_fd_sc_hd__nand2_1 U84846 ( .A(n70438), .B(n65972), .Y(n69996) );
+  sky130_fd_sc_hd__xnor2_1 U84847 ( .A(n69997), .B(n69112), .Y(n65973) );
+  sky130_fd_sc_hd__a22oi_1 U84848 ( .A1(n70471), .A2(n65973), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[42]), .Y(n65974) );
+  sky130_fd_sc_hd__o21ai_0 U84849 ( .A1(n36580), .A2(n65982), .B1(n65974), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1546) );
+  sky130_fd_sc_hd__xor2_1 U84850 ( .A(n70003), .B(n70004), .X(n65976) );
+  sky130_fd_sc_hd__a22oi_1 U84851 ( .A1(n70471), .A2(n65976), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[10]), .Y(n65977) );
+  sky130_fd_sc_hd__o21ai_0 U84852 ( .A1(n65982), .A2(n36581), .B1(n65977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1514) );
+  sky130_fd_sc_hd__nand2_1 U84853 ( .A(n70379), .B(n65979), .Y(n70010) );
+  sky130_fd_sc_hd__xnor2_1 U84854 ( .A(n70011), .B(n66560), .Y(n65980) );
+  sky130_fd_sc_hd__a22oi_1 U84855 ( .A1(n68555), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[42]), .B1(n65980), .B2(n70335), .Y(n65981) );
+  sky130_fd_sc_hd__o21ai_1 U84856 ( .A1(n86301), .A2(n65982), .B1(n65981), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1283) );
+  sky130_fd_sc_hd__xor2_1 U84857 ( .A(n70018), .B(n70019), .X(n65984) );
+  sky130_fd_sc_hd__a22oi_1 U84858 ( .A1(n70374), .A2(n65984), .B1(n70369), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[10]), .Y(n65985) );
+  sky130_fd_sc_hd__o21ai_1 U84859 ( .A1(n78554), .A2(n65986), .B1(n65985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1251) );
+  sky130_fd_sc_hd__xor2_1 U84860 ( .A(n66182), .B(n65993), .X(n65988) );
+  sky130_fd_sc_hd__nand2_1 U84861 ( .A(n65988), .B(n69929), .Y(n65992) );
+  sky130_fd_sc_hd__a22oi_1 U84862 ( .A1(n69932), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[16]), .B1(n69931), .B2(n37026), .Y(n65991) );
+  sky130_fd_sc_hd__nand2_1 U84863 ( .A(n69934), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[8]), .Y(n65989) );
+  sky130_fd_sc_hd__nand4_1 U84864 ( .A(n65992), .B(n65991), .C(n65990), .D(
+        n65989), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N114) );
+  sky130_fd_sc_hd__nor2_1 U84865 ( .A(n65993), .B(n66182), .Y(n65994) );
+  sky130_fd_sc_hd__xnor2_1 U84866 ( .A(n65995), .B(n65994), .Y(n65996) );
+  sky130_fd_sc_hd__nand2_1 U84867 ( .A(n65996), .B(n69929), .Y(n66001) );
+  sky130_fd_sc_hd__a22oi_1 U84868 ( .A1(n69932), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[17]), .B1(n69931), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[8]), .Y(n66000) );
+  sky130_fd_sc_hd__nand2_1 U84869 ( .A(n65997), .B(n86393), .Y(n65999) );
+  sky130_fd_sc_hd__nand2_1 U84870 ( .A(n69934), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[9]), .Y(n65998) );
+  sky130_fd_sc_hd__nand4_1 U84871 ( .A(n66001), .B(n66000), .C(n65999), .D(
+        n65998), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N115) );
+  sky130_fd_sc_hd__nor2_1 U84872 ( .A(n66003), .B(n66182), .Y(n66541) );
+  sky130_fd_sc_hd__xnor2_1 U84873 ( .A(n66539), .B(n66541), .Y(n66004) );
+  sky130_fd_sc_hd__nand2_1 U84874 ( .A(n66004), .B(n69929), .Y(n66009) );
+  sky130_fd_sc_hd__a22oi_1 U84875 ( .A1(n69932), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[18]), .B1(n69931), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[9]), .Y(n66008) );
+  sky130_fd_sc_hd__nand2_1 U84876 ( .A(n66005), .B(n86393), .Y(n66007) );
+  sky130_fd_sc_hd__nand2_1 U84877 ( .A(n69934), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[10]), .Y(n66006) );
+  sky130_fd_sc_hd__nand4_1 U84878 ( .A(n66009), .B(n66008), .C(n66007), .D(
+        n66006), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N116) );
+  sky130_fd_sc_hd__a22oi_1 U84879 ( .A1(n64374), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[298]), .B1(n64375), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[266]), .Y(n66013) );
+  sky130_fd_sc_hd__a22oi_1 U84880 ( .A1(n64261), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[874]), .B1(n64376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[394]), .Y(n66012) );
+  sky130_fd_sc_hd__a22oi_1 U84881 ( .A1(n64377), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[650]), .B1(n64378), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[458]), .Y(n66011) );
+  sky130_fd_sc_hd__a22oi_1 U84882 ( .A1(n64379), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[106]), .B1(n64262), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[202]), .Y(n66010) );
+  sky130_fd_sc_hd__nand4_1 U84883 ( .A(n66013), .B(n66012), .C(n66011), .D(
+        n66010), .Y(n66030) );
+  sky130_fd_sc_hd__a22oi_1 U84884 ( .A1(n69566), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[74]), .B1(n64384), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[522]), .Y(n66016) );
+  sky130_fd_sc_hd__a22oi_1 U84885 ( .A1(n64263), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[618]), .B1(n66525), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[810]), .Y(n66015) );
+  sky130_fd_sc_hd__a22oi_1 U84886 ( .A1(n64385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[682]), .B1(n64386), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[234]), .Y(n66014) );
+  sky130_fd_sc_hd__nand3_1 U84887 ( .A(n66016), .B(n66015), .C(n66014), .Y(
+        n66029) );
+  sky130_fd_sc_hd__clkinv_1 U84888 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[170]), .Y(n66018) );
+  sky130_fd_sc_hd__a22oi_1 U84889 ( .A1(n37076), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[938]), .B1(n66629), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[842]), .Y(n66017) );
+  sky130_fd_sc_hd__o21ai_1 U84890 ( .A1(n69572), .A2(n66018), .B1(n66017), .Y(
+        n66024) );
+  sky130_fd_sc_hd__a22oi_1 U84891 ( .A1(n64254), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[426]), .B1(n64392), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[778]), .Y(n66022) );
+  sky130_fd_sc_hd__a22oi_1 U84892 ( .A1(n64393), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[330]), .B1(n66620), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[906]), .Y(n66021) );
+  sky130_fd_sc_hd__a22oi_1 U84893 ( .A1(n64394), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[138]), .B1(n64395), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[362]), .Y(n66020) );
+  sky130_fd_sc_hd__a22oi_1 U84894 ( .A1(n64396), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[714]), .B1(n64442), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[970]), .Y(n66019) );
+  sky130_fd_sc_hd__nand4_1 U84895 ( .A(n66022), .B(n66021), .C(n66020), .D(
+        n66019), .Y(n66023) );
+  sky130_fd_sc_hd__a211oi_1 U84896 ( .A1(n69311), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[10]), .B1(n66024), .C1(n66023), .Y(n66027) );
+  sky130_fd_sc_hd__a22oi_1 U84897 ( .A1(n70107), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[42]), .B1(n64403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[554]), .Y(n66026) );
+  sky130_fd_sc_hd__a22oi_1 U84898 ( .A1(n70059), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[586]), .B1(n70058), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[490]), .Y(n66025) );
+  sky130_fd_sc_hd__nand3_1 U84899 ( .A(n66027), .B(n66026), .C(n66025), .Y(
+        n66028) );
+  sky130_fd_sc_hd__nor3_1 U84900 ( .A(n66030), .B(n66029), .C(n66028), .Y(
+        n66032) );
+  sky130_fd_sc_hd__o22ai_1 U84901 ( .A1(n66032), .A2(n70071), .B1(n66031), 
+        .B2(n70115), .Y(n66033) );
+  sky130_fd_sc_hd__a21oi_1 U84902 ( .A1(n70119), .A2(n85555), .B1(n66033), .Y(
+        n66034) );
+  sky130_fd_sc_hd__o21ai_1 U84903 ( .A1(n69591), .A2(n66035), .B1(n66034), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N565) );
+  sky130_fd_sc_hd__nand2_1 U84904 ( .A(n66036), .B(n70276), .Y(n66040) );
+  sky130_fd_sc_hd__nand2_1 U84905 ( .A(n66038), .B(n66037), .Y(n66039) );
+  sky130_fd_sc_hd__o211ai_1 U84906 ( .A1(n66607), .A2(n66041), .B1(n66040), 
+        .C1(n66039), .Y(n68459) );
+  sky130_fd_sc_hd__o21ai_0 U84907 ( .A1(n69434), .A2(n68459), .B1(n66502), .Y(
+        n68526) );
+  sky130_fd_sc_hd__a21oi_1 U84908 ( .A1(n68526), .A2(n69829), .B1(n69481), .Y(
+        n69560) );
+  sky130_fd_sc_hd__a22oi_1 U84909 ( .A1(n66042), .A2(n70273), .B1(n70284), 
+        .B2(n66257), .Y(n66046) );
+  sky130_fd_sc_hd__a22oi_1 U84910 ( .A1(n66044), .A2(n70272), .B1(n70276), 
+        .B2(n66043), .Y(n66045) );
+  sky130_fd_sc_hd__nand2_1 U84911 ( .A(n66046), .B(n66045), .Y(n68462) );
+  sky130_fd_sc_hd__nand2_1 U84912 ( .A(n66048), .B(n66047), .Y(n68460) );
+  sky130_fd_sc_hd__nor2_1 U84913 ( .A(n69884), .B(n68460), .Y(n66049) );
+  sky130_fd_sc_hd__a21oi_1 U84914 ( .A1(n68462), .A2(n69884), .B1(n66049), .Y(
+        n68527) );
+  sky130_fd_sc_hd__o22a_1 U84915 ( .A1(n66499), .A2(n70279), .B1(n68981), .B2(
+        n70278), .X(n66053) );
+  sky130_fd_sc_hd__nand2_1 U84916 ( .A(n66059), .B(n70180), .Y(n66052) );
+  sky130_fd_sc_hd__nand2_1 U84917 ( .A(n66061), .B(n66050), .Y(n66051) );
+  sky130_fd_sc_hd__nand3_1 U84918 ( .A(n66053), .B(n66052), .C(n66051), .Y(
+        n68592) );
+  sky130_fd_sc_hd__o22ai_1 U84919 ( .A1(n66054), .A2(n70278), .B1(n69553), 
+        .B2(n70279), .Y(n66057) );
+  sky130_fd_sc_hd__o22ai_1 U84920 ( .A1(n66055), .A2(n70282), .B1(n68530), 
+        .B2(n70280), .Y(n66056) );
+  sky130_fd_sc_hd__nor2_1 U84921 ( .A(n66057), .B(n66056), .Y(n70271) );
+  sky130_fd_sc_hd__a22oi_1 U84922 ( .A1(n70273), .A2(n68592), .B1(n70271), 
+        .B2(n70284), .Y(n66070) );
+  sky130_fd_sc_hd__nand2_1 U84923 ( .A(n66059), .B(n66058), .Y(n66063) );
+  sky130_fd_sc_hd__nand2_1 U84924 ( .A(n66061), .B(n66060), .Y(n66062) );
+  sky130_fd_sc_hd__nand3_1 U84925 ( .A(n66064), .B(n66063), .C(n66062), .Y(
+        n68593) );
+  sky130_fd_sc_hd__a21oi_1 U84927 ( .A1(n70272), .A2(n68594), .B1(n66065), .Y(
+        n66069) );
+  sky130_fd_sc_hd__o22ai_1 U84928 ( .A1(n69489), .A2(n66258), .B1(n69486), 
+        .B2(n66256), .Y(n66068) );
+  sky130_fd_sc_hd__o22ai_1 U84929 ( .A1(n69484), .A2(n66505), .B1(n66607), 
+        .B2(n66066), .Y(n66067) );
+  sky130_fd_sc_hd__nor2_1 U84930 ( .A(n66068), .B(n66067), .Y(n68461) );
+  sky130_fd_sc_hd__a22oi_1 U84931 ( .A1(n66070), .A2(n66069), .B1(n68461), 
+        .B2(n68599), .Y(n66071) );
+  sky130_fd_sc_hd__xor2_1 U84933 ( .A(n66073), .B(n66072), .X(n72526) );
+  sky130_fd_sc_hd__nand3_1 U84934 ( .A(n66074), .B(n70305), .C(n66073), .Y(
+        n66075) );
+  sky130_fd_sc_hd__a21oi_1 U84936 ( .A1(n72149), .A2(n69557), .B1(n66077), .Y(
+        n66083) );
+  sky130_fd_sc_hd__nand2_1 U84937 ( .A(n66079), .B(n66078), .Y(n66081) );
+  sky130_fd_sc_hd__xnor2_1 U84938 ( .A(n66081), .B(n66080), .Y(n74111) );
+  sky130_fd_sc_hd__nand2_1 U84939 ( .A(n74111), .B(n70187), .Y(n66082) );
+  sky130_fd_sc_hd__o211ai_1 U84940 ( .A1(n69560), .A2(n72147), .B1(n66083), 
+        .C1(n66082), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[24]) );
+  sky130_fd_sc_hd__nor2_1 U84941 ( .A(n66084), .B(n68876), .Y(n69178) );
+  sky130_fd_sc_hd__xnor2_1 U84942 ( .A(n66085), .B(n69178), .Y(n66086) );
+  sky130_fd_sc_hd__a22oi_1 U84943 ( .A1(n70471), .A2(n66086), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[24]), .Y(n66087) );
+  sky130_fd_sc_hd__o21ai_0 U84944 ( .A1(n36581), .A2(n78508), .B1(n66087), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1528) );
+  sky130_fd_sc_hd__nand2_1 U84945 ( .A(n85641), .B(n36846), .Y(n72164) );
+  sky130_fd_sc_hd__nor2_1 U84946 ( .A(n66088), .B(n68883), .Y(n69170) );
+  sky130_fd_sc_hd__xnor2_1 U84947 ( .A(n66089), .B(n69170), .Y(n66090) );
+  sky130_fd_sc_hd__a22oi_1 U84948 ( .A1(n70335), .A2(n66090), .B1(n70369), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[24]), .Y(n66091) );
+  sky130_fd_sc_hd__o21ai_1 U84949 ( .A1(n78554), .A2(n72164), .B1(n66091), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1265) );
+  sky130_fd_sc_hd__a222oi_1 U84950 ( .A1(n85641), .A2(n64978), .B1(n73183), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[24]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[24]), .C2(n73182), .Y(n66092) );
+  sky130_fd_sc_hd__a22oi_1 U84951 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[24]), .A2(n78526), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[24]), .B2(n78527), .Y(n66093) );
+  sky130_fd_sc_hd__o21ai_1 U84952 ( .A1(n78529), .A2(n78508), .B1(n66093), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N441) );
+  sky130_fd_sc_hd__ha_1 U84953 ( .A(n66094), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[56]), .COUT(n69167), .SUM(n66095) );
+  sky130_fd_sc_hd__a222oi_1 U84954 ( .A1(n70337), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[56]), .B1(n70336), .B2(n85641), .C1(n66095), .C2(n70335), .Y(n66096) );
+  sky130_fd_sc_hd__ha_1 U84955 ( .A(n66097), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[56]), .COUT(n69175), .SUM(n66098) );
+  sky130_fd_sc_hd__a222oi_1 U84956 ( .A1(n70408), .A2(n85641), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[56]), .C1(n66098), .C2(n70471), .Y(n66099) );
+  sky130_fd_sc_hd__clkinv_1 U84957 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[184]), .Y(n66101) );
+  sky130_fd_sc_hd__a22oi_1 U84958 ( .A1(n37076), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[952]), .B1(n66629), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[856]), .Y(n66100) );
+  sky130_fd_sc_hd__a22oi_1 U84960 ( .A1(n64254), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[440]), .B1(n64392), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[792]), .Y(n66105) );
+  sky130_fd_sc_hd__a22oi_1 U84961 ( .A1(n64393), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[344]), .B1(n66620), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[920]), .Y(n66104) );
+  sky130_fd_sc_hd__a22oi_1 U84962 ( .A1(n64394), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[152]), .B1(n64395), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[376]), .Y(n66103) );
+  sky130_fd_sc_hd__a22oi_1 U84963 ( .A1(n64396), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[728]), .B1(n64442), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[984]), .Y(n66102) );
+  sky130_fd_sc_hd__nand4_1 U84964 ( .A(n66105), .B(n66104), .C(n66103), .D(
+        n66102), .Y(n66106) );
+  sky130_fd_sc_hd__a211oi_1 U84965 ( .A1(n69311), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[24]), .B1(n66107), .C1(n66106), .Y(n66120) );
+  sky130_fd_sc_hd__a22oi_1 U84966 ( .A1(n69566), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[88]), .B1(n64384), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[536]), .Y(n66110) );
+  sky130_fd_sc_hd__a22oi_1 U84967 ( .A1(n64263), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[632]), .B1(n66525), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[824]), .Y(n66109) );
+  sky130_fd_sc_hd__a22oi_1 U84968 ( .A1(n64385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[696]), .B1(n64386), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[248]), .Y(n66108) );
+  sky130_fd_sc_hd__nand3_1 U84969 ( .A(n66110), .B(n66109), .C(n66108), .Y(
+        n66116) );
+  sky130_fd_sc_hd__a22oi_1 U84970 ( .A1(n64374), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[312]), .B1(n64375), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[280]), .Y(n66114) );
+  sky130_fd_sc_hd__a22oi_1 U84971 ( .A1(n64261), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[888]), .B1(n64376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[408]), .Y(n66113) );
+  sky130_fd_sc_hd__a22oi_1 U84972 ( .A1(n64377), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[664]), .B1(n64378), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[472]), .Y(n66112) );
+  sky130_fd_sc_hd__a22oi_1 U84973 ( .A1(n64379), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[120]), .B1(n64262), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[216]), .Y(n66111) );
+  sky130_fd_sc_hd__nand4_1 U84974 ( .A(n66114), .B(n66113), .C(n66112), .D(
+        n66111), .Y(n66115) );
+  sky130_fd_sc_hd__nor2_1 U84975 ( .A(n66116), .B(n66115), .Y(n66119) );
+  sky130_fd_sc_hd__a22oi_1 U84976 ( .A1(n70107), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[56]), .B1(n64403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[568]), .Y(n66118) );
+  sky130_fd_sc_hd__a22oi_1 U84977 ( .A1(n70059), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[600]), .B1(n70058), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[504]), .Y(n66117) );
+  sky130_fd_sc_hd__nand4_1 U84978 ( .A(n66120), .B(n66119), .C(n66118), .D(
+        n66117), .Y(n66121) );
+  sky130_fd_sc_hd__a22oi_1 U84979 ( .A1(n70075), .A2(n66122), .B1(n69540), 
+        .B2(n66121), .Y(n66124) );
+  sky130_fd_sc_hd__nand2_1 U84980 ( .A(n69914), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[760]), .Y(n66123) );
+  sky130_fd_sc_hd__o211ai_1 U84981 ( .A1(n66125), .A2(n70078), .B1(n66124), 
+        .C1(n66123), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N579) );
+  sky130_fd_sc_hd__ha_1 U84982 ( .A(n66127), .B(n66126), .COUT(n66141), .SUM(
+        n66128) );
+  sky130_fd_sc_hd__nand2_1 U84983 ( .A(n66128), .B(n69929), .Y(n66139) );
+  sky130_fd_sc_hd__a22oi_1 U84984 ( .A1(n69931), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[23]), .B1(n69934), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[24]), .Y(n66138) );
+  sky130_fd_sc_hd__nand2_1 U84985 ( .A(n66131), .B(n66130), .Y(n66133) );
+  sky130_fd_sc_hd__xnor2_1 U84986 ( .A(n66133), .B(n66132), .Y(n66134) );
+  sky130_fd_sc_hd__nand2_1 U84987 ( .A(n66134), .B(n69796), .Y(n66137) );
+  sky130_fd_sc_hd__nand2_1 U84988 ( .A(n66135), .B(n86393), .Y(n66136) );
+  sky130_fd_sc_hd__nand4_1 U84989 ( .A(n66139), .B(n66138), .C(n66137), .D(
+        n66136), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N130) );
+  sky130_fd_sc_hd__ha_1 U84990 ( .A(n66141), .B(n66140), .COUT(n66154), .SUM(
+        n66142) );
+  sky130_fd_sc_hd__nand2_1 U84991 ( .A(n66142), .B(n69929), .Y(n66152) );
+  sky130_fd_sc_hd__a22oi_1 U84992 ( .A1(n69931), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[24]), .B1(n69934), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[25]), .Y(n66151) );
+  sky130_fd_sc_hd__nand2_1 U84993 ( .A(n66144), .B(n66143), .Y(n66145) );
+  sky130_fd_sc_hd__xnor2_1 U84994 ( .A(n66146), .B(n66145), .Y(n66147) );
+  sky130_fd_sc_hd__nand2_1 U84995 ( .A(n66147), .B(n69796), .Y(n66150) );
+  sky130_fd_sc_hd__nand2_1 U84996 ( .A(n66148), .B(n86393), .Y(n66149) );
+  sky130_fd_sc_hd__nand4_1 U84997 ( .A(n66152), .B(n66151), .C(n66150), .D(
+        n66149), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N131) );
+  sky130_fd_sc_hd__ha_1 U84998 ( .A(n66154), .B(n66153), .COUT(n69509), .SUM(
+        n66155) );
+  sky130_fd_sc_hd__nand2_1 U84999 ( .A(n66155), .B(n69929), .Y(n66165) );
+  sky130_fd_sc_hd__a22oi_1 U85000 ( .A1(n69931), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[25]), .B1(n69934), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[26]), .Y(n66164) );
+  sky130_fd_sc_hd__nand2_1 U85001 ( .A(n66157), .B(n66156), .Y(n66158) );
+  sky130_fd_sc_hd__xnor2_1 U85002 ( .A(n66159), .B(n66158), .Y(n66160) );
+  sky130_fd_sc_hd__nand2_1 U85003 ( .A(n66160), .B(n69796), .Y(n66163) );
+  sky130_fd_sc_hd__nand2_1 U85004 ( .A(n66161), .B(n86393), .Y(n66162) );
+  sky130_fd_sc_hd__nand4_1 U85005 ( .A(n66165), .B(n66164), .C(n66163), .D(
+        n66162), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N132) );
+  sky130_fd_sc_hd__ha_1 U85006 ( .A(n66167), .B(n66166), .COUT(n66175), .SUM(
+        n66168) );
+  sky130_fd_sc_hd__nand2_1 U85007 ( .A(n66168), .B(n69929), .Y(n66173) );
+  sky130_fd_sc_hd__a22oi_1 U85008 ( .A1(n69932), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[28]), .B1(n69931), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[19]), .Y(n66172) );
+  sky130_fd_sc_hd__nand2_1 U85009 ( .A(n66169), .B(n86393), .Y(n66171) );
+  sky130_fd_sc_hd__nand2_1 U85010 ( .A(n69934), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[20]), .Y(n66170) );
+  sky130_fd_sc_hd__nand4_1 U85011 ( .A(n66173), .B(n66172), .C(n66171), .D(
+        n66170), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N126) );
+  sky130_fd_sc_hd__ha_1 U85012 ( .A(n66175), .B(n66174), .COUT(n68754), .SUM(
+        n66176) );
+  sky130_fd_sc_hd__nand2_1 U85013 ( .A(n66176), .B(n69929), .Y(n66181) );
+  sky130_fd_sc_hd__a22oi_1 U85014 ( .A1(n69932), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[29]), .B1(n69931), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[20]), .Y(n66180) );
+  sky130_fd_sc_hd__nand2_1 U85015 ( .A(n66177), .B(n86393), .Y(n66179) );
+  sky130_fd_sc_hd__nand2_1 U85016 ( .A(n69934), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[21]), .Y(n66178) );
+  sky130_fd_sc_hd__nand4_1 U85017 ( .A(n66181), .B(n66180), .C(n66179), .D(
+        n66178), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N127) );
+  sky130_fd_sc_hd__nor2_1 U85018 ( .A(n66183), .B(n66182), .Y(n66303) );
+  sky130_fd_sc_hd__nand2_1 U85019 ( .A(n66303), .B(n66184), .Y(n66186) );
+  sky130_fd_sc_hd__xor2_1 U85020 ( .A(n66186), .B(n66185), .X(n66187) );
+  sky130_fd_sc_hd__nand2_1 U85021 ( .A(n66187), .B(n69929), .Y(n66192) );
+  sky130_fd_sc_hd__a22oi_1 U85022 ( .A1(n69932), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[21]), .B1(n69931), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[12]), .Y(n66191) );
+  sky130_fd_sc_hd__nand2_1 U85023 ( .A(n66188), .B(n86393), .Y(n66190) );
+  sky130_fd_sc_hd__nand2_1 U85024 ( .A(n69934), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[13]), .Y(n66189) );
+  sky130_fd_sc_hd__nand4_1 U85025 ( .A(n66192), .B(n66191), .C(n66190), .D(
+        n66189), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N119) );
+  sky130_fd_sc_hd__a22oi_1 U85026 ( .A1(n69932), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[8]), .B1(n69929), .B2(n66197), .Y(n66195) );
+  sky130_fd_sc_hd__nand2_1 U85027 ( .A(n66193), .B(n86393), .Y(n66194) );
+  sky130_fd_sc_hd__nand3_1 U85028 ( .A(n66196), .B(n66195), .C(n66194), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N106) );
+  sky130_fd_sc_hd__xor2_1 U85029 ( .A(n66198), .B(n66197), .X(n66199) );
+  sky130_fd_sc_hd__nand2_1 U85030 ( .A(n66199), .B(n69929), .Y(n66203) );
+  sky130_fd_sc_hd__a22oi_1 U85031 ( .A1(n69932), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[9]), .B1(n69931), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[0]), .Y(n66202) );
+  sky130_fd_sc_hd__nand2_1 U85032 ( .A(n66200), .B(n86393), .Y(n66201) );
+  sky130_fd_sc_hd__nand3_1 U85033 ( .A(n66203), .B(n66202), .C(n66201), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N107) );
+  sky130_fd_sc_hd__xor2_1 U85034 ( .A(n69917), .B(n69918), .X(n66205) );
+  sky130_fd_sc_hd__nand2_1 U85035 ( .A(n66205), .B(n69929), .Y(n66210) );
+  sky130_fd_sc_hd__a22oi_1 U85036 ( .A1(n69932), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[10]), .B1(n69931), .B2(n37032), .Y(n66209) );
+  sky130_fd_sc_hd__nand2_1 U85037 ( .A(n66206), .B(n86393), .Y(n66208) );
+  sky130_fd_sc_hd__nand2_1 U85038 ( .A(n69934), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[2]), .Y(n66207) );
+  sky130_fd_sc_hd__nand4_1 U85039 ( .A(n66210), .B(n66209), .C(n66208), .D(
+        n66207), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N108) );
+  sky130_fd_sc_hd__ha_1 U85040 ( .A(n66212), .B(n66211), .COUT(n66220), .SUM(
+        n66213) );
+  sky130_fd_sc_hd__nand2_1 U85041 ( .A(n66213), .B(n69929), .Y(n66218) );
+  sky130_fd_sc_hd__a22oi_1 U85042 ( .A1(n69932), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[26]), .B1(n69931), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[17]), .Y(n66217) );
+  sky130_fd_sc_hd__nand2_1 U85043 ( .A(n66214), .B(n86393), .Y(n66216) );
+  sky130_fd_sc_hd__nand2_1 U85044 ( .A(n69934), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[18]), .Y(n66215) );
+  sky130_fd_sc_hd__nand4_1 U85045 ( .A(n66218), .B(n66217), .C(n66216), .D(
+        n66215), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N124) );
+  sky130_fd_sc_hd__ha_1 U85046 ( .A(n66220), .B(n66219), .COUT(n66167), .SUM(
+        n66221) );
+  sky130_fd_sc_hd__nand2_1 U85047 ( .A(n66221), .B(n69929), .Y(n66226) );
+  sky130_fd_sc_hd__a22oi_1 U85048 ( .A1(n69932), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[27]), .B1(n69931), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[18]), .Y(n66225) );
+  sky130_fd_sc_hd__nand2_1 U85049 ( .A(n66222), .B(n86393), .Y(n66224) );
+  sky130_fd_sc_hd__nand2_1 U85050 ( .A(n69934), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[19]), .Y(n66223) );
+  sky130_fd_sc_hd__nand4_1 U85051 ( .A(n66226), .B(n66225), .C(n66224), .D(
+        n66223), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N125) );
+  sky130_fd_sc_hd__a22oi_1 U85052 ( .A1(n64393), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[339]), .B1(n64392), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[787]), .Y(n66230) );
+  sky130_fd_sc_hd__a22oi_1 U85053 ( .A1(n64375), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[275]), .B1(n66620), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[915]), .Y(n66229) );
+  sky130_fd_sc_hd__a22oi_1 U85054 ( .A1(n64377), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[659]), .B1(n64261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[883]), .Y(n66228) );
+  sky130_fd_sc_hd__a22oi_1 U85055 ( .A1(n64374), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[307]), .B1(n64378), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[467]), .Y(n66227) );
+  sky130_fd_sc_hd__nand4_1 U85056 ( .A(n66230), .B(n66229), .C(n66228), .D(
+        n66227), .Y(n66245) );
+  sky130_fd_sc_hd__a22oi_1 U85057 ( .A1(n64385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[691]), .B1(n66525), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[819]), .Y(n66234) );
+  sky130_fd_sc_hd__a22oi_1 U85058 ( .A1(n64379), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[115]), .B1(n64376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[403]), .Y(n66233) );
+  sky130_fd_sc_hd__a22oi_1 U85059 ( .A1(n63012), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[179]), .B1(n64386), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[243]), .Y(n66232) );
+  sky130_fd_sc_hd__a22oi_1 U85060 ( .A1(n64262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[211]), .B1(n64263), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[627]), .Y(n66231) );
+  sky130_fd_sc_hd__nand4_1 U85061 ( .A(n66234), .B(n66233), .C(n66232), .D(
+        n66231), .Y(n66244) );
+  sky130_fd_sc_hd__a22oi_1 U85062 ( .A1(n64396), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[723]), .B1(n70101), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[755]), .Y(n66238) );
+  sky130_fd_sc_hd__a22oi_1 U85063 ( .A1(n64395), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[371]), .B1(n66629), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[851]), .Y(n66237) );
+  sky130_fd_sc_hd__a22oi_1 U85064 ( .A1(n64394), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[147]), .B1(n37076), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[947]), .Y(n66236) );
+  sky130_fd_sc_hd__a22oi_1 U85065 ( .A1(n64254), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[435]), .B1(n64442), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[979]), .Y(n66235) );
+  sky130_fd_sc_hd__nand4_1 U85066 ( .A(n66238), .B(n66237), .C(n66236), .D(
+        n66235), .Y(n66242) );
+  sky130_fd_sc_hd__a22oi_1 U85067 ( .A1(n64384), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[531]), .B1(n64403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[563]), .Y(n66241) );
+  sky130_fd_sc_hd__a22oi_1 U85068 ( .A1(n70107), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[51]), .B1(n70059), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[595]), .Y(n66240) );
+  sky130_fd_sc_hd__a22oi_1 U85069 ( .A1(n69311), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[19]), .B1(n70058), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[499]), .Y(n66239) );
+  sky130_fd_sc_hd__nand4b_1 U85070 ( .A_N(n66242), .B(n66241), .C(n66240), .D(
+        n66239), .Y(n66243) );
+  sky130_fd_sc_hd__nor3_1 U85071 ( .A(n66245), .B(n66244), .C(n66243), .Y(
+        n66247) );
+  sky130_fd_sc_hd__o22ai_1 U85072 ( .A1(n66247), .A2(n70071), .B1(n66246), 
+        .B2(n70115), .Y(n66248) );
+  sky130_fd_sc_hd__a21oi_1 U85073 ( .A1(n70119), .A2(n85556), .B1(n66248), .Y(
+        n66249) );
+  sky130_fd_sc_hd__o21ai_1 U85074 ( .A1(n70122), .A2(n66250), .B1(n66249), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N574) );
+  sky130_fd_sc_hd__a21oi_1 U85075 ( .A1(n66252), .A2(n69884), .B1(n66251), .Y(
+        n70269) );
+  sky130_fd_sc_hd__a222oi_1 U85076 ( .A1(n66255), .A2(n70270), .B1(n68524), 
+        .B2(n66254), .C1(n66253), .C2(n68599), .Y(n66395) );
+  sky130_fd_sc_hd__nand2_1 U85077 ( .A(n70289), .B(n69434), .Y(n66265) );
+  sky130_fd_sc_hd__nand2_1 U85078 ( .A(n66256), .B(n70276), .Y(n66262) );
+  sky130_fd_sc_hd__nand2_1 U85079 ( .A(n66505), .B(n70284), .Y(n66261) );
+  sky130_fd_sc_hd__nand2_1 U85080 ( .A(n66257), .B(n70272), .Y(n66260) );
+  sky130_fd_sc_hd__nand2_1 U85081 ( .A(n66258), .B(n70273), .Y(n66259) );
+  sky130_fd_sc_hd__nand4_1 U85082 ( .A(n66262), .B(n66261), .C(n66260), .D(
+        n66259), .Y(n70291) );
+  sky130_fd_sc_hd__a21oi_1 U85083 ( .A1(n70291), .A2(n69884), .B1(n69481), .Y(
+        n66264) );
+  sky130_fd_sc_hd__a21oi_1 U85084 ( .A1(n66265), .A2(n66264), .B1(n66263), .Y(
+        n66385) );
+  sky130_fd_sc_hd__xnor2_1 U85085 ( .A(n66267), .B(n66266), .Y(n72550) );
+  sky130_fd_sc_hd__or3_1 U85086 ( .A(n66269), .B(n72141), .C(n66268), .X(
+        n66270) );
+  sky130_fd_sc_hd__o21ai_1 U85087 ( .A1(n70301), .A2(n72550), .B1(n66270), .Y(
+        n66271) );
+  sky130_fd_sc_hd__a21oi_1 U85088 ( .A1(n66385), .A2(n72149), .B1(n66271), .Y(
+        n66275) );
+  sky130_fd_sc_hd__nand2_1 U85089 ( .A(n68672), .B(n66272), .Y(n66273) );
+  sky130_fd_sc_hd__xor2_1 U85090 ( .A(n66387), .B(n66273), .X(n74073) );
+  sky130_fd_sc_hd__nand2_1 U85091 ( .A(n74073), .B(n70187), .Y(n66274) );
+  sky130_fd_sc_hd__o211ai_1 U85092 ( .A1(n66395), .A2(n72147), .B1(n66275), 
+        .C1(n66274), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[14]) );
+  sky130_fd_sc_hd__a22oi_1 U85093 ( .A1(n64374), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[302]), .B1(n64375), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[270]), .Y(n66279) );
+  sky130_fd_sc_hd__a22oi_1 U85094 ( .A1(n64261), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[878]), .B1(n64376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[398]), .Y(n66278) );
+  sky130_fd_sc_hd__a22oi_1 U85095 ( .A1(n64377), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[654]), .B1(n64378), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[462]), .Y(n66277) );
+  sky130_fd_sc_hd__a22oi_1 U85096 ( .A1(n64379), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[110]), .B1(n64262), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[206]), .Y(n66276) );
+  sky130_fd_sc_hd__nand4_1 U85097 ( .A(n66279), .B(n66278), .C(n66277), .D(
+        n66276), .Y(n66296) );
+  sky130_fd_sc_hd__a22oi_1 U85098 ( .A1(n69566), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[78]), .B1(n64384), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[526]), .Y(n66282) );
+  sky130_fd_sc_hd__a22oi_1 U85099 ( .A1(n64263), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[622]), .B1(n66525), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[814]), .Y(n66281) );
+  sky130_fd_sc_hd__a22oi_1 U85100 ( .A1(n64385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[686]), .B1(n64386), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[238]), .Y(n66280) );
+  sky130_fd_sc_hd__nand3_1 U85101 ( .A(n66282), .B(n66281), .C(n66280), .Y(
+        n66295) );
+  sky130_fd_sc_hd__clkinv_1 U85102 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[174]), .Y(n66284) );
+  sky130_fd_sc_hd__a22oi_1 U85103 ( .A1(n37076), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[942]), .B1(n66629), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[846]), .Y(n66283) );
+  sky130_fd_sc_hd__a22oi_1 U85105 ( .A1(n64254), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[430]), .B1(n64392), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[782]), .Y(n66288) );
+  sky130_fd_sc_hd__a22oi_1 U85106 ( .A1(n64393), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[334]), .B1(n66620), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[910]), .Y(n66287) );
+  sky130_fd_sc_hd__a22oi_1 U85107 ( .A1(n64394), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[142]), .B1(n64395), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[366]), .Y(n66286) );
+  sky130_fd_sc_hd__a22oi_1 U85108 ( .A1(n64396), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[718]), .B1(n64442), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[974]), .Y(n66285) );
+  sky130_fd_sc_hd__nand4_1 U85109 ( .A(n66288), .B(n66287), .C(n66286), .D(
+        n66285), .Y(n66289) );
+  sky130_fd_sc_hd__a211oi_1 U85110 ( .A1(n69311), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[14]), .B1(n66290), .C1(n66289), .Y(n66293) );
+  sky130_fd_sc_hd__a22oi_1 U85111 ( .A1(n70107), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[46]), .B1(n64403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[558]), .Y(n66292) );
+  sky130_fd_sc_hd__a22oi_1 U85112 ( .A1(n70059), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[590]), .B1(n70058), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[494]), .Y(n66291) );
+  sky130_fd_sc_hd__nand3_1 U85113 ( .A(n66293), .B(n66292), .C(n66291), .Y(
+        n66294) );
+  sky130_fd_sc_hd__nor3_1 U85114 ( .A(n66296), .B(n66295), .C(n66294), .Y(
+        n66298) );
+  sky130_fd_sc_hd__o22ai_1 U85115 ( .A1(n66298), .A2(n70071), .B1(n66297), 
+        .B2(n70115), .Y(n66299) );
+  sky130_fd_sc_hd__a21oi_1 U85116 ( .A1(n70119), .A2(n85557), .B1(n66299), .Y(
+        n66300) );
+  sky130_fd_sc_hd__o21ai_1 U85117 ( .A1(n69591), .A2(n66301), .B1(n66300), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N569) );
+  sky130_fd_sc_hd__nor2_1 U85118 ( .A(n66304), .B(n66551), .Y(n66312) );
+  sky130_fd_sc_hd__xnor2_1 U85119 ( .A(n66310), .B(n66312), .Y(n66305) );
+  sky130_fd_sc_hd__nand2_1 U85120 ( .A(n66305), .B(n69929), .Y(n66309) );
+  sky130_fd_sc_hd__a22oi_1 U85121 ( .A1(n69796), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[22]), .B1(n69931), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[13]), .Y(n66308) );
+  sky130_fd_sc_hd__nand2_1 U85122 ( .A(n66306), .B(n86393), .Y(n66307) );
+  sky130_fd_sc_hd__nand3_1 U85123 ( .A(n66309), .B(n66308), .C(n66307), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N120) );
+  sky130_fd_sc_hd__nand2_1 U85124 ( .A(n66312), .B(n66311), .Y(n66314) );
+  sky130_fd_sc_hd__xor2_1 U85125 ( .A(n66314), .B(n66313), .X(n66315) );
+  sky130_fd_sc_hd__nand2_1 U85126 ( .A(n66315), .B(n69929), .Y(n66320) );
+  sky130_fd_sc_hd__a22oi_1 U85127 ( .A1(n69932), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[23]), .B1(n69931), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[14]), .Y(n66319) );
+  sky130_fd_sc_hd__nand2_1 U85128 ( .A(n66316), .B(n86393), .Y(n66318) );
+  sky130_fd_sc_hd__nand2_1 U85129 ( .A(n69934), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[15]), .Y(n66317) );
+  sky130_fd_sc_hd__nand4_1 U85130 ( .A(n66320), .B(n66319), .C(n66318), .D(
+        n66317), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N121) );
+  sky130_fd_sc_hd__xnor2_1 U85131 ( .A(n66322), .B(n66330), .Y(n66323) );
+  sky130_fd_sc_hd__nand2_1 U85132 ( .A(n66323), .B(n69929), .Y(n66328) );
+  sky130_fd_sc_hd__a22oi_1 U85133 ( .A1(n69932), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[24]), .B1(n69931), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[15]), .Y(n66327) );
+  sky130_fd_sc_hd__nand2_1 U85134 ( .A(n66324), .B(n86393), .Y(n66326) );
+  sky130_fd_sc_hd__nand2_1 U85135 ( .A(n69934), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[16]), .Y(n66325) );
+  sky130_fd_sc_hd__nand4_1 U85136 ( .A(n66328), .B(n66327), .C(n66326), .D(
+        n66325), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N122) );
+  sky130_fd_sc_hd__nand2_1 U85137 ( .A(n66330), .B(n66329), .Y(n66332) );
+  sky130_fd_sc_hd__xor2_1 U85138 ( .A(n66332), .B(n66331), .X(n66333) );
+  sky130_fd_sc_hd__nand2_1 U85139 ( .A(n66333), .B(n69929), .Y(n66337) );
+  sky130_fd_sc_hd__a22oi_1 U85140 ( .A1(n69932), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[25]), .B1(n69931), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[16]), .Y(n66336) );
+  sky130_fd_sc_hd__nand2_1 U85141 ( .A(n66334), .B(n86393), .Y(n66335) );
+  sky130_fd_sc_hd__nand3_1 U85142 ( .A(n66337), .B(n66336), .C(n66335), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N123) );
+  sky130_fd_sc_hd__ha_1 U85143 ( .A(n66338), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[49]), .COUT(n64893), .SUM(n66339) );
+  sky130_fd_sc_hd__a22oi_1 U85144 ( .A1(n70471), .A2(n66339), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[49]), .Y(n66340) );
+  sky130_fd_sc_hd__o21ai_0 U85145 ( .A1(n36580), .A2(n66424), .B1(n66340), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1553) );
+  sky130_fd_sc_hd__nand2_1 U85146 ( .A(n70456), .B(n66342), .Y(n68478) );
+  sky130_fd_sc_hd__nor2_1 U85147 ( .A(n68477), .B(n68478), .Y(n66343) );
+  sky130_fd_sc_hd__xnor2_1 U85148 ( .A(n66344), .B(n66343), .Y(n66345) );
+  sky130_fd_sc_hd__a22oi_1 U85149 ( .A1(n70471), .A2(n66345), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[17]), .Y(n66346) );
+  sky130_fd_sc_hd__o21ai_0 U85150 ( .A1(n36581), .A2(n66424), .B1(n66346), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1521) );
+  sky130_fd_sc_hd__ha_1 U85151 ( .A(n66347), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[49]), .COUT(n64899), .SUM(n66348) );
+  sky130_fd_sc_hd__a22oi_1 U85152 ( .A1(n68555), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[49]), .B1(n66348), .B2(n70374), .Y(n66349) );
+  sky130_fd_sc_hd__a222oi_1 U85154 ( .A1(n85742), .A2(n75874), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[17]), .B2(n38422), .C1(n78486), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[17]), .Y(n66350) );
+  sky130_fd_sc_hd__nand2_1 U85155 ( .A(n85742), .B(n37039), .Y(n78248) );
+  sky130_fd_sc_hd__nand2_1 U85156 ( .A(n70367), .B(n66352), .Y(n68486) );
+  sky130_fd_sc_hd__nor2_1 U85157 ( .A(n68485), .B(n68486), .Y(n66353) );
+  sky130_fd_sc_hd__xnor2_1 U85158 ( .A(n66354), .B(n66353), .Y(n66355) );
+  sky130_fd_sc_hd__a22oi_1 U85159 ( .A1(n70335), .A2(n66355), .B1(n70369), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[17]), .Y(n66356) );
+  sky130_fd_sc_hd__a22oi_1 U85161 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[177]), .A2(n70229), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[881]), .B2(n64638), .Y(n66360) );
+  sky130_fd_sc_hd__a22oi_1 U85162 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[913]), .A2(n64325), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[273]), .B2(n70245), .Y(n66359) );
+  sky130_fd_sc_hd__a22oi_1 U85163 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[817]), .A2(n64319), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[401]), .B2(n70237), .Y(n66358) );
+  sky130_fd_sc_hd__nand2_1 U85164 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[305]), .B(n70230), .Y(n66357) );
+  sky130_fd_sc_hd__nand4_1 U85165 ( .A(n66360), .B(n66359), .C(n66358), .D(
+        n66357), .Y(n66376) );
+  sky130_fd_sc_hd__a22oi_1 U85166 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[721]), .A2(n70216), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[49]), .B2(n70231), .Y(n66364) );
+  sky130_fd_sc_hd__a22oi_1 U85167 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[657]), .A2(n70212), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[561]), .B2(n70215), .Y(n66363) );
+  sky130_fd_sc_hd__a22oi_1 U85168 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[689]), .A2(n70224), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[241]), .B2(n70152), .Y(n66362) );
+  sky130_fd_sc_hd__a22oi_1 U85169 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[849]), .A2(n70238), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[337]), .B2(n70214), .Y(n66361) );
+  sky130_fd_sc_hd__nand4_1 U85170 ( .A(n66364), .B(n66363), .C(n66362), .D(
+        n66361), .Y(n66375) );
+  sky130_fd_sc_hd__a22oi_1 U85171 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[113]), .A2(n68781), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[209]), .B2(n69952), .Y(n66368) );
+  sky130_fd_sc_hd__a22oi_1 U85172 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[145]), .A2(n70150), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[81]), .B2(n70246), .Y(n66367) );
+  sky130_fd_sc_hd__a22oi_1 U85173 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[977]), .A2(n69943), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[465]), .B2(n70235), .Y(n66366) );
+  sky130_fd_sc_hd__a22oi_1 U85174 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[369]), .A2(n70222), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[785]), .B2(n70234), .Y(n66365) );
+  sky130_fd_sc_hd__nand4_1 U85175 ( .A(n66368), .B(n66367), .C(n66366), .D(
+        n66365), .Y(n66374) );
+  sky130_fd_sc_hd__a22oi_1 U85176 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[945]), .A2(n64326), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[593]), .B2(n70236), .Y(n66372) );
+  sky130_fd_sc_hd__a22oi_1 U85177 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[625]), .A2(n70213), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[17]), .B2(n70223), .Y(n66371) );
+  sky130_fd_sc_hd__a22oi_1 U85178 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[497]), .A2(n70211), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[433]), .B2(n70243), .Y(n66370) );
+  sky130_fd_sc_hd__a22oi_1 U85179 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[529]), .A2(n70221), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[753]), .B2(n64330), .Y(n66369) );
+  sky130_fd_sc_hd__nand4_1 U85180 ( .A(n66372), .B(n66371), .C(n66370), .D(
+        n66369), .Y(n66373) );
+  sky130_fd_sc_hd__nor4_1 U85181 ( .A(n66376), .B(n66375), .C(n66374), .D(
+        n66373), .Y(n66378) );
+  sky130_fd_sc_hd__nand2_1 U85182 ( .A(n65334), .B(n85558), .Y(n66377) );
+  sky130_fd_sc_hd__xnor2_1 U85184 ( .A(n66380), .B(n66379), .Y(n72553) );
+  sky130_fd_sc_hd__or3_1 U85185 ( .A(n72141), .B(n66382), .C(n66381), .X(
+        n66383) );
+  sky130_fd_sc_hd__a21oi_1 U85187 ( .A1(n66385), .A2(n70309), .B1(n66384), .Y(
+        n66394) );
+  sky130_fd_sc_hd__a21oi_1 U85189 ( .A1(n68448), .A2(n37085), .B1(n66389), .Y(
+        n66392) );
+  sky130_fd_sc_hd__nand2_1 U85190 ( .A(n37050), .B(n66390), .Y(n66391) );
+  sky130_fd_sc_hd__xor2_1 U85191 ( .A(n66392), .B(n66391), .X(n74068) );
+  sky130_fd_sc_hd__nand2_1 U85192 ( .A(n74068), .B(n70187), .Y(n66393) );
+  sky130_fd_sc_hd__o211ai_1 U85193 ( .A1(n66395), .A2(n70307), .B1(n66394), 
+        .C1(n66393), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[17]) );
+  sky130_fd_sc_hd__a22oi_1 U85194 ( .A1(n64374), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[305]), .B1(n64378), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[465]), .Y(n66399) );
+  sky130_fd_sc_hd__a22oi_1 U85195 ( .A1(n64377), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[657]), .B1(n64261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[881]), .Y(n66398) );
+  sky130_fd_sc_hd__a22oi_1 U85196 ( .A1(n64379), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[113]), .B1(n64376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[401]), .Y(n66397) );
+  sky130_fd_sc_hd__a22oi_1 U85197 ( .A1(n64262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[209]), .B1(n64263), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[625]), .Y(n66396) );
+  sky130_fd_sc_hd__nand4_1 U85198 ( .A(n66399), .B(n66398), .C(n66397), .D(
+        n66396), .Y(n66416) );
+  sky130_fd_sc_hd__a22oi_1 U85199 ( .A1(n69566), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[81]), .B1(n64384), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[529]), .Y(n66402) );
+  sky130_fd_sc_hd__a22oi_1 U85200 ( .A1(n64385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[689]), .B1(n66525), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[817]), .Y(n66401) );
+  sky130_fd_sc_hd__a22oi_1 U85201 ( .A1(n63012), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[177]), .B1(n64386), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[241]), .Y(n66400) );
+  sky130_fd_sc_hd__nand3_1 U85202 ( .A(n66402), .B(n66401), .C(n66400), .Y(
+        n66415) );
+  sky130_fd_sc_hd__a22oi_1 U85203 ( .A1(n37076), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[945]), .B1(n66629), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[849]), .Y(n66404) );
+  sky130_fd_sc_hd__a22oi_1 U85204 ( .A1(n64394), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[145]), .B1(n64395), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[369]), .Y(n66403) );
+  sky130_fd_sc_hd__nand2_1 U85205 ( .A(n66404), .B(n66403), .Y(n66410) );
+  sky130_fd_sc_hd__a22oi_1 U85206 ( .A1(n64442), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[977]), .B1(n70101), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[753]), .Y(n66408) );
+  sky130_fd_sc_hd__a22oi_1 U85207 ( .A1(n64392), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[785]), .B1(n66620), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[913]), .Y(n66407) );
+  sky130_fd_sc_hd__a22oi_1 U85208 ( .A1(n64396), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[721]), .B1(n64254), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[433]), .Y(n66406) );
+  sky130_fd_sc_hd__a22oi_1 U85209 ( .A1(n64393), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[337]), .B1(n64375), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[273]), .Y(n66405) );
+  sky130_fd_sc_hd__nand4_1 U85210 ( .A(n66408), .B(n66407), .C(n66406), .D(
+        n66405), .Y(n66409) );
+  sky130_fd_sc_hd__a211oi_1 U85211 ( .A1(n69311), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[17]), .B1(n66410), .C1(n66409), .Y(n66413) );
+  sky130_fd_sc_hd__a22oi_1 U85212 ( .A1(n70107), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[49]), .B1(n64403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[561]), .Y(n66412) );
+  sky130_fd_sc_hd__a22oi_1 U85213 ( .A1(n70059), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[593]), .B1(n70058), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[497]), .Y(n66411) );
+  sky130_fd_sc_hd__nand3_1 U85214 ( .A(n66413), .B(n66412), .C(n66411), .Y(
+        n66414) );
+  sky130_fd_sc_hd__nor3_1 U85215 ( .A(n66416), .B(n66415), .C(n66414), .Y(
+        n66418) );
+  sky130_fd_sc_hd__o22ai_1 U85216 ( .A1(n66418), .A2(n70071), .B1(n66417), 
+        .B2(n70115), .Y(n66419) );
+  sky130_fd_sc_hd__a21o_1 U85217 ( .A1(n70119), .A2(n85558), .B1(n66419), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N572) );
+  sky130_fd_sc_hd__ha_1 U85218 ( .A(n66420), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[17]), .COUT(n68922), .SUM(n66421) );
+  sky130_fd_sc_hd__nand2_1 U85219 ( .A(n73179), .B(n66421), .Y(n66422) );
+  sky130_fd_sc_hd__o21ai_0 U85220 ( .A1(n66475), .A2(n73179), .B1(n66422), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[17]) );
+  sky130_fd_sc_hd__a22oi_1 U85221 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[17]), .A2(n78527), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[17]), .B2(n78526), .Y(n66423) );
+  sky130_fd_sc_hd__o21ai_1 U85222 ( .A1(n78529), .A2(n66424), .B1(n66423), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N434) );
+  sky130_fd_sc_hd__a222oi_1 U85223 ( .A1(n85742), .A2(n64978), .B1(n73183), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[17]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[17]), .C2(n73182), .Y(n66425) );
+  sky130_fd_sc_hd__a222oi_1 U85224 ( .A1(n73185), .A2(n85742), .B1(n78500), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[17]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[17]), .C2(n78499), .Y(n66426) );
+  sky130_fd_sc_hd__o22ai_1 U85225 ( .A1(n66428), .A2(n73073), .B1(n66427), 
+        .B2(n58802), .Y(n66465) );
+  sky130_fd_sc_hd__nand2_1 U85226 ( .A(n68935), .B(n68933), .Y(n66436) );
+  sky130_fd_sc_hd__o21ai_1 U85228 ( .A1(n66435), .A2(n72324), .B1(n66434), .Y(
+        n68936) );
+  sky130_fd_sc_hd__xnor2_1 U85229 ( .A(n66436), .B(n68936), .Y(n66450) );
+  sky130_fd_sc_hd__xnor2_1 U85230 ( .A(n66438), .B(n66437), .Y(n66449) );
+  sky130_fd_sc_hd__clkinv_1 U85232 ( .A(n66441), .Y(n72279) );
+  sky130_fd_sc_hd__clkinv_1 U85233 ( .A(n72278), .Y(n66442) );
+  sky130_fd_sc_hd__a21oi_1 U85234 ( .A1(n68944), .A2(n72279), .B1(n66442), .Y(
+        n66447) );
+  sky130_fd_sc_hd__clkinv_1 U85235 ( .A(n66443), .Y(n66445) );
+  sky130_fd_sc_hd__nand2_1 U85236 ( .A(n66445), .B(n66444), .Y(n66446) );
+  sky130_fd_sc_hd__xor2_1 U85237 ( .A(n66447), .B(n66446), .X(n66448) );
+  sky130_fd_sc_hd__a222oi_1 U85238 ( .A1(n73319), .A2(n66450), .B1(n73229), 
+        .B2(n66449), .C1(n66448), .C2(n73312), .Y(n66460) );
+  sky130_fd_sc_hd__nand2_1 U85239 ( .A(n73192), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[17]), .Y(n66454) );
+  sky130_fd_sc_hd__a22oi_1 U85240 ( .A1(n78262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[17]), .B1(n73261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[17]), .Y(n66453) );
+  sky130_fd_sc_hd__nand2_1 U85241 ( .A(n73187), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[17]), .Y(n66452) );
+  sky130_fd_sc_hd__nand2_1 U85242 ( .A(n78265), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[17]), .Y(n66451) );
+  sky130_fd_sc_hd__nand4_1 U85243 ( .A(n66454), .B(n66453), .C(n66452), .D(
+        n66451), .Y(n66455) );
+  sky130_fd_sc_hd__a21oi_1 U85244 ( .A1(n73097), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[17]), .B1(n66455), .Y(n66456) );
+  sky130_fd_sc_hd__o21ai_0 U85245 ( .A1(n66475), .A2(n73154), .B1(n66456), .Y(
+        n66457) );
+  sky130_fd_sc_hd__a21oi_1 U85246 ( .A1(n66458), .A2(n72458), .B1(n66457), .Y(
+        n66459) );
+  sky130_fd_sc_hd__o21ai_1 U85247 ( .A1(n73322), .A2(n66460), .B1(n66459), .Y(
+        n66461) );
+  sky130_fd_sc_hd__a21oi_1 U85248 ( .A1(n61937), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[17]), .B1(n66461), .Y(n66462) );
+  sky130_fd_sc_hd__o21ai_0 U85249 ( .A1(n66463), .A2(n73106), .B1(n66462), .Y(
+        n66464) );
+  sky130_fd_sc_hd__a211oi_1 U85250 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[3]), .A2(n73110), .B1(n66465), .C1(n66464), .Y(n66470) );
+  sky130_fd_sc_hd__a22oi_1 U85251 ( .A1(n73327), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[17]), .B1(n72509), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[17]), .Y(n66469) );
+  sky130_fd_sc_hd__a22oi_1 U85252 ( .A1(n72357), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[17]), .B1(n69413), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[17]), .Y(n66468) );
+  sky130_fd_sc_hd__a22o_1 U85253 ( .A1(n72510), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[17]), .B1(n73111), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[3]), .X(n66466) );
+  sky130_fd_sc_hd__a21oi_1 U85254 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[3]), .A2(n73113), .B1(n66466), .Y(n66467) );
+  sky130_fd_sc_hd__nand4_1 U85255 ( .A(n66470), .B(n66469), .C(n66468), .D(
+        n66467), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[17]) );
+  sky130_fd_sc_hd__nor2_1 U85256 ( .A(n66438), .B(n73338), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N99) );
+  sky130_fd_sc_hd__nand2_1 U85257 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[17]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .Y(n66471) );
+  sky130_fd_sc_hd__o21ai_1 U85258 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .A2(n66475), .B1(n66471), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N297) );
+  sky130_fd_sc_hd__nand2_1 U85259 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[17]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .Y(n66472) );
+  sky130_fd_sc_hd__o21ai_1 U85260 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n66475), .B1(n66472), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N216) );
+  sky130_fd_sc_hd__nand2_1 U85261 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[17]), .B(n76241), .Y(n66473) );
+  sky130_fd_sc_hd__o21ai_1 U85262 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n66475), .B1(n66473), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N135) );
+  sky130_fd_sc_hd__nand2_1 U85263 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[17]), .B(n73340), .Y(n66474) );
+  sky130_fd_sc_hd__o21ai_1 U85264 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .A2(n66475), .B1(n66474), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N54) );
+  sky130_fd_sc_hd__xnor2_1 U85265 ( .A(n66477), .B(n66476), .Y(n72532) );
+  sky130_fd_sc_hd__nand3_1 U85266 ( .A(n66478), .B(n70305), .C(n66477), .Y(
+        n66479) );
+  sky130_fd_sc_hd__o21ai_1 U85267 ( .A1(n70301), .A2(n72532), .B1(n66479), .Y(
+        n66480) );
+  sky130_fd_sc_hd__a21oi_1 U85268 ( .A1(n66481), .A2(n70309), .B1(n66480), .Y(
+        n66490) );
+  sky130_fd_sc_hd__o21ai_1 U85269 ( .A1(n66484), .A2(n66483), .B1(n66482), .Y(
+        n68987) );
+  sky130_fd_sc_hd__a21oi_1 U85270 ( .A1(n68987), .A2(n37084), .B1(n66485), .Y(
+        n66488) );
+  sky130_fd_sc_hd__nand2_1 U85271 ( .A(n37048), .B(n66486), .Y(n66487) );
+  sky130_fd_sc_hd__xor2_1 U85272 ( .A(n66488), .B(n66487), .X(n74117) );
+  sky130_fd_sc_hd__nand2_1 U85273 ( .A(n74117), .B(n70187), .Y(n66489) );
+  sky130_fd_sc_hd__o211ai_1 U85274 ( .A1(n66491), .A2(n70307), .B1(n66490), 
+        .C1(n66489), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[21]) );
+  sky130_fd_sc_hd__nand2_1 U85275 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[21]), .B(n37259), .Y(n66492) );
+  sky130_fd_sc_hd__o21ai_1 U85276 ( .A1(n37259), .A2(n70599), .B1(n66492), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N301) );
+  sky130_fd_sc_hd__nand2_1 U85277 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[21]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .Y(n66493) );
+  sky130_fd_sc_hd__o21ai_1 U85278 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n70599), .B1(n66493), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N220) );
+  sky130_fd_sc_hd__nand2_1 U85279 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[21]), .B(n76241), .Y(n66494) );
+  sky130_fd_sc_hd__o21ai_1 U85280 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n70599), .B1(n66494), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N139) );
+  sky130_fd_sc_hd__nand2_1 U85281 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[21]), .B(n73340), .Y(n66495) );
+  sky130_fd_sc_hd__o21ai_1 U85282 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .A2(n70599), .B1(n66495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N58) );
+  sky130_fd_sc_hd__a21oi_1 U85283 ( .A1(n69495), .A2(n69884), .B1(n69481), .Y(
+        n66496) );
+  sky130_fd_sc_hd__nand2_1 U85285 ( .A(n66497), .B(n68589), .Y(n68991) );
+  sky130_fd_sc_hd__xnor2_1 U85286 ( .A(n66499), .B(n66498), .Y(n72529) );
+  sky130_fd_sc_hd__nor2_1 U85287 ( .A(n70301), .B(n72529), .Y(n66509) );
+  sky130_fd_sc_hd__nor3_1 U85288 ( .A(n66501), .B(n72141), .C(n66500), .Y(
+        n66508) );
+  sky130_fd_sc_hd__o21ai_0 U85289 ( .A1(n69434), .A2(n69435), .B1(n66502), .Y(
+        n69482) );
+  sky130_fd_sc_hd__nand2_1 U85290 ( .A(n68591), .B(n70273), .Y(n66504) );
+  sky130_fd_sc_hd__a22oi_1 U85291 ( .A1(n68594), .A2(n70276), .B1(n70284), 
+        .B2(n68592), .Y(n66503) );
+  sky130_fd_sc_hd__o211ai_1 U85292 ( .A1(n66505), .A2(n69489), .B1(n66504), 
+        .C1(n66503), .Y(n69439) );
+  sky130_fd_sc_hd__a222oi_1 U85293 ( .A1(n69482), .A2(n70270), .B1(n68524), 
+        .B2(n66506), .C1(n69436), .C2(n68599), .Y(n68983) );
+  sky130_fd_sc_hd__nor2_1 U85294 ( .A(n72147), .B(n68983), .Y(n66507) );
+  sky130_fd_sc_hd__nor3_1 U85295 ( .A(n66509), .B(n66508), .C(n66507), .Y(
+        n66512) );
+  sky130_fd_sc_hd__nand2_1 U85296 ( .A(n66510), .B(n70187), .Y(n66511) );
+  sky130_fd_sc_hd__o211ai_1 U85297 ( .A1(n68991), .A2(n70307), .B1(n66512), 
+        .C1(n66511), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[11]) );
+  sky130_fd_sc_hd__a22oi_1 U85298 ( .A1(n37076), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[939]), .B1(n66629), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[843]), .Y(n66514) );
+  sky130_fd_sc_hd__a22oi_1 U85299 ( .A1(n64394), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[139]), .B1(n64395), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[363]), .Y(n66513) );
+  sky130_fd_sc_hd__nand2_1 U85300 ( .A(n66514), .B(n66513), .Y(n66520) );
+  sky130_fd_sc_hd__a22oi_1 U85301 ( .A1(n64442), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[971]), .B1(n70101), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[747]), .Y(n66518) );
+  sky130_fd_sc_hd__a22oi_1 U85302 ( .A1(n64392), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[779]), .B1(n66620), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[907]), .Y(n66517) );
+  sky130_fd_sc_hd__a22oi_1 U85303 ( .A1(n64396), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[715]), .B1(n64254), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[427]), .Y(n66516) );
+  sky130_fd_sc_hd__a22oi_1 U85304 ( .A1(n64393), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[331]), .B1(n64375), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[267]), .Y(n66515) );
+  sky130_fd_sc_hd__nand4_1 U85305 ( .A(n66518), .B(n66517), .C(n66516), .D(
+        n66515), .Y(n66519) );
+  sky130_fd_sc_hd__a211oi_1 U85306 ( .A1(n69311), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[11]), .B1(n66520), .C1(n66519), .Y(n66534) );
+  sky130_fd_sc_hd__a22oi_1 U85307 ( .A1(n64374), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[299]), .B1(n64378), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[459]), .Y(n66524) );
+  sky130_fd_sc_hd__a22oi_1 U85308 ( .A1(n64377), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[651]), .B1(n64261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[875]), .Y(n66523) );
+  sky130_fd_sc_hd__a22oi_1 U85309 ( .A1(n64379), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[107]), .B1(n64376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[395]), .Y(n66522) );
+  sky130_fd_sc_hd__a22oi_1 U85310 ( .A1(n64262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[203]), .B1(n64263), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[619]), .Y(n66521) );
+  sky130_fd_sc_hd__nand4_1 U85311 ( .A(n66524), .B(n66523), .C(n66522), .D(
+        n66521), .Y(n66530) );
+  sky130_fd_sc_hd__a22oi_1 U85312 ( .A1(n69566), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[75]), .B1(n64384), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[523]), .Y(n66528) );
+  sky130_fd_sc_hd__a22oi_1 U85313 ( .A1(n64385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[683]), .B1(n66525), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[811]), .Y(n66527) );
+  sky130_fd_sc_hd__a22oi_1 U85314 ( .A1(n63012), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[171]), .B1(n64386), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[235]), .Y(n66526) );
+  sky130_fd_sc_hd__nand3_1 U85315 ( .A(n66528), .B(n66527), .C(n66526), .Y(
+        n66529) );
+  sky130_fd_sc_hd__nor2_1 U85316 ( .A(n66530), .B(n66529), .Y(n66533) );
+  sky130_fd_sc_hd__a22oi_1 U85317 ( .A1(n70107), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[43]), .B1(n64403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[555]), .Y(n66532) );
+  sky130_fd_sc_hd__a22oi_1 U85318 ( .A1(n70059), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[587]), .B1(n70058), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[491]), .Y(n66531) );
+  sky130_fd_sc_hd__nand4_1 U85319 ( .A(n66534), .B(n66533), .C(n66532), .D(
+        n66531), .Y(n66535) );
+  sky130_fd_sc_hd__a22oi_1 U85320 ( .A1(n70075), .A2(n66536), .B1(n69540), 
+        .B2(n66535), .Y(n66537) );
+  sky130_fd_sc_hd__o21ai_1 U85321 ( .A1(n66538), .A2(n70078), .B1(n66537), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N566) );
+  sky130_fd_sc_hd__nand2_1 U85322 ( .A(n66541), .B(n66540), .Y(n66543) );
+  sky130_fd_sc_hd__xor2_1 U85323 ( .A(n66543), .B(n66542), .X(n66544) );
+  sky130_fd_sc_hd__nand2_1 U85324 ( .A(n66544), .B(n69929), .Y(n66549) );
+  sky130_fd_sc_hd__a22oi_1 U85325 ( .A1(n69932), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[19]), .B1(n69931), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[10]), .Y(n66548) );
+  sky130_fd_sc_hd__nand2_1 U85326 ( .A(n66545), .B(n86393), .Y(n66547) );
+  sky130_fd_sc_hd__nand2_1 U85327 ( .A(n69934), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[11]), .Y(n66546) );
+  sky130_fd_sc_hd__nand4_1 U85328 ( .A(n66549), .B(n66548), .C(n66547), .D(
+        n66546), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N117) );
+  sky130_fd_sc_hd__xor2_1 U85329 ( .A(n66551), .B(n66550), .X(n66552) );
+  sky130_fd_sc_hd__nand2_1 U85330 ( .A(n66552), .B(n69929), .Y(n66557) );
+  sky130_fd_sc_hd__a22oi_1 U85331 ( .A1(n69932), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[20]), .B1(n69931), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[11]), .Y(n66556) );
+  sky130_fd_sc_hd__nand2_1 U85332 ( .A(n66553), .B(n86393), .Y(n66555) );
+  sky130_fd_sc_hd__nand2_1 U85333 ( .A(n69934), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[12]), .Y(n66554) );
+  sky130_fd_sc_hd__nand4_1 U85334 ( .A(n66557), .B(n66556), .C(n66555), .D(
+        n66554), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N118) );
+  sky130_fd_sc_hd__nand2_1 U85335 ( .A(n66560), .B(n66559), .Y(n69117) );
+  sky130_fd_sc_hd__xor2_1 U85336 ( .A(n69117), .B(n69118), .X(n66561) );
+  sky130_fd_sc_hd__a22oi_1 U85337 ( .A1(n68555), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[44]), .B1(n66561), .B2(n70374), .Y(n66562) );
+  sky130_fd_sc_hd__o21ai_1 U85338 ( .A1(n86301), .A2(n78258), .B1(n66562), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1285) );
+  sky130_fd_sc_hd__nor2_1 U85339 ( .A(n66563), .B(n70003), .Y(n69100) );
+  sky130_fd_sc_hd__xnor2_1 U85340 ( .A(n66564), .B(n69100), .Y(n66565) );
+  sky130_fd_sc_hd__a22oi_1 U85341 ( .A1(n70471), .A2(n66565), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[12]), .Y(n66566) );
+  sky130_fd_sc_hd__o21ai_0 U85342 ( .A1(n78537), .A2(n82479), .B1(n66566), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1516) );
+  sky130_fd_sc_hd__nor2_1 U85343 ( .A(n66567), .B(n70018), .Y(n69105) );
+  sky130_fd_sc_hd__xnor2_1 U85344 ( .A(n66568), .B(n69105), .Y(n66569) );
+  sky130_fd_sc_hd__a22oi_1 U85345 ( .A1(n70374), .A2(n66569), .B1(n70369), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[12]), .Y(n66570) );
+  sky130_fd_sc_hd__o21ai_1 U85346 ( .A1(n78546), .A2(n82479), .B1(n66570), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1253) );
+  sky130_fd_sc_hd__a222oi_1 U85347 ( .A1(n85743), .A2(n75878), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[12]), .B2(n78520), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[12]), .C2(n37199), .Y(n66571) );
+  sky130_fd_sc_hd__a22oi_1 U85348 ( .A1(n64393), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[332]), .B1(n64392), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[780]), .Y(n66575) );
+  sky130_fd_sc_hd__a22oi_1 U85349 ( .A1(n64375), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[268]), .B1(n66620), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[908]), .Y(n66574) );
+  sky130_fd_sc_hd__a22oi_1 U85350 ( .A1(n64377), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[652]), .B1(n64261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[876]), .Y(n66573) );
+  sky130_fd_sc_hd__a22oi_1 U85351 ( .A1(n64374), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[300]), .B1(n64378), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[460]), .Y(n66572) );
+  sky130_fd_sc_hd__nand4_1 U85352 ( .A(n66575), .B(n66574), .C(n66573), .D(
+        n66572), .Y(n66590) );
+  sky130_fd_sc_hd__a22oi_1 U85353 ( .A1(n64385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[684]), .B1(n66525), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[812]), .Y(n66579) );
+  sky130_fd_sc_hd__a22oi_1 U85354 ( .A1(n64379), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[108]), .B1(n64376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[396]), .Y(n66578) );
+  sky130_fd_sc_hd__a22oi_1 U85355 ( .A1(n63012), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[172]), .B1(n64386), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[236]), .Y(n66577) );
+  sky130_fd_sc_hd__a22oi_1 U85356 ( .A1(n64262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[204]), .B1(n64263), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[620]), .Y(n66576) );
+  sky130_fd_sc_hd__nand4_1 U85357 ( .A(n66579), .B(n66578), .C(n66577), .D(
+        n66576), .Y(n66589) );
+  sky130_fd_sc_hd__a22oi_1 U85358 ( .A1(n64396), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[716]), .B1(n70101), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[748]), .Y(n66583) );
+  sky130_fd_sc_hd__a22oi_1 U85359 ( .A1(n64395), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[364]), .B1(n66629), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[844]), .Y(n66582) );
+  sky130_fd_sc_hd__a22oi_1 U85360 ( .A1(n64394), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[140]), .B1(n37076), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[940]), .Y(n66581) );
+  sky130_fd_sc_hd__a22oi_1 U85361 ( .A1(n64254), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[428]), .B1(n64442), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[972]), .Y(n66580) );
+  sky130_fd_sc_hd__nand4_1 U85362 ( .A(n66583), .B(n66582), .C(n66581), .D(
+        n66580), .Y(n66587) );
+  sky130_fd_sc_hd__a22oi_1 U85363 ( .A1(n64384), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[524]), .B1(n64403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[556]), .Y(n66586) );
+  sky130_fd_sc_hd__a22oi_1 U85364 ( .A1(n70107), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[44]), .B1(n70059), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[588]), .Y(n66585) );
+  sky130_fd_sc_hd__a22oi_1 U85365 ( .A1(n69311), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[12]), .B1(n70058), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[492]), .Y(n66584) );
+  sky130_fd_sc_hd__nand4b_1 U85366 ( .A_N(n66587), .B(n66586), .C(n66585), .D(
+        n66584), .Y(n66588) );
+  sky130_fd_sc_hd__nor3_1 U85367 ( .A(n66590), .B(n66589), .C(n66588), .Y(
+        n66592) );
+  sky130_fd_sc_hd__o22ai_1 U85368 ( .A1(n66592), .A2(n70071), .B1(n66591), 
+        .B2(n70115), .Y(n66593) );
+  sky130_fd_sc_hd__a21oi_1 U85369 ( .A1(n70119), .A2(n85561), .B1(n66593), .Y(
+        n66594) );
+  sky130_fd_sc_hd__o21ai_1 U85370 ( .A1(n70122), .A2(n66595), .B1(n66594), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N567) );
+  sky130_fd_sc_hd__nand2_1 U85371 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .Y(n66596) );
+  sky130_fd_sc_hd__o21ai_1 U85372 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .A2(n66600), .B1(n66596), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N292) );
+  sky130_fd_sc_hd__nand2_1 U85373 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .Y(n66597) );
+  sky130_fd_sc_hd__o21ai_1 U85374 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n66600), .B1(n66597), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N211) );
+  sky130_fd_sc_hd__nand2_1 U85375 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[12]), .B(n76241), .Y(n66598) );
+  sky130_fd_sc_hd__o21ai_1 U85376 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n66600), .B1(n66598), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N130) );
+  sky130_fd_sc_hd__nand2_1 U85377 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[12]), .B(n73340), .Y(n66599) );
+  sky130_fd_sc_hd__o21ai_1 U85378 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .A2(n66600), .B1(n66599), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N49) );
+  sky130_fd_sc_hd__a21oi_1 U85379 ( .A1(n66601), .A2(n69829), .B1(n69481), .Y(
+        n69336) );
+  sky130_fd_sc_hd__nand2_1 U85380 ( .A(n66602), .B(n68599), .Y(n66611) );
+  sky130_fd_sc_hd__o22ai_1 U85381 ( .A1(n69489), .A2(n68592), .B1(n69486), 
+        .B2(n68593), .Y(n66609) );
+  sky130_fd_sc_hd__o22ai_1 U85382 ( .A1(n69261), .A2(n70278), .B1(n69260), 
+        .B2(n70279), .Y(n66606) );
+  sky130_fd_sc_hd__o22ai_1 U85383 ( .A1(n66604), .A2(n70282), .B1(n66603), 
+        .B2(n70280), .Y(n66605) );
+  sky130_fd_sc_hd__nor2_1 U85384 ( .A(n66606), .B(n66605), .Y(n70274) );
+  sky130_fd_sc_hd__o22ai_1 U85385 ( .A1(n66607), .A2(n70274), .B1(n70271), 
+        .B2(n69484), .Y(n66608) );
+  sky130_fd_sc_hd__o21ai_1 U85386 ( .A1(n66609), .A2(n66608), .B1(n68524), .Y(
+        n66610) );
+  sky130_fd_sc_hd__o211ai_1 U85387 ( .A1(n69829), .A2(n66612), .B1(n66611), 
+        .C1(n66610), .Y(n69329) );
+  sky130_fd_sc_hd__xnor2_1 U85388 ( .A(n66614), .B(n66613), .Y(n72533) );
+  sky130_fd_sc_hd__or3_1 U85389 ( .A(n72141), .B(n66615), .C(n69260), .X(
+        n66616) );
+  sky130_fd_sc_hd__o21ai_1 U85390 ( .A1(n70301), .A2(n72533), .B1(n66616), .Y(
+        n66617) );
+  sky130_fd_sc_hd__a21oi_1 U85391 ( .A1(n69329), .A2(n70309), .B1(n66617), .Y(
+        n66619) );
+  sky130_fd_sc_hd__nand2_1 U85392 ( .A(n85777), .B(n70187), .Y(n66618) );
+  sky130_fd_sc_hd__o211ai_1 U85393 ( .A1(n69336), .A2(n70307), .B1(n66619), 
+        .C1(n66618), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[5]) );
+  sky130_fd_sc_hd__a22oi_1 U85394 ( .A1(n64393), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[325]), .B1(n64392), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[773]), .Y(n66624) );
+  sky130_fd_sc_hd__a22oi_1 U85395 ( .A1(n64375), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[261]), .B1(n66620), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[901]), .Y(n66623) );
+  sky130_fd_sc_hd__a22oi_1 U85396 ( .A1(n64377), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[645]), .B1(n64261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[869]), .Y(n66622) );
+  sky130_fd_sc_hd__a22oi_1 U85397 ( .A1(n64374), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[293]), .B1(n64378), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[453]), .Y(n66621) );
+  sky130_fd_sc_hd__nand4_1 U85398 ( .A(n66624), .B(n66623), .C(n66622), .D(
+        n66621), .Y(n66640) );
+  sky130_fd_sc_hd__a22oi_1 U85399 ( .A1(n64385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[677]), .B1(n66525), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[805]), .Y(n66628) );
+  sky130_fd_sc_hd__a22oi_1 U85400 ( .A1(n64379), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[101]), .B1(n64376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[389]), .Y(n66627) );
+  sky130_fd_sc_hd__a22oi_1 U85401 ( .A1(n63012), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[165]), .B1(n64386), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[229]), .Y(n66626) );
+  sky130_fd_sc_hd__a22oi_1 U85402 ( .A1(n64262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[197]), .B1(n64263), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[613]), .Y(n66625) );
+  sky130_fd_sc_hd__nand4_1 U85403 ( .A(n66628), .B(n66627), .C(n66626), .D(
+        n66625), .Y(n66639) );
+  sky130_fd_sc_hd__a22oi_1 U85404 ( .A1(n64396), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[709]), .B1(n70101), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[741]), .Y(n66633) );
+  sky130_fd_sc_hd__a22oi_1 U85406 ( .A1(n64395), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[357]), .B1(n66629), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[837]), .Y(n66632) );
+  sky130_fd_sc_hd__a22oi_1 U85407 ( .A1(n64394), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[133]), .B1(n37076), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[933]), .Y(n66631) );
+  sky130_fd_sc_hd__a22oi_1 U85408 ( .A1(n64254), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[421]), .B1(n64442), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[965]), .Y(n66630) );
+  sky130_fd_sc_hd__nand4_1 U85409 ( .A(n66633), .B(n66632), .C(n66631), .D(
+        n66630), .Y(n66637) );
+  sky130_fd_sc_hd__a22oi_1 U85410 ( .A1(n64384), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[517]), .B1(n64403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[549]), .Y(n66636) );
+  sky130_fd_sc_hd__a22oi_1 U85411 ( .A1(n70107), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[37]), .B1(n70059), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[581]), .Y(n66635) );
+  sky130_fd_sc_hd__a22oi_1 U85412 ( .A1(n69311), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[5]), .B1(n70058), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[485]), .Y(n66634) );
+  sky130_fd_sc_hd__nand4b_1 U85413 ( .A_N(n66637), .B(n66636), .C(n66635), .D(
+        n66634), .Y(n66638) );
+  sky130_fd_sc_hd__nor3_1 U85414 ( .A(n66640), .B(n66639), .C(n66638), .Y(
+        n66642) );
+  sky130_fd_sc_hd__o22ai_1 U85415 ( .A1(n66642), .A2(n70071), .B1(n66641), 
+        .B2(n70115), .Y(n66643) );
+  sky130_fd_sc_hd__a21oi_1 U85416 ( .A1(n70119), .A2(n85559), .B1(n66643), .Y(
+        n66644) );
+  sky130_fd_sc_hd__o21ai_1 U85417 ( .A1(n70122), .A2(n66645), .B1(n66644), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N560) );
+  sky130_fd_sc_hd__nand2_1 U85418 ( .A(n69927), .B(n66647), .Y(n66649) );
+  sky130_fd_sc_hd__xor2_1 U85419 ( .A(n66649), .B(n66648), .X(n66650) );
+  sky130_fd_sc_hd__nand2_1 U85420 ( .A(n66650), .B(n69929), .Y(n66654) );
+  sky130_fd_sc_hd__a22oi_1 U85421 ( .A1(n69796), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[13]), .B1(n69931), .B2(n37028), .Y(n66653) );
+  sky130_fd_sc_hd__nand2_1 U85422 ( .A(n66651), .B(n86393), .Y(n66652) );
+  sky130_fd_sc_hd__nand3_1 U85423 ( .A(n66654), .B(n66653), .C(n66652), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N111) );
+  sky130_fd_sc_hd__nand2_1 U85424 ( .A(n69927), .B(n66655), .Y(n69592) );
+  sky130_fd_sc_hd__xor2_1 U85425 ( .A(n69592), .B(n69593), .X(n66656) );
+  sky130_fd_sc_hd__nand2_1 U85426 ( .A(n66656), .B(n69929), .Y(n66660) );
+  sky130_fd_sc_hd__a22oi_1 U85427 ( .A1(n69796), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[14]), .B1(n69931), .B2(n37027), .Y(n66659) );
+  sky130_fd_sc_hd__nand2_1 U85428 ( .A(n66657), .B(n86393), .Y(n66658) );
+  sky130_fd_sc_hd__nand3_1 U85429 ( .A(n66660), .B(n66659), .C(n66658), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N112) );
+  sky130_fd_sc_hd__a22oi_1 U85430 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[806]), .A2(n64319), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[358]), .B2(n70222), .Y(n66664) );
+  sky130_fd_sc_hd__a22oi_1 U85431 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[294]), .A2(n70230), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[230]), .B2(n70152), .Y(n66663) );
+  sky130_fd_sc_hd__a22oi_1 U85432 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[966]), .A2(n70244), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[646]), .B2(n70212), .Y(n66662) );
+  sky130_fd_sc_hd__nand2_1 U85433 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[902]), .B(n64325), .Y(n66661) );
+  sky130_fd_sc_hd__nand4_1 U85434 ( .A(n66664), .B(n66663), .C(n66662), .D(
+        n66661), .Y(n66680) );
+  sky130_fd_sc_hd__a22oi_1 U85435 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[614]), .A2(n70213), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[422]), .B2(n70243), .Y(n66668) );
+  sky130_fd_sc_hd__a22oi_1 U85436 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[870]), .A2(n64638), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[326]), .B2(n70214), .Y(n66667) );
+  sky130_fd_sc_hd__a22oi_1 U85437 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[934]), .A2(n64326), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[390]), .B2(n70237), .Y(n66666) );
+  sky130_fd_sc_hd__a22oi_1 U85438 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[678]), .A2(n70224), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[774]), .B2(n70234), .Y(n66665) );
+  sky130_fd_sc_hd__nand4_1 U85439 ( .A(n66668), .B(n66667), .C(n66666), .D(
+        n66665), .Y(n66679) );
+  sky130_fd_sc_hd__a22oi_1 U85440 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[838]), .A2(n70238), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[518]), .B2(n70221), .Y(n66672) );
+  sky130_fd_sc_hd__a22oi_1 U85441 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[6]), .A2(n70223), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[262]), .B2(n70245), .Y(n66671) );
+  sky130_fd_sc_hd__a22oi_1 U85442 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[134]), .A2(n70150), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[710]), .B2(n70216), .Y(n66670) );
+  sky130_fd_sc_hd__a22oi_1 U85443 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[550]), .A2(n70215), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[198]), .B2(n69952), .Y(n66669) );
+  sky130_fd_sc_hd__nand4_1 U85444 ( .A(n66672), .B(n66671), .C(n66670), .D(
+        n66669), .Y(n66678) );
+  sky130_fd_sc_hd__a22oi_1 U85445 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[742]), .A2(n64330), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[70]), .B2(n70246), .Y(n66676) );
+  sky130_fd_sc_hd__a22oi_1 U85446 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[486]), .A2(n70211), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[38]), .B2(n70231), .Y(n66675) );
+  sky130_fd_sc_hd__a22oi_1 U85447 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[102]), .A2(n68781), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[166]), .B2(n70229), .Y(n66674) );
+  sky130_fd_sc_hd__a22oi_1 U85448 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[582]), .A2(n70236), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[454]), .B2(n70235), .Y(n66673) );
+  sky130_fd_sc_hd__nand4_1 U85449 ( .A(n66676), .B(n66675), .C(n66674), .D(
+        n66673), .Y(n66677) );
+  sky130_fd_sc_hd__nor4_1 U85450 ( .A(n66680), .B(n66679), .C(n66678), .D(
+        n66677), .Y(n66682) );
+  sky130_fd_sc_hd__nand2_1 U85451 ( .A(n65334), .B(n85560), .Y(n66681) );
+  sky130_fd_sc_hd__o21ai_1 U85452 ( .A1(n65334), .A2(n66682), .B1(n66681), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[6]) );
+  sky130_fd_sc_hd__a22oi_1 U85453 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[862]), .A2(n70238), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[670]), .B2(n70212), .Y(n66686) );
+  sky130_fd_sc_hd__a22oi_1 U85454 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[382]), .A2(n70222), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[830]), .B2(n64319), .Y(n66685) );
+  sky130_fd_sc_hd__a22oi_1 U85455 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[542]), .A2(n70221), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[638]), .B2(n70213), .Y(n66684) );
+  sky130_fd_sc_hd__nand2_1 U85456 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[286]), .B(n70245), .Y(n66683) );
+  sky130_fd_sc_hd__nand4_1 U85457 ( .A(n66686), .B(n66685), .C(n66684), .D(
+        n66683), .Y(n66702) );
+  sky130_fd_sc_hd__a22oi_1 U85458 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[574]), .A2(n70215), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[958]), .B2(n64326), .Y(n66690) );
+  sky130_fd_sc_hd__a22oi_1 U85459 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[94]), .A2(n70246), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[222]), .B2(n69952), .Y(n66689) );
+  sky130_fd_sc_hd__a22oi_1 U85460 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[446]), .A2(n70243), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[30]), .B2(n70223), .Y(n66688) );
+  sky130_fd_sc_hd__a22oi_1 U85461 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[766]), .A2(n64330), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[478]), .B2(n70235), .Y(n66687) );
+  sky130_fd_sc_hd__nand4_1 U85462 ( .A(n66690), .B(n66689), .C(n66688), .D(
+        n66687), .Y(n66701) );
+  sky130_fd_sc_hd__a22oi_1 U85463 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[350]), .A2(n70214), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[254]), .B2(n70152), .Y(n66694) );
+  sky130_fd_sc_hd__a22oi_1 U85464 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[510]), .A2(n70211), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[894]), .B2(n64638), .Y(n66693) );
+  sky130_fd_sc_hd__a22oi_1 U85465 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[734]), .A2(n70216), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[606]), .B2(n70236), .Y(n66692) );
+  sky130_fd_sc_hd__a22oi_1 U85466 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[158]), .A2(n70150), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[990]), .B2(n70244), .Y(n66691) );
+  sky130_fd_sc_hd__nand4_1 U85467 ( .A(n66694), .B(n66693), .C(n66692), .D(
+        n66691), .Y(n66700) );
+  sky130_fd_sc_hd__a22oi_1 U85468 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[318]), .A2(n70230), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[702]), .B2(n70224), .Y(n66698) );
+  sky130_fd_sc_hd__a22oi_1 U85469 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[798]), .A2(n70234), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[126]), .B2(n68781), .Y(n66697) );
+  sky130_fd_sc_hd__a22oi_1 U85470 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[926]), .A2(n64325), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[414]), .B2(n70237), .Y(n66696) );
+  sky130_fd_sc_hd__a22oi_1 U85471 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[62]), .A2(n70231), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[190]), .B2(n70229), .Y(n66695) );
+  sky130_fd_sc_hd__nand4_1 U85472 ( .A(n66698), .B(n66697), .C(n66696), .D(
+        n66695), .Y(n66699) );
+  sky130_fd_sc_hd__nor4_1 U85473 ( .A(n66702), .B(n66701), .C(n66700), .D(
+        n66699), .Y(n66704) );
+  sky130_fd_sc_hd__nand2_1 U85474 ( .A(n65334), .B(n85618), .Y(n66703) );
+  sky130_fd_sc_hd__o21ai_1 U85475 ( .A1(n65334), .A2(n66704), .B1(n66703), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[30]) );
+  sky130_fd_sc_hd__a22oi_1 U85476 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[14]), .A2(n66740), .B1(n69678), .B2(n66739), .Y(n66762) );
+  sky130_fd_sc_hd__nor2_1 U85477 ( .A(n66741), .B(n66745), .Y(n66761) );
+  sky130_fd_sc_hd__o22ai_1 U85478 ( .A1(n66747), .A2(n69619), .B1(n66746), 
+        .B2(n69618), .Y(n66705) );
+  sky130_fd_sc_hd__nor2_1 U85479 ( .A(n66761), .B(n66705), .Y(n66706) );
+  sky130_fd_sc_hd__o21ai_1 U85480 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_size[1]), .A2(n66762), .B1(n66706), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N489) );
+  sky130_fd_sc_hd__a22oi_1 U85481 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[13]), .A2(n66740), .B1(n69681), .B2(n66739), .Y(n66765) );
+  sky130_fd_sc_hd__nor2_1 U85482 ( .A(n66741), .B(n66749), .Y(n66764) );
+  sky130_fd_sc_hd__o22ai_1 U85483 ( .A1(n66747), .A2(n69622), .B1(n66746), 
+        .B2(n69621), .Y(n66707) );
+  sky130_fd_sc_hd__nor2_1 U85484 ( .A(n66764), .B(n66707), .Y(n66708) );
+  sky130_fd_sc_hd__a22oi_1 U85486 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[12]), .A2(n70223), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[748]), .B2(n64330), .Y(n66712) );
+  sky130_fd_sc_hd__a22oi_1 U85487 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[172]), .A2(n70229), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[364]), .B2(n70222), .Y(n66711) );
+  sky130_fd_sc_hd__a22oi_1 U85488 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[76]), .A2(n70246), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[652]), .B2(n70212), .Y(n66710) );
+  sky130_fd_sc_hd__nand2_1 U85489 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[876]), .B(n64638), .Y(n66709) );
+  sky130_fd_sc_hd__nand4_1 U85490 ( .A(n66712), .B(n66711), .C(n66710), .D(
+        n66709), .Y(n66728) );
+  sky130_fd_sc_hd__a22oi_1 U85491 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[556]), .A2(n70215), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[236]), .B2(n70152), .Y(n66716) );
+  sky130_fd_sc_hd__a22oi_1 U85492 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[44]), .A2(n70231), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[492]), .B2(n70211), .Y(n66715) );
+  sky130_fd_sc_hd__a22oi_1 U85493 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[716]), .A2(n70216), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[108]), .B2(n68781), .Y(n66714) );
+  sky130_fd_sc_hd__a22oi_1 U85494 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[972]), .A2(n70244), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[140]), .B2(n70150), .Y(n66713) );
+  sky130_fd_sc_hd__nand4_1 U85495 ( .A(n66716), .B(n66715), .C(n66714), .D(
+        n66713), .Y(n66727) );
+  sky130_fd_sc_hd__a22oi_1 U85496 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[684]), .A2(n70224), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[332]), .B2(n70214), .Y(n66720) );
+  sky130_fd_sc_hd__a22oi_1 U85497 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[460]), .A2(n70235), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[396]), .B2(n70237), .Y(n66719) );
+  sky130_fd_sc_hd__a22oi_1 U85498 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[268]), .A2(n70245), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[908]), .B2(n64325), .Y(n66718) );
+  sky130_fd_sc_hd__a22oi_1 U85499 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[204]), .A2(n69952), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[844]), .B2(n70238), .Y(n66717) );
+  sky130_fd_sc_hd__nand4_1 U85500 ( .A(n66720), .B(n66719), .C(n66718), .D(
+        n66717), .Y(n66726) );
+  sky130_fd_sc_hd__a22oi_1 U85501 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[940]), .A2(n64326), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[780]), .B2(n70234), .Y(n66724) );
+  sky130_fd_sc_hd__a22oi_1 U85502 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[812]), .A2(n64319), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[300]), .B2(n70230), .Y(n66723) );
+  sky130_fd_sc_hd__a22oi_1 U85503 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[620]), .A2(n70213), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[524]), .B2(n70221), .Y(n66722) );
+  sky130_fd_sc_hd__a22oi_1 U85504 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[428]), .A2(n70243), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[588]), .B2(n70236), .Y(n66721) );
+  sky130_fd_sc_hd__nand4_1 U85505 ( .A(n66724), .B(n66723), .C(n66722), .D(
+        n66721), .Y(n66725) );
+  sky130_fd_sc_hd__nor4_1 U85506 ( .A(n66728), .B(n66727), .C(n66726), .D(
+        n66725), .Y(n66730) );
+  sky130_fd_sc_hd__nand2_1 U85507 ( .A(n65334), .B(n85561), .Y(n66729) );
+  sky130_fd_sc_hd__a22oi_1 U85509 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[12]), .A2(n66740), .B1(n69684), .B2(n66739), .Y(n66768) );
+  sky130_fd_sc_hd__nor2_1 U85510 ( .A(n66741), .B(n66752), .Y(n66767) );
+  sky130_fd_sc_hd__o22ai_1 U85511 ( .A1(n66747), .A2(n69626), .B1(n66746), 
+        .B2(n69625), .Y(n66731) );
+  sky130_fd_sc_hd__nor2_1 U85512 ( .A(n66767), .B(n66731), .Y(n66732) );
+  sky130_fd_sc_hd__o21ai_1 U85513 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_size[1]), .A2(n66768), .B1(n66732), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N487) );
+  sky130_fd_sc_hd__a22oi_1 U85514 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[11]), .A2(n66740), .B1(n69687), .B2(n66739), .Y(n66771) );
+  sky130_fd_sc_hd__nor2_1 U85515 ( .A(n66741), .B(n66754), .Y(n66770) );
+  sky130_fd_sc_hd__o22ai_1 U85516 ( .A1(n66747), .A2(n69630), .B1(n66746), 
+        .B2(n69629), .Y(n66733) );
+  sky130_fd_sc_hd__nor2_1 U85517 ( .A(n66770), .B(n66733), .Y(n66734) );
+  sky130_fd_sc_hd__o21ai_1 U85518 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_size[1]), .A2(n66771), .B1(n66734), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N486) );
+  sky130_fd_sc_hd__a22oi_1 U85519 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[10]), .A2(n66740), .B1(n69690), .B2(n66739), .Y(n66774) );
+  sky130_fd_sc_hd__nor2_1 U85520 ( .A(n66741), .B(n66756), .Y(n66773) );
+  sky130_fd_sc_hd__o22ai_1 U85521 ( .A1(n66747), .A2(n69634), .B1(n66746), 
+        .B2(n69633), .Y(n66735) );
+  sky130_fd_sc_hd__nor2_1 U85522 ( .A(n66773), .B(n66735), .Y(n66736) );
+  sky130_fd_sc_hd__o21ai_1 U85523 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_size[1]), .A2(n66774), .B1(n66736), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N485) );
+  sky130_fd_sc_hd__a22oi_1 U85524 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[9]), .A2(n66740), .B1(n69693), .B2(n66739), .Y(n66776) );
+  sky130_fd_sc_hd__nand2_1 U85525 ( .A(n85617), .B(n66737), .Y(n66777) );
+  sky130_fd_sc_hd__o22a_1 U85526 ( .A1(n66747), .A2(n69638), .B1(n66746), .B2(
+        n69637), .X(n66738) );
+  sky130_fd_sc_hd__o211ai_1 U85527 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_size[1]), .A2(n66776), .B1(n66777), .C1(n66738), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N484) );
+  sky130_fd_sc_hd__a22oi_1 U85528 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[8]), .A2(n66740), .B1(n69698), .B2(n66739), .Y(n66779) );
+  sky130_fd_sc_hd__nor2_1 U85529 ( .A(n66741), .B(n69710), .Y(n66778) );
+  sky130_fd_sc_hd__o22ai_1 U85530 ( .A1(n66747), .A2(n69642), .B1(n66746), 
+        .B2(n69641), .Y(n66742) );
+  sky130_fd_sc_hd__nor2_1 U85531 ( .A(n66778), .B(n66742), .Y(n66743) );
+  sky130_fd_sc_hd__o22a_1 U85533 ( .A1(n66747), .A2(n69650), .B1(n66746), .B2(
+        n69649), .X(n66744) );
+  sky130_fd_sc_hd__o22a_1 U85535 ( .A1(n66747), .A2(n69654), .B1(n66746), .B2(
+        n69653), .X(n66748) );
+  sky130_fd_sc_hd__o21ai_1 U85536 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_size[1]), .A2(n66749), .B1(n66748), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N480) );
+  sky130_fd_sc_hd__a22oi_1 U85537 ( .A1(n66758), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[20]), .B1(n69660), .B2(n66757), .Y(n66751) );
+  sky130_fd_sc_hd__o21ai_1 U85538 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_size[1]), .A2(n66752), .B1(n66751), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N479) );
+  sky130_fd_sc_hd__a22oi_1 U85539 ( .A1(n66758), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[19]), .B1(n69663), .B2(n66757), .Y(n66753) );
+  sky130_fd_sc_hd__a22oi_1 U85541 ( .A1(n66758), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[18]), .B1(n69666), .B2(n66757), .Y(n66755) );
+  sky130_fd_sc_hd__o21ai_1 U85542 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_size[1]), .A2(n66756), .B1(n66755), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N477) );
+  sky130_fd_sc_hd__a22oi_1 U85543 ( .A1(n66758), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[17]), .B1(n69669), .B2(n66757), .Y(n66759) );
+  sky130_fd_sc_hd__nand2_1 U85545 ( .A(n66763), .B(n66762), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N473) );
+  sky130_fd_sc_hd__nand2_1 U85546 ( .A(n66766), .B(n66765), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N472) );
+  sky130_fd_sc_hd__nand2_1 U85547 ( .A(n66769), .B(n66768), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N471) );
+  sky130_fd_sc_hd__nand2_1 U85548 ( .A(n66772), .B(n66771), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N470) );
+  sky130_fd_sc_hd__nand2_1 U85549 ( .A(n66775), .B(n66774), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N469) );
+  sky130_fd_sc_hd__nand2_1 U85550 ( .A(n66777), .B(n66776), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N468) );
+  sky130_fd_sc_hd__nand2_1 U85551 ( .A(n66780), .B(n66779), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N467) );
+  sky130_fd_sc_hd__nand2_1 U85552 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[26]), .B(n36766), .Y(n66781) );
+  sky130_fd_sc_hd__o21ai_0 U85553 ( .A1(n36766), .A2(n66808), .B1(n66781), .Y(
+        n362) );
+  sky130_fd_sc_hd__nand2_1 U85554 ( .A(n75701), .B(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_26_o_oe), .Y(n66782) );
+  sky130_fd_sc_hd__o21ai_0 U85555 ( .A1(n66808), .A2(n75701), .B1(n66782), .Y(
+        n413) );
+  sky130_fd_sc_hd__nand2_1 U85556 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[26]), .B(n37067), .Y(n66783) );
+  sky130_fd_sc_hd__o21ai_0 U85557 ( .A1(n37067), .A2(n66808), .B1(n66783), .Y(
+        n337) );
+  sky130_fd_sc_hd__a22oi_1 U85558 ( .A1(n85836), .A2(n67196), .B1(n73491), 
+        .B2(n66784), .Y(n66785) );
+  sky130_fd_sc_hd__o21ai_1 U85559 ( .A1(n66786), .A2(n73494), .B1(n66785), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N59) );
+  sky130_fd_sc_hd__a21oi_1 U85560 ( .A1(n66789), .A2(n66788), .B1(n66787), .Y(
+        n66790) );
+  sky130_fd_sc_hd__nand2_1 U85561 ( .A(n66791), .B(n66790), .Y(n66793) );
+  sky130_fd_sc_hd__nand2_1 U85562 ( .A(n67405), .B(n49230), .Y(n73361) );
+  sky130_fd_sc_hd__nand2_1 U85563 ( .A(n67403), .B(n66794), .Y(n67379) );
+  sky130_fd_sc_hd__nand2_1 U85564 ( .A(n67403), .B(n66795), .Y(n73359) );
+  sky130_fd_sc_hd__inv_4 U85565 ( .A(n73359), .Y(n73467) );
+  sky130_fd_sc_hd__a222oi_1 U85566 ( .A1(n85836), .A2(n73470), .B1(n73469), 
+        .B2(n66796), .C1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[26]), 
+        .C2(n73467), .Y(n66797) );
+  sky130_fd_sc_hd__inv_1 U85567 ( .A(n66797), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N59) );
+  sky130_fd_sc_hd__nand2b_1 U85568 ( .A_N(n80111), .B(n49230), .Y(n66798) );
+  sky130_fd_sc_hd__nor2_1 U85569 ( .A(n66808), .B(n66798), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N288) );
+  sky130_fd_sc_hd__nand2_1 U85570 ( .A(n67122), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[26]), 
+        .Y(n66801) );
+  sky130_fd_sc_hd__o21ai_0 U85571 ( .A1(n66808), .A2(n67122), .B1(n66801), .Y(
+        n387) );
+  sky130_fd_sc_hd__nand2b_1 U85572 ( .A_N(n80108), .B(n36801), .Y(n66802) );
+  sky130_fd_sc_hd__nor2_1 U85573 ( .A(n66808), .B(n66802), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N395) );
+  sky130_fd_sc_hd__nand2b_1 U85574 ( .A_N(n80110), .B(n86753), .Y(n66803) );
+  sky130_fd_sc_hd__nor2_1 U85575 ( .A(n66808), .B(n66803), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N324) );
+  sky130_fd_sc_hd__nand2b_1 U85576 ( .A_N(n79881), .B(n86753), .Y(n66804) );
+  sky130_fd_sc_hd__nor2_1 U85577 ( .A(n66808), .B(n66804), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N597) );
+  sky130_fd_sc_hd__nand2b_1 U85578 ( .A_N(n79879), .B(n37037), .Y(n66805) );
+  sky130_fd_sc_hd__nor2_1 U85579 ( .A(n66808), .B(n66805), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N667) );
+  sky130_fd_sc_hd__nand2b_1 U85580 ( .A_N(n79882), .B(n86753), .Y(n66806) );
+  sky130_fd_sc_hd__nor2_1 U85581 ( .A(n66808), .B(n66806), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N562) );
+  sky130_fd_sc_hd__nor2_1 U85582 ( .A(n66808), .B(n66807), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N632) );
+  sky130_fd_sc_hd__o21ai_1 U85583 ( .A1(n66809), .A2(n67259), .B1(n83351), .Y(
+        n36137) );
+  sky130_fd_sc_hd__nand2_1 U85584 ( .A(n36801), .B(n66811), .Y(n36158) );
+  sky130_fd_sc_hd__nor2_1 U85585 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_io_full), .B(n85563), .Y(n82418) );
+  sky130_fd_sc_hd__nand2_1 U85586 ( .A(n66840), .B(n82416), .Y(n67268) );
+  sky130_fd_sc_hd__nor2_1 U85587 ( .A(n82418), .B(n67268), .Y(n66834) );
+  sky130_fd_sc_hd__nand2_1 U85588 ( .A(n67279), .B(n66834), .Y(n68348) );
+  sky130_fd_sc_hd__nand2_1 U85589 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[2]), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[3]), .Y(n66815) );
+  sky130_fd_sc_hd__nand2_1 U85590 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[0]), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[1]), .Y(n67042) );
+  sky130_fd_sc_hd__nor2_1 U85591 ( .A(n66815), .B(n67042), .Y(n67026) );
+  sky130_fd_sc_hd__nand2_1 U85592 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[6]), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[7]), .Y(n66816) );
+  sky130_fd_sc_hd__nand2_1 U85593 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[4]), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[5]), .Y(n68290) );
+  sky130_fd_sc_hd__nor2_1 U85594 ( .A(n66816), .B(n68290), .Y(n66817) );
+  sky130_fd_sc_hd__nand2_1 U85595 ( .A(n67026), .B(n66817), .Y(n66821) );
+  sky130_fd_sc_hd__nand2_1 U85596 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[0]), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[1]), .Y(n67132) );
+  sky130_fd_sc_hd__nand2_1 U85597 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[3]), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[2]), .Y(n66818) );
+  sky130_fd_sc_hd__nor2_1 U85598 ( .A(n67132), .B(n66818), .Y(n67069) );
+  sky130_fd_sc_hd__nand2_1 U85599 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[6]), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[7]), .Y(n66819) );
+  sky130_fd_sc_hd__nand2_1 U85600 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[4]), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[5]), .Y(n67590) );
+  sky130_fd_sc_hd__nor2_1 U85601 ( .A(n66819), .B(n67590), .Y(n66820) );
+  sky130_fd_sc_hd__nand2_1 U85602 ( .A(n67069), .B(n66820), .Y(n67028) );
+  sky130_fd_sc_hd__nor2_1 U85603 ( .A(n66821), .B(n67028), .Y(n66829) );
+  sky130_fd_sc_hd__nand2_1 U85604 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[6]), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[7]), .Y(n66822) );
+  sky130_fd_sc_hd__nand2_1 U85605 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[4]), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[5]), .Y(n66842) );
+  sky130_fd_sc_hd__nor2_1 U85606 ( .A(n66822), .B(n66842), .Y(n66824) );
+  sky130_fd_sc_hd__nand2_1 U85607 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[1]), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[0]), .Y(n66876) );
+  sky130_fd_sc_hd__nand2_1 U85608 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[2]), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[3]), .Y(n66823) );
+  sky130_fd_sc_hd__nor2_1 U85609 ( .A(n66876), .B(n66823), .Y(n66841) );
+  sky130_fd_sc_hd__nand2_1 U85610 ( .A(n66824), .B(n66841), .Y(n67280) );
+  sky130_fd_sc_hd__nand2_1 U85611 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[2]), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[3]), .Y(n66825) );
+  sky130_fd_sc_hd__nand2_1 U85612 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[0]), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[1]), .Y(n67281) );
+  sky130_fd_sc_hd__nor2_1 U85613 ( .A(n66825), .B(n67281), .Y(n68384) );
+  sky130_fd_sc_hd__nand2_1 U85614 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[6]), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[7]), .Y(n66826) );
+  sky130_fd_sc_hd__nand2_1 U85615 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[4]), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[5]), .Y(n68385) );
+  sky130_fd_sc_hd__nor2_1 U85616 ( .A(n66826), .B(n68385), .Y(n66827) );
+  sky130_fd_sc_hd__nand2_1 U85617 ( .A(n68384), .B(n66827), .Y(n66828) );
+  sky130_fd_sc_hd__nor2_1 U85618 ( .A(n67280), .B(n66828), .Y(n67027) );
+  sky130_fd_sc_hd__nand2_1 U85619 ( .A(n66829), .B(n67027), .Y(n66856) );
+  sky130_fd_sc_hd__nand2_1 U85621 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[2]), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[3]), .Y(n66830) );
+  sky130_fd_sc_hd__nand2_1 U85622 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[0]), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[1]), .Y(n66871) );
+  sky130_fd_sc_hd__nor2_1 U85623 ( .A(n66830), .B(n66871), .Y(n66853) );
+  sky130_fd_sc_hd__nand2_1 U85624 ( .A(n73348), .B(n66853), .Y(n66880) );
+  sky130_fd_sc_hd__nand2_1 U85625 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[4]), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[5]), .Y(n66850) );
+  sky130_fd_sc_hd__nand2_1 U85626 ( .A(n67580), .B(n66831), .Y(n67352) );
+  sky130_fd_sc_hd__nor2_1 U85627 ( .A(n76897), .B(n67352), .Y(n66832) );
+  sky130_fd_sc_hd__xnor2_1 U85628 ( .A(n66833), .B(n66832), .Y(n66835) );
+  sky130_fd_sc_hd__nor2_1 U85629 ( .A(n67285), .B(n66834), .Y(n68345) );
+  sky130_fd_sc_hd__a22oi_1 U85630 ( .A1(n73352), .A2(n66835), .B1(n68345), 
+        .B2(MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[7]), .Y(n66836) );
+  sky130_fd_sc_hd__o21ai_0 U85631 ( .A1(n82413), .A2(n68348), .B1(n66836), .Y(
+        MarmotCaravelChip_dut_sys_clint_N97) );
+  sky130_fd_sc_hd__nor2_1 U85632 ( .A(n66839), .B(n66837), .Y(n86566) );
+  sky130_fd_sc_hd__nor2_1 U85633 ( .A(n66839), .B(n66838), .Y(n86565) );
+  sky130_fd_sc_hd__nand2_1 U85634 ( .A(n77644), .B(n66840), .Y(n67278) );
+  sky130_fd_sc_hd__nor2_1 U85635 ( .A(n82418), .B(n67278), .Y(n66844) );
+  sky130_fd_sc_hd__nand2_1 U85636 ( .A(n67279), .B(n66844), .Y(n68421) );
+  sky130_fd_sc_hd__nor2_1 U85637 ( .A(n66842), .B(n68410), .Y(n68407) );
+  sky130_fd_sc_hd__nand2_1 U85638 ( .A(n68407), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[6]), .Y(n66843) );
+  sky130_fd_sc_hd__xor2_1 U85639 ( .A(n66843), .B(n76931), .X(n66845) );
+  sky130_fd_sc_hd__nor2_1 U85640 ( .A(n66844), .B(n67285), .Y(n68418) );
+  sky130_fd_sc_hd__a22oi_1 U85641 ( .A1(n73352), .A2(n66845), .B1(n68418), 
+        .B2(MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[7]), .Y(n66846) );
+  sky130_fd_sc_hd__o21ai_0 U85642 ( .A1(n82413), .A2(n68421), .B1(n66846), .Y(
+        MarmotCaravelChip_dut_sys_clint_N65) );
+  sky130_fd_sc_hd__nor2_1 U85643 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_io_full), .B(n85564), .Y(n77650) );
+  sky130_fd_sc_hd__nor2_1 U85644 ( .A(n77650), .B(n67268), .Y(n66857) );
+  sky130_fd_sc_hd__nor2_1 U85645 ( .A(n67285), .B(n66847), .Y(n68310) );
+  sky130_fd_sc_hd__nand2_1 U85646 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[2]), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[3]), .Y(n66848) );
+  sky130_fd_sc_hd__nand2_1 U85647 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[0]), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[1]), .Y(n67272) );
+  sky130_fd_sc_hd__nor2_1 U85648 ( .A(n66848), .B(n67272), .Y(n68322) );
+  sky130_fd_sc_hd__nand2_1 U85649 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[4]), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[5]), .Y(n68324) );
+  sky130_fd_sc_hd__nor2_1 U85650 ( .A(n76880), .B(n68324), .Y(n66849) );
+  sky130_fd_sc_hd__nand2_1 U85651 ( .A(n68322), .B(n66849), .Y(n66854) );
+  sky130_fd_sc_hd__nand2_1 U85652 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[6]), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[7]), .Y(n66851) );
+  sky130_fd_sc_hd__nor2_1 U85653 ( .A(n66851), .B(n66850), .Y(n66852) );
+  sky130_fd_sc_hd__nand2_1 U85654 ( .A(n66853), .B(n66852), .Y(n67270) );
+  sky130_fd_sc_hd__nor2_1 U85655 ( .A(n66854), .B(n67270), .Y(n73347) );
+  sky130_fd_sc_hd__nand2_1 U85656 ( .A(n73347), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[7]), .Y(n66855) );
+  sky130_fd_sc_hd__nor2_1 U85657 ( .A(n66856), .B(n66855), .Y(n68318) );
+  sky130_fd_sc_hd__nor2_1 U85658 ( .A(n67285), .B(n66857), .Y(n68309) );
+  sky130_fd_sc_hd__a222oi_1 U85659 ( .A1(n85864), .A2(n68310), .B1(n73352), 
+        .B2(n66858), .C1(MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[3]), 
+        .C2(n68309), .Y(n66859) );
+  sky130_fd_sc_hd__nand2_1 U85660 ( .A(n66860), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[2]), .Y(
+        n68038) );
+  sky130_fd_sc_hd__nor2_1 U85661 ( .A(n67680), .B(n68038), .Y(n86561) );
+  sky130_fd_sc_hd__nand2_1 U85662 ( .A(n66861), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[2]), .Y(
+        n68037) );
+  sky130_fd_sc_hd__nor2_1 U85663 ( .A(n68037), .B(n67720), .Y(n86560) );
+  sky130_fd_sc_hd__nor2_1 U85664 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_io_full), .B(n85565), .Y(n77648) );
+  sky130_fd_sc_hd__nor2_1 U85665 ( .A(n77648), .B(n67268), .Y(n66864) );
+  sky130_fd_sc_hd__ha_1 U85666 ( .A(n66863), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[6]), .COUT(n68422), .SUM(
+        n66865) );
+  sky130_fd_sc_hd__nor2_1 U85667 ( .A(n67285), .B(n66864), .Y(n68423) );
+  sky130_fd_sc_hd__a222oi_1 U85668 ( .A1(n85875), .A2(n68425), .B1(n73352), 
+        .B2(n66865), .C1(MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[6]), 
+        .C2(n68423), .Y(n66866) );
+  sky130_fd_sc_hd__mux2_2 U85669 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_param[5]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_param[2]), .S(n55502), .X(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_in_xbar_auto_out_a_bits_param_2_) );
+  sky130_fd_sc_hd__nand2_1 U85670 ( .A(n66867), .B(n85567), .Y(n36370) );
+  sky130_fd_sc_hd__nand2_1 U85671 ( .A(n85568), .B(n66867), .Y(n36372) );
+  sky130_fd_sc_hd__ha_1 U85672 ( .A(n66868), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[3]), .COUT(n68294), .SUM(
+        n66858) );
+  sky130_fd_sc_hd__a222oi_1 U85673 ( .A1(n85865), .A2(n68310), .B1(n73352), 
+        .B2(n66869), .C1(MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[4]), 
+        .C2(n68309), .Y(n66870) );
+  sky130_fd_sc_hd__nand2_1 U85674 ( .A(n73348), .B(n66872), .Y(n68341) );
+  sky130_fd_sc_hd__nor2_1 U85675 ( .A(n76891), .B(n68341), .Y(n66873) );
+  sky130_fd_sc_hd__xnor2_1 U85676 ( .A(n76885), .B(n66873), .Y(n66874) );
+  sky130_fd_sc_hd__a22oi_1 U85677 ( .A1(n73352), .A2(n66874), .B1(n68345), 
+        .B2(MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[3]), .Y(n66875) );
+  sky130_fd_sc_hd__o21ai_1 U85678 ( .A1(n82409), .A2(n68348), .B1(n66875), .Y(
+        MarmotCaravelChip_dut_sys_clint_N93) );
+  sky130_fd_sc_hd__nand2_1 U85679 ( .A(n68413), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[2]), .Y(n66877) );
+  sky130_fd_sc_hd__xor2_1 U85680 ( .A(n66877), .B(n76939), .X(n66878) );
+  sky130_fd_sc_hd__a22oi_1 U85681 ( .A1(n73352), .A2(n66878), .B1(n68418), 
+        .B2(MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[3]), .Y(n66879) );
+  sky130_fd_sc_hd__o21ai_1 U85682 ( .A1(n82409), .A2(n68421), .B1(n66879), .Y(
+        MarmotCaravelChip_dut_sys_clint_N61) );
+  sky130_fd_sc_hd__nor2_1 U85683 ( .A(n76889), .B(n66880), .Y(n66881) );
+  sky130_fd_sc_hd__xnor2_1 U85684 ( .A(n66882), .B(n66881), .Y(n66883) );
+  sky130_fd_sc_hd__a22oi_1 U85685 ( .A1(n73352), .A2(n66883), .B1(n68345), 
+        .B2(MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[5]), .Y(n66884) );
+  sky130_fd_sc_hd__nor2_1 U85687 ( .A(n76938), .B(n68410), .Y(n66885) );
+  sky130_fd_sc_hd__xnor2_1 U85688 ( .A(n76932), .B(n66885), .Y(n66886) );
+  sky130_fd_sc_hd__a22oi_1 U85689 ( .A1(n73352), .A2(n66886), .B1(n68418), 
+        .B2(MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[5]), .Y(n66887) );
+  sky130_fd_sc_hd__o21ai_1 U85690 ( .A1(n82411), .A2(n68421), .B1(n66887), .Y(
+        MarmotCaravelChip_dut_sys_clint_N63) );
+  sky130_fd_sc_hd__nand3_1 U85691 ( .A(n66942), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[4]), .C(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[3]), .Y(
+        n67018) );
+  sky130_fd_sc_hd__nand3_1 U85692 ( .A(n66942), .B(n66973), .C(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[4]), .Y(
+        n67058) );
+  sky130_fd_sc_hd__nand2_1 U85693 ( .A(n66896), .B(n66892), .Y(n66950) );
+  sky130_fd_sc_hd__nand2_1 U85694 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), .B(
+        n66888), .Y(n67540) );
+  sky130_fd_sc_hd__o22ai_1 U85695 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[16]), 
+        .A2(n67540), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[15]), 
+        .B2(n67342), .Y(n66891) );
+  sky130_fd_sc_hd__nor2_1 U85696 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), .B(
+        n66896), .Y(n66889) );
+  sky130_fd_sc_hd__nand2_1 U85697 ( .A(n66889), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), .Y(
+        n67583) );
+  sky130_fd_sc_hd__nand2_1 U85698 ( .A(n66889), .B(n66892), .Y(n67019) );
+  sky130_fd_sc_hd__o22ai_1 U85699 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[21]), 
+        .A2(n67583), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[19]), 
+        .B2(n67019), .Y(n66890) );
+  sky130_fd_sc_hd__nor3_1 U85700 ( .A(n67058), .B(n66891), .C(n66890), .Y(
+        n66904) );
+  sky130_fd_sc_hd__nand3_1 U85701 ( .A(n66892), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[0]), .C(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), .Y(
+        n67059) );
+  sky130_fd_sc_hd__nand3_1 U85702 ( .A(n66896), .B(n66897), .C(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[1]), .Y(
+        n67036) );
+  sky130_fd_sc_hd__o22ai_1 U85703 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[20]), 
+        .A2(n67059), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[17]), 
+        .B2(n67036), .Y(n66895) );
+  sky130_fd_sc_hd__nand2_1 U85704 ( .A(n66893), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[2]), .Y(
+        n67670) );
+  sky130_fd_sc_hd__nand2_1 U85705 ( .A(n66893), .B(n66896), .Y(n67420) );
+  sky130_fd_sc_hd__o22ai_1 U85706 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[22]), 
+        .A2(n67670), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[18]), 
+        .B2(n67420), .Y(n66894) );
+  sky130_fd_sc_hd__nor2_1 U85707 ( .A(n66895), .B(n66894), .Y(n66903) );
+  sky130_fd_sc_hd__nand3_1 U85708 ( .A(n66973), .B(n66914), .C(n66942), .Y(
+        n78175) );
+  sky130_fd_sc_hd__o21bai_1 U85709 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[0]), .A2(
+        n66950), .B1_N(n78175), .Y(n66901) );
+  sky130_fd_sc_hd__nand2_1 U85710 ( .A(n66897), .B(n66896), .Y(n66951) );
+  sky130_fd_sc_hd__o22ai_1 U85711 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[1]), .A2(
+        n66951), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[3]), .B2(
+        n67019), .Y(n66900) );
+  sky130_fd_sc_hd__o22ai_1 U85712 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[4]), .A2(
+        n67059), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[6]), .B2(
+        n67670), .Y(n66899) );
+  sky130_fd_sc_hd__o22ai_1 U85713 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[2]), .A2(
+        n67420), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[5]), .B2(
+        n67583), .Y(n66898) );
+  sky130_fd_sc_hd__nor4_1 U85714 ( .A(n66901), .B(n66900), .C(n66899), .D(
+        n66898), .Y(n66902) );
+  sky130_fd_sc_hd__a21oi_1 U85715 ( .A1(n66904), .A2(n66903), .B1(n66902), .Y(
+        n66949) );
+  sky130_fd_sc_hd__nand2_1 U85716 ( .A(n66905), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[3]), .Y(
+        n78152) );
+  sky130_fd_sc_hd__a21oi_1 U85717 ( .A1(n66998), .A2(n66906), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[3]), .Y(
+        n66907) );
+  sky130_fd_sc_hd__o22ai_1 U85719 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[52]), 
+        .A2(n67059), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[51]), 
+        .B2(n67019), .Y(n66910) );
+  sky130_fd_sc_hd__o22ai_1 U85720 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[49]), 
+        .A2(n67036), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[53]), 
+        .B2(n67583), .Y(n66909) );
+  sky130_fd_sc_hd__o22ai_1 U85721 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[50]), 
+        .A2(n67420), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[54]), 
+        .B2(n67670), .Y(n66908) );
+  sky130_fd_sc_hd__or4_1 U85722 ( .A(n66911), .B(n66910), .C(n66909), .D(
+        n66908), .X(n66912) );
+  sky130_fd_sc_hd__o21ai_1 U85723 ( .A1(n78152), .A2(n66913), .B1(n66912), .Y(
+        n66927) );
+  sky130_fd_sc_hd__o22ai_1 U85724 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[8]), .A2(
+        n67540), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[7]), .B2(
+        n67342), .Y(n66925) );
+  sky130_fd_sc_hd__o22ai_1 U85725 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[11]), 
+        .A2(n67019), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[14]), 
+        .B2(n67670), .Y(n66917) );
+  sky130_fd_sc_hd__o22ai_1 U85726 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[9]), .A2(
+        n67036), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[10]), 
+        .B2(n67420), .Y(n66916) );
+  sky130_fd_sc_hd__nand3_1 U85727 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[3]), .B(
+        n66914), .C(n66942), .Y(n78155) );
+  sky130_fd_sc_hd__o22ai_1 U85728 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[12]), 
+        .A2(n67059), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[13]), 
+        .B2(n67583), .Y(n66915) );
+  sky130_fd_sc_hd__or4_1 U85729 ( .A(n66917), .B(n66916), .C(n78155), .D(
+        n66915), .X(n66924) );
+  sky130_fd_sc_hd__o22ai_1 U85730 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[28]), 
+        .A2(n67059), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[25]), 
+        .B2(n67036), .Y(n66922) );
+  sky130_fd_sc_hd__o22ai_1 U85731 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[26]), 
+        .A2(n67420), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[30]), 
+        .B2(n67670), .Y(n66921) );
+  sky130_fd_sc_hd__o22ai_1 U85732 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[24]), 
+        .A2(n67540), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[23]), 
+        .B2(n67342), .Y(n66919) );
+  sky130_fd_sc_hd__o22ai_1 U85733 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[27]), 
+        .A2(n67019), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[29]), 
+        .B2(n67583), .Y(n66918) );
+  sky130_fd_sc_hd__or3_1 U85734 ( .A(n67018), .B(n66919), .C(n66918), .X(
+        n66920) );
+  sky130_fd_sc_hd__or3_1 U85735 ( .A(n66922), .B(n66921), .C(n66920), .X(
+        n66923) );
+  sky130_fd_sc_hd__a31oi_1 U85737 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[4]), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[5]), .A3(
+        n66927), .B1(n66926), .Y(n66948) );
+  sky130_fd_sc_hd__o22a_1 U85738 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[37]), 
+        .A2(n67583), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[35]), 
+        .B2(n67019), .X(n66934) );
+  sky130_fd_sc_hd__o22a_1 U85739 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[36]), 
+        .A2(n67059), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[34]), 
+        .B2(n67420), .X(n66933) );
+  sky130_fd_sc_hd__nor2_1 U85740 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[33]), .B(
+        n67036), .Y(n66929) );
+  sky130_fd_sc_hd__o22ai_1 U85741 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[32]), 
+        .A2(n67540), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[31]), 
+        .B2(n67342), .Y(n66928) );
+  sky130_fd_sc_hd__nor2_1 U85742 ( .A(n66929), .B(n66928), .Y(n66932) );
+  sky130_fd_sc_hd__nand2_1 U85743 ( .A(n66986), .B(n66930), .Y(n66931) );
+  sky130_fd_sc_hd__and4_1 U85744 ( .A(n66934), .B(n66933), .C(n66932), .D(
+        n66931), .X(n66944) );
+  sky130_fd_sc_hd__o22a_1 U85745 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[45]), 
+        .A2(n67583), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[42]), 
+        .B2(n67420), .X(n66941) );
+  sky130_fd_sc_hd__nor2_1 U85746 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[41]), .B(
+        n67036), .Y(n66936) );
+  sky130_fd_sc_hd__o22ai_1 U85747 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[40]), 
+        .A2(n67540), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[39]), 
+        .B2(n67342), .Y(n66935) );
+  sky130_fd_sc_hd__nor2_1 U85748 ( .A(n66936), .B(n66935), .Y(n66940) );
+  sky130_fd_sc_hd__nand2_1 U85749 ( .A(n66986), .B(n66937), .Y(n66939) );
+  sky130_fd_sc_hd__o22a_1 U85750 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[44]), 
+        .A2(n67059), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[43]), 
+        .B2(n67019), .X(n66938) );
+  sky130_fd_sc_hd__and4_1 U85751 ( .A(n66941), .B(n66940), .C(n66939), .D(
+        n66938), .X(n66943) );
+  sky130_fd_sc_hd__nor2_1 U85752 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[4]), .B(
+        n66942), .Y(n66990) );
+  sky130_fd_sc_hd__o221ai_1 U85753 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[3]), .A2(
+        n66944), .B1(n66973), .B2(n66943), .C1(n66990), .Y(n66947) );
+  sky130_fd_sc_hd__a31oi_1 U85754 ( .A1(n66949), .A2(n66948), .A3(n66947), 
+        .B1(n66946), .Y(n67014) );
+  sky130_fd_sc_hd__nor2_1 U85755 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[4]), .B(
+        n67059), .Y(n66955) );
+  sky130_fd_sc_hd__o22ai_1 U85756 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[1]), .A2(
+        n66951), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[0]), .B2(
+        n66950), .Y(n66954) );
+  sky130_fd_sc_hd__o22ai_1 U85757 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[5]), .A2(
+        n67583), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[2]), .B2(
+        n67420), .Y(n66953) );
+  sky130_fd_sc_hd__o22ai_1 U85758 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[6]), .A2(
+        n67670), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[3]), .B2(
+        n67019), .Y(n66952) );
+  sky130_fd_sc_hd__nor4_1 U85759 ( .A(n66955), .B(n66954), .C(n66953), .D(
+        n66952), .Y(n66957) );
+  sky130_fd_sc_hd__o22a_1 U85761 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[29]), 
+        .A2(n67583), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[26]), 
+        .B2(n67420), .X(n66964) );
+  sky130_fd_sc_hd__o22a_1 U85762 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[25]), 
+        .A2(n67036), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[27]), 
+        .B2(n67019), .X(n66963) );
+  sky130_fd_sc_hd__nor2_1 U85763 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[28]), .B(
+        n67059), .Y(n66959) );
+  sky130_fd_sc_hd__o22ai_1 U85764 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[24]), 
+        .A2(n67540), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[23]), 
+        .B2(n67342), .Y(n66958) );
+  sky130_fd_sc_hd__nor2_1 U85765 ( .A(n66959), .B(n66958), .Y(n66962) );
+  sky130_fd_sc_hd__nand2_1 U85766 ( .A(n66986), .B(n66960), .Y(n66961) );
+  sky130_fd_sc_hd__and4_1 U85767 ( .A(n66964), .B(n66963), .C(n66962), .D(
+        n66961), .X(n66975) );
+  sky130_fd_sc_hd__o22a_1 U85768 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[35]), 
+        .A2(n67019), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[38]), 
+        .B2(n67670), .X(n66972) );
+  sky130_fd_sc_hd__o22a_1 U85769 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[33]), 
+        .A2(n67036), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[37]), 
+        .B2(n67583), .X(n66971) );
+  sky130_fd_sc_hd__nor2_1 U85770 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[36]), .B(
+        n67059), .Y(n66966) );
+  sky130_fd_sc_hd__o22ai_1 U85771 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[32]), 
+        .A2(n67540), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[31]), 
+        .B2(n67342), .Y(n66965) );
+  sky130_fd_sc_hd__nor2_1 U85772 ( .A(n66966), .B(n66965), .Y(n66970) );
+  sky130_fd_sc_hd__nand2_1 U85773 ( .A(n66968), .B(n66967), .Y(n66969) );
+  sky130_fd_sc_hd__and4_1 U85774 ( .A(n66972), .B(n66971), .C(n66970), .D(
+        n66969), .X(n66974) );
+  sky130_fd_sc_hd__nand2_1 U85775 ( .A(n66990), .B(n66973), .Y(n67758) );
+  sky130_fd_sc_hd__o22ai_1 U85776 ( .A1(n66975), .A2(n67018), .B1(n66974), 
+        .B2(n67758), .Y(n67011) );
+  sky130_fd_sc_hd__o22a_1 U85777 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[19]), 
+        .A2(n67019), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[18]), 
+        .B2(n67420), .X(n66982) );
+  sky130_fd_sc_hd__nor2_1 U85778 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[17]), .B(
+        n67036), .Y(n66977) );
+  sky130_fd_sc_hd__o22ai_1 U85779 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[16]), 
+        .A2(n67540), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[15]), 
+        .B2(n67342), .Y(n66976) );
+  sky130_fd_sc_hd__nor2_1 U85780 ( .A(n66977), .B(n66976), .Y(n66981) );
+  sky130_fd_sc_hd__nand2_1 U85781 ( .A(n66986), .B(n66978), .Y(n66980) );
+  sky130_fd_sc_hd__o22a_1 U85782 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[20]), 
+        .A2(n67059), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[21]), 
+        .B2(n67583), .X(n66979) );
+  sky130_fd_sc_hd__and4_1 U85783 ( .A(n66982), .B(n66981), .C(n66980), .D(
+        n66979), .X(n66992) );
+  sky130_fd_sc_hd__o22a_1 U85784 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[43]), 
+        .A2(n67019), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[45]), 
+        .B2(n67583), .X(n66989) );
+  sky130_fd_sc_hd__nor2_1 U85785 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[41]), .B(
+        n67036), .Y(n66984) );
+  sky130_fd_sc_hd__o22ai_1 U85786 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[40]), 
+        .A2(n67540), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[39]), 
+        .B2(n67342), .Y(n66983) );
+  sky130_fd_sc_hd__nor2_1 U85787 ( .A(n66984), .B(n66983), .Y(n66988) );
+  sky130_fd_sc_hd__nand2_1 U85788 ( .A(n66986), .B(n66985), .Y(n66987) );
+  sky130_fd_sc_hd__and4_1 U85789 ( .A(n66989), .B(n66988), .C(n66987), .D(
+        n37208), .X(n66991) );
+  sky130_fd_sc_hd__nand2_1 U85790 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[3]), .B(
+        n66990), .Y(n67341) );
+  sky130_fd_sc_hd__o22ai_1 U85791 ( .A1(n66992), .A2(n67058), .B1(n66991), 
+        .B2(n67341), .Y(n67010) );
+  sky130_fd_sc_hd__o22ai_1 U85792 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[7]), .A2(
+        n67342), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[12]), 
+        .B2(n67059), .Y(n66996) );
+  sky130_fd_sc_hd__o22ai_1 U85793 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[9]), .A2(
+        n67036), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[10]), 
+        .B2(n67420), .Y(n66995) );
+  sky130_fd_sc_hd__o22ai_1 U85794 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[13]), 
+        .A2(n67583), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[14]), 
+        .B2(n67670), .Y(n66994) );
+  sky130_fd_sc_hd__o22ai_1 U85795 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[8]), .A2(
+        n67540), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[11]), 
+        .B2(n67019), .Y(n66993) );
+  sky130_fd_sc_hd__nor4_1 U85796 ( .A(n66996), .B(n66995), .C(n66994), .D(
+        n66993), .Y(n67008) );
+  sky130_fd_sc_hd__nand2_1 U85797 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[4]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[5]), .Y(
+        n67007) );
+  sky130_fd_sc_hd__o22ai_1 U85798 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[52]), 
+        .A2(n67059), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[49]), 
+        .B2(n67036), .Y(n67003) );
+  sky130_fd_sc_hd__a21oi_1 U85799 ( .A1(n66998), .A2(n66997), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[3]), .Y(
+        n66999) );
+  sky130_fd_sc_hd__o21ai_1 U85800 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[47]), 
+        .A2(n67342), .B1(n66999), .Y(n67002) );
+  sky130_fd_sc_hd__o22ai_1 U85801 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[53]), 
+        .A2(n67583), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[51]), 
+        .B2(n67019), .Y(n67001) );
+  sky130_fd_sc_hd__o22ai_1 U85802 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[50]), 
+        .A2(n67420), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_1[54]), 
+        .B2(n67670), .Y(n67000) );
+  sky130_fd_sc_hd__or4_1 U85803 ( .A(n67003), .B(n67002), .C(n67001), .D(
+        n67000), .X(n67004) );
+  sky130_fd_sc_hd__o21ai_1 U85804 ( .A1(n78152), .A2(n67005), .B1(n67004), .Y(
+        n67006) );
+  sky130_fd_sc_hd__o22ai_1 U85805 ( .A1(n67008), .A2(n78155), .B1(n67007), 
+        .B2(n67006), .Y(n67009) );
+  sky130_fd_sc_hd__nor4_1 U85806 ( .A(n67012), .B(n67011), .C(n67010), .D(
+        n67009), .Y(n67013) );
+  sky130_fd_sc_hd__o21ai_1 U85807 ( .A1(n67014), .A2(n67013), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_io_deq_bits_mask[3]), .Y(n67015) );
+  sky130_fd_sc_hd__nand2_1 U85809 ( .A(n67019), .B(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .Y(
+        n78187) );
+  sky130_fd_sc_hd__a21oi_1 U85810 ( .A1(n67020), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_27), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_27_inFlight), .Y(n67021) );
+  sky130_fd_sc_hd__a21oi_1 U85811 ( .A1(n68285), .A2(n78187), .B1(n67021), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_27_N3) );
+  sky130_fd_sc_hd__nand3_1 U85812 ( .A(n67024), .B(n49230), .C(n67023), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N448) );
+  sky130_fd_sc_hd__nor2_1 U85813 ( .A(n77650), .B(n67278), .Y(n67030) );
+  sky130_fd_sc_hd__nor2_1 U85815 ( .A(n67028), .B(n68379), .Y(n68357) );
+  sky130_fd_sc_hd__nor2_1 U85816 ( .A(n67029), .B(n68362), .Y(n68353) );
+  sky130_fd_sc_hd__xor2_1 U85817 ( .A(n68289), .B(n76919), .X(n67031) );
+  sky130_fd_sc_hd__nor2_1 U85818 ( .A(n67030), .B(n67285), .Y(n68364) );
+  sky130_fd_sc_hd__a222oi_1 U85819 ( .A1(n85865), .A2(n68365), .B1(n73352), 
+        .B2(n67031), .C1(MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[4]), 
+        .C2(n68364), .Y(n67032) );
+  sky130_fd_sc_hd__ha_1 U85820 ( .A(n67033), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[2]), .COUT(n66868), .SUM(
+        n67034) );
+  sky130_fd_sc_hd__a222oi_1 U85821 ( .A1(n85863), .A2(n68310), .B1(n73352), 
+        .B2(n67034), .C1(MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[2]), 
+        .C2(n68309), .Y(n67035) );
+  sky130_fd_sc_hd__nand2_1 U85822 ( .A(n67036), .B(n86752), .Y(n78193) );
+  sky130_fd_sc_hd__a21oi_1 U85824 ( .A1(n67037), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_25), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_25_inFlight), .Y(n67038) );
+  sky130_fd_sc_hd__a21oi_1 U85825 ( .A1(n68285), .A2(n78193), .B1(n67038), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_25_N3) );
+  sky130_fd_sc_hd__nand3_1 U85826 ( .A(n67041), .B(n37039), .C(n67040), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N436) );
+  sky130_fd_sc_hd__nor2_1 U85827 ( .A(n67042), .B(n68362), .Y(n68071) );
+  sky130_fd_sc_hd__xnor2_1 U85828 ( .A(n76928), .B(n68071), .Y(n67043) );
+  sky130_fd_sc_hd__a222oi_1 U85829 ( .A1(n85863), .A2(n68365), .B1(n73352), 
+        .B2(n67043), .C1(MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[2]), 
+        .C2(n68364), .Y(n67044) );
+  sky130_fd_sc_hd__nor2_1 U85830 ( .A(n76437), .B(n76445), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N183) );
+  sky130_fd_sc_hd__nor2_1 U85831 ( .A(n76438), .B(n76445), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N205) );
+  sky130_fd_sc_hd__nand2_1 U85832 ( .A(n75701), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[21]), .Y(n67045) );
+  sky130_fd_sc_hd__o21ai_0 U85833 ( .A1(n76445), .A2(n75701), .B1(n67045), .Y(
+        n417) );
+  sky130_fd_sc_hd__nor2_1 U85834 ( .A(n76445), .B(n67150), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N77) );
+  sky130_fd_sc_hd__nor2_1 U85835 ( .A(n76445), .B(n67151), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N77) );
+  sky130_fd_sc_hd__nor2_1 U85836 ( .A(n76445), .B(n67152), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N77) );
+  sky130_fd_sc_hd__a22oi_1 U85837 ( .A1(n73492), .A2(n73508), .B1(n68252), 
+        .B2(n67046), .Y(n67047) );
+  sky130_fd_sc_hd__o21ai_1 U85838 ( .A1(n67048), .A2(n73510), .B1(n67047), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N54) );
+  sky130_fd_sc_hd__nor2_1 U85839 ( .A(n76445), .B(n76428), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N190) );
+  sky130_fd_sc_hd__nor2_1 U85840 ( .A(n76445), .B(n76429), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N212) );
+  sky130_fd_sc_hd__a222oi_1 U85841 ( .A1(n73492), .A2(n73470), .B1(n73469), 
+        .B2(n67049), .C1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[21]), 
+        .C2(n73467), .Y(n67050) );
+  sky130_fd_sc_hd__nor2_1 U85843 ( .A(n76445), .B(n66798), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N283) );
+  sky130_fd_sc_hd__nand2_1 U85844 ( .A(n67122), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[21]), 
+        .Y(n67051) );
+  sky130_fd_sc_hd__o21ai_0 U85845 ( .A1(n76445), .A2(n67122), .B1(n67051), .Y(
+        n391) );
+  sky130_fd_sc_hd__nor2_1 U85846 ( .A(n76445), .B(n66802), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N390) );
+  sky130_fd_sc_hd__nor2_1 U85847 ( .A(n76445), .B(n66803), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N319) );
+  sky130_fd_sc_hd__nor2_1 U85848 ( .A(n76445), .B(n66804), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N592) );
+  sky130_fd_sc_hd__nor2_1 U85849 ( .A(n76445), .B(n66805), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N662) );
+  sky130_fd_sc_hd__nor2_1 U85850 ( .A(n76445), .B(n66806), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N557) );
+  sky130_fd_sc_hd__nor2_1 U85851 ( .A(n76445), .B(n66807), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N627) );
+  sky130_fd_sc_hd__nor2_1 U85852 ( .A(n67052), .B(n67054), .Y(n67053) );
+  sky130_fd_sc_hd__nor2_1 U85853 ( .A(n76445), .B(n76435), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N218) );
+  sky130_fd_sc_hd__nor2_1 U85854 ( .A(n67055), .B(n67054), .Y(n67056) );
+  sky130_fd_sc_hd__nand2_1 U85855 ( .A(n67056), .B(n86752), .Y(n76431) );
+  sky130_fd_sc_hd__nor2_1 U85856 ( .A(n76445), .B(n76431), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N196) );
+  sky130_fd_sc_hd__nand2_1 U85857 ( .A(n68431), .B(n67057), .Y(n75754) );
+  sky130_fd_sc_hd__nor2_1 U85858 ( .A(n76445), .B(n75754), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N273) );
+  sky130_fd_sc_hd__nand2_1 U85860 ( .A(n67059), .B(n86402), .Y(n78184) );
+  sky130_fd_sc_hd__a21oi_1 U85861 ( .A1(n67060), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_20), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_20_inFlight), .Y(n67061) );
+  sky130_fd_sc_hd__a21oi_1 U85862 ( .A1(n67693), .A2(n78184), .B1(n67061), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_20_N3) );
+  sky130_fd_sc_hd__nand3_1 U85863 ( .A(n67064), .B(n36801), .C(n67063), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N406) );
+  sky130_fd_sc_hd__ha_1 U85864 ( .A(n67065), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[5]), .COUT(n66863), .SUM(
+        n67066) );
+  sky130_fd_sc_hd__a222oi_1 U85865 ( .A1(n85874), .A2(n68425), .B1(n73352), 
+        .B2(n67066), .C1(MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[5]), 
+        .C2(n68423), .Y(n67067) );
+  sky130_fd_sc_hd__nor2_1 U85866 ( .A(n77648), .B(n67278), .Y(n67073) );
+  sky130_fd_sc_hd__nor2_1 U85867 ( .A(n67068), .B(n83631), .Y(n68382) );
+  sky130_fd_sc_hd__nor2_1 U85868 ( .A(n67070), .B(n68379), .Y(n67589) );
+  sky130_fd_sc_hd__nand2_1 U85869 ( .A(n67589), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[4]), .Y(n67072) );
+  sky130_fd_sc_hd__xor2_1 U85870 ( .A(n67072), .B(n67071), .X(n67074) );
+  sky130_fd_sc_hd__nor2_1 U85871 ( .A(n67073), .B(n67285), .Y(n68380) );
+  sky130_fd_sc_hd__a222oi_1 U85872 ( .A1(n85874), .A2(n68382), .B1(n73352), 
+        .B2(n67074), .C1(MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[5]), 
+        .C2(n68380), .Y(n67075) );
+  sky130_fd_sc_hd__a22oi_1 U85873 ( .A1(n85835), .A2(n67196), .B1(n73491), 
+        .B2(n67076), .Y(n67077) );
+  sky130_fd_sc_hd__o21ai_1 U85874 ( .A1(n61599), .A2(n73494), .B1(n67077), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N58) );
+  sky130_fd_sc_hd__a222oi_1 U85875 ( .A1(n79913), .A2(n67196), .B1(n73491), 
+        .B2(n67078), .C1(n73487), .C2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[29]), .Y(n67079) );
+  sky130_fd_sc_hd__nor2_1 U85876 ( .A(n76437), .B(n76447), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N185) );
+  sky130_fd_sc_hd__nor2_1 U85877 ( .A(n76438), .B(n76447), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N207) );
+  sky130_fd_sc_hd__nand2_1 U85878 ( .A(n75701), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[23]), .Y(n67080) );
+  sky130_fd_sc_hd__o21ai_0 U85879 ( .A1(n76447), .A2(n75701), .B1(n67080), .Y(
+        n415) );
+  sky130_fd_sc_hd__nor2_1 U85880 ( .A(n76447), .B(n67150), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N85) );
+  sky130_fd_sc_hd__nor2_1 U85881 ( .A(n76447), .B(n67151), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N85) );
+  sky130_fd_sc_hd__nor2_1 U85882 ( .A(n76447), .B(n67152), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N85) );
+  sky130_fd_sc_hd__buf_2 U85883 ( .A(n67081), .X(n73507) );
+  sky130_fd_sc_hd__a22oi_1 U85884 ( .A1(n67091), .A2(n73508), .B1(n73507), 
+        .B2(n67082), .Y(n67083) );
+  sky130_fd_sc_hd__o21ai_1 U85885 ( .A1(n67084), .A2(n73510), .B1(n67083), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N56) );
+  sky130_fd_sc_hd__nor2_1 U85886 ( .A(n76447), .B(n76428), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N192) );
+  sky130_fd_sc_hd__nor2_1 U85887 ( .A(n76447), .B(n76429), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N214) );
+  sky130_fd_sc_hd__a222oi_1 U85888 ( .A1(n67091), .A2(n73470), .B1(n73469), 
+        .B2(n67085), .C1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[23]), 
+        .C2(n73467), .Y(n67086) );
+  sky130_fd_sc_hd__inv_1 U85889 ( .A(n67086), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N56) );
+  sky130_fd_sc_hd__nor2_1 U85890 ( .A(n76447), .B(n66798), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N285) );
+  sky130_fd_sc_hd__nand2_1 U85891 ( .A(n67122), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[23]), 
+        .Y(n67087) );
+  sky130_fd_sc_hd__o21ai_0 U85892 ( .A1(n76447), .A2(n67122), .B1(n67087), .Y(
+        n389) );
+  sky130_fd_sc_hd__nor2_1 U85893 ( .A(n76447), .B(n66802), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N392) );
+  sky130_fd_sc_hd__nor2_1 U85894 ( .A(n76447), .B(n66803), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N321) );
+  sky130_fd_sc_hd__nor2_1 U85895 ( .A(n76447), .B(n66804), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N594) );
+  sky130_fd_sc_hd__nor2_1 U85896 ( .A(n76447), .B(n66805), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N664) );
+  sky130_fd_sc_hd__nor2_1 U85897 ( .A(n76447), .B(n66806), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N559) );
+  sky130_fd_sc_hd__nor2_1 U85898 ( .A(n76447), .B(n66807), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N629) );
+  sky130_fd_sc_hd__nor2_1 U85899 ( .A(n76447), .B(n76435), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N220) );
+  sky130_fd_sc_hd__nor2_1 U85900 ( .A(n76447), .B(n76431), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N198) );
+  sky130_fd_sc_hd__nor2_1 U85901 ( .A(n76447), .B(n75754), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N275) );
+  sky130_fd_sc_hd__nor2_1 U85902 ( .A(n76432), .B(n76447), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N281) );
+  sky130_fd_sc_hd__nor2_1 U85903 ( .A(n36802), .B(n67088), .Y(n80721) );
+  sky130_fd_sc_hd__nor2_1 U85904 ( .A(n76430), .B(n76447), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N349) );
+  sky130_fd_sc_hd__a22oi_1 U85905 ( .A1(n67091), .A2(n67196), .B1(n73491), 
+        .B2(n67090), .Y(n67092) );
+  sky130_fd_sc_hd__o21ai_1 U85906 ( .A1(n67093), .A2(n73494), .B1(n67092), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N56) );
+  sky130_fd_sc_hd__a22oi_1 U85907 ( .A1(n85834), .A2(n73508), .B1(n73507), 
+        .B2(n67094), .Y(n67095) );
+  sky130_fd_sc_hd__o21ai_1 U85908 ( .A1(n67096), .A2(n73510), .B1(n67095), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N57) );
+  sky130_fd_sc_hd__a222oi_1 U85909 ( .A1(n85834), .A2(n73470), .B1(n73469), 
+        .B2(n67097), .C1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[24]), 
+        .C2(n73467), .Y(n67098) );
+  sky130_fd_sc_hd__nor2_1 U85910 ( .A(n75761), .B(n66798), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N286) );
+  sky130_fd_sc_hd__nand2_1 U85911 ( .A(n67122), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[24]), 
+        .Y(n67099) );
+  sky130_fd_sc_hd__o21ai_0 U85912 ( .A1(n75761), .A2(n67122), .B1(n67099), .Y(
+        n323) );
+  sky130_fd_sc_hd__nor2_1 U85913 ( .A(n75761), .B(n66802), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N393) );
+  sky130_fd_sc_hd__nor2_1 U85914 ( .A(n75761), .B(n66803), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N322) );
+  sky130_fd_sc_hd__nor2_1 U85915 ( .A(n75761), .B(n66804), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N595) );
+  sky130_fd_sc_hd__nor2_1 U85916 ( .A(n75761), .B(n66805), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N665) );
+  sky130_fd_sc_hd__nor2_1 U85917 ( .A(n75761), .B(n66806), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N560) );
+  sky130_fd_sc_hd__nor2_1 U85918 ( .A(n75761), .B(n66807), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N630) );
+  sky130_fd_sc_hd__a22oi_1 U85919 ( .A1(n85834), .A2(n67196), .B1(n73491), 
+        .B2(n67101), .Y(n67102) );
+  sky130_fd_sc_hd__o21ai_1 U85920 ( .A1(n67103), .A2(n73494), .B1(n67102), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N57) );
+  sky130_fd_sc_hd__nand2_1 U85921 ( .A(n67202), .B(n67105), .Y(n67109) );
+  sky130_fd_sc_hd__xor2_1 U85922 ( .A(n67109), .B(n67108), .X(n67106) );
+  sky130_fd_sc_hd__a22oi_1 U85923 ( .A1(n85671), .A2(n67196), .B1(n73491), 
+        .B2(n67106), .Y(n67107) );
+  sky130_fd_sc_hd__o21ai_1 U85924 ( .A1(n67108), .A2(n73494), .B1(n67107), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N48) );
+  sky130_fd_sc_hd__nor2_1 U85925 ( .A(n67108), .B(n67109), .Y(n67110) );
+  sky130_fd_sc_hd__xnor2_1 U85926 ( .A(n67111), .B(n67110), .Y(n67112) );
+  sky130_fd_sc_hd__a22oi_1 U85927 ( .A1(n85589), .A2(n67196), .B1(n73491), 
+        .B2(n67112), .Y(n67113) );
+  sky130_fd_sc_hd__o21ai_1 U85928 ( .A1(n67111), .A2(n73494), .B1(n67113), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N49) );
+  sky130_fd_sc_hd__nor2_1 U85929 ( .A(n76481), .B(n73816), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N58) );
+  sky130_fd_sc_hd__nor2_1 U85930 ( .A(n38363), .B(n76482), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N58) );
+  sky130_fd_sc_hd__nand2_1 U85931 ( .A(n86753), .B(n38363), .Y(n36107) );
+  sky130_fd_sc_hd__nor2_1 U85932 ( .A(n38431), .B(n76482), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N58) );
+  sky130_fd_sc_hd__nor2_1 U85933 ( .A(n76481), .B(n73541), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N51) );
+  sky130_fd_sc_hd__nor2_1 U85934 ( .A(n38364), .B(n76482), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N51) );
+  sky130_fd_sc_hd__nor2_1 U85935 ( .A(n38430), .B(n76482), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N51) );
+  sky130_fd_sc_hd__nor2_1 U85936 ( .A(n76481), .B(n73537), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N51) );
+  sky130_fd_sc_hd__nand2_1 U85937 ( .A(n75701), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[18]), .Y(n67115) );
+  sky130_fd_sc_hd__o21ai_0 U85938 ( .A1(n76481), .A2(n75701), .B1(n67115), .Y(
+        n312) );
+  sky130_fd_sc_hd__xnor2_1 U85939 ( .A(n67117), .B(n67116), .Y(n67118) );
+  sky130_fd_sc_hd__a22oi_1 U85940 ( .A1(n85833), .A2(n73508), .B1(n68252), 
+        .B2(n67118), .Y(n67119) );
+  sky130_fd_sc_hd__o21ai_1 U85941 ( .A1(n67117), .A2(n73510), .B1(n67119), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N51) );
+  sky130_fd_sc_hd__a222oi_1 U85942 ( .A1(n85833), .A2(n73470), .B1(n73469), 
+        .B2(n67120), .C1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[18]), 
+        .C2(n73467), .Y(n67121) );
+  sky130_fd_sc_hd__nor2_1 U85943 ( .A(n76481), .B(n66798), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N280) );
+  sky130_fd_sc_hd__nand2_1 U85944 ( .A(n67122), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[18]), 
+        .Y(n67123) );
+  sky130_fd_sc_hd__o21ai_0 U85945 ( .A1(n76481), .A2(n67122), .B1(n67123), .Y(
+        n315) );
+  sky130_fd_sc_hd__nor2_1 U85946 ( .A(n76481), .B(n66802), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N387) );
+  sky130_fd_sc_hd__nor2_1 U85947 ( .A(n76481), .B(n66803), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N316) );
+  sky130_fd_sc_hd__nor2_1 U85948 ( .A(n76481), .B(n66804), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N589) );
+  sky130_fd_sc_hd__nor2_1 U85949 ( .A(n76481), .B(n66805), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N659) );
+  sky130_fd_sc_hd__nor2_1 U85950 ( .A(n76481), .B(n66806), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N554) );
+  sky130_fd_sc_hd__nor2_1 U85951 ( .A(n76481), .B(n66807), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N624) );
+  sky130_fd_sc_hd__ha_1 U85952 ( .A(n67124), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[2]), .COUT(n68315), .SUM(
+        n67125) );
+  sky130_fd_sc_hd__a222oi_1 U85953 ( .A1(n85871), .A2(n68425), .B1(n73352), 
+        .B2(n67125), .C1(MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[2]), 
+        .C2(n68423), .Y(n67126) );
+  sky130_fd_sc_hd__a21oi_1 U85954 ( .A1(n67127), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_17), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_17_inFlight), .Y(n67128) );
+  sky130_fd_sc_hd__a21oi_1 U85955 ( .A1(n67693), .A2(n78193), .B1(n67128), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_17_N3) );
+  sky130_fd_sc_hd__nand3_1 U85956 ( .A(n67131), .B(n86752), .C(n67130), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N388) );
+  sky130_fd_sc_hd__nor2_1 U85957 ( .A(n67132), .B(n68379), .Y(n68374) );
+  sky130_fd_sc_hd__xnor2_1 U85958 ( .A(n76988), .B(n68374), .Y(n67133) );
+  sky130_fd_sc_hd__a222oi_1 U85959 ( .A1(n85871), .A2(n68382), .B1(n73352), 
+        .B2(n67133), .C1(MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[2]), 
+        .C2(n68380), .Y(n67134) );
+  sky130_fd_sc_hd__nand3_1 U85960 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[4]), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[5]), .C(
+        n67135), .Y(n78151) );
+  sky130_fd_sc_hd__a21oi_1 U85961 ( .A1(n67136), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_49), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_49_inFlight), .Y(n67137) );
+  sky130_fd_sc_hd__a21oi_1 U85962 ( .A1(n78193), .A2(n68012), .B1(n67137), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_49_N3) );
+  sky130_fd_sc_hd__nor2_1 U85963 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_49_inFlight), .B(n67138), .Y(n67141) );
+  sky130_fd_sc_hd__nand2_1 U85964 ( .A(n67141), .B(n36801), .Y(n67139) );
+  sky130_fd_sc_hd__nor2_1 U85965 ( .A(n67791), .B(n68006), .Y(n67140) );
+  sky130_fd_sc_hd__nor2_1 U85966 ( .A(n67139), .B(n67140), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N581) );
+  sky130_fd_sc_hd__or3_1 U85967 ( .A(n67141), .B(n36848), .C(n67140), .X(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N580) );
+  sky130_fd_sc_hd__a22oi_1 U85968 ( .A1(n85833), .A2(n67196), .B1(n73491), 
+        .B2(n67142), .Y(n67143) );
+  sky130_fd_sc_hd__o21ai_1 U85969 ( .A1(n67144), .A2(n73494), .B1(n67143), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N51) );
+  sky130_fd_sc_hd__a22oi_1 U85970 ( .A1(n85668), .A2(n67196), .B1(n73491), 
+        .B2(n67145), .Y(n67146) );
+  sky130_fd_sc_hd__o21ai_1 U85971 ( .A1(n67147), .A2(n73494), .B1(n67146), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N52) );
+  sky130_fd_sc_hd__nor2_1 U85972 ( .A(n76438), .B(n76444), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N204) );
+  sky130_fd_sc_hd__nand2_1 U85973 ( .A(n75701), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[20]), .Y(n67149) );
+  sky130_fd_sc_hd__o21ai_0 U85974 ( .A1(n76444), .A2(n75701), .B1(n67149), .Y(
+        n316) );
+  sky130_fd_sc_hd__nor2_1 U85975 ( .A(n76444), .B(n67150), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N73) );
+  sky130_fd_sc_hd__nor2_1 U85976 ( .A(n76444), .B(n67151), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N73) );
+  sky130_fd_sc_hd__nor2_1 U85977 ( .A(n76444), .B(n67152), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N73) );
+  sky130_fd_sc_hd__a22oi_1 U85978 ( .A1(n67160), .A2(n73508), .B1(n68252), 
+        .B2(n67153), .Y(n67154) );
+  sky130_fd_sc_hd__o21ai_1 U85979 ( .A1(n67155), .A2(n73510), .B1(n67154), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N53) );
+  sky130_fd_sc_hd__nor2_1 U85980 ( .A(n76444), .B(n76428), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N189) );
+  sky130_fd_sc_hd__nor2_1 U85981 ( .A(n76444), .B(n76429), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N211) );
+  sky130_fd_sc_hd__a222oi_1 U85982 ( .A1(n67160), .A2(n73470), .B1(n73469), 
+        .B2(n67156), .C1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[20]), 
+        .C2(n73467), .Y(n67157) );
+  sky130_fd_sc_hd__nor2_1 U85983 ( .A(n76444), .B(n66798), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N282) );
+  sky130_fd_sc_hd__nand2_1 U85984 ( .A(n67122), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[20]), 
+        .Y(n67158) );
+  sky130_fd_sc_hd__o21ai_0 U85985 ( .A1(n76444), .A2(n67122), .B1(n67158), .Y(
+        n319) );
+  sky130_fd_sc_hd__nor2_1 U85986 ( .A(n76444), .B(n66802), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N389) );
+  sky130_fd_sc_hd__nor2_1 U85987 ( .A(n76444), .B(n66803), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N318) );
+  sky130_fd_sc_hd__nor2_1 U85988 ( .A(n76444), .B(n66804), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N591) );
+  sky130_fd_sc_hd__nor2_1 U85989 ( .A(n76444), .B(n66805), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N661) );
+  sky130_fd_sc_hd__nor2_1 U85990 ( .A(n76444), .B(n66806), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N556) );
+  sky130_fd_sc_hd__nor2_1 U85991 ( .A(n76444), .B(n66807), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N626) );
+  sky130_fd_sc_hd__nor2_1 U85992 ( .A(n76444), .B(n76435), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N217) );
+  sky130_fd_sc_hd__nor2_1 U85993 ( .A(n76444), .B(n76431), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N195) );
+  sky130_fd_sc_hd__nor2_1 U85994 ( .A(n76444), .B(n75754), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N272) );
+  sky130_fd_sc_hd__nor2_1 U85995 ( .A(n76430), .B(n76444), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N346) );
+  sky130_fd_sc_hd__a22oi_1 U85996 ( .A1(n67160), .A2(n67196), .B1(n73491), 
+        .B2(n67159), .Y(n67161) );
+  sky130_fd_sc_hd__o21ai_1 U85997 ( .A1(n67162), .A2(n73494), .B1(n67161), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N53) );
+  sky130_fd_sc_hd__a222oi_1 U85998 ( .A1(n85837), .A2(n73470), .B1(n73469), 
+        .B2(n67163), .C1(n73467), .C2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[27]), 
+        .Y(n67164) );
+  sky130_fd_sc_hd__inv_1 U85999 ( .A(n67164), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N60) );
+  sky130_fd_sc_hd__nand2_1 U86000 ( .A(n85577), .B(n36801), .Y(n81362) );
+  sky130_fd_sc_hd__xnor2_1 U86001 ( .A(n67168), .B(n67179), .Y(n67165) );
+  sky130_fd_sc_hd__a22oi_1 U86002 ( .A1(n67166), .A2(n67405), .B1(n73469), 
+        .B2(n67165), .Y(n67167) );
+  sky130_fd_sc_hd__o21ai_0 U86003 ( .A1(n67168), .A2(n73359), .B1(n67167), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N46) );
+  sky130_fd_sc_hd__nor2_1 U86004 ( .A(n67170), .B(n67294), .Y(n67246) );
+  sky130_fd_sc_hd__nand2_1 U86005 ( .A(n67246), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[11]), 
+        .Y(n67172) );
+  sky130_fd_sc_hd__xor2_1 U86006 ( .A(n67172), .B(n67171), .X(n67173) );
+  sky130_fd_sc_hd__a222oi_1 U86007 ( .A1(n85571), .A2(n73470), .B1(n73469), 
+        .B2(n67173), .C1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[12]), 
+        .C2(n73467), .Y(n67174) );
+  sky130_fd_sc_hd__inv_1 U86008 ( .A(n67174), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N45) );
+  sky130_fd_sc_hd__nand2_1 U86009 ( .A(n67179), .B(n67176), .Y(n67184) );
+  sky130_fd_sc_hd__xor2_1 U86010 ( .A(n67184), .B(n67185), .X(n67177) );
+  sky130_fd_sc_hd__a222oi_1 U86011 ( .A1(n85671), .A2(n73470), .B1(n73469), 
+        .B2(n67177), .C1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[15]), 
+        .C2(n73467), .Y(n67178) );
+  sky130_fd_sc_hd__nand2_1 U86012 ( .A(n67179), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[13]), 
+        .Y(n67181) );
+  sky130_fd_sc_hd__xor2_1 U86013 ( .A(n67181), .B(n67180), .X(n67182) );
+  sky130_fd_sc_hd__a222oi_1 U86014 ( .A1(n85580), .A2(n73470), .B1(n73469), 
+        .B2(n67182), .C1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[14]), 
+        .C2(n73467), .Y(n67183) );
+  sky130_fd_sc_hd__inv_1 U86015 ( .A(n67183), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N47) );
+  sky130_fd_sc_hd__nor2_1 U86016 ( .A(n67185), .B(n67184), .Y(n67186) );
+  sky130_fd_sc_hd__xnor2_1 U86017 ( .A(n67187), .B(n67186), .Y(n67188) );
+  sky130_fd_sc_hd__a222oi_1 U86018 ( .A1(n85589), .A2(n73470), .B1(n73469), 
+        .B2(n67188), .C1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[16]), 
+        .C2(n73467), .Y(n67189) );
+  sky130_fd_sc_hd__a222oi_1 U86019 ( .A1(n85668), .A2(n73470), .B1(n73469), 
+        .B2(n67190), .C1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[19]), 
+        .C2(n73467), .Y(n67191) );
+  sky130_fd_sc_hd__nor2_1 U86020 ( .A(n67193), .B(n67312), .Y(n67242) );
+  sky130_fd_sc_hd__nand2_1 U86021 ( .A(n67242), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[11]), .Y(n67194) );
+  sky130_fd_sc_hd__xor2_1 U86022 ( .A(n67194), .B(n67198), .X(n67195) );
+  sky130_fd_sc_hd__a22oi_1 U86023 ( .A1(n85571), .A2(n67196), .B1(n73491), 
+        .B2(n67195), .Y(n67197) );
+  sky130_fd_sc_hd__o21ai_1 U86024 ( .A1(n67198), .A2(n73494), .B1(n67197), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N45) );
+  sky130_fd_sc_hd__xnor2_1 U86025 ( .A(n67199), .B(n67202), .Y(n67200) );
+  sky130_fd_sc_hd__a22oi_1 U86026 ( .A1(n85577), .A2(n67196), .B1(n73491), 
+        .B2(n67200), .Y(n67201) );
+  sky130_fd_sc_hd__o21ai_1 U86027 ( .A1(n67199), .A2(n73494), .B1(n67201), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N46) );
+  sky130_fd_sc_hd__nand2_1 U86028 ( .A(n67202), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[13]), .Y(n67203) );
+  sky130_fd_sc_hd__xor2_1 U86029 ( .A(n67203), .B(n67206), .X(n67204) );
+  sky130_fd_sc_hd__a22oi_1 U86030 ( .A1(n85580), .A2(n67196), .B1(n73491), 
+        .B2(n67204), .Y(n67205) );
+  sky130_fd_sc_hd__o21ai_1 U86031 ( .A1(n67206), .A2(n73494), .B1(n67205), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N47) );
+  sky130_fd_sc_hd__nand2_1 U86032 ( .A(n75701), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[12]), .Y(n67207) );
+  sky130_fd_sc_hd__o21ai_0 U86033 ( .A1(n76442), .A2(n75701), .B1(n67207), .Y(
+        n422) );
+  sky130_fd_sc_hd__nand2_1 U86034 ( .A(n67458), .B(n37037), .Y(n36214) );
+  sky130_fd_sc_hd__nor2_1 U86035 ( .A(n67209), .B(n67325), .Y(n73505) );
+  sky130_fd_sc_hd__nand2_1 U86036 ( .A(n73505), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[11]), .Y(n67210) );
+  sky130_fd_sc_hd__xor2_1 U86037 ( .A(n67210), .B(n67214), .X(n67211) );
+  sky130_fd_sc_hd__a22oi_1 U86038 ( .A1(n67212), .A2(n67330), .B1(n68252), 
+        .B2(n67211), .Y(n67213) );
+  sky130_fd_sc_hd__o21ai_1 U86039 ( .A1(n67214), .A2(n73510), .B1(n67213), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N45) );
+  sky130_fd_sc_hd__a222oi_1 U86040 ( .A1(n85835), .A2(n73508), .B1(n68252), 
+        .B2(n67215), .C1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[25]), .C2(n73502), .Y(n67216) );
+  sky130_fd_sc_hd__inv_1 U86041 ( .A(n67216), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N58) );
+  sky130_fd_sc_hd__a222oi_1 U86042 ( .A1(n85837), .A2(n73508), .B1(n73507), 
+        .B2(n67217), .C1(n73502), .C2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[27]), .Y(n67218) );
+  sky130_fd_sc_hd__xnor2_1 U86043 ( .A(n67221), .B(n67228), .Y(n67219) );
+  sky130_fd_sc_hd__a22oi_1 U86044 ( .A1(n85577), .A2(n73508), .B1(n68252), 
+        .B2(n67219), .Y(n67220) );
+  sky130_fd_sc_hd__o21ai_1 U86045 ( .A1(n67221), .A2(n73510), .B1(n67220), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N46) );
+  sky130_fd_sc_hd__nand2_1 U86046 ( .A(n67228), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[13]), .Y(n67222) );
+  sky130_fd_sc_hd__xor2_1 U86047 ( .A(n67222), .B(n67225), .X(n67223) );
+  sky130_fd_sc_hd__a22oi_1 U86048 ( .A1(n85580), .A2(n73508), .B1(n68252), 
+        .B2(n67223), .Y(n67224) );
+  sky130_fd_sc_hd__o21ai_1 U86049 ( .A1(n67225), .A2(n73510), .B1(n67224), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N47) );
+  sky130_fd_sc_hd__nand2_1 U86050 ( .A(n67228), .B(n67227), .Y(n67232) );
+  sky130_fd_sc_hd__xor2_1 U86051 ( .A(n67232), .B(n67231), .X(n67229) );
+  sky130_fd_sc_hd__a22oi_1 U86052 ( .A1(n85671), .A2(n73508), .B1(n68252), 
+        .B2(n67229), .Y(n67230) );
+  sky130_fd_sc_hd__o21ai_1 U86053 ( .A1(n67231), .A2(n73510), .B1(n67230), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N48) );
+  sky130_fd_sc_hd__nor2_1 U86054 ( .A(n67231), .B(n67232), .Y(n67233) );
+  sky130_fd_sc_hd__xnor2_1 U86055 ( .A(n67236), .B(n67233), .Y(n67234) );
+  sky130_fd_sc_hd__a22oi_1 U86056 ( .A1(n85589), .A2(n73508), .B1(n73507), 
+        .B2(n67234), .Y(n67235) );
+  sky130_fd_sc_hd__o21ai_1 U86057 ( .A1(n67236), .A2(n73510), .B1(n67235), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N49) );
+  sky130_fd_sc_hd__a22oi_1 U86058 ( .A1(n85668), .A2(n73508), .B1(n73507), 
+        .B2(n67237), .Y(n67238) );
+  sky130_fd_sc_hd__o21ai_1 U86059 ( .A1(n67239), .A2(n73510), .B1(n67238), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N52) );
+  sky130_fd_sc_hd__nor2_1 U86060 ( .A(n81360), .B(n73554), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N34) );
+  sky130_fd_sc_hd__nand2_1 U86061 ( .A(n36801), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[12]), 
+        .Y(n80060) );
+  sky130_fd_sc_hd__nor2_1 U86062 ( .A(n36848), .B(n85571), .Y(n80059) );
+  sky130_fd_sc_hd__o21ai_0 U86063 ( .A1(n73517), .A2(n80059), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[12]), 
+        .Y(n67240) );
+  sky130_fd_sc_hd__o21ai_1 U86064 ( .A1(
+        MarmotCaravelChip_dut_sys_iof_0_iof_0_12_i_ival), .A2(n80060), .B1(
+        n67240), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N513) );
+  sky130_fd_sc_hd__nand2_1 U86065 ( .A(n67122), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[12]), 
+        .Y(n67241) );
+  sky130_fd_sc_hd__o21ai_0 U86066 ( .A1(n76442), .A2(n67122), .B1(n67241), .Y(
+        n397) );
+  sky130_fd_sc_hd__nor2_1 U86067 ( .A(n81360), .B(n76379), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N34) );
+  sky130_fd_sc_hd__nor2_1 U86068 ( .A(n81360), .B(n79880), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N618) );
+  sky130_fd_sc_hd__xnor2_1 U86069 ( .A(n67243), .B(n67242), .Y(n67244) );
+  sky130_fd_sc_hd__a22oi_1 U86070 ( .A1(n85670), .A2(n67196), .B1(n73491), 
+        .B2(n67244), .Y(n67245) );
+  sky130_fd_sc_hd__o21ai_1 U86071 ( .A1(n67243), .A2(n73494), .B1(n67245), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N44) );
+  sky130_fd_sc_hd__xnor2_1 U86072 ( .A(n67247), .B(n67246), .Y(n67248) );
+  sky130_fd_sc_hd__a222oi_1 U86073 ( .A1(n85670), .A2(n73470), .B1(n73469), 
+        .B2(n67248), .C1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[11]), 
+        .C2(n73467), .Y(n67249) );
+  sky130_fd_sc_hd__inv_1 U86074 ( .A(n67249), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N44) );
+  sky130_fd_sc_hd__nand2_1 U86075 ( .A(n75701), .B(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_11_o_oe), .Y(n67250) );
+  sky130_fd_sc_hd__o21ai_0 U86076 ( .A1(n75745), .A2(n75701), .B1(n67250), .Y(
+        n423) );
+  sky130_fd_sc_hd__nand2_1 U86077 ( .A(n67251), .B(n36801), .Y(n76384) );
+  sky130_fd_sc_hd__nor2_1 U86078 ( .A(n75745), .B(n76384), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N144) );
+  sky130_fd_sc_hd__nand2_1 U86079 ( .A(n67252), .B(n36801), .Y(n76382) );
+  sky130_fd_sc_hd__nor2_1 U86080 ( .A(n75745), .B(n76382), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N236) );
+  sky130_fd_sc_hd__nand2_1 U86081 ( .A(n85670), .B(n49230), .Y(n67266) );
+  sky130_fd_sc_hd__nor2_1 U86082 ( .A(n67266), .B(n73554), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N33) );
+  sky130_fd_sc_hd__nor2_1 U86083 ( .A(n75745), .B(n68186), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N243) );
+  sky130_fd_sc_hd__nand2_1 U86084 ( .A(n67254), .B(n37040), .Y(n68187) );
+  sky130_fd_sc_hd__nor2_1 U86085 ( .A(n75745), .B(n68187), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N152) );
+  sky130_fd_sc_hd__nor2_1 U86086 ( .A(n83631), .B(n85670), .Y(n80008) );
+  sky130_fd_sc_hd__o21ai_0 U86087 ( .A1(n80049), .A2(n80008), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[11]), 
+        .Y(n67255) );
+  sky130_fd_sc_hd__nand2_1 U86088 ( .A(n49230), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[11]), 
+        .Y(n79895) );
+  sky130_fd_sc_hd__nand2_1 U86089 ( .A(n67255), .B(n79895), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N413) );
+  sky130_fd_sc_hd__nor2_1 U86090 ( .A(n67266), .B(n81269), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N33) );
+  sky130_fd_sc_hd__nor2_1 U86091 ( .A(n75745), .B(n66798), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N273) );
+  sky130_fd_sc_hd__nand2_1 U86092 ( .A(n67122), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[11]), 
+        .Y(n67256) );
+  sky130_fd_sc_hd__o21ai_0 U86093 ( .A1(n75745), .A2(n67122), .B1(n67256), .Y(
+        n398) );
+  sky130_fd_sc_hd__nor2_1 U86094 ( .A(n75745), .B(n66802), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N380) );
+  sky130_fd_sc_hd__nor2_1 U86095 ( .A(n75745), .B(n66803), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N309) );
+  sky130_fd_sc_hd__nand2_1 U86096 ( .A(n67257), .B(n36846), .Y(n68191) );
+  sky130_fd_sc_hd__nor2_1 U86097 ( .A(n75745), .B(n68191), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N158) );
+  sky130_fd_sc_hd__nor2_1 U86098 ( .A(n67266), .B(n76379), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N33) );
+  sky130_fd_sc_hd__nor2_1 U86099 ( .A(n75745), .B(n66804), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N582) );
+  sky130_fd_sc_hd__nor2_1 U86100 ( .A(n75745), .B(n66805), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N652) );
+  sky130_fd_sc_hd__nor2_1 U86101 ( .A(n75745), .B(n66806), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N547) );
+  sky130_fd_sc_hd__nor2_1 U86102 ( .A(n75745), .B(n66807), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N617) );
+  sky130_fd_sc_hd__nand2_1 U86103 ( .A(n67258), .B(n49230), .Y(n68192) );
+  sky130_fd_sc_hd__nor2_1 U86104 ( .A(n75745), .B(n68192), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N249) );
+  sky130_fd_sc_hd__nor2_1 U86105 ( .A(n67266), .B(n81361), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N33) );
+  sky130_fd_sc_hd__nor2_1 U86106 ( .A(n67266), .B(n81020), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N281) );
+  sky130_fd_sc_hd__nand2_1 U86107 ( .A(n81020), .B(n86752), .Y(n36143) );
+  sky130_fd_sc_hd__nand3_1 U86108 ( .A(n67263), .B(n86752), .C(n67262), .Y(
+        n76441) );
+  sky130_fd_sc_hd__nor2_1 U86109 ( .A(n75745), .B(n76441), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N298) );
+  sky130_fd_sc_hd__nor2_1 U86111 ( .A(n67266), .B(n81123), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N33) );
+  sky130_fd_sc_hd__nor2_1 U86112 ( .A(n67333), .B(n80008), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N334) );
+  sky130_fd_sc_hd__nor2_1 U86113 ( .A(n77647), .B(n67268), .Y(n67275) );
+  sky130_fd_sc_hd__nor2_1 U86114 ( .A(n67285), .B(n67269), .Y(n73353) );
+  sky130_fd_sc_hd__nand2_1 U86115 ( .A(n73348), .B(n67271), .Y(n68336) );
+  sky130_fd_sc_hd__nand2_1 U86116 ( .A(n68323), .B(n67273), .Y(n67557) );
+  sky130_fd_sc_hd__nor2_1 U86117 ( .A(n76913), .B(n67557), .Y(n67274) );
+  sky130_fd_sc_hd__xnor2_1 U86118 ( .A(n76875), .B(n67274), .Y(n67276) );
+  sky130_fd_sc_hd__nor2_1 U86119 ( .A(n67285), .B(n67275), .Y(n73350) );
+  sky130_fd_sc_hd__a222oi_1 U86120 ( .A1(n85572), .A2(n73353), .B1(n73352), 
+        .B2(n67276), .C1(MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[3]), 
+        .C2(n73350), .Y(n67277) );
+  sky130_fd_sc_hd__nor2_1 U86121 ( .A(n77647), .B(n67278), .Y(n67286) );
+  sky130_fd_sc_hd__nand2_1 U86122 ( .A(n67279), .B(n67286), .Y(n68406) );
+  sky130_fd_sc_hd__nand2_1 U86123 ( .A(n68401), .B(n67282), .Y(n67563) );
+  sky130_fd_sc_hd__nor2_1 U86124 ( .A(n76961), .B(n67563), .Y(n67283) );
+  sky130_fd_sc_hd__xnor2_1 U86125 ( .A(n67284), .B(n67283), .Y(n67287) );
+  sky130_fd_sc_hd__nor2_1 U86126 ( .A(n67286), .B(n67285), .Y(n68403) );
+  sky130_fd_sc_hd__a22oi_1 U86127 ( .A1(n73352), .A2(n67287), .B1(n68403), 
+        .B2(MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[3]), .Y(n67288) );
+  sky130_fd_sc_hd__o21ai_1 U86128 ( .A1(n82399), .A2(n68406), .B1(n67288), .Y(
+        MarmotCaravelChip_dut_sys_clint_N69) );
+  sky130_fd_sc_hd__nand2_1 U86129 ( .A(n85832), .B(n36846), .Y(n81359) );
+  sky130_fd_sc_hd__nor2_1 U86130 ( .A(n67298), .B(n67294), .Y(n67289) );
+  sky130_fd_sc_hd__xnor2_1 U86131 ( .A(n67292), .B(n67289), .Y(n67290) );
+  sky130_fd_sc_hd__a22oi_1 U86132 ( .A1(n67329), .A2(n67405), .B1(n73469), 
+        .B2(n67290), .Y(n67291) );
+  sky130_fd_sc_hd__o21ai_0 U86133 ( .A1(n67292), .A2(n73359), .B1(n67291), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N43) );
+  sky130_fd_sc_hd__nand2_1 U86134 ( .A(n75701), .B(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_8_o_oe), .Y(n67293) );
+  sky130_fd_sc_hd__o21ai_0 U86135 ( .A1(n75737), .A2(n75701), .B1(n67293), .Y(
+        n425) );
+  sky130_fd_sc_hd__nor2_1 U86136 ( .A(n75737), .B(n76384), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N141) );
+  sky130_fd_sc_hd__nand2_1 U86137 ( .A(n85669), .B(n86752), .Y(n81037) );
+  sky130_fd_sc_hd__xor2_1 U86138 ( .A(n67294), .B(n67298), .X(n67295) );
+  sky130_fd_sc_hd__a22oi_1 U86139 ( .A1(n67296), .A2(n67405), .B1(n73469), 
+        .B2(n67295), .Y(n67297) );
+  sky130_fd_sc_hd__o21ai_1 U86140 ( .A1(n67298), .A2(n73359), .B1(n67297), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N42) );
+  sky130_fd_sc_hd__nand2_1 U86141 ( .A(n67348), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[7]), 
+        .Y(n67301) );
+  sky130_fd_sc_hd__xor2_1 U86142 ( .A(n67301), .B(n67300), .X(n67302) );
+  sky130_fd_sc_hd__a222oi_1 U86143 ( .A1(n85573), .A2(n73470), .B1(n73469), 
+        .B2(n67302), .C1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[8]), 
+        .C2(n73467), .Y(n67303) );
+  sky130_fd_sc_hd__inv_1 U86144 ( .A(n67303), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N41) );
+  sky130_fd_sc_hd__nor2_1 U86145 ( .A(n75737), .B(n76382), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N233) );
+  sky130_fd_sc_hd__nand2_1 U86146 ( .A(n67371), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[7]), 
+        .Y(n67305) );
+  sky130_fd_sc_hd__xor2_1 U86147 ( .A(n67305), .B(n67308), .X(n67306) );
+  sky130_fd_sc_hd__a22oi_1 U86148 ( .A1(n85573), .A2(n67196), .B1(n73491), 
+        .B2(n67306), .Y(n67307) );
+  sky130_fd_sc_hd__o21ai_1 U86149 ( .A1(n67308), .A2(n73494), .B1(n67307), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N41) );
+  sky130_fd_sc_hd__xor2_1 U86150 ( .A(n67312), .B(n67311), .X(n67309) );
+  sky130_fd_sc_hd__a22oi_1 U86151 ( .A1(n85669), .A2(n67196), .B1(n73491), 
+        .B2(n67309), .Y(n67310) );
+  sky130_fd_sc_hd__o21ai_1 U86152 ( .A1(n67311), .A2(n73494), .B1(n67310), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N42) );
+  sky130_fd_sc_hd__nor2_1 U86153 ( .A(n67311), .B(n67312), .Y(n67313) );
+  sky130_fd_sc_hd__xnor2_1 U86154 ( .A(n67314), .B(n67313), .Y(n67315) );
+  sky130_fd_sc_hd__a22oi_1 U86155 ( .A1(n67329), .A2(n67435), .B1(n73491), 
+        .B2(n67315), .Y(n67316) );
+  sky130_fd_sc_hd__o21ai_1 U86156 ( .A1(n67314), .A2(n73494), .B1(n67316), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N43) );
+  sky130_fd_sc_hd__nand2_1 U86157 ( .A(n67364), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[7]), 
+        .Y(n67319) );
+  sky130_fd_sc_hd__xor2_1 U86158 ( .A(n67319), .B(n67318), .X(n67320) );
+  sky130_fd_sc_hd__a22oi_1 U86159 ( .A1(n85573), .A2(n73508), .B1(n68252), 
+        .B2(n67320), .Y(n67321) );
+  sky130_fd_sc_hd__o21ai_1 U86160 ( .A1(n67318), .A2(n73510), .B1(n67321), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N41) );
+  sky130_fd_sc_hd__xor2_1 U86161 ( .A(n67325), .B(n67324), .X(n67322) );
+  sky130_fd_sc_hd__a22oi_1 U86162 ( .A1(n85669), .A2(n73508), .B1(n73507), 
+        .B2(n67322), .Y(n67323) );
+  sky130_fd_sc_hd__o21ai_1 U86163 ( .A1(n67324), .A2(n73510), .B1(n67323), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N42) );
+  sky130_fd_sc_hd__nor2_1 U86164 ( .A(n67324), .B(n67325), .Y(n67326) );
+  sky130_fd_sc_hd__xnor2_1 U86165 ( .A(n67327), .B(n67326), .Y(n67328) );
+  sky130_fd_sc_hd__a22oi_1 U86166 ( .A1(n67330), .A2(n67329), .B1(n73507), 
+        .B2(n67328), .Y(n67331) );
+  sky130_fd_sc_hd__o21ai_1 U86167 ( .A1(n67327), .A2(n73510), .B1(n67331), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N43) );
+  sky130_fd_sc_hd__nor2_1 U86168 ( .A(n75737), .B(n68186), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N240) );
+  sky130_fd_sc_hd__nor2_1 U86169 ( .A(n75737), .B(n68187), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N149) );
+  sky130_fd_sc_hd__nor2_1 U86170 ( .A(n75737), .B(n66798), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N270) );
+  sky130_fd_sc_hd__nand2_1 U86171 ( .A(n67122), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[8]), 
+        .Y(n67332) );
+  sky130_fd_sc_hd__o21ai_0 U86172 ( .A1(n75737), .A2(n67122), .B1(n67332), .Y(
+        n400) );
+  sky130_fd_sc_hd__nor2_1 U86173 ( .A(n75737), .B(n66802), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N377) );
+  sky130_fd_sc_hd__nor2_1 U86174 ( .A(n75737), .B(n66803), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N306) );
+  sky130_fd_sc_hd__nor2_1 U86175 ( .A(n75737), .B(n68191), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N155) );
+  sky130_fd_sc_hd__nor2_1 U86176 ( .A(n75737), .B(n66804), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N579) );
+  sky130_fd_sc_hd__nor2_1 U86177 ( .A(n75737), .B(n66805), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N649) );
+  sky130_fd_sc_hd__nor2_1 U86178 ( .A(n75737), .B(n66806), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N544) );
+  sky130_fd_sc_hd__nor2_1 U86179 ( .A(n75737), .B(n66807), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N614) );
+  sky130_fd_sc_hd__nor2_1 U86180 ( .A(n75737), .B(n68192), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N246) );
+  sky130_fd_sc_hd__nor3_1 U86181 ( .A(n36802), .B(n75737), .C(n81020), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N263) );
+  sky130_fd_sc_hd__nor2_1 U86182 ( .A(n75737), .B(n76441), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N269) );
+  sky130_fd_sc_hd__nor2_1 U86183 ( .A(n67333), .B(n81437), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N331) );
+  sky130_fd_sc_hd__a22oi_1 U86184 ( .A1(n76234), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[8]), .B1(n76233), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[40]), .Y(n67334) );
+  sky130_fd_sc_hd__o21ai_1 U86185 ( .A1(n67335), .A2(n76236), .B1(n67334), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N642) );
+  sky130_fd_sc_hd__nor2b_1 U86186 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_mask[1]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_opcode_2_), .Y(n67336) );
+  sky130_fd_sc_hd__o21ai_1 U86187 ( .A1(n67336), .A2(n76236), .B1(n76545), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N695) );
+  sky130_fd_sc_hd__xnor2_1 U86188 ( .A(n76954), .B(n68401), .Y(n67337) );
+  sky130_fd_sc_hd__a22oi_1 U86189 ( .A1(n73352), .A2(n67337), .B1(n68403), 
+        .B2(MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[0]), .Y(n67338) );
+  sky130_fd_sc_hd__o21ai_1 U86190 ( .A1(n82396), .A2(n68406), .B1(n67338), .Y(
+        MarmotCaravelChip_dut_sys_clint_N66) );
+  sky130_fd_sc_hd__xnor2_1 U86191 ( .A(n76909), .B(n68323), .Y(n67339) );
+  sky130_fd_sc_hd__a222oi_1 U86192 ( .A1(n85574), .A2(n73353), .B1(n73352), 
+        .B2(n67339), .C1(MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[0]), 
+        .C2(n73350), .Y(n67340) );
+  sky130_fd_sc_hd__o21ai_1 U86193 ( .A1(n67341), .A2(n78174), .B1(n86402), .Y(
+        n68272) );
+  sky130_fd_sc_hd__nand2_1 U86194 ( .A(n67342), .B(n36846), .Y(n78173) );
+  sky130_fd_sc_hd__a21oi_1 U86195 ( .A1(n67343), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_39), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_39_inFlight), .Y(n67344) );
+  sky130_fd_sc_hd__a21oi_1 U86196 ( .A1(n68272), .A2(n78173), .B1(n67344), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_39_N3) );
+  sky130_fd_sc_hd__nand3_1 U86197 ( .A(n67347), .B(n86752), .C(n67346), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N520) );
+  sky130_fd_sc_hd__xnor2_1 U86198 ( .A(n67349), .B(n67348), .Y(n67350) );
+  sky130_fd_sc_hd__a222oi_1 U86199 ( .A1(n85285), .A2(n73470), .B1(n73469), 
+        .B2(n67350), .C1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[7]), 
+        .C2(n73467), .Y(n67351) );
+  sky130_fd_sc_hd__inv_1 U86200 ( .A(n67351), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N40) );
+  sky130_fd_sc_hd__xor2_1 U86201 ( .A(n67352), .B(n76897), .X(n67353) );
+  sky130_fd_sc_hd__a22oi_1 U86202 ( .A1(n73352), .A2(n67353), .B1(n68345), 
+        .B2(MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[6]), .Y(n67354) );
+  sky130_fd_sc_hd__o21ai_1 U86203 ( .A1(n82412), .A2(n68348), .B1(n67354), .Y(
+        MarmotCaravelChip_dut_sys_clint_N96) );
+  sky130_fd_sc_hd__nand2_1 U86204 ( .A(n85286), .B(n86402), .Y(n76417) );
+  sky130_fd_sc_hd__nand2_1 U86205 ( .A(n67356), .B(n67355), .Y(n68179) );
+  sky130_fd_sc_hd__nor2_1 U86206 ( .A(n76417), .B(n68179), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N262) );
+  sky130_fd_sc_hd__nand2_1 U86207 ( .A(n68179), .B(n86752), .Y(n36243) );
+  sky130_fd_sc_hd__nand2_1 U86208 ( .A(n67388), .B(n67358), .Y(n68180) );
+  sky130_fd_sc_hd__nor2_1 U86209 ( .A(n76417), .B(n68180), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N262) );
+  sky130_fd_sc_hd__nand2_1 U86210 ( .A(n68180), .B(n83351), .Y(n36238) );
+  sky130_fd_sc_hd__nand2_1 U86211 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[6]), 
+        .B(n37067), .Y(n67359) );
+  sky130_fd_sc_hd__o21ai_0 U86212 ( .A1(n37067), .A2(n76383), .B1(n67359), .Y(
+        n351) );
+  sky130_fd_sc_hd__nand2_1 U86213 ( .A(n75701), .B(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_6_o_oe), .Y(n67360) );
+  sky130_fd_sc_hd__o21ai_0 U86214 ( .A1(n76383), .A2(n75701), .B1(n67360), .Y(
+        n427) );
+  sky130_fd_sc_hd__xnor2_1 U86215 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[5]), 
+        .B(n67361), .Y(n67362) );
+  sky130_fd_sc_hd__a22oi_1 U86216 ( .A1(n85286), .A2(n73508), .B1(n68252), 
+        .B2(n67362), .Y(n67363) );
+  sky130_fd_sc_hd__o21ai_1 U86217 ( .A1(n67361), .A2(n73510), .B1(n67363), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N39) );
+  sky130_fd_sc_hd__xnor2_1 U86218 ( .A(n67367), .B(n67364), .Y(n67365) );
+  sky130_fd_sc_hd__a22oi_1 U86219 ( .A1(n85285), .A2(n73508), .B1(n68252), 
+        .B2(n67365), .Y(n67366) );
+  sky130_fd_sc_hd__o21ai_1 U86220 ( .A1(n67367), .A2(n73510), .B1(n67366), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N40) );
+  sky130_fd_sc_hd__xnor2_1 U86221 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[5]), 
+        .B(n67370), .Y(n67368) );
+  sky130_fd_sc_hd__a22oi_1 U86222 ( .A1(n85286), .A2(n67196), .B1(n73491), 
+        .B2(n67368), .Y(n67369) );
+  sky130_fd_sc_hd__o21ai_1 U86223 ( .A1(n67370), .A2(n73494), .B1(n67369), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N39) );
+  sky130_fd_sc_hd__xnor2_1 U86224 ( .A(n67374), .B(n67371), .Y(n67372) );
+  sky130_fd_sc_hd__a22oi_1 U86225 ( .A1(n85285), .A2(n67196), .B1(n73491), 
+        .B2(n67372), .Y(n67373) );
+  sky130_fd_sc_hd__nor2_1 U86227 ( .A(n76417), .B(n81028), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N180) );
+  sky130_fd_sc_hd__nor2_1 U86228 ( .A(n76383), .B(n68186), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N238) );
+  sky130_fd_sc_hd__nor2_1 U86229 ( .A(n76417), .B(n81027), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N202) );
+  sky130_fd_sc_hd__nor2_1 U86230 ( .A(n76383), .B(n68187), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N147) );
+  sky130_fd_sc_hd__xnor2_1 U86231 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[5]), 
+        .B(n67375), .Y(n67376) );
+  sky130_fd_sc_hd__a222oi_1 U86232 ( .A1(n85286), .A2(n73470), .B1(n73469), 
+        .B2(n67376), .C1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[6]), 
+        .C2(n73467), .Y(n67377) );
+  sky130_fd_sc_hd__inv_1 U86233 ( .A(n67377), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N39) );
+  sky130_fd_sc_hd__a22oi_1 U86234 ( .A1(n85576), .A2(n73470), .B1(n73467), 
+        .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[5]), 
+        .Y(n67378) );
+  sky130_fd_sc_hd__o21ai_1 U86235 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[5]), 
+        .A2(n67379), .B1(n67378), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N38) );
+  sky130_fd_sc_hd__mux2i_1 U86236 ( .A0(n68252), .A1(n73502), .S(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[5]), 
+        .Y(n67380) );
+  sky130_fd_sc_hd__o21ai_1 U86237 ( .A1(n76427), .A2(n73501), .B1(n67380), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N38) );
+  sky130_fd_sc_hd__a22oi_1 U86238 ( .A1(n85576), .A2(n67196), .B1(n73487), 
+        .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[5]), 
+        .Y(n67381) );
+  sky130_fd_sc_hd__o21ai_1 U86239 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[5]), 
+        .A2(n67382), .B1(n67381), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N38) );
+  sky130_fd_sc_hd__nand2_1 U86240 ( .A(n85576), .B(n86752), .Y(n76416) );
+  sky130_fd_sc_hd__nor2_1 U86241 ( .A(n80418), .B(n76416), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N194) );
+  sky130_fd_sc_hd__nand2_1 U86242 ( .A(n75701), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146_5), 
+        .Y(n67383) );
+  sky130_fd_sc_hd__o21ai_0 U86243 ( .A1(n76427), .A2(n75701), .B1(n67383), .Y(
+        n428) );
+  sky130_fd_sc_hd__nor2_1 U86244 ( .A(n76416), .B(n81028), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N179) );
+  sky130_fd_sc_hd__nor2_1 U86245 ( .A(n76427), .B(n68186), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N237) );
+  sky130_fd_sc_hd__nor2_1 U86246 ( .A(n76416), .B(n81027), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N201) );
+  sky130_fd_sc_hd__nor2_1 U86247 ( .A(n76427), .B(n68187), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N146) );
+  sky130_fd_sc_hd__nor2_1 U86248 ( .A(n76427), .B(n66798), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N267) );
+  sky130_fd_sc_hd__nand2_1 U86249 ( .A(n67122), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[5]), 
+        .Y(n67384) );
+  sky130_fd_sc_hd__o21ai_0 U86250 ( .A1(n76427), .A2(n67122), .B1(n67384), .Y(
+        n403) );
+  sky130_fd_sc_hd__nor2_1 U86251 ( .A(n76427), .B(n66802), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N374) );
+  sky130_fd_sc_hd__nor2_1 U86252 ( .A(n76427), .B(n66803), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N303) );
+  sky130_fd_sc_hd__nor2_1 U86253 ( .A(n76427), .B(n68191), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N152) );
+  sky130_fd_sc_hd__nor2_1 U86254 ( .A(n76427), .B(n66804), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N576) );
+  sky130_fd_sc_hd__nor2_1 U86255 ( .A(n76427), .B(n66805), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N646) );
+  sky130_fd_sc_hd__nor2_1 U86256 ( .A(n76427), .B(n66806), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N541) );
+  sky130_fd_sc_hd__nor2_1 U86257 ( .A(n76427), .B(n66807), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N611) );
+  sky130_fd_sc_hd__nor2_1 U86258 ( .A(n80728), .B(n76416), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N207) );
+  sky130_fd_sc_hd__nor2_1 U86259 ( .A(n80730), .B(n76416), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N185) );
+  sky130_fd_sc_hd__nor2_1 U86260 ( .A(n76427), .B(n68192), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N243) );
+  sky130_fd_sc_hd__nor2_1 U86261 ( .A(n76427), .B(n68193), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N303) );
+  sky130_fd_sc_hd__nand2_1 U86262 ( .A(n67388), .B(n67387), .Y(n76401) );
+  sky130_fd_sc_hd__nor2_1 U86263 ( .A(n76416), .B(n76401), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N271) );
+  sky130_fd_sc_hd__nand2_1 U86264 ( .A(n76401), .B(n83351), .Y(n36237) );
+  sky130_fd_sc_hd__a22o_1 U86265 ( .A1(n79483), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_transmitData[5]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__receivedData_T[5]), .B2(n79484), .X(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N280)
+         );
+  sky130_fd_sc_hd__nor2_1 U86266 ( .A(n76417), .B(n76401), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N272) );
+  sky130_fd_sc_hd__a22o_1 U86267 ( .A1(n79483), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_transmitData[6]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__receivedData_T[6]), .B2(n79484), .X(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N281)
+         );
+  sky130_fd_sc_hd__o21ai_0 U86268 ( .A1(n80049), .A2(n81191), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[6]), 
+        .Y(n67389) );
+  sky130_fd_sc_hd__nand2_1 U86269 ( .A(n86753), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[6]), 
+        .Y(n79889) );
+  sky130_fd_sc_hd__nand2_1 U86270 ( .A(n67389), .B(n79889), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N408) );
+  sky130_fd_sc_hd__nor2_1 U86271 ( .A(n76383), .B(n66798), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N268) );
+  sky130_fd_sc_hd__nand2_1 U86272 ( .A(n67122), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[6]), 
+        .Y(n67390) );
+  sky130_fd_sc_hd__o21ai_0 U86273 ( .A1(n76383), .A2(n67122), .B1(n67390), .Y(
+        n402) );
+  sky130_fd_sc_hd__nor2_1 U86274 ( .A(n76383), .B(n66802), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N375) );
+  sky130_fd_sc_hd__o21ai_0 U86275 ( .A1(n80017), .A2(n81191), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[6]), 
+        .Y(n67393) );
+  sky130_fd_sc_hd__nand2_1 U86276 ( .A(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .B(
+        n78053), .Y(n79934) );
+  sky130_fd_sc_hd__nand2_1 U86277 ( .A(n67393), .B(n79934), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N441) );
+  sky130_fd_sc_hd__nor2_1 U86278 ( .A(n76383), .B(n66803), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N304) );
+  sky130_fd_sc_hd__nor2_1 U86279 ( .A(n76383), .B(n68191), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N153) );
+  sky130_fd_sc_hd__nor2_1 U86280 ( .A(n76383), .B(n66804), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N577) );
+  sky130_fd_sc_hd__nor2_1 U86281 ( .A(n76383), .B(n66805), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N647) );
+  sky130_fd_sc_hd__nor2_1 U86282 ( .A(n76383), .B(n66806), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N542) );
+  sky130_fd_sc_hd__nor2_1 U86283 ( .A(n76383), .B(n66807), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N612) );
+  sky130_fd_sc_hd__nor2_1 U86284 ( .A(n80728), .B(n76417), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N208) );
+  sky130_fd_sc_hd__nor2_1 U86285 ( .A(n80730), .B(n76417), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N186) );
+  sky130_fd_sc_hd__nor2_1 U86286 ( .A(n76383), .B(n68192), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N244) );
+  sky130_fd_sc_hd__nor2_1 U86287 ( .A(n76383), .B(n68193), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N304) );
+  sky130_fd_sc_hd__nand2_1 U86288 ( .A(n67394), .B(n36846), .Y(n76426) );
+  sky130_fd_sc_hd__nor2_1 U86289 ( .A(n76383), .B(n76426), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N292) );
+  sky130_fd_sc_hd__nor3_1 U86290 ( .A(n67414), .B(n81362), .C(n67395), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N37) );
+  sky130_fd_sc_hd__o31ai_1 U86291 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[0]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_7_13), .A3(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_7_12), 
+        .B1(n67397), .Y(n67396) );
+  sky130_fd_sc_hd__inv_1 U86292 ( .A(n67403), .Y(n67407) );
+  sky130_fd_sc_hd__o22ai_1 U86293 ( .A1(n76425), .A2(n73361), .B1(n67396), 
+        .B2(n67407), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N25) );
+  sky130_fd_sc_hd__o22ai_1 U86295 ( .A1(n75705), .A2(n73361), .B1(n67399), 
+        .B2(n67407), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N26) );
+  sky130_fd_sc_hd__a21oi_1 U86296 ( .A1(n67401), .A2(n67400), .B1(n67406), .Y(
+        n67402) );
+  sky130_fd_sc_hd__a22o_1 U86297 ( .A1(n67404), .A2(n67405), .B1(n67403), .B2(
+        n67402), .X(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N27) );
+  sky130_fd_sc_hd__o21ai_1 U86298 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[3]), 
+        .A2(n67406), .B1(n73357), .Y(n67408) );
+  sky130_fd_sc_hd__o22ai_1 U86299 ( .A1(n81357), .A2(n67409), .B1(n67408), 
+        .B2(n67407), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N28) );
+  sky130_fd_sc_hd__mux2i_1 U86300 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[60]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[28]), .S(n55203), .Y(n79911) );
+  sky130_fd_sc_hd__a222oi_1 U86301 ( .A1(n68266), .A2(n73470), .B1(n73469), 
+        .B2(n67410), .C1(n73467), .C2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[28]), 
+        .Y(n67411) );
+  sky130_fd_sc_hd__inv_1 U86302 ( .A(n67411), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N61) );
+  sky130_fd_sc_hd__a222oi_1 U86303 ( .A1(n79913), .A2(n73470), .B1(n73469), 
+        .B2(n67412), .C1(n73467), .C2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[29]), 
+        .Y(n67413) );
+  sky130_fd_sc_hd__inv_1 U86304 ( .A(n67413), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N62) );
+  sky130_fd_sc_hd__inv_1 U86305 ( .A(n67414), .Y(n67415) );
+  sky130_fd_sc_hd__a21o_1 U86306 ( .A1(n67415), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_7[10]), .B1(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_7[8]), 
+        .X(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N64) );
+  sky130_fd_sc_hd__mux2i_1 U86307 ( .A0(n67416), .A1(n68266), .S(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__gang_T_3), 
+        .Y(n67417) );
+  sky130_fd_sc_hd__o31ai_1 U86308 ( .A1(n67419), .A2(n67418), .A3(n67809), 
+        .B1(n67417), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N66) );
+  sky130_fd_sc_hd__nand2_1 U86309 ( .A(n67420), .B(n36846), .Y(n78190) );
+  sky130_fd_sc_hd__a21oi_1 U86310 ( .A1(n67421), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_42), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_42_inFlight), .Y(n67422) );
+  sky130_fd_sc_hd__a21oi_1 U86311 ( .A1(n68272), .A2(n78190), .B1(n67422), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_42_N3) );
+  sky130_fd_sc_hd__nor2_1 U86312 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_42_inFlight), .B(n67423), .Y(n67426) );
+  sky130_fd_sc_hd__nand2_1 U86313 ( .A(n67426), .B(n37040), .Y(n67424) );
+  sky130_fd_sc_hd__nor2_1 U86314 ( .A(n82439), .B(n68274), .Y(n67425) );
+  sky130_fd_sc_hd__nor2_1 U86315 ( .A(n67424), .B(n67425), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N539) );
+  sky130_fd_sc_hd__or3_1 U86316 ( .A(n67426), .B(n36848), .C(n67425), .X(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N538) );
+  sky130_fd_sc_hd__nor3_1 U86317 ( .A(n81362), .B(n67444), .C(n67427), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N37) );
+  sky130_fd_sc_hd__o21ai_1 U86318 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[0]), 
+        .A2(n67428), .B1(n67431), .Y(n67430) );
+  sky130_fd_sc_hd__o22ai_1 U86319 ( .A1(n76425), .A2(n73486), .B1(n67430), 
+        .B2(n67441), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N25) );
+  sky130_fd_sc_hd__o22ai_1 U86321 ( .A1(n75705), .A2(n73486), .B1(n67434), 
+        .B2(n67441), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N26) );
+  sky130_fd_sc_hd__o21ai_1 U86322 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[2]), 
+        .A2(n67436), .B1(n67438), .Y(n67437) );
+  sky130_fd_sc_hd__o22ai_1 U86323 ( .A1(n81040), .A2(n67443), .B1(n67437), 
+        .B2(n67441), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N27) );
+  sky130_fd_sc_hd__o22ai_1 U86325 ( .A1(n81357), .A2(n67443), .B1(n67442), 
+        .B2(n67441), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N28) );
+  sky130_fd_sc_hd__a21o_1 U86326 ( .A1(n67445), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_7[10]), .B1(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_7[8]), .X(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N64) );
+  sky130_fd_sc_hd__nor2b_1 U86327 ( .B_N(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_ip_doSticky), .A(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__gang_T_3), 
+        .Y(n67995) );
+  sky130_fd_sc_hd__nand3_1 U86328 ( .A(n67995), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23[28]), .C(n67446), .Y(n67449) );
+  sky130_fd_sc_hd__mux2i_1 U86329 ( .A0(n67447), .A1(n68266), .S(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__gang_T_3), 
+        .Y(n67448) );
+  sky130_fd_sc_hd__nand2_1 U86330 ( .A(n67449), .B(n67448), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N66) );
+  sky130_fd_sc_hd__a222oi_1 U86331 ( .A1(n68266), .A2(n73508), .B1(n68252), 
+        .B2(n67450), .C1(n73502), .C2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[28]), .Y(n67451) );
+  sky130_fd_sc_hd__nor2_1 U86332 ( .A(n79911), .B(n66798), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N290) );
+  sky130_fd_sc_hd__nand2_1 U86333 ( .A(n67122), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[28]), 
+        .Y(n67452) );
+  sky130_fd_sc_hd__o21ai_0 U86334 ( .A1(n79911), .A2(n67122), .B1(n67452), .Y(
+        n386) );
+  sky130_fd_sc_hd__nor2_1 U86335 ( .A(n79911), .B(n66802), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N397) );
+  sky130_fd_sc_hd__nor2_1 U86336 ( .A(n79911), .B(n66803), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N326) );
+  sky130_fd_sc_hd__nor2_1 U86337 ( .A(n79911), .B(n66804), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N599) );
+  sky130_fd_sc_hd__nor2_1 U86338 ( .A(n79911), .B(n66805), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N669) );
+  sky130_fd_sc_hd__nor2_1 U86339 ( .A(n79911), .B(n66806), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N564) );
+  sky130_fd_sc_hd__nor2_1 U86340 ( .A(n79911), .B(n66807), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N634) );
+  sky130_fd_sc_hd__nor2_1 U86341 ( .A(n73528), .B(n79911), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N295) );
+  sky130_fd_sc_hd__nor2_1 U86342 ( .A(n73529), .B(n79911), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N312) );
+  sky130_fd_sc_hd__a222oi_1 U86343 ( .A1(n68266), .A2(n67196), .B1(n73491), 
+        .B2(n67454), .C1(n73487), .C2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[28]), .Y(n67455) );
+  sky130_fd_sc_hd__nand2_1 U86344 ( .A(n75701), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[13]), .Y(n67456) );
+  sky130_fd_sc_hd__o21ai_0 U86345 ( .A1(n75748), .A2(n75701), .B1(n67456), .Y(
+        n421) );
+  sky130_fd_sc_hd__nor3_1 U86346 ( .A(n81362), .B(n67458), .C(n67457), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N37) );
+  sky130_fd_sc_hd__nand2_1 U86347 ( .A(n67459), .B(n73372), .Y(n36213) );
+  sky130_fd_sc_hd__nor2_1 U86348 ( .A(n81362), .B(n73554), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N35) );
+  sky130_fd_sc_hd__nor2_1 U86349 ( .A(n36802), .B(n85577), .Y(n79948) );
+  sky130_fd_sc_hd__o21ai_0 U86350 ( .A1(n80049), .A2(n79948), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[13]), 
+        .Y(n67460) );
+  sky130_fd_sc_hd__nand2_1 U86351 ( .A(n36801), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[13]), 
+        .Y(n79897) );
+  sky130_fd_sc_hd__nand2_1 U86352 ( .A(n67460), .B(n79897), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N415) );
+  sky130_fd_sc_hd__nor2_1 U86353 ( .A(n81362), .B(n80111), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N275) );
+  sky130_fd_sc_hd__nand2_1 U86354 ( .A(n67122), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[13]), 
+        .Y(n67461) );
+  sky130_fd_sc_hd__o21ai_0 U86355 ( .A1(n75748), .A2(n67122), .B1(n67461), .Y(
+        n396) );
+  sky130_fd_sc_hd__o21ai_0 U86356 ( .A1(n80017), .A2(n79948), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[13]), 
+        .Y(n67462) );
+  sky130_fd_sc_hd__nand2_1 U86357 ( .A(n83116), .B(n78030), .Y(n79950) );
+  sky130_fd_sc_hd__nand2_1 U86358 ( .A(n67462), .B(n79950), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N448) );
+  sky130_fd_sc_hd__nor2_1 U86359 ( .A(n81362), .B(n80110), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N311) );
+  sky130_fd_sc_hd__nor2_1 U86360 ( .A(n81362), .B(n76379), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N35) );
+  sky130_fd_sc_hd__nor2_1 U86361 ( .A(n81362), .B(n81020), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N310) );
+  sky130_fd_sc_hd__nor2_1 U86362 ( .A(n75748), .B(n76441), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N327) );
+  sky130_fd_sc_hd__nand2_1 U86363 ( .A(n67987), .B(n67463), .Y(n67525) );
+  sky130_fd_sc_hd__nand2_1 U86364 ( .A(n67465), .B(n67464), .Y(n67471) );
+  sky130_fd_sc_hd__nand2_1 U86365 ( .A(n67467), .B(n67466), .Y(n67470) );
+  sky130_fd_sc_hd__nand4_1 U86366 ( .A(n67472), .B(n67471), .C(n67470), .D(
+        n67469), .Y(n67473) );
+  sky130_fd_sc_hd__xor2_1 U86367 ( .A(n67528), .B(n67906), .X(n67523) );
+  sky130_fd_sc_hd__xor2_1 U86368 ( .A(n67528), .B(n67959), .X(n67518) );
+  sky130_fd_sc_hd__nor2_1 U86369 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[13]), .B(n67518), .Y(n67521) );
+  sky130_fd_sc_hd__xor2_1 U86370 ( .A(n67528), .B(n67915), .X(n67493) );
+  sky130_fd_sc_hd__xor2_1 U86371 ( .A(n67528), .B(n67912), .X(n67491) );
+  sky130_fd_sc_hd__xor2_1 U86372 ( .A(n67528), .B(n67913), .X(n67489) );
+  sky130_fd_sc_hd__xor2_1 U86373 ( .A(n67528), .B(n67917), .X(n67484) );
+  sky130_fd_sc_hd__nor2_1 U86374 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[3]), 
+        .B(n67484), .Y(n67487) );
+  sky130_fd_sc_hd__clkinv_1 U86375 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[0]), 
+        .Y(n67475) );
+  sky130_fd_sc_hd__xnor2_1 U86376 ( .A(n67528), .B(n67920), .Y(n67474) );
+  sky130_fd_sc_hd__xnor2_1 U86377 ( .A(n67528), .B(n67923), .Y(n67476) );
+  sky130_fd_sc_hd__nor2_1 U86378 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[1]), 
+        .B(n67476), .Y(n67478) );
+  sky130_fd_sc_hd__nand2_1 U86379 ( .A(n67476), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[1]), 
+        .Y(n67477) );
+  sky130_fd_sc_hd__xnor2_1 U86381 ( .A(n67528), .B(n67918), .Y(n67480) );
+  sky130_fd_sc_hd__a21oi_1 U86382 ( .A1(n67483), .A2(n67482), .B1(n67481), .Y(
+        n67486) );
+  sky130_fd_sc_hd__nand2_1 U86383 ( .A(n67484), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[3]), 
+        .Y(n67485) );
+  sky130_fd_sc_hd__o21ai_1 U86384 ( .A1(n67487), .A2(n67486), .B1(n67485), .Y(
+        n67488) );
+  sky130_fd_sc_hd__fa_1 U86385 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[4]), 
+        .B(n67489), .CIN(n67488), .COUT(n67490), .SUM() );
+  sky130_fd_sc_hd__fa_1 U86386 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[5]), 
+        .B(n67491), .CIN(n67490), .COUT(n67492), .SUM() );
+  sky130_fd_sc_hd__fa_1 U86387 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[6]), 
+        .B(n67493), .CIN(n67492), .COUT(n67497), .SUM() );
+  sky130_fd_sc_hd__xor2_1 U86388 ( .A(n67528), .B(n67911), .X(n67508) );
+  sky130_fd_sc_hd__nor2_1 U86389 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[7]), 
+        .B(n67508), .Y(n67495) );
+  sky130_fd_sc_hd__xnor2_1 U86390 ( .A(n67907), .B(n67528), .Y(n67494) );
+  sky130_fd_sc_hd__xnor2_1 U86391 ( .A(n67528), .B(n67908), .Y(n67498) );
+  sky130_fd_sc_hd__o22ai_1 U86392 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[8]), 
+        .A2(n67494), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[9]), 
+        .B2(n67498), .Y(n67507) );
+  sky130_fd_sc_hd__xnor2_1 U86393 ( .A(n67528), .B(n67960), .Y(n67506) );
+  sky130_fd_sc_hd__xnor2_1 U86394 ( .A(n67528), .B(n67961), .Y(n67500) );
+  sky130_fd_sc_hd__o22ai_1 U86395 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[11]), .A2(n67506), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[10]), .B2(n67500), .Y(n67504) );
+  sky130_fd_sc_hd__nor3_1 U86396 ( .A(n67495), .B(n67507), .C(n67504), .Y(
+        n67496) );
+  sky130_fd_sc_hd__nand2_1 U86397 ( .A(n67497), .B(n67496), .Y(n67513) );
+  sky130_fd_sc_hd__a21oi_1 U86398 ( .A1(n67951), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[8]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[9]), 
+        .Y(n67502) );
+  sky130_fd_sc_hd__and3_1 U86399 ( .A(n67955), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[8]), 
+        .C(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[9]), 
+        .X(n67499) );
+  sky130_fd_sc_hd__a21oi_1 U86400 ( .A1(n67500), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[10]), .B1(n67499), .Y(n67501) );
+  sky130_fd_sc_hd__a22oi_1 U86402 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[11]), .A2(n67506), .B1(n67505), .B2(n67510), .Y(n67512) );
+  sky130_fd_sc_hd__nand4_1 U86403 ( .A(n67510), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[7]), 
+        .C(n67509), .D(n67508), .Y(n67511) );
+  sky130_fd_sc_hd__nand3_1 U86404 ( .A(n67513), .B(n67512), .C(n67511), .Y(
+        n67517) );
+  sky130_fd_sc_hd__xor2_1 U86405 ( .A(n67528), .B(n67963), .X(n67514) );
+  sky130_fd_sc_hd__a21oi_1 U86406 ( .A1(n67517), .A2(n67516), .B1(n67515), .Y(
+        n67520) );
+  sky130_fd_sc_hd__nand2_1 U86407 ( .A(n67518), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[13]), .Y(n67519) );
+  sky130_fd_sc_hd__o21ai_1 U86408 ( .A1(n67521), .A2(n67520), .B1(n67519), .Y(
+        n67522) );
+  sky130_fd_sc_hd__fa_1 U86409 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[14]), .B(n67523), .CIN(n67522), .COUT(n67524), .SUM() );
+  sky130_fd_sc_hd__fa_1 U86410 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_298[15]), .B(n67525), .CIN(n67524), .COUT(n67530), .SUM() );
+  sky130_fd_sc_hd__mux2i_1 U86411 ( .A0(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[62]), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_data[30]), .S(n67148), .Y(n76448) );
+  sky130_fd_sc_hd__nor2_1 U86412 ( .A(n76448), .B(n67526), .Y(n67527) );
+  sky130_fd_sc_hd__a31oi_1 U86413 ( .A1(n67995), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_23[30]), .A3(n67528), .B1(n67527), .Y(n67529) );
+  sky130_fd_sc_hd__o21ai_1 U86414 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__gang_T_3), 
+        .A2(n67530), .B1(n67529), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N68) );
+  sky130_fd_sc_hd__a21oi_1 U86415 ( .A1(n67531), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_52), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_52_inFlight), .Y(n67532) );
+  sky130_fd_sc_hd__a21oi_1 U86416 ( .A1(n78184), .A2(n68012), .B1(n67532), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_52_N3) );
+  sky130_fd_sc_hd__nor2_1 U86417 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_52_inFlight), .B(n67533), .Y(n67536) );
+  sky130_fd_sc_hd__nand2_1 U86418 ( .A(n67536), .B(n86752), .Y(n67534) );
+  sky130_fd_sc_hd__nor2_1 U86419 ( .A(n68006), .B(n82435), .Y(n67535) );
+  sky130_fd_sc_hd__nor2_1 U86420 ( .A(n67534), .B(n67535), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N599) );
+  sky130_fd_sc_hd__or3_1 U86421 ( .A(n67536), .B(n36802), .C(n67535), .X(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N598) );
+  sky130_fd_sc_hd__ha_1 U86422 ( .A(n67537), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[1]), .COUT(n67124), .SUM(
+        n67538) );
+  sky130_fd_sc_hd__a222oi_1 U86423 ( .A1(n85870), .A2(n68425), .B1(n73352), 
+        .B2(n67538), .C1(MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[1]), 
+        .C2(n68423), .Y(n67539) );
+  sky130_fd_sc_hd__nand2_1 U86424 ( .A(n67540), .B(n86752), .Y(n78197) );
+  sky130_fd_sc_hd__a21oi_1 U86425 ( .A1(n67541), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_16), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_16_inFlight), .Y(n67542) );
+  sky130_fd_sc_hd__a21oi_1 U86426 ( .A1(n67693), .A2(n78197), .B1(n67542), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_16_N3) );
+  sky130_fd_sc_hd__nand2_1 U86427 ( .A(n67543), .B(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_16), .Y(n67546) );
+  sky130_fd_sc_hd__nand4b_1 U86428 ( .A_N(n82429), .B(n68019), .C(n67743), .D(
+        n68017), .Y(n67544) );
+  sky130_fd_sc_hd__nand2_1 U86429 ( .A(n67544), .B(n36801), .Y(n67545) );
+  sky130_fd_sc_hd__nor2_1 U86430 ( .A(n67546), .B(n67545), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N383) );
+  sky130_fd_sc_hd__nand2_1 U86431 ( .A(n67547), .B(n67546), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N382) );
+  sky130_fd_sc_hd__nor2_1 U86432 ( .A(n68378), .B(n68379), .Y(n67548) );
+  sky130_fd_sc_hd__xnor2_1 U86433 ( .A(n76987), .B(n67548), .Y(n67549) );
+  sky130_fd_sc_hd__a222oi_1 U86434 ( .A1(n85870), .A2(n68382), .B1(n73352), 
+        .B2(n67549), .C1(MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[1]), 
+        .C2(n68380), .Y(n67550) );
+  sky130_fd_sc_hd__a21oi_1 U86435 ( .A1(n67551), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_48), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_48_inFlight), .Y(n67552) );
+  sky130_fd_sc_hd__a21oi_1 U86436 ( .A1(n68012), .A2(n78197), .B1(n67552), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_48_N3) );
+  sky130_fd_sc_hd__nor2_1 U86437 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_48_inFlight), .B(n67553), .Y(n67556) );
+  sky130_fd_sc_hd__nand2_1 U86438 ( .A(n67556), .B(n37040), .Y(n67554) );
+  sky130_fd_sc_hd__nor2_1 U86439 ( .A(n68006), .B(n82429), .Y(n67555) );
+  sky130_fd_sc_hd__nor2_1 U86440 ( .A(n67554), .B(n67555), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N575) );
+  sky130_fd_sc_hd__or3_1 U86441 ( .A(n67556), .B(n36848), .C(n67555), .X(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N574) );
+  sky130_fd_sc_hd__xor2_1 U86442 ( .A(n67557), .B(n76913), .X(n67558) );
+  sky130_fd_sc_hd__a222oi_1 U86443 ( .A1(n85578), .A2(n73353), .B1(n73352), 
+        .B2(n67558), .C1(MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[2]), 
+        .C2(n73350), .Y(n67559) );
+  sky130_fd_sc_hd__nand2b_1 U86444 ( .A_N(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_9_inFlight), .B(MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_9), .Y(n67561) );
+  sky130_fd_sc_hd__nor2_1 U86445 ( .A(n82428), .B(n67791), .Y(n67560) );
+  sky130_fd_sc_hd__nor3_1 U86446 ( .A(n67561), .B(n36802), .C(n67560), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N341) );
+  sky130_fd_sc_hd__nand3_1 U86447 ( .A(n67562), .B(n36801), .C(n67561), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N340) );
+  sky130_fd_sc_hd__xor2_1 U86448 ( .A(n67563), .B(n76961), .X(n67564) );
+  sky130_fd_sc_hd__a22oi_1 U86449 ( .A1(n73352), .A2(n67564), .B1(n68403), 
+        .B2(MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[2]), .Y(n67565) );
+  sky130_fd_sc_hd__o21ai_0 U86450 ( .A1(n82398), .A2(n68406), .B1(n67565), .Y(
+        MarmotCaravelChip_dut_sys_clint_N68) );
+  sky130_fd_sc_hd__a21oi_1 U86451 ( .A1(n67566), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_41), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_41_inFlight), .Y(n67567) );
+  sky130_fd_sc_hd__a21oi_1 U86452 ( .A1(n68272), .A2(n78193), .B1(n67567), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_41_N3) );
+  sky130_fd_sc_hd__nor2_1 U86453 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_41_inFlight), .B(n67568), .Y(n67571) );
+  sky130_fd_sc_hd__nand2_1 U86454 ( .A(n67571), .B(n36801), .Y(n67569) );
+  sky130_fd_sc_hd__nor2_1 U86455 ( .A(n67791), .B(n68274), .Y(n67570) );
+  sky130_fd_sc_hd__nor2_1 U86456 ( .A(n67569), .B(n67570), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N533) );
+  sky130_fd_sc_hd__or3_1 U86457 ( .A(n67571), .B(n36848), .C(n67570), .X(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N532) );
+  sky130_fd_sc_hd__nor2_1 U86458 ( .A(n75767), .B(n66798), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N289) );
+  sky130_fd_sc_hd__nand2_1 U86459 ( .A(n67122), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[27]), 
+        .Y(n67572) );
+  sky130_fd_sc_hd__o21ai_0 U86460 ( .A1(n75767), .A2(n67122), .B1(n67572), .Y(
+        n327) );
+  sky130_fd_sc_hd__nor2_1 U86461 ( .A(n75767), .B(n66802), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N396) );
+  sky130_fd_sc_hd__nor2_1 U86462 ( .A(n75767), .B(n66803), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N325) );
+  sky130_fd_sc_hd__nor2_1 U86463 ( .A(n75767), .B(n66804), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N598) );
+  sky130_fd_sc_hd__nor2_1 U86464 ( .A(n75767), .B(n66805), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N668) );
+  sky130_fd_sc_hd__nor2_1 U86465 ( .A(n75767), .B(n66806), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N563) );
+  sky130_fd_sc_hd__nor2_1 U86466 ( .A(n75767), .B(n66807), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N633) );
+  sky130_fd_sc_hd__nand2_1 U86467 ( .A(n75701), .B(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_25_o_oe), .Y(n67574) );
+  sky130_fd_sc_hd__o21ai_0 U86468 ( .A1(n75764), .A2(n75701), .B1(n67574), .Y(
+        n414) );
+  sky130_fd_sc_hd__nor2_1 U86469 ( .A(n75764), .B(n66798), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N287) );
+  sky130_fd_sc_hd__nand2_1 U86470 ( .A(n67122), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[25]), 
+        .Y(n67575) );
+  sky130_fd_sc_hd__o21ai_0 U86471 ( .A1(n75764), .A2(n67122), .B1(n67575), .Y(
+        n388) );
+  sky130_fd_sc_hd__nor2_1 U86472 ( .A(n75764), .B(n66802), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N394) );
+  sky130_fd_sc_hd__nor2_1 U86473 ( .A(n75764), .B(n66803), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N323) );
+  sky130_fd_sc_hd__nor2_1 U86474 ( .A(n75764), .B(n66804), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N596) );
+  sky130_fd_sc_hd__nor2_1 U86475 ( .A(n75764), .B(n66805), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N666) );
+  sky130_fd_sc_hd__nor2_1 U86476 ( .A(n75764), .B(n66806), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N561) );
+  sky130_fd_sc_hd__nor2_1 U86477 ( .A(n75764), .B(n66807), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N631) );
+  sky130_fd_sc_hd__nand2_1 U86478 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[4]), 
+        .B(n37067), .Y(n67577) );
+  sky130_fd_sc_hd__o21ai_0 U86479 ( .A1(n37067), .A2(n75859), .B1(n67577), .Y(
+        n353) );
+  sky130_fd_sc_hd__nand2_1 U86480 ( .A(n85287), .B(n37039), .Y(n81029) );
+  sky130_fd_sc_hd__nor2_1 U86481 ( .A(n81029), .B(n80419), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N171) );
+  sky130_fd_sc_hd__nor2_1 U86482 ( .A(n80418), .B(n81029), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N193) );
+  sky130_fd_sc_hd__nand2_1 U86483 ( .A(n75701), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146_4), 
+        .Y(n67578) );
+  sky130_fd_sc_hd__o21ai_0 U86484 ( .A1(n75859), .A2(n75701), .B1(n67578), .Y(
+        n429) );
+  sky130_fd_sc_hd__nor2_1 U86485 ( .A(n75859), .B(n76384), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N137) );
+  sky130_fd_sc_hd__nor2_1 U86486 ( .A(n75859), .B(n76382), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N229) );
+  sky130_fd_sc_hd__nor2_1 U86487 ( .A(n81029), .B(n73554), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N26) );
+  sky130_fd_sc_hd__nor2_1 U86488 ( .A(n81029), .B(n81036), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N145) );
+  sky130_fd_sc_hd__nor2_1 U86489 ( .A(n81029), .B(n81269), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N26) );
+  sky130_fd_sc_hd__nor2_1 U86490 ( .A(n75859), .B(n66798), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N266) );
+  sky130_fd_sc_hd__nand2_1 U86491 ( .A(n67122), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[4]), 
+        .Y(n67579) );
+  sky130_fd_sc_hd__o21ai_0 U86492 ( .A1(n75859), .A2(n67122), .B1(n67579), .Y(
+        n404) );
+  sky130_fd_sc_hd__nor2_1 U86493 ( .A(n75859), .B(n66802), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N373) );
+  sky130_fd_sc_hd__nor2_1 U86494 ( .A(n75859), .B(n66803), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N302) );
+  sky130_fd_sc_hd__nor2_1 U86495 ( .A(n75859), .B(n68191), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N151) );
+  sky130_fd_sc_hd__nor2_1 U86496 ( .A(n81029), .B(n76379), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N26) );
+  sky130_fd_sc_hd__nor2_1 U86497 ( .A(n75859), .B(n66804), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N575) );
+  sky130_fd_sc_hd__nor2_1 U86498 ( .A(n75859), .B(n66805), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N645) );
+  sky130_fd_sc_hd__nor2_1 U86499 ( .A(n75859), .B(n66806), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N540) );
+  sky130_fd_sc_hd__nor2_1 U86500 ( .A(n75859), .B(n66807), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N610) );
+  sky130_fd_sc_hd__nor2_1 U86501 ( .A(n80728), .B(n81029), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N206) );
+  sky130_fd_sc_hd__nor2_1 U86502 ( .A(n80730), .B(n81029), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N184) );
+  sky130_fd_sc_hd__nor2_1 U86503 ( .A(n75859), .B(n68192), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N242) );
+  sky130_fd_sc_hd__nor2_1 U86504 ( .A(n81029), .B(n81361), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N26) );
+  sky130_fd_sc_hd__nor2_1 U86505 ( .A(n75859), .B(n68193), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N302) );
+  sky130_fd_sc_hd__nor2_1 U86506 ( .A(n81029), .B(n81123), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N26) );
+  sky130_fd_sc_hd__nor2_1 U86507 ( .A(n75859), .B(n76426), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N290) );
+  sky130_fd_sc_hd__xnor2_1 U86508 ( .A(n76889), .B(n67580), .Y(n67581) );
+  sky130_fd_sc_hd__a22oi_1 U86509 ( .A1(n73352), .A2(n67581), .B1(n68345), 
+        .B2(MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[4]), .Y(n67582) );
+  sky130_fd_sc_hd__o21ai_1 U86510 ( .A1(n82410), .A2(n68348), .B1(n67582), .Y(
+        MarmotCaravelChip_dut_sys_clint_N94) );
+  sky130_fd_sc_hd__nand2_1 U86511 ( .A(n67583), .B(n83351), .Y(n78181) );
+  sky130_fd_sc_hd__a21oi_1 U86512 ( .A1(n67584), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_21), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_21_inFlight), .Y(n67585) );
+  sky130_fd_sc_hd__a21oi_1 U86513 ( .A1(n67693), .A2(n78181), .B1(n67585), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_21_N3) );
+  sky130_fd_sc_hd__nand3_1 U86514 ( .A(n67588), .B(n86752), .C(n67587), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N412) );
+  sky130_fd_sc_hd__nor2_1 U86515 ( .A(n67590), .B(n68371), .Y(n68367) );
+  sky130_fd_sc_hd__xnor2_1 U86516 ( .A(n76989), .B(n68367), .Y(n67591) );
+  sky130_fd_sc_hd__a222oi_1 U86517 ( .A1(n85875), .A2(n68382), .B1(n73352), 
+        .B2(n67591), .C1(MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[6]), 
+        .C2(n68380), .Y(n67592) );
+  sky130_fd_sc_hd__nand2_1 U86518 ( .A(n67987), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_16[19]), .Y(n67663) );
+  sky130_fd_sc_hd__xor2_1 U86519 ( .A(n67906), .B(n67663), .X(n67652) );
+  sky130_fd_sc_hd__nor2_1 U86520 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[14]), .B(n67652), .Y(n67655) );
+  sky130_fd_sc_hd__xnor2_1 U86521 ( .A(n67663), .B(n67907), .Y(n67594) );
+  sky130_fd_sc_hd__xor2_1 U86522 ( .A(n67908), .B(n67663), .X(n67627) );
+  sky130_fd_sc_hd__o22ai_1 U86523 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[8]), 
+        .A2(n67594), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[9]), 
+        .B2(n67593), .Y(n67632) );
+  sky130_fd_sc_hd__xor2_1 U86524 ( .A(n67911), .B(n67663), .X(n67626) );
+  sky130_fd_sc_hd__xor2_1 U86525 ( .A(n67663), .B(n67912), .X(n67613) );
+  sky130_fd_sc_hd__nor2_1 U86526 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[5]), 
+        .B(n67613), .Y(n67615) );
+  sky130_fd_sc_hd__xor2_1 U86527 ( .A(n67663), .B(n67913), .X(n67612) );
+  sky130_fd_sc_hd__nor2_1 U86528 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[4]), 
+        .B(n67612), .Y(n67595) );
+  sky130_fd_sc_hd__nor2_1 U86529 ( .A(n67615), .B(n67595), .Y(n67596) );
+  sky130_fd_sc_hd__xor2_1 U86530 ( .A(n67663), .B(n67915), .X(n67617) );
+  sky130_fd_sc_hd__nand2_1 U86531 ( .A(n67596), .B(n67619), .Y(n67623) );
+  sky130_fd_sc_hd__xor2_1 U86532 ( .A(n67917), .B(n67663), .X(n67605) );
+  sky130_fd_sc_hd__nor2_1 U86533 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[3]), 
+        .B(n67605), .Y(n67607) );
+  sky130_fd_sc_hd__xnor2_1 U86534 ( .A(n67663), .B(n67918), .Y(n67604) );
+  sky130_fd_sc_hd__nor2_1 U86535 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[2]), 
+        .B(n67604), .Y(n67597) );
+  sky130_fd_sc_hd__nor2_1 U86536 ( .A(n67607), .B(n67597), .Y(n67611) );
+  sky130_fd_sc_hd__clkinv_1 U86537 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[0]), 
+        .Y(n67599) );
+  sky130_fd_sc_hd__xnor2_1 U86538 ( .A(n67920), .B(n67663), .Y(n67598) );
+  sky130_fd_sc_hd__xnor2_1 U86539 ( .A(n67923), .B(n67663), .Y(n67600) );
+  sky130_fd_sc_hd__nor2_1 U86540 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[1]), 
+        .B(n67600), .Y(n67602) );
+  sky130_fd_sc_hd__nand2_1 U86541 ( .A(n67600), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[1]), 
+        .Y(n67601) );
+  sky130_fd_sc_hd__o21ai_1 U86542 ( .A1(n67603), .A2(n67602), .B1(n67601), .Y(
+        n67610) );
+  sky130_fd_sc_hd__nand2_1 U86543 ( .A(n67604), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[2]), 
+        .Y(n67608) );
+  sky130_fd_sc_hd__nand2_1 U86544 ( .A(n67605), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[3]), 
+        .Y(n67606) );
+  sky130_fd_sc_hd__a21oi_1 U86546 ( .A1(n67611), .A2(n67610), .B1(n67609), .Y(
+        n67622) );
+  sky130_fd_sc_hd__nand2_1 U86547 ( .A(n67612), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[4]), 
+        .Y(n67616) );
+  sky130_fd_sc_hd__nand2_1 U86548 ( .A(n67613), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[5]), 
+        .Y(n67614) );
+  sky130_fd_sc_hd__a21oi_1 U86550 ( .A1(n67620), .A2(n67619), .B1(n67618), .Y(
+        n67621) );
+  sky130_fd_sc_hd__o21ai_1 U86551 ( .A1(n67623), .A2(n67622), .B1(n67621), .Y(
+        n67624) );
+  sky130_fd_sc_hd__o21ai_1 U86552 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[7]), 
+        .A2(n67626), .B1(n67624), .Y(n67625) );
+  sky130_fd_sc_hd__a21oi_1 U86553 ( .A1(n67951), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[8]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[9]), 
+        .Y(n67628) );
+  sky130_fd_sc_hd__nor2_1 U86554 ( .A(n67628), .B(n67627), .Y(n67629) );
+  sky130_fd_sc_hd__a31oi_1 U86555 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[9]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[8]), 
+        .A3(n67955), .B1(n67629), .Y(n67630) );
+  sky130_fd_sc_hd__o21ai_1 U86556 ( .A1(n67632), .A2(n67631), .B1(n67630), .Y(
+        n67651) );
+  sky130_fd_sc_hd__xor2_1 U86557 ( .A(n67959), .B(n67663), .X(n67645) );
+  sky130_fd_sc_hd__nor2_1 U86558 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[13]), .B(n67645), .Y(n67648) );
+  sky130_fd_sc_hd__xnor2_1 U86559 ( .A(n67960), .B(n67663), .Y(n67637) );
+  sky130_fd_sc_hd__nor2_1 U86560 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[11]), .B(n67637), .Y(n67639) );
+  sky130_fd_sc_hd__xnor2_1 U86561 ( .A(n67663), .B(n67961), .Y(n67636) );
+  sky130_fd_sc_hd__nor2_1 U86562 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[10]), .B(n67636), .Y(n67633) );
+  sky130_fd_sc_hd__nor2_1 U86563 ( .A(n67639), .B(n67633), .Y(n67634) );
+  sky130_fd_sc_hd__xor2_1 U86564 ( .A(n67663), .B(n67963), .X(n67641) );
+  sky130_fd_sc_hd__nand2_1 U86565 ( .A(n67634), .B(n67643), .Y(n67635) );
+  sky130_fd_sc_hd__nor2_1 U86566 ( .A(n67648), .B(n67635), .Y(n67650) );
+  sky130_fd_sc_hd__nand2_1 U86567 ( .A(n67636), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[10]), .Y(n67640) );
+  sky130_fd_sc_hd__nand2_1 U86568 ( .A(n67637), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[11]), .Y(n67638) );
+  sky130_fd_sc_hd__a21oi_1 U86570 ( .A1(n67644), .A2(n67643), .B1(n67642), .Y(
+        n67647) );
+  sky130_fd_sc_hd__nand2_1 U86571 ( .A(n67645), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[13]), .Y(n67646) );
+  sky130_fd_sc_hd__a21oi_1 U86573 ( .A1(n67651), .A2(n67650), .B1(n67649), .Y(
+        n67654) );
+  sky130_fd_sc_hd__nand2_1 U86574 ( .A(n67652), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_358[14]), .Y(n67653) );
+  sky130_fd_sc_hd__o21ai_1 U86575 ( .A1(n67655), .A2(n67654), .B1(n67653), .Y(
+        n67660) );
+  sky130_fd_sc_hd__nand2_1 U86576 ( .A(n67987), .B(n67656), .Y(n67657) );
+  sky130_fd_sc_hd__a21o_1 U86577 ( .A1(n67660), .A2(n67659), .B1(n67658), .X(
+        n67661) );
+  sky130_fd_sc_hd__mux2i_1 U86578 ( .A0(n67661), .A1(n76449), .S(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__gang_T_3), 
+        .Y(n67662) );
+  sky130_fd_sc_hd__a21oi_1 U86579 ( .A1(n67664), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_53), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_53_inFlight), .Y(n67665) );
+  sky130_fd_sc_hd__a21oi_1 U86580 ( .A1(n78181), .A2(n68012), .B1(n67665), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_53_N3) );
+  sky130_fd_sc_hd__nor2_1 U86581 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_53_inFlight), .B(n67666), .Y(n67669) );
+  sky130_fd_sc_hd__nand2_1 U86582 ( .A(n67669), .B(n86752), .Y(n67667) );
+  sky130_fd_sc_hd__nor2_1 U86583 ( .A(n67885), .B(n68006), .Y(n67668) );
+  sky130_fd_sc_hd__nor2_1 U86584 ( .A(n67667), .B(n67668), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N605) );
+  sky130_fd_sc_hd__or3_1 U86585 ( .A(n67669), .B(n60015), .C(n67668), .X(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N604) );
+  sky130_fd_sc_hd__nand2_1 U86586 ( .A(n67670), .B(n86752), .Y(n78178) );
+  sky130_fd_sc_hd__a21oi_1 U86587 ( .A1(n67671), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_22), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_22_inFlight), .Y(n67672) );
+  sky130_fd_sc_hd__a21oi_1 U86588 ( .A1(n67693), .A2(n78178), .B1(n67672), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_22_N3) );
+  sky130_fd_sc_hd__nand3_1 U86589 ( .A(n67675), .B(n83351), .C(n67674), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N418) );
+  sky130_fd_sc_hd__nor3_1 U86590 ( .A(n67677), .B(n67676), .C(n67892), .Y(
+        n67679) );
+  sky130_fd_sc_hd__nand2_1 U86591 ( .A(n67679), .B(n67678), .Y(n68034) );
+  sky130_fd_sc_hd__nor2_1 U86592 ( .A(n67680), .B(n68034), .Y(n86547) );
+  sky130_fd_sc_hd__nand2_1 U86593 ( .A(n67679), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_oindex[0]), .Y(
+        n68035) );
+  sky130_fd_sc_hd__nor2_1 U86594 ( .A(n67680), .B(n68035), .Y(n86546) );
+  sky130_fd_sc_hd__a21oi_1 U86595 ( .A1(n67681), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_19), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_19_inFlight), .Y(n67682) );
+  sky130_fd_sc_hd__a21oi_1 U86596 ( .A1(n67693), .A2(n78187), .B1(n67682), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_19_N3) );
+  sky130_fd_sc_hd__nand3_1 U86597 ( .A(n67685), .B(n37037), .C(n67684), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N400) );
+  sky130_fd_sc_hd__a21oi_1 U86598 ( .A1(n67686), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_15), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_15_inFlight), .Y(n67687) );
+  sky130_fd_sc_hd__a21oi_1 U86599 ( .A1(n67693), .A2(n78173), .B1(n67687), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_15_N3) );
+  sky130_fd_sc_hd__nand3_1 U86600 ( .A(n67690), .B(n37039), .C(n67689), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N376) );
+  sky130_fd_sc_hd__a21oi_1 U86601 ( .A1(n67691), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_18), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_18_inFlight), .Y(n67692) );
+  sky130_fd_sc_hd__a21oi_1 U86602 ( .A1(n67693), .A2(n78190), .B1(n67692), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_18_N3) );
+  sky130_fd_sc_hd__nand3_1 U86603 ( .A(n67696), .B(n83351), .C(n67695), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N394) );
+  sky130_fd_sc_hd__nor2_1 U86604 ( .A(n67698), .B(n67892), .Y(n86543) );
+  sky130_fd_sc_hd__nor2_1 U86605 ( .A(n68029), .B(n67720), .Y(n86542) );
+  sky130_fd_sc_hd__a21oi_1 U86606 ( .A1(n67699), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_23), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_23_inFlight), .Y(n67700) );
+  sky130_fd_sc_hd__a21oi_1 U86607 ( .A1(n68285), .A2(n78173), .B1(n67700), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_23_N3) );
+  sky130_fd_sc_hd__nand3_1 U86608 ( .A(n67703), .B(n49230), .C(n67702), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N424) );
+  sky130_fd_sc_hd__a21oi_1 U86609 ( .A1(n67704), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_24), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_24_inFlight), .Y(n67705) );
+  sky130_fd_sc_hd__a21oi_1 U86610 ( .A1(n68285), .A2(n78197), .B1(n67705), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_24_N3) );
+  sky130_fd_sc_hd__nand3_1 U86611 ( .A(n67708), .B(n86753), .C(n67707), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N430) );
+  sky130_fd_sc_hd__nor2_1 U86612 ( .A(n67805), .B(n67720), .Y(n86541) );
+  sky130_fd_sc_hd__nor2_1 U86613 ( .A(n67711), .B(n67892), .Y(n86540) );
+  sky130_fd_sc_hd__a21oi_1 U86614 ( .A1(n67712), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_29), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_29_inFlight), .Y(n67713) );
+  sky130_fd_sc_hd__a21oi_1 U86615 ( .A1(n68285), .A2(n78181), .B1(n67713), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_29_N3) );
+  sky130_fd_sc_hd__nand3_1 U86616 ( .A(n67716), .B(n49230), .C(n67715), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N460) );
+  sky130_fd_sc_hd__nor2_1 U86617 ( .A(n67718), .B(n67892), .Y(n86539) );
+  sky130_fd_sc_hd__nor2_1 U86618 ( .A(n67890), .B(n67720), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_47) );
+  sky130_fd_sc_hd__nor2_1 U86619 ( .A(n67722), .B(n67892), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_51) );
+  sky130_fd_sc_hd__a21oi_1 U86620 ( .A1(n67723), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_28), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_28_inFlight), .Y(n67724) );
+  sky130_fd_sc_hd__a21oi_1 U86621 ( .A1(n68285), .A2(n78184), .B1(n67724), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_28_N3) );
+  sky130_fd_sc_hd__nand3_1 U86622 ( .A(n67727), .B(n83116), .C(n67726), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N454) );
+  sky130_fd_sc_hd__nand2_1 U86623 ( .A(n68028), .B(n67728), .Y(n67754) );
+  sky130_fd_sc_hd__nor2_1 U86624 ( .A(n67890), .B(n67754), .Y(n86537) );
+  sky130_fd_sc_hd__nor2_1 U86625 ( .A(n67729), .B(n67892), .Y(n86536) );
+  sky130_fd_sc_hd__nand2b_1 U86626 ( .A_N(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_11_inFlight), .B(MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_11), .Y(n67731) );
+  sky130_fd_sc_hd__nor2_1 U86627 ( .A(n82428), .B(n67999), .Y(n67730) );
+  sky130_fd_sc_hd__nor3_1 U86628 ( .A(n67731), .B(n36802), .C(n67730), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N353) );
+  sky130_fd_sc_hd__nand3_1 U86629 ( .A(n67732), .B(n86752), .C(n67731), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N352) );
+  sky130_fd_sc_hd__nor2_1 U86630 ( .A(n67733), .B(n67892), .Y(n86535) );
+  sky130_fd_sc_hd__nor2_1 U86631 ( .A(n67734), .B(n67892), .Y(n86534) );
+  sky130_fd_sc_hd__nand2b_1 U86632 ( .A_N(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_13_inFlight), .B(MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_13), .Y(n67736) );
+  sky130_fd_sc_hd__nor2_1 U86633 ( .A(n82428), .B(n67885), .Y(n67735) );
+  sky130_fd_sc_hd__nor3_1 U86634 ( .A(n67736), .B(n37038), .C(n67735), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N365) );
+  sky130_fd_sc_hd__nand3_1 U86635 ( .A(n67737), .B(n36846), .C(n67736), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N364) );
+  sky130_fd_sc_hd__nor2_1 U86636 ( .A(n67805), .B(n67754), .Y(n86533) );
+  sky130_fd_sc_hd__nor2_1 U86637 ( .A(n67738), .B(n67892), .Y(n86532) );
+  sky130_fd_sc_hd__nor2_1 U86638 ( .A(n67739), .B(n67754), .Y(n86531) );
+  sky130_fd_sc_hd__nand3_1 U86639 ( .A(n67741), .B(n86752), .C(n67740), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N328) );
+  sky130_fd_sc_hd__nor2_1 U86640 ( .A(n68037), .B(n67754), .Y(n86529) );
+  sky130_fd_sc_hd__nor2_1 U86641 ( .A(n67750), .B(n68038), .Y(n86528) );
+  sky130_fd_sc_hd__nand2b_1 U86642 ( .A_N(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_5_inFlight), .B(MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_5), .Y(n67745) );
+  sky130_fd_sc_hd__nand3_1 U86643 ( .A(n68019), .B(n67743), .C(n67742), .Y(
+        n82438) );
+  sky130_fd_sc_hd__nor2_1 U86644 ( .A(n82438), .B(n67885), .Y(n67744) );
+  sky130_fd_sc_hd__nor3_1 U86645 ( .A(n67745), .B(n36802), .C(n67744), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N317) );
+  sky130_fd_sc_hd__nand3_1 U86646 ( .A(n67746), .B(n86752), .C(n67745), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N316) );
+  sky130_fd_sc_hd__nor2_1 U86647 ( .A(n67750), .B(n68035), .Y(n86527) );
+  sky130_fd_sc_hd__nor2_1 U86648 ( .A(n67750), .B(n68034), .Y(n86526) );
+  sky130_fd_sc_hd__nand2b_1 U86649 ( .A_N(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_3_inFlight), .B(MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_3), .Y(n67748) );
+  sky130_fd_sc_hd__nor2_1 U86650 ( .A(n82438), .B(n67999), .Y(n67747) );
+  sky130_fd_sc_hd__nor3_1 U86651 ( .A(n67748), .B(n37038), .C(n67747), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N305) );
+  sky130_fd_sc_hd__nand3_1 U86652 ( .A(n67749), .B(n49230), .C(n67748), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N304) );
+  sky130_fd_sc_hd__nor2_1 U86653 ( .A(n68032), .B(n67754), .Y(n86525) );
+  sky130_fd_sc_hd__nor2_1 U86654 ( .A(n67750), .B(n68033), .Y(n86524) );
+  sky130_fd_sc_hd__nand2b_1 U86655 ( .A_N(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_1_inFlight), .B(MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_1), .Y(n67752) );
+  sky130_fd_sc_hd__nor2_1 U86656 ( .A(n82438), .B(n67791), .Y(n67751) );
+  sky130_fd_sc_hd__nor3_1 U86657 ( .A(n67752), .B(n37038), .C(n67751), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N292) );
+  sky130_fd_sc_hd__nand3_1 U86658 ( .A(n67753), .B(n86752), .C(n67752), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N291) );
+  sky130_fd_sc_hd__nor2_1 U86659 ( .A(n68030), .B(n67754), .Y(n86523) );
+  sky130_fd_sc_hd__nand2b_1 U86660 ( .A_N(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_inFlight), .B(MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_0), .Y(n67756) );
+  sky130_fd_sc_hd__nor2_1 U86661 ( .A(n82438), .B(n82429), .Y(n67755) );
+  sky130_fd_sc_hd__nor3_1 U86662 ( .A(n67756), .B(n36848), .C(n67755), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N285) );
+  sky130_fd_sc_hd__nand3_1 U86663 ( .A(n67757), .B(n37040), .C(n67756), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N284) );
+  sky130_fd_sc_hd__a21oi_1 U86664 ( .A1(n67759), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_36), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_36_inFlight), .Y(n67760) );
+  sky130_fd_sc_hd__a21oi_1 U86665 ( .A1(n68173), .A2(n78184), .B1(n67760), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_36_N3) );
+  sky130_fd_sc_hd__nor2_1 U86666 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_36_inFlight), .B(n67761), .Y(n67764) );
+  sky130_fd_sc_hd__nand2_1 U86667 ( .A(n67764), .B(n49230), .Y(n67762) );
+  sky130_fd_sc_hd__nor2_1 U86668 ( .A(n82435), .B(n68175), .Y(n67763) );
+  sky130_fd_sc_hd__nor2_1 U86669 ( .A(n67762), .B(n67763), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N503) );
+  sky130_fd_sc_hd__or3_1 U86670 ( .A(n67764), .B(n83631), .C(n67763), .X(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N502) );
+  sky130_fd_sc_hd__a21oi_1 U86671 ( .A1(n67765), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_35), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_35_inFlight), .Y(n67766) );
+  sky130_fd_sc_hd__a21oi_1 U86672 ( .A1(n68173), .A2(n78187), .B1(n67766), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_35_N3) );
+  sky130_fd_sc_hd__nor2_1 U86673 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_35_inFlight), .B(n67767), .Y(n67770) );
+  sky130_fd_sc_hd__nand2_1 U86674 ( .A(n67770), .B(n83351), .Y(n67768) );
+  sky130_fd_sc_hd__nor2_1 U86675 ( .A(n67999), .B(n68175), .Y(n67769) );
+  sky130_fd_sc_hd__nor2_1 U86676 ( .A(n67768), .B(n67769), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N497) );
+  sky130_fd_sc_hd__or3_1 U86677 ( .A(n67770), .B(n37038), .C(n67769), .X(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N496) );
+  sky130_fd_sc_hd__a21oi_1 U86678 ( .A1(n67771), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_37), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_37_inFlight), .Y(n67772) );
+  sky130_fd_sc_hd__a21oi_1 U86679 ( .A1(n68173), .A2(n78181), .B1(n67772), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_37_N3) );
+  sky130_fd_sc_hd__nor2_1 U86680 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_37_inFlight), .B(n67773), .Y(n67776) );
+  sky130_fd_sc_hd__nand2_1 U86681 ( .A(n67776), .B(n86402), .Y(n67774) );
+  sky130_fd_sc_hd__nor2_1 U86682 ( .A(n67885), .B(n68175), .Y(n67775) );
+  sky130_fd_sc_hd__nor2_1 U86683 ( .A(n67774), .B(n67775), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N509) );
+  sky130_fd_sc_hd__or3_1 U86684 ( .A(n67776), .B(n36848), .C(n67775), .X(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N508) );
+  sky130_fd_sc_hd__a21oi_1 U86685 ( .A1(n67777), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_31), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_31_inFlight), .Y(n67778) );
+  sky130_fd_sc_hd__a21oi_1 U86686 ( .A1(n68173), .A2(n78173), .B1(n67778), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_31_N3) );
+  sky130_fd_sc_hd__nand3_1 U86687 ( .A(n67781), .B(n37040), .C(n67780), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N472) );
+  sky130_fd_sc_hd__a21oi_1 U86688 ( .A1(n67782), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_32), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_32_inFlight), .Y(n67783) );
+  sky130_fd_sc_hd__a21oi_1 U86689 ( .A1(n68173), .A2(n78197), .B1(n67783), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_32_N3) );
+  sky130_fd_sc_hd__nor2_1 U86690 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_32_inFlight), .B(n67784), .Y(n67787) );
+  sky130_fd_sc_hd__nand2_1 U86691 ( .A(n67787), .B(n36801), .Y(n67785) );
+  sky130_fd_sc_hd__nor2_1 U86692 ( .A(n68175), .B(n82429), .Y(n67786) );
+  sky130_fd_sc_hd__nor2_1 U86693 ( .A(n67785), .B(n67786), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N479) );
+  sky130_fd_sc_hd__or3_1 U86694 ( .A(n67787), .B(n74033), .C(n67786), .X(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N478) );
+  sky130_fd_sc_hd__a21oi_1 U86695 ( .A1(n67788), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_33), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_33_inFlight), .Y(n67789) );
+  sky130_fd_sc_hd__a21oi_1 U86696 ( .A1(n68173), .A2(n78193), .B1(n67789), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_33_N3) );
+  sky130_fd_sc_hd__nor2_1 U86697 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_33_inFlight), .B(n67790), .Y(n67794) );
+  sky130_fd_sc_hd__nand2_1 U86698 ( .A(n67794), .B(n36801), .Y(n67792) );
+  sky130_fd_sc_hd__nor2_1 U86699 ( .A(n67791), .B(n68175), .Y(n67793) );
+  sky130_fd_sc_hd__nor2_1 U86700 ( .A(n67792), .B(n67793), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N485) );
+  sky130_fd_sc_hd__or3_1 U86701 ( .A(n67794), .B(n37038), .C(n67793), .X(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N484) );
+  sky130_fd_sc_hd__a21oi_1 U86702 ( .A1(n67795), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_34), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_34_inFlight), .Y(n67796) );
+  sky130_fd_sc_hd__a21oi_1 U86703 ( .A1(n68173), .A2(n78190), .B1(n67796), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_34_N3) );
+  sky130_fd_sc_hd__nor2_1 U86704 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_34_inFlight), .B(n67797), .Y(n67800) );
+  sky130_fd_sc_hd__nand2_1 U86705 ( .A(n67800), .B(n36846), .Y(n67798) );
+  sky130_fd_sc_hd__nor2_1 U86706 ( .A(n82439), .B(n68175), .Y(n67799) );
+  sky130_fd_sc_hd__nor2_1 U86707 ( .A(n67798), .B(n67799), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N491) );
+  sky130_fd_sc_hd__or3_1 U86708 ( .A(n67800), .B(n36848), .C(n67799), .X(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N490) );
+  sky130_fd_sc_hd__nor2_1 U86709 ( .A(n68031), .B(n67889), .Y(n86521) );
+  sky130_fd_sc_hd__nor2_1 U86710 ( .A(n68030), .B(n67889), .Y(n86520) );
+  sky130_fd_sc_hd__nor2_1 U86711 ( .A(n68032), .B(n67889), .Y(n86519) );
+  sky130_fd_sc_hd__nor2_1 U86712 ( .A(n67801), .B(n68033), .Y(n86518) );
+  sky130_fd_sc_hd__nor2_1 U86713 ( .A(n68037), .B(n67889), .Y(n86517) );
+  sky130_fd_sc_hd__nor2_1 U86714 ( .A(n67801), .B(n68038), .Y(n86516) );
+  sky130_fd_sc_hd__nor2_1 U86715 ( .A(n67801), .B(n68035), .Y(n86515) );
+  sky130_fd_sc_hd__nor2_1 U86716 ( .A(n67801), .B(n68034), .Y(n86514) );
+  sky130_fd_sc_hd__nor2_1 U86717 ( .A(n67802), .B(n67892), .Y(n86562) );
+  sky130_fd_sc_hd__nor2_1 U86718 ( .A(n67804), .B(n67892), .Y(n86509) );
+  sky130_fd_sc_hd__nor2_1 U86719 ( .A(n67805), .B(n67889), .Y(n86508) );
+  sky130_fd_sc_hd__nor2_1 U86720 ( .A(n67807), .B(n67892), .Y(n86513) );
+  sky130_fd_sc_hd__nor2_1 U86721 ( .A(n67808), .B(n67889), .Y(n86512) );
+  sky130_fd_sc_hd__nand2_1 U86722 ( .A(n68083), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[19]), .Y(n67881) );
+  sky130_fd_sc_hd__xnor2_1 U86723 ( .A(n68084), .B(n67881), .Y(n67870) );
+  sky130_fd_sc_hd__nor2_1 U86724 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[14]), 
+        .B(n67870), .Y(n67873) );
+  sky130_fd_sc_hd__xor2_1 U86725 ( .A(n68086), .B(n67881), .X(n67811) );
+  sky130_fd_sc_hd__xnor2_1 U86726 ( .A(n68087), .B(n67881), .Y(n67846) );
+  sky130_fd_sc_hd__o22ai_1 U86727 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[8]), 
+        .A2(n67811), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[9]), 
+        .B2(n67810), .Y(n67850) );
+  sky130_fd_sc_hd__xor2_1 U86728 ( .A(n68090), .B(n67881), .X(n67844) );
+  sky130_fd_sc_hd__xor2_1 U86729 ( .A(n67881), .B(n68108), .X(n67831) );
+  sky130_fd_sc_hd__nor2_1 U86730 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[5]), 
+        .B(n67831), .Y(n67833) );
+  sky130_fd_sc_hd__xor2_1 U86731 ( .A(n67881), .B(n68109), .X(n67830) );
+  sky130_fd_sc_hd__nor2_1 U86732 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[4]), 
+        .B(n67830), .Y(n67812) );
+  sky130_fd_sc_hd__nor2_1 U86733 ( .A(n67833), .B(n67812), .Y(n67813) );
+  sky130_fd_sc_hd__xor2_1 U86734 ( .A(n67881), .B(n68107), .X(n67835) );
+  sky130_fd_sc_hd__nand2_1 U86735 ( .A(n67813), .B(n67837), .Y(n67841) );
+  sky130_fd_sc_hd__xor2_1 U86736 ( .A(n68091), .B(n67881), .X(n67823) );
+  sky130_fd_sc_hd__nor2_1 U86737 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[3]), 
+        .B(n67823), .Y(n67825) );
+  sky130_fd_sc_hd__xnor2_1 U86738 ( .A(n67881), .B(n68092), .Y(n67822) );
+  sky130_fd_sc_hd__nor2_1 U86739 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[2]), 
+        .B(n67822), .Y(n67814) );
+  sky130_fd_sc_hd__nor2_1 U86740 ( .A(n67825), .B(n67814), .Y(n67829) );
+  sky130_fd_sc_hd__clkinv_1 U86741 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[0]), 
+        .Y(n67816) );
+  sky130_fd_sc_hd__xor2_1 U86742 ( .A(n68095), .B(n67881), .X(n67815) );
+  sky130_fd_sc_hd__xnor2_1 U86743 ( .A(n67817), .B(n67881), .Y(n67818) );
+  sky130_fd_sc_hd__nor2_1 U86744 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[1]), 
+        .B(n67818), .Y(n67820) );
+  sky130_fd_sc_hd__nand2_1 U86745 ( .A(n67818), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[1]), 
+        .Y(n67819) );
+  sky130_fd_sc_hd__o21ai_1 U86746 ( .A1(n67821), .A2(n67820), .B1(n67819), .Y(
+        n67828) );
+  sky130_fd_sc_hd__nand2_1 U86747 ( .A(n67822), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[2]), 
+        .Y(n67826) );
+  sky130_fd_sc_hd__nand2_1 U86748 ( .A(n67823), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[3]), 
+        .Y(n67824) );
+  sky130_fd_sc_hd__o21ai_1 U86749 ( .A1(n67826), .A2(n67825), .B1(n67824), .Y(
+        n67827) );
+  sky130_fd_sc_hd__a21oi_1 U86750 ( .A1(n67829), .A2(n67828), .B1(n67827), .Y(
+        n67840) );
+  sky130_fd_sc_hd__nand2_1 U86751 ( .A(n67830), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[4]), 
+        .Y(n67834) );
+  sky130_fd_sc_hd__nand2_1 U86752 ( .A(n67831), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[5]), 
+        .Y(n67832) );
+  sky130_fd_sc_hd__o21ai_1 U86753 ( .A1(n67834), .A2(n67833), .B1(n67832), .Y(
+        n67838) );
+  sky130_fd_sc_hd__a21oi_1 U86754 ( .A1(n67838), .A2(n67837), .B1(n67836), .Y(
+        n67839) );
+  sky130_fd_sc_hd__o21ai_1 U86756 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[7]), 
+        .A2(n67844), .B1(n67842), .Y(n67843) );
+  sky130_fd_sc_hd__a21oi_1 U86757 ( .A1(n68127), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[8]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[9]), 
+        .Y(n67845) );
+  sky130_fd_sc_hd__nor2_1 U86758 ( .A(n67846), .B(n67845), .Y(n67847) );
+  sky130_fd_sc_hd__a31oi_1 U86759 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[8]), 
+        .A2(n68131), .A3(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[9]), 
+        .B1(n67847), .Y(n67848) );
+  sky130_fd_sc_hd__o21ai_1 U86760 ( .A1(n67850), .A2(n67849), .B1(n67848), .Y(
+        n67869) );
+  sky130_fd_sc_hd__xor2_1 U86761 ( .A(n68150), .B(n67881), .X(n67863) );
+  sky130_fd_sc_hd__nor2_1 U86762 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[13]), 
+        .B(n67863), .Y(n67866) );
+  sky130_fd_sc_hd__xor2_1 U86763 ( .A(n68135), .B(n67881), .X(n67855) );
+  sky130_fd_sc_hd__nor2_1 U86764 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[11]), 
+        .B(n67855), .Y(n67857) );
+  sky130_fd_sc_hd__xor2_1 U86765 ( .A(n68136), .B(n67881), .X(n67854) );
+  sky130_fd_sc_hd__nor2_1 U86766 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[10]), 
+        .B(n67854), .Y(n67851) );
+  sky130_fd_sc_hd__nor2_1 U86767 ( .A(n67857), .B(n67851), .Y(n67852) );
+  sky130_fd_sc_hd__xor2_1 U86768 ( .A(n68085), .B(n67881), .X(n67859) );
+  sky130_fd_sc_hd__nand2_1 U86769 ( .A(n67852), .B(n67861), .Y(n67853) );
+  sky130_fd_sc_hd__nor2_1 U86770 ( .A(n67866), .B(n67853), .Y(n67868) );
+  sky130_fd_sc_hd__nand2_1 U86771 ( .A(n67854), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[10]), 
+        .Y(n67858) );
+  sky130_fd_sc_hd__nand2_1 U86772 ( .A(n67855), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[11]), 
+        .Y(n67856) );
+  sky130_fd_sc_hd__a21oi_1 U86774 ( .A1(n67862), .A2(n67861), .B1(n67860), .Y(
+        n67865) );
+  sky130_fd_sc_hd__nand2_1 U86775 ( .A(n67863), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[13]), 
+        .Y(n67864) );
+  sky130_fd_sc_hd__a21oi_1 U86777 ( .A1(n67869), .A2(n67868), .B1(n67867), .Y(
+        n67872) );
+  sky130_fd_sc_hd__nand2_1 U86778 ( .A(n67870), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_358[14]), 
+        .Y(n67871) );
+  sky130_fd_sc_hd__nand2_1 U86780 ( .A(n68083), .B(n67874), .Y(n67875) );
+  sky130_fd_sc_hd__a21o_1 U86781 ( .A1(n67878), .A2(n67877), .B1(n67876), .X(
+        n67879) );
+  sky130_fd_sc_hd__mux2i_1 U86782 ( .A0(n67879), .A1(n76449), .S(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__gang_T_3), 
+        .Y(n67880) );
+  sky130_fd_sc_hd__a21oi_1 U86783 ( .A1(n67882), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_45), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_45_inFlight), .Y(n67883) );
+  sky130_fd_sc_hd__a21oi_1 U86784 ( .A1(n68272), .A2(n78181), .B1(n67883), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_45_N3) );
+  sky130_fd_sc_hd__nor2_1 U86785 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_45_inFlight), .B(n67884), .Y(n67888) );
+  sky130_fd_sc_hd__nand2_1 U86786 ( .A(n67888), .B(n86402), .Y(n67886) );
+  sky130_fd_sc_hd__nor2_1 U86787 ( .A(n67885), .B(n68274), .Y(n67887) );
+  sky130_fd_sc_hd__nor2_1 U86788 ( .A(n67886), .B(n67887), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N557) );
+  sky130_fd_sc_hd__or3_1 U86789 ( .A(n67888), .B(n83631), .C(n67887), .X(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N556) );
+  sky130_fd_sc_hd__nor2_1 U86790 ( .A(n67890), .B(n67889), .Y(n86511) );
+  sky130_fd_sc_hd__nor2_1 U86791 ( .A(n67893), .B(n67892), .Y(n86510) );
+  sky130_fd_sc_hd__a21oi_1 U86792 ( .A1(n67894), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_43), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_43_inFlight), .Y(n67895) );
+  sky130_fd_sc_hd__a21oi_1 U86793 ( .A1(n68272), .A2(n78187), .B1(n67895), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_43_N3) );
+  sky130_fd_sc_hd__nor2_1 U86794 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_43_inFlight), .B(n67896), .Y(n67899) );
+  sky130_fd_sc_hd__nand2_1 U86795 ( .A(n67899), .B(n86752), .Y(n67897) );
+  sky130_fd_sc_hd__nor2_1 U86796 ( .A(n67999), .B(n68274), .Y(n67898) );
+  sky130_fd_sc_hd__nor2_1 U86797 ( .A(n67897), .B(n67898), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N545) );
+  sky130_fd_sc_hd__or3_1 U86798 ( .A(n67899), .B(n36802), .C(n67898), .X(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N544) );
+  sky130_fd_sc_hd__a21oi_1 U86799 ( .A1(n67900), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_54), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_54_inFlight), .Y(n67901) );
+  sky130_fd_sc_hd__a21oi_1 U86800 ( .A1(n78178), .A2(n68012), .B1(n67901), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_54_N3) );
+  sky130_fd_sc_hd__nor2_1 U86801 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_54_inFlight), .B(n67902), .Y(n67905) );
+  sky130_fd_sc_hd__nand2_1 U86802 ( .A(n67905), .B(n86752), .Y(n67903) );
+  sky130_fd_sc_hd__nor2_1 U86803 ( .A(n68006), .B(n82432), .Y(n67904) );
+  sky130_fd_sc_hd__nor2_1 U86804 ( .A(n67903), .B(n67904), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N611) );
+  sky130_fd_sc_hd__or3_1 U86805 ( .A(n67905), .B(n83631), .C(n67904), .X(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N610) );
+  sky130_fd_sc_hd__nand2_1 U86806 ( .A(n67987), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_16[17]), .Y(n67994) );
+  sky130_fd_sc_hd__xor2_1 U86807 ( .A(n67906), .B(n67994), .X(n67982) );
+  sky130_fd_sc_hd__nor2_1 U86808 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[14]), .B(n67982), .Y(n67985) );
+  sky130_fd_sc_hd__xnor2_1 U86809 ( .A(n67994), .B(n67907), .Y(n67910) );
+  sky130_fd_sc_hd__xor2_1 U86810 ( .A(n67908), .B(n67994), .X(n67952) );
+  sky130_fd_sc_hd__o22ai_1 U86811 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[8]), 
+        .A2(n67910), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[9]), 
+        .B2(n67909), .Y(n67958) );
+  sky130_fd_sc_hd__xor2_1 U86812 ( .A(n67911), .B(n67994), .X(n67950) );
+  sky130_fd_sc_hd__xor2_1 U86813 ( .A(n67994), .B(n67912), .X(n67937) );
+  sky130_fd_sc_hd__nor2_1 U86814 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[5]), 
+        .B(n67937), .Y(n67939) );
+  sky130_fd_sc_hd__xor2_1 U86815 ( .A(n67994), .B(n67913), .X(n67936) );
+  sky130_fd_sc_hd__nor2_1 U86816 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[4]), 
+        .B(n67936), .Y(n67914) );
+  sky130_fd_sc_hd__nor2_1 U86817 ( .A(n67939), .B(n67914), .Y(n67916) );
+  sky130_fd_sc_hd__xor2_1 U86818 ( .A(n67994), .B(n67915), .X(n67941) );
+  sky130_fd_sc_hd__nand2_1 U86819 ( .A(n67916), .B(n67943), .Y(n67947) );
+  sky130_fd_sc_hd__xor2_1 U86820 ( .A(n67917), .B(n67994), .X(n67929) );
+  sky130_fd_sc_hd__nor2_1 U86821 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[3]), 
+        .B(n67929), .Y(n67931) );
+  sky130_fd_sc_hd__xnor2_1 U86822 ( .A(n67994), .B(n67918), .Y(n67928) );
+  sky130_fd_sc_hd__nor2_1 U86823 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[2]), 
+        .B(n67928), .Y(n67919) );
+  sky130_fd_sc_hd__nor2_1 U86824 ( .A(n67931), .B(n67919), .Y(n67935) );
+  sky130_fd_sc_hd__clkinv_1 U86825 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[0]), 
+        .Y(n67922) );
+  sky130_fd_sc_hd__xnor2_1 U86826 ( .A(n67920), .B(n67994), .Y(n67921) );
+  sky130_fd_sc_hd__xnor2_1 U86827 ( .A(n67923), .B(n67994), .Y(n67924) );
+  sky130_fd_sc_hd__nor2_1 U86828 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[1]), 
+        .B(n67924), .Y(n67926) );
+  sky130_fd_sc_hd__nand2_1 U86829 ( .A(n67924), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[1]), 
+        .Y(n67925) );
+  sky130_fd_sc_hd__o21ai_1 U86830 ( .A1(n67927), .A2(n67926), .B1(n67925), .Y(
+        n67934) );
+  sky130_fd_sc_hd__nand2_1 U86831 ( .A(n67928), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[2]), 
+        .Y(n67932) );
+  sky130_fd_sc_hd__nand2_1 U86832 ( .A(n67929), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[3]), 
+        .Y(n67930) );
+  sky130_fd_sc_hd__o21ai_1 U86833 ( .A1(n67932), .A2(n67931), .B1(n67930), .Y(
+        n67933) );
+  sky130_fd_sc_hd__a21oi_1 U86834 ( .A1(n67935), .A2(n67934), .B1(n67933), .Y(
+        n67946) );
+  sky130_fd_sc_hd__nand2_1 U86835 ( .A(n67936), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[4]), 
+        .Y(n67940) );
+  sky130_fd_sc_hd__nand2_1 U86836 ( .A(n67937), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[5]), 
+        .Y(n67938) );
+  sky130_fd_sc_hd__o21ai_1 U86837 ( .A1(n67940), .A2(n67939), .B1(n67938), .Y(
+        n67944) );
+  sky130_fd_sc_hd__a21oi_1 U86838 ( .A1(n67944), .A2(n67943), .B1(n67942), .Y(
+        n67945) );
+  sky130_fd_sc_hd__o21ai_1 U86840 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[7]), 
+        .A2(n67950), .B1(n67948), .Y(n67949) );
+  sky130_fd_sc_hd__a21oi_1 U86841 ( .A1(n67951), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[8]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[9]), 
+        .Y(n67953) );
+  sky130_fd_sc_hd__nor2_1 U86842 ( .A(n67953), .B(n67952), .Y(n67954) );
+  sky130_fd_sc_hd__a31oi_1 U86843 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[9]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[8]), 
+        .A3(n67955), .B1(n67954), .Y(n67956) );
+  sky130_fd_sc_hd__o21ai_1 U86844 ( .A1(n67958), .A2(n67957), .B1(n67956), .Y(
+        n67981) );
+  sky130_fd_sc_hd__xor2_1 U86845 ( .A(n67959), .B(n67994), .X(n67975) );
+  sky130_fd_sc_hd__nor2_1 U86846 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[13]), .B(n67975), .Y(n67978) );
+  sky130_fd_sc_hd__xnor2_1 U86847 ( .A(n67960), .B(n67994), .Y(n67967) );
+  sky130_fd_sc_hd__nor2_1 U86848 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[11]), .B(n67967), .Y(n67969) );
+  sky130_fd_sc_hd__xnor2_1 U86849 ( .A(n67994), .B(n67961), .Y(n67966) );
+  sky130_fd_sc_hd__nor2_1 U86850 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[10]), .B(n67966), .Y(n67962) );
+  sky130_fd_sc_hd__nor2_1 U86851 ( .A(n67969), .B(n67962), .Y(n67964) );
+  sky130_fd_sc_hd__xor2_1 U86852 ( .A(n67994), .B(n67963), .X(n67971) );
+  sky130_fd_sc_hd__nand2_1 U86853 ( .A(n67964), .B(n67973), .Y(n67965) );
+  sky130_fd_sc_hd__nor2_1 U86854 ( .A(n67978), .B(n67965), .Y(n67980) );
+  sky130_fd_sc_hd__nand2_1 U86855 ( .A(n67966), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[10]), .Y(n67970) );
+  sky130_fd_sc_hd__nand2_1 U86856 ( .A(n67967), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[11]), .Y(n67968) );
+  sky130_fd_sc_hd__a21oi_1 U86858 ( .A1(n67974), .A2(n67973), .B1(n67972), .Y(
+        n67977) );
+  sky130_fd_sc_hd__nand2_1 U86859 ( .A(n67975), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[13]), .Y(n67976) );
+  sky130_fd_sc_hd__a21oi_1 U86861 ( .A1(n67981), .A2(n67980), .B1(n67979), .Y(
+        n67984) );
+  sky130_fd_sc_hd__nand2_1 U86862 ( .A(n67982), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_318[14]), .Y(n67983) );
+  sky130_fd_sc_hd__nand2_1 U86864 ( .A(n67987), .B(n67986), .Y(n67988) );
+  sky130_fd_sc_hd__a21o_1 U86865 ( .A1(n67991), .A2(n67990), .B1(n67989), .X(
+        n67992) );
+  sky130_fd_sc_hd__mux2i_1 U86866 ( .A0(n67992), .A1(n75772), .S(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__gang_T_3), 
+        .Y(n67993) );
+  sky130_fd_sc_hd__a21oi_1 U86867 ( .A1(n67996), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_51), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_51_inFlight), .Y(n67997) );
+  sky130_fd_sc_hd__a21oi_1 U86868 ( .A1(n78187), .A2(n68012), .B1(n67997), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_51_N3) );
+  sky130_fd_sc_hd__nor2_1 U86869 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_51_inFlight), .B(n67998), .Y(n68002) );
+  sky130_fd_sc_hd__nand2_1 U86870 ( .A(n68002), .B(n36801), .Y(n68000) );
+  sky130_fd_sc_hd__nor2_1 U86871 ( .A(n67999), .B(n68006), .Y(n68001) );
+  sky130_fd_sc_hd__nor2_1 U86872 ( .A(n68000), .B(n68001), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N593) );
+  sky130_fd_sc_hd__or3_1 U86873 ( .A(n68002), .B(n83631), .C(n68001), .X(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N592) );
+  sky130_fd_sc_hd__a21oi_1 U86874 ( .A1(n68003), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_50), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_50_inFlight), .Y(n68004) );
+  sky130_fd_sc_hd__a21oi_1 U86875 ( .A1(n78190), .A2(n68012), .B1(n68004), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_50_N3) );
+  sky130_fd_sc_hd__nor2_1 U86876 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_50_inFlight), .B(n68005), .Y(n68009) );
+  sky130_fd_sc_hd__nand2_1 U86877 ( .A(n68009), .B(n37040), .Y(n68007) );
+  sky130_fd_sc_hd__nor2_1 U86878 ( .A(n68006), .B(n82439), .Y(n68008) );
+  sky130_fd_sc_hd__nor2_1 U86879 ( .A(n68007), .B(n68008), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N587) );
+  sky130_fd_sc_hd__or3_1 U86880 ( .A(n68009), .B(n37041), .C(n68008), .X(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N586) );
+  sky130_fd_sc_hd__a21oi_1 U86881 ( .A1(n68010), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_47), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_47_inFlight), .Y(n68011) );
+  sky130_fd_sc_hd__a21oi_1 U86882 ( .A1(n78173), .A2(n68012), .B1(n68011), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_47_N3) );
+  sky130_fd_sc_hd__nand3_1 U86883 ( .A(n68015), .B(n37039), .C(n68014), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N568) );
+  sky130_fd_sc_hd__nor2_1 U86884 ( .A(n68041), .B(n68016), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_45) );
+  sky130_fd_sc_hd__nand2b_1 U86885 ( .A_N(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_55_inFlight), .B(MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_55), .Y(n68025) );
+  sky130_fd_sc_hd__nand2_1 U86886 ( .A(n68018), .B(n68017), .Y(n68020) );
+  sky130_fd_sc_hd__nor2_1 U86887 ( .A(n68020), .B(n68019), .Y(n68021) );
+  sky130_fd_sc_hd__nand2_1 U86888 ( .A(n68022), .B(n68021), .Y(n68023) );
+  sky130_fd_sc_hd__nand2_1 U86889 ( .A(n68023), .B(n36801), .Y(n68024) );
+  sky130_fd_sc_hd__nor2_1 U86890 ( .A(n68025), .B(n68024), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N617) );
+  sky130_fd_sc_hd__nand2_1 U86891 ( .A(n68026), .B(n68025), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N616) );
+  sky130_fd_sc_hd__nand2_1 U86892 ( .A(n68028), .B(n68027), .Y(n68036) );
+  sky130_fd_sc_hd__nor2_1 U86893 ( .A(n68029), .B(n68036), .Y(n86507) );
+  sky130_fd_sc_hd__nor2_1 U86894 ( .A(n68030), .B(n68036), .Y(n86506) );
+  sky130_fd_sc_hd__nor2_1 U86895 ( .A(n68031), .B(n68036), .Y(n86505) );
+  sky130_fd_sc_hd__nor2_1 U86896 ( .A(n68032), .B(n68036), .Y(n86504) );
+  sky130_fd_sc_hd__nor2_1 U86897 ( .A(n68039), .B(n68033), .Y(n86503) );
+  sky130_fd_sc_hd__nor2_1 U86898 ( .A(n68039), .B(n68034), .Y(n86502) );
+  sky130_fd_sc_hd__nor2_1 U86899 ( .A(n68039), .B(n68035), .Y(n86501) );
+  sky130_fd_sc_hd__nor2_1 U86900 ( .A(n68037), .B(n68036), .Y(n86500) );
+  sky130_fd_sc_hd__nor2_1 U86901 ( .A(n68039), .B(n68038), .Y(n86499) );
+  sky130_fd_sc_hd__nor2_1 U86902 ( .A(n68041), .B(n68040), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_f_woready_129) );
+  sky130_fd_sc_hd__inv_1 U86903 ( .A(n68042), .Y(n68047) );
+  sky130_fd_sc_hd__nor3_1 U86904 ( .A(n68047), .B(n68046), .C(n68045), .Y(
+        n68051) );
+  sky130_fd_sc_hd__nand4_1 U86905 ( .A(n68051), .B(n68050), .C(n68049), .D(
+        n68048), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_1_io_dev[2]) );
+  sky130_fd_sc_hd__a21oi_1 U86906 ( .A1(n68052), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_40), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_40_inFlight), .Y(n68053) );
+  sky130_fd_sc_hd__a21oi_1 U86907 ( .A1(n68272), .A2(n78197), .B1(n68053), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_40_N3) );
+  sky130_fd_sc_hd__nor2_1 U86908 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_40_inFlight), .B(n68054), .Y(n68057) );
+  sky130_fd_sc_hd__nand2_1 U86909 ( .A(n68057), .B(n49230), .Y(n68055) );
+  sky130_fd_sc_hd__nor2_1 U86910 ( .A(n68274), .B(n82429), .Y(n68056) );
+  sky130_fd_sc_hd__nor2_1 U86911 ( .A(n68055), .B(n68056), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N527) );
+  sky130_fd_sc_hd__or3_1 U86912 ( .A(n68057), .B(n74033), .C(n68056), .X(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N526) );
+  sky130_fd_sc_hd__or3_1 U86913 ( .A(n68065), .B(n68064), .C(n68063), .X(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_1_io_dev[3]) );
+  sky130_fd_sc_hd__a21oi_1 U86914 ( .A1(n68066), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_26), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_26_inFlight), .Y(n68067) );
+  sky130_fd_sc_hd__a21oi_1 U86915 ( .A1(n68285), .A2(n78190), .B1(n68067), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_26_N3) );
+  sky130_fd_sc_hd__nand3_1 U86916 ( .A(n68070), .B(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .C(
+        n68069), .Y(MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N442) );
+  sky130_fd_sc_hd__nand2_1 U86917 ( .A(n68071), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[2]), .Y(n68072) );
+  sky130_fd_sc_hd__xor2_1 U86918 ( .A(n68072), .B(n76920), .X(n68073) );
+  sky130_fd_sc_hd__a222oi_1 U86919 ( .A1(n85864), .A2(n68365), .B1(n73352), 
+        .B2(n68073), .C1(MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[3]), 
+        .C2(n68364), .Y(n68074) );
+  sky130_fd_sc_hd__a222oi_1 U86920 ( .A1(n85837), .A2(n67196), .B1(n73491), 
+        .B2(n68075), .C1(n73487), .C2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[27]), .Y(n68076) );
+  sky130_fd_sc_hd__nand2_1 U86921 ( .A(n75701), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[14]), .Y(n68077) );
+  sky130_fd_sc_hd__o21ai_0 U86922 ( .A1(n75750), .A2(n75701), .B1(n68077), .Y(
+        n420) );
+  sky130_fd_sc_hd__nand2_1 U86923 ( .A(n85580), .B(n37037), .Y(n68081) );
+  sky130_fd_sc_hd__nor2_1 U86924 ( .A(n68081), .B(n73554), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N36) );
+  sky130_fd_sc_hd__nor2_1 U86925 ( .A(n74033), .B(n85580), .Y(n79951) );
+  sky130_fd_sc_hd__o21ai_0 U86926 ( .A1(n80049), .A2(n79951), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[14]), 
+        .Y(n68078) );
+  sky130_fd_sc_hd__nand2_1 U86927 ( .A(n49230), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[14]), 
+        .Y(n79899) );
+  sky130_fd_sc_hd__nand2_1 U86928 ( .A(n68078), .B(n79899), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N416) );
+  sky130_fd_sc_hd__nor2_1 U86929 ( .A(n68081), .B(n81269), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N36) );
+  sky130_fd_sc_hd__nor2_1 U86930 ( .A(n75750), .B(n66798), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N276) );
+  sky130_fd_sc_hd__nand2_1 U86931 ( .A(n67122), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[14]), 
+        .Y(n68079) );
+  sky130_fd_sc_hd__o21ai_0 U86932 ( .A1(n75750), .A2(n67122), .B1(n68079), .Y(
+        n395) );
+  sky130_fd_sc_hd__nor2_1 U86933 ( .A(n75750), .B(n66802), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N383) );
+  sky130_fd_sc_hd__o21ai_0 U86934 ( .A1(n80017), .A2(n79951), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[14]), 
+        .Y(n68080) );
+  sky130_fd_sc_hd__nand2_1 U86935 ( .A(n36801), .B(n78026), .Y(n79953) );
+  sky130_fd_sc_hd__nand2_1 U86936 ( .A(n68080), .B(n79953), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N449) );
+  sky130_fd_sc_hd__nor2_1 U86937 ( .A(n75750), .B(n66803), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N312) );
+  sky130_fd_sc_hd__nor2_1 U86938 ( .A(n68081), .B(n76379), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N36) );
+  sky130_fd_sc_hd__nor2_1 U86939 ( .A(n75750), .B(n66804), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N585) );
+  sky130_fd_sc_hd__nor2_1 U86940 ( .A(n75750), .B(n66805), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N655) );
+  sky130_fd_sc_hd__nor2_1 U86941 ( .A(n75750), .B(n66806), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N550) );
+  sky130_fd_sc_hd__nor2_1 U86942 ( .A(n75750), .B(n66807), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N620) );
+  sky130_fd_sc_hd__nor2_1 U86943 ( .A(n68081), .B(n81361), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N36) );
+  sky130_fd_sc_hd__nor2_1 U86944 ( .A(n68081), .B(n81123), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N36) );
+  sky130_fd_sc_hd__nand2_1 U86945 ( .A(n68083), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[18]), .Y(n68163) );
+  sky130_fd_sc_hd__nand2_1 U86946 ( .A(n68083), .B(n68082), .Y(n68160) );
+  sky130_fd_sc_hd__xnor2_1 U86947 ( .A(n68084), .B(n68163), .Y(n68155) );
+  sky130_fd_sc_hd__nor2_1 U86948 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[14]), 
+        .B(n68155), .Y(n68158) );
+  sky130_fd_sc_hd__xor2_1 U86949 ( .A(n68085), .B(n68163), .X(n68146) );
+  sky130_fd_sc_hd__nor2_1 U86950 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[12]), 
+        .B(n68146), .Y(n68149) );
+  sky130_fd_sc_hd__xor2_1 U86951 ( .A(n68086), .B(n68163), .X(n68089) );
+  sky130_fd_sc_hd__xnor2_1 U86952 ( .A(n68087), .B(n68163), .Y(n68129) );
+  sky130_fd_sc_hd__o22ai_1 U86953 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[8]), 
+        .A2(n68089), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[9]), 
+        .B2(n68088), .Y(n68134) );
+  sky130_fd_sc_hd__xor2_1 U86954 ( .A(n68090), .B(n68163), .X(n68126) );
+  sky130_fd_sc_hd__xor2_1 U86955 ( .A(n68091), .B(n68163), .X(n68093) );
+  sky130_fd_sc_hd__clkinv_1 U86956 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[3]), 
+        .Y(n68105) );
+  sky130_fd_sc_hd__xnor2_1 U86957 ( .A(n68163), .B(n68092), .Y(n68102) );
+  sky130_fd_sc_hd__o22ai_1 U86958 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[3]), 
+        .A2(n68093), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[2]), 
+        .B2(n68102), .Y(n68104) );
+  sky130_fd_sc_hd__xor2_1 U86959 ( .A(n68094), .B(n68163), .X(n68096) );
+  sky130_fd_sc_hd__clkinv_1 U86960 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[1]), 
+        .Y(n68099) );
+  sky130_fd_sc_hd__xnor2_1 U86961 ( .A(n68095), .B(n68163), .Y(n68097) );
+  sky130_fd_sc_hd__a22oi_1 U86962 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[0]), 
+        .A2(n68097), .B1(n68096), .B2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[1]), 
+        .Y(n68098) );
+  sky130_fd_sc_hd__a21oi_1 U86963 ( .A1(n68100), .A2(n68099), .B1(n68098), .Y(
+        n68101) );
+  sky130_fd_sc_hd__a21oi_1 U86964 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[2]), 
+        .A2(n68102), .B1(n68101), .Y(n68103) );
+  sky130_fd_sc_hd__o22ai_1 U86965 ( .A1(n68106), .A2(n68105), .B1(n68104), 
+        .B2(n68103), .Y(n68123) );
+  sky130_fd_sc_hd__xor2_1 U86966 ( .A(n68163), .B(n68107), .X(n68117) );
+  sky130_fd_sc_hd__nor2_1 U86967 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[6]), 
+        .B(n68117), .Y(n68120) );
+  sky130_fd_sc_hd__xor2_1 U86968 ( .A(n68163), .B(n68108), .X(n68113) );
+  sky130_fd_sc_hd__xor2_1 U86969 ( .A(n68163), .B(n68109), .X(n68112) );
+  sky130_fd_sc_hd__nand2_1 U86970 ( .A(n68116), .B(n68110), .Y(n68111) );
+  sky130_fd_sc_hd__nor2_1 U86971 ( .A(n68120), .B(n68111), .Y(n68122) );
+  sky130_fd_sc_hd__a21oi_1 U86972 ( .A1(n68116), .A2(n68115), .B1(n68114), .Y(
+        n68119) );
+  sky130_fd_sc_hd__nand2_1 U86973 ( .A(n68117), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[6]), 
+        .Y(n68118) );
+  sky130_fd_sc_hd__o21ai_1 U86974 ( .A1(n68120), .A2(n68119), .B1(n68118), .Y(
+        n68121) );
+  sky130_fd_sc_hd__a21o_1 U86975 ( .A1(n68123), .A2(n68122), .B1(n68121), .X(
+        n68124) );
+  sky130_fd_sc_hd__o21ai_1 U86976 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[7]), 
+        .A2(n68126), .B1(n68124), .Y(n68125) );
+  sky130_fd_sc_hd__a21oi_1 U86977 ( .A1(n68127), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[8]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[9]), 
+        .Y(n68128) );
+  sky130_fd_sc_hd__nor2_1 U86978 ( .A(n68129), .B(n68128), .Y(n68130) );
+  sky130_fd_sc_hd__a31oi_1 U86979 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[8]), 
+        .A2(n68131), .A3(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[9]), 
+        .B1(n68130), .Y(n68132) );
+  sky130_fd_sc_hd__o21ai_1 U86980 ( .A1(n68134), .A2(n68133), .B1(n68132), .Y(
+        n68145) );
+  sky130_fd_sc_hd__xor2_1 U86981 ( .A(n68135), .B(n68163), .X(n68139) );
+  sky130_fd_sc_hd__nor2_1 U86982 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[11]), 
+        .B(n68139), .Y(n68141) );
+  sky130_fd_sc_hd__xor2_1 U86983 ( .A(n68136), .B(n68163), .X(n68138) );
+  sky130_fd_sc_hd__nor2_1 U86984 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[10]), 
+        .B(n68138), .Y(n68137) );
+  sky130_fd_sc_hd__nor2_1 U86985 ( .A(n68141), .B(n68137), .Y(n68144) );
+  sky130_fd_sc_hd__nand2_1 U86986 ( .A(n68138), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[10]), 
+        .Y(n68142) );
+  sky130_fd_sc_hd__nand2_1 U86987 ( .A(n68139), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[11]), 
+        .Y(n68140) );
+  sky130_fd_sc_hd__o21ai_1 U86988 ( .A1(n68142), .A2(n68141), .B1(n68140), .Y(
+        n68143) );
+  sky130_fd_sc_hd__a21oi_1 U86989 ( .A1(n68145), .A2(n68144), .B1(n68143), .Y(
+        n68148) );
+  sky130_fd_sc_hd__nand2_1 U86990 ( .A(n68146), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[12]), 
+        .Y(n68147) );
+  sky130_fd_sc_hd__o21ai_1 U86991 ( .A1(n68149), .A2(n68148), .B1(n68147), .Y(
+        n68154) );
+  sky130_fd_sc_hd__xor2_1 U86992 ( .A(n68150), .B(n68163), .X(n68151) );
+  sky130_fd_sc_hd__a21oi_1 U86993 ( .A1(n68154), .A2(n68153), .B1(n68152), .Y(
+        n68157) );
+  sky130_fd_sc_hd__nand2_1 U86994 ( .A(n68155), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_298[14]), 
+        .Y(n68156) );
+  sky130_fd_sc_hd__mux2i_1 U86996 ( .A0(n68161), .A1(n76448), .S(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__gang_T_3), 
+        .Y(n68162) );
+  sky130_fd_sc_hd__a21oi_1 U86997 ( .A1(n68165), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_44), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_44_inFlight), .Y(n68166) );
+  sky130_fd_sc_hd__a21oi_1 U86998 ( .A1(n68272), .A2(n78184), .B1(n68166), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_44_N3) );
+  sky130_fd_sc_hd__nor2_1 U86999 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_44_inFlight), .B(n68167), .Y(n68170) );
+  sky130_fd_sc_hd__nand2_1 U87000 ( .A(n68170), .B(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .Y(
+        n68168) );
+  sky130_fd_sc_hd__nor2_1 U87001 ( .A(n82435), .B(n68274), .Y(n68169) );
+  sky130_fd_sc_hd__nor2_1 U87002 ( .A(n68168), .B(n68169), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N551) );
+  sky130_fd_sc_hd__or3_1 U87003 ( .A(n68170), .B(n83631), .C(n68169), .X(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N550) );
+  sky130_fd_sc_hd__nor2_1 U87004 ( .A(n38071), .B(n37661), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_dev[4]) );
+  sky130_fd_sc_hd__a21oi_1 U87005 ( .A1(n68171), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_38), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_38_inFlight), .Y(n68172) );
+  sky130_fd_sc_hd__a21oi_1 U87006 ( .A1(n68173), .A2(n78178), .B1(n68172), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_38_N3) );
+  sky130_fd_sc_hd__nor2_1 U87007 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_38_inFlight), .B(n68174), .Y(n68178) );
+  sky130_fd_sc_hd__nand2_1 U87008 ( .A(n68178), .B(n86402), .Y(n68176) );
+  sky130_fd_sc_hd__nor2_1 U87009 ( .A(n82432), .B(n68175), .Y(n68177) );
+  sky130_fd_sc_hd__nor2_1 U87010 ( .A(n68176), .B(n68177), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N515) );
+  sky130_fd_sc_hd__or3_1 U87011 ( .A(n68178), .B(n36848), .C(n68177), .X(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N514) );
+  sky130_fd_sc_hd__nand2_1 U87012 ( .A(n85285), .B(n37040), .Y(n76415) );
+  sky130_fd_sc_hd__nor2_1 U87013 ( .A(n76415), .B(n68179), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N258) );
+  sky130_fd_sc_hd__nor2_1 U87014 ( .A(n76415), .B(n68180), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N258) );
+  sky130_fd_sc_hd__nand2_1 U87015 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[7]), 
+        .B(n36766), .Y(n68181) );
+  sky130_fd_sc_hd__o21ai_0 U87016 ( .A1(n36766), .A2(n76385), .B1(n68181), .Y(
+        n375) );
+  sky130_fd_sc_hd__nand2_1 U87017 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[7]), 
+        .B(n37067), .Y(n68182) );
+  sky130_fd_sc_hd__o21ai_0 U87018 ( .A1(n37067), .A2(n76385), .B1(n68182), .Y(
+        n350) );
+  sky130_fd_sc_hd__nand2_1 U87019 ( .A(n75701), .B(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_7_o_oe), .Y(n68183) );
+  sky130_fd_sc_hd__o21ai_0 U87020 ( .A1(n76385), .A2(n75701), .B1(n68183), .Y(
+        n426) );
+  sky130_fd_sc_hd__nor2_1 U87021 ( .A(n76415), .B(n73554), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N29) );
+  sky130_fd_sc_hd__nor2_1 U87022 ( .A(n76415), .B(n76418), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N273) );
+  sky130_fd_sc_hd__nand2_1 U87023 ( .A(n76418), .B(n36801), .Y(n36242) );
+  sky130_fd_sc_hd__nand2_1 U87024 ( .A(n49230), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_load), .Y(
+        n79580) );
+  sky130_fd_sc_hd__a22o_1 U87025 ( .A1(n79512), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_transmitData[7]), .B1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__receivedData_T[7]), .B2(n79513), .X(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N282) );
+  sky130_fd_sc_hd__nor2_1 U87026 ( .A(n76415), .B(n81028), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N181) );
+  sky130_fd_sc_hd__nor2_1 U87027 ( .A(n76385), .B(n68186), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N239) );
+  sky130_fd_sc_hd__nor2_1 U87028 ( .A(n76415), .B(n81027), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N203) );
+  sky130_fd_sc_hd__nor2_1 U87029 ( .A(n76385), .B(n68187), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N148) );
+  sky130_fd_sc_hd__a22o_1 U87030 ( .A1(n79483), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_transmitData[7]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__receivedData_T[7]), .B2(n79484), .X(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N282)
+         );
+  sky130_fd_sc_hd__o21ai_0 U87031 ( .A1(n80049), .A2(n79935), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[7]), 
+        .Y(n68188) );
+  sky130_fd_sc_hd__nand2_1 U87032 ( .A(n83116), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[7]), 
+        .Y(n79891) );
+  sky130_fd_sc_hd__nand2_1 U87033 ( .A(n68188), .B(n79891), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N409) );
+  sky130_fd_sc_hd__nor2_1 U87034 ( .A(n76415), .B(n81269), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N29) );
+  sky130_fd_sc_hd__nor2_1 U87035 ( .A(n79586), .B(n36848), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N303) );
+  sky130_fd_sc_hd__nor2_1 U87036 ( .A(n76385), .B(n66798), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N269) );
+  sky130_fd_sc_hd__nand2_1 U87037 ( .A(n67122), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[7]), 
+        .Y(n68189) );
+  sky130_fd_sc_hd__o21ai_0 U87038 ( .A1(n76385), .A2(n67122), .B1(n68189), .Y(
+        n401) );
+  sky130_fd_sc_hd__nor2_1 U87039 ( .A(n76385), .B(n66802), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N376) );
+  sky130_fd_sc_hd__o21ai_0 U87040 ( .A1(n80017), .A2(n79935), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[7]), 
+        .Y(n68190) );
+  sky130_fd_sc_hd__nand2_1 U87041 ( .A(n86753), .B(n78049), .Y(n79937) );
+  sky130_fd_sc_hd__nand2_1 U87042 ( .A(n68190), .B(n79937), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N442) );
+  sky130_fd_sc_hd__nor2_1 U87043 ( .A(n76385), .B(n66803), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N305) );
+  sky130_fd_sc_hd__nor2_1 U87044 ( .A(n79728), .B(n74033), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N303) );
+  sky130_fd_sc_hd__nor2_1 U87045 ( .A(n76385), .B(n68191), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N154) );
+  sky130_fd_sc_hd__nor2_1 U87046 ( .A(n76415), .B(n76379), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N29) );
+  sky130_fd_sc_hd__nor2_1 U87047 ( .A(n76385), .B(n66804), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N578) );
+  sky130_fd_sc_hd__nor2_1 U87048 ( .A(n76385), .B(n66805), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N648) );
+  sky130_fd_sc_hd__nor2_1 U87049 ( .A(n76385), .B(n66806), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N543) );
+  sky130_fd_sc_hd__nor2_1 U87050 ( .A(n76385), .B(n66807), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N613) );
+  sky130_fd_sc_hd__nor2_1 U87051 ( .A(n80728), .B(n76415), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N209) );
+  sky130_fd_sc_hd__nor2_1 U87052 ( .A(n80730), .B(n76415), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N187) );
+  sky130_fd_sc_hd__nor2_1 U87053 ( .A(n76385), .B(n68192), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N245) );
+  sky130_fd_sc_hd__nor2_1 U87054 ( .A(n76415), .B(n81361), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N29) );
+  sky130_fd_sc_hd__nor2_1 U87055 ( .A(n76385), .B(n68193), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N305) );
+  sky130_fd_sc_hd__nor2_1 U87056 ( .A(n76415), .B(n81123), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N29) );
+  sky130_fd_sc_hd__nor2_1 U87057 ( .A(n76385), .B(n76426), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N293) );
+  sky130_fd_sc_hd__a222oi_1 U87058 ( .A1(n85836), .A2(n73508), .B1(n73507), 
+        .B2(n68194), .C1(n73502), .C2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[26]), .Y(n68195) );
+  sky130_fd_sc_hd__inv_1 U87059 ( .A(n68195), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N59) );
+  sky130_fd_sc_hd__nor3_1 U87060 ( .A(n73375), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[15]), .C(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__gang_T_3), 
+        .Y(n68196) );
+  sky130_fd_sc_hd__a21oi_1 U87061 ( .A1(n73374), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_23[29]), .B1(n68196), .Y(n68247) );
+  sky130_fd_sc_hd__nand2_1 U87062 ( .A(n73373), .B(n68197), .Y(n68244) );
+  sky130_fd_sc_hd__xor2_1 U87063 ( .A(n68248), .B(n73377), .X(n68238) );
+  sky130_fd_sc_hd__xor2_1 U87064 ( .A(n68248), .B(n73428), .X(n68234) );
+  sky130_fd_sc_hd__xor2_1 U87065 ( .A(n68240), .B(n73429), .X(n68230) );
+  sky130_fd_sc_hd__xor2_1 U87066 ( .A(n68240), .B(n73378), .X(n68220) );
+  sky130_fd_sc_hd__xor2_1 U87067 ( .A(n68240), .B(n73383), .X(n68211) );
+  sky130_fd_sc_hd__nand2_1 U87068 ( .A(n68211), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[6]), 
+        .Y(n68213) );
+  sky130_fd_sc_hd__xor2_1 U87069 ( .A(n73408), .B(n68240), .X(n68209) );
+  sky130_fd_sc_hd__xor2_1 U87070 ( .A(n68240), .B(n73384), .X(n68207) );
+  sky130_fd_sc_hd__xor2_1 U87071 ( .A(n68248), .B(n73394), .X(n68205) );
+  sky130_fd_sc_hd__xnor2_1 U87072 ( .A(n68240), .B(n73393), .Y(n68203) );
+  sky130_fd_sc_hd__xnor2_1 U87073 ( .A(n68240), .B(n73388), .Y(n68201) );
+  sky130_fd_sc_hd__xor2_1 U87074 ( .A(n73385), .B(n68240), .X(n68198) );
+  sky130_fd_sc_hd__nor2_1 U87075 ( .A(n68199), .B(n68198), .Y(n68200) );
+  sky130_fd_sc_hd__fah_1 U87076 ( .A(n68203), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[2]), 
+        .CI(n68202), .COUT(n68204), .SUM() );
+  sky130_fd_sc_hd__fah_1 U87077 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[3]), 
+        .B(n68205), .CI(n68204), .COUT(n68206), .SUM() );
+  sky130_fd_sc_hd__fah_1 U87078 ( .A(n68207), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[4]), 
+        .CI(n68206), .COUT(n68208), .SUM() );
+  sky130_fd_sc_hd__o21ai_1 U87079 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[6]), 
+        .A2(n68211), .B1(n68210), .Y(n68212) );
+  sky130_fd_sc_hd__xor2_1 U87080 ( .A(n68240), .B(n73382), .X(n68215) );
+  sky130_fd_sc_hd__a2bb2oi_1 U87081 ( .B1(n68213), .B2(n68212), .A1_N(n68215), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[7]), 
+        .Y(n68214) );
+  sky130_fd_sc_hd__nand2_1 U87082 ( .A(n68215), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[7]), 
+        .Y(n68217) );
+  sky130_fd_sc_hd__xor2_1 U87083 ( .A(n68240), .B(n73379), .X(n68222) );
+  sky130_fd_sc_hd__nor2b_1 U87084 ( .B_N(n68222), .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[9]), 
+        .Y(n68216) );
+  sky130_fd_sc_hd__xor2_1 U87085 ( .A(n68240), .B(n73430), .X(n68225) );
+  sky130_fd_sc_hd__nand2_1 U87086 ( .A(n73424), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[8]), 
+        .Y(n68223) );
+  sky130_fd_sc_hd__a21oi_1 U87087 ( .A1(n73420), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[8]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[9]), 
+        .Y(n68221) );
+  sky130_fd_sc_hd__a21oi_1 U87088 ( .A1(n68223), .A2(n68222), .B1(n68221), .Y(
+        n68224) );
+  sky130_fd_sc_hd__a21oi_1 U87089 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[10]), .A2(n68225), .B1(n68224), .Y(n68227) );
+  sky130_fd_sc_hd__o22ai_1 U87090 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[11]), .A2(n68230), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[10]), .B2(n68225), .Y(n68226) );
+  sky130_fd_sc_hd__a21oi_1 U87091 ( .A1(n68234), .A2(n68233), .B1(n68231), .Y(
+        n68236) );
+  sky130_fd_sc_hd__clkinv_1 U87092 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[12]), .Y(n68232) );
+  sky130_fd_sc_hd__nand2_1 U87094 ( .A(n68236), .B(n68235), .Y(n68237) );
+  sky130_fd_sc_hd__o21ai_1 U87095 ( .A1(n68239), .A2(n68238), .B1(n68237), .Y(
+        n68242) );
+  sky130_fd_sc_hd__xor2_1 U87096 ( .A(n68240), .B(n73376), .X(n68241) );
+  sky130_fd_sc_hd__maj3_2 U87097 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[14]), .B(n68242), .C(n68241), .X(n68243) );
+  sky130_fd_sc_hd__mux2i_1 U87098 ( .A0(n68245), .A1(n79913), .S(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__gang_T_3), 
+        .Y(n68246) );
+  sky130_fd_sc_hd__o21ai_1 U87099 ( .A1(n68248), .A2(n68247), .B1(n68246), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N67) );
+  sky130_fd_sc_hd__nor2_1 U87100 ( .A(n75772), .B(n66798), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N291) );
+  sky130_fd_sc_hd__nand2_1 U87101 ( .A(n67122), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[29]), 
+        .Y(n68249) );
+  sky130_fd_sc_hd__o21ai_0 U87102 ( .A1(n75772), .A2(n67122), .B1(n68249), .Y(
+        n385) );
+  sky130_fd_sc_hd__nor2_1 U87103 ( .A(n75772), .B(n66802), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N398) );
+  sky130_fd_sc_hd__nor2_1 U87104 ( .A(n75772), .B(n66803), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N327) );
+  sky130_fd_sc_hd__nor2_1 U87105 ( .A(n75772), .B(n66804), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N600) );
+  sky130_fd_sc_hd__nor2_1 U87106 ( .A(n75772), .B(n66805), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N670) );
+  sky130_fd_sc_hd__nor2_1 U87107 ( .A(n75772), .B(n66806), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N565) );
+  sky130_fd_sc_hd__nor2_1 U87108 ( .A(n75772), .B(n66807), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N635) );
+  sky130_fd_sc_hd__a22oi_1 U87109 ( .A1(n79913), .A2(n73508), .B1(n68252), 
+        .B2(n68251), .Y(n68253) );
+  sky130_fd_sc_hd__o21ai_1 U87110 ( .A1(n68254), .A2(n73510), .B1(n68253), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N62) );
+  sky130_fd_sc_hd__o31ai_1 U87111 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[0]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_7_12), .A3(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_7_13), .B1(n68256), .Y(n68255) );
+  sky130_fd_sc_hd__o22ai_1 U87112 ( .A1(n76425), .A2(n73501), .B1(n68255), 
+        .B2(n68261), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N25) );
+  sky130_fd_sc_hd__a211o_1 U87113 ( .A1(n68257), .A2(n68256), .B1(n68259), 
+        .C1(n68261), .X(n68258) );
+  sky130_fd_sc_hd__o21ai_0 U87114 ( .A1(n73501), .A2(n75705), .B1(n68258), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N26) );
+  sky130_fd_sc_hd__o21ai_1 U87115 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[2]), 
+        .A2(n68259), .B1(n68262), .Y(n68260) );
+  sky130_fd_sc_hd__o22ai_1 U87116 ( .A1(n68265), .A2(n81040), .B1(n68260), 
+        .B2(n68261), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N27) );
+  sky130_fd_sc_hd__a211o_1 U87117 ( .A1(n68263), .A2(n68262), .B1(n73499), 
+        .C1(n68261), .X(n68264) );
+  sky130_fd_sc_hd__o21ai_0 U87118 ( .A1(n68265), .A2(n81357), .B1(n68264), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N28) );
+  sky130_fd_sc_hd__nand3_1 U87119 ( .A(n73374), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_23[28]), .C(n63561), .Y(n68269) );
+  sky130_fd_sc_hd__mux2i_1 U87120 ( .A0(n68267), .A1(n68266), .S(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__gang_T_3), 
+        .Y(n68268) );
+  sky130_fd_sc_hd__nand2_1 U87121 ( .A(n68269), .B(n68268), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N66) );
+  sky130_fd_sc_hd__a21oi_1 U87122 ( .A1(n68270), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_46), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_46_inFlight), .Y(n68271) );
+  sky130_fd_sc_hd__a21oi_1 U87123 ( .A1(n68272), .A2(n78178), .B1(n68271), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_46_N3) );
+  sky130_fd_sc_hd__nor2_1 U87124 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_46_inFlight), .B(n68273), .Y(n68277) );
+  sky130_fd_sc_hd__nand2_1 U87125 ( .A(n68277), .B(n49230), .Y(n68275) );
+  sky130_fd_sc_hd__nor2_1 U87126 ( .A(n82432), .B(n68274), .Y(n68276) );
+  sky130_fd_sc_hd__nor2_1 U87127 ( .A(n68275), .B(n68276), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N563) );
+  sky130_fd_sc_hd__or3_1 U87128 ( .A(n68277), .B(n36802), .C(n68276), .X(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N562) );
+  sky130_fd_sc_hd__xnor2_1 U87129 ( .A(n77026), .B(n73348), .Y(n68278) );
+  sky130_fd_sc_hd__a22oi_1 U87130 ( .A1(n73352), .A2(n68278), .B1(n68345), 
+        .B2(MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[0]), .Y(n68279) );
+  sky130_fd_sc_hd__o21ai_0 U87131 ( .A1(n82421), .A2(n68348), .B1(n68279), .Y(
+        MarmotCaravelChip_dut_sys_clint_N90) );
+  sky130_fd_sc_hd__nor2_1 U87132 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[0]), .B(n68280), .Y(
+        n68281) );
+  sky130_fd_sc_hd__a21oi_1 U87133 ( .A1(n68418), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[0]), .B1(n68281), .Y(
+        n68282) );
+  sky130_fd_sc_hd__o21ai_0 U87134 ( .A1(n82421), .A2(n68421), .B1(n68282), .Y(
+        MarmotCaravelChip_dut_sys_clint_N58) );
+  sky130_fd_sc_hd__a21oi_1 U87135 ( .A1(n68283), .A2(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_30), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_30_inFlight), .Y(n68284) );
+  sky130_fd_sc_hd__a21oi_1 U87136 ( .A1(n68285), .A2(n78178), .B1(n68284), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_30_N3) );
+  sky130_fd_sc_hd__nand3_1 U87137 ( .A(n68288), .B(n86752), .C(n68287), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N466) );
+  sky130_fd_sc_hd__nor2_1 U87138 ( .A(n68290), .B(n68289), .Y(n68349) );
+  sky130_fd_sc_hd__nand2_1 U87139 ( .A(n68349), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[6]), .Y(n68291) );
+  sky130_fd_sc_hd__xor2_1 U87140 ( .A(n68291), .B(n77025), .X(n68292) );
+  sky130_fd_sc_hd__a222oi_1 U87141 ( .A1(n85868), .A2(n68365), .B1(n73352), 
+        .B2(n68292), .C1(MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[7]), 
+        .C2(n68364), .Y(n68293) );
+  sky130_fd_sc_hd__ha_1 U87142 ( .A(n68294), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[4]), .COUT(n68301), .SUM(
+        n66869) );
+  sky130_fd_sc_hd__xor2_1 U87143 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[7]), .B(n68295), .X(
+        n68296) );
+  sky130_fd_sc_hd__a222oi_1 U87144 ( .A1(n85868), .A2(n68310), .B1(n73352), 
+        .B2(n68296), .C1(MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[7]), 
+        .C2(n68309), .Y(n68297) );
+  sky130_fd_sc_hd__ha_1 U87145 ( .A(n68298), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[6]), .COUT(n68295), .SUM(
+        n68299) );
+  sky130_fd_sc_hd__a222oi_1 U87146 ( .A1(n85867), .A2(n68310), .B1(n68309), 
+        .B2(MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[6]), .C1(n73352), 
+        .C2(n68299), .Y(n68300) );
+  sky130_fd_sc_hd__ha_1 U87147 ( .A(n68301), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[5]), .COUT(n68298), .SUM(
+        n68302) );
+  sky130_fd_sc_hd__a222oi_1 U87148 ( .A1(n85866), .A2(n68310), .B1(n73352), 
+        .B2(n68302), .C1(MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[5]), 
+        .C2(n68309), .Y(n68303) );
+  sky130_fd_sc_hd__ha_1 U87149 ( .A(n68304), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[1]), .COUT(n67033), .SUM(
+        n68305) );
+  sky130_fd_sc_hd__a222oi_1 U87150 ( .A1(n85862), .A2(n68310), .B1(n68309), 
+        .B2(MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[1]), .C1(n73352), 
+        .C2(n68305), .Y(n68306) );
+  sky130_fd_sc_hd__ha_1 U87151 ( .A(n68307), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[0]), .COUT(n68304), .SUM(
+        n68308) );
+  sky130_fd_sc_hd__a222oi_1 U87152 ( .A1(n85861), .A2(n68310), .B1(n68309), 
+        .B2(MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[0]), .C1(n73352), 
+        .C2(n68308), .Y(n68311) );
+  sky130_fd_sc_hd__ha_1 U87153 ( .A(n68312), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[4]), .COUT(n67065), .SUM(
+        n68313) );
+  sky130_fd_sc_hd__a222oi_1 U87154 ( .A1(n85873), .A2(n68425), .B1(n68423), 
+        .B2(MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[4]), .C1(n73352), 
+        .C2(n68313), .Y(n68314) );
+  sky130_fd_sc_hd__ha_1 U87155 ( .A(n68315), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[3]), .COUT(n68312), .SUM(
+        n68316) );
+  sky130_fd_sc_hd__a222oi_1 U87156 ( .A1(n85872), .A2(n68425), .B1(n68423), 
+        .B2(MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[3]), .C1(n73352), 
+        .C2(n68316), .Y(n68317) );
+  sky130_fd_sc_hd__xnor2_1 U87157 ( .A(n68319), .B(n68318), .Y(n68320) );
+  sky130_fd_sc_hd__a222oi_1 U87158 ( .A1(n85869), .A2(n68425), .B1(n73352), 
+        .B2(n68320), .C1(MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[0]), 
+        .C2(n68423), .Y(n68321) );
+  sky130_fd_sc_hd__nand2_1 U87159 ( .A(n68323), .B(n68322), .Y(n68329) );
+  sky130_fd_sc_hd__nand2_1 U87160 ( .A(n68333), .B(n68325), .Y(n68326) );
+  sky130_fd_sc_hd__xor2_1 U87161 ( .A(n68326), .B(n76880), .X(n68327) );
+  sky130_fd_sc_hd__a222oi_1 U87162 ( .A1(n85584), .A2(n73353), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[6]), .B2(n73350), .C1(
+        n73352), .C2(n68327), .Y(n68328) );
+  sky130_fd_sc_hd__nor2_1 U87163 ( .A(n76877), .B(n68329), .Y(n68330) );
+  sky130_fd_sc_hd__xnor2_1 U87164 ( .A(n76876), .B(n68330), .Y(n68331) );
+  sky130_fd_sc_hd__a222oi_1 U87165 ( .A1(n85585), .A2(n73353), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[5]), .B2(n73350), .C1(
+        n73352), .C2(n68331), .Y(n68332) );
+  sky130_fd_sc_hd__xnor2_1 U87166 ( .A(n76877), .B(n68333), .Y(n68334) );
+  sky130_fd_sc_hd__a222oi_1 U87167 ( .A1(n85586), .A2(n73353), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[4]), .B2(n73350), .C1(
+        n73352), .C2(n68334), .Y(n68335) );
+  sky130_fd_sc_hd__nor2_1 U87168 ( .A(n76909), .B(n68336), .Y(n68337) );
+  sky130_fd_sc_hd__xnor2_1 U87169 ( .A(n68338), .B(n68337), .Y(n68339) );
+  sky130_fd_sc_hd__a222oi_1 U87170 ( .A1(n85587), .A2(n73353), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[1]), .B2(n73350), .C1(
+        n73352), .C2(n68339), .Y(n68340) );
+  sky130_fd_sc_hd__xor2_1 U87171 ( .A(n68341), .B(n76891), .X(n68342) );
+  sky130_fd_sc_hd__a22oi_1 U87172 ( .A1(n73352), .A2(n68342), .B1(n68345), 
+        .B2(MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[2]), .Y(n68343) );
+  sky130_fd_sc_hd__o21ai_1 U87173 ( .A1(n82408), .A2(n68348), .B1(n68343), .Y(
+        MarmotCaravelChip_dut_sys_clint_N92) );
+  sky130_fd_sc_hd__nand2_1 U87174 ( .A(n73348), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[0]), .Y(n68344) );
+  sky130_fd_sc_hd__xor2_1 U87175 ( .A(n68344), .B(n76892), .X(n68346) );
+  sky130_fd_sc_hd__a22oi_1 U87176 ( .A1(n73352), .A2(n68346), .B1(n68345), 
+        .B2(MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[1]), .Y(n68347) );
+  sky130_fd_sc_hd__o21ai_1 U87177 ( .A1(n82407), .A2(n68348), .B1(n68347), .Y(
+        MarmotCaravelChip_dut_sys_clint_N91) );
+  sky130_fd_sc_hd__xnor2_1 U87178 ( .A(n77022), .B(n68349), .Y(n68350) );
+  sky130_fd_sc_hd__a22oi_1 U87179 ( .A1(n73352), .A2(n68350), .B1(n68365), 
+        .B2(n85867), .Y(n68351) );
+  sky130_fd_sc_hd__nand2_1 U87181 ( .A(n68353), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[4]), .Y(n68354) );
+  sky130_fd_sc_hd__xor2_1 U87182 ( .A(n68354), .B(n76918), .X(n68355) );
+  sky130_fd_sc_hd__a222oi_1 U87183 ( .A1(n85866), .A2(n68365), .B1(n73352), 
+        .B2(n68355), .C1(MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[5]), 
+        .C2(n68364), .Y(n68356) );
+  sky130_fd_sc_hd__nand2_1 U87184 ( .A(n68357), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[0]), .Y(n68358) );
+  sky130_fd_sc_hd__xor2_1 U87185 ( .A(n68358), .B(n76927), .X(n68359) );
+  sky130_fd_sc_hd__a222oi_1 U87186 ( .A1(n85862), .A2(n68365), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[1]), .B2(n68364), .C1(
+        n73352), .C2(n68359), .Y(n68360) );
+  sky130_fd_sc_hd__xor2_1 U87187 ( .A(n68362), .B(n68361), .X(n68363) );
+  sky130_fd_sc_hd__a222oi_1 U87188 ( .A1(n85861), .A2(n68365), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[0]), .B2(n68364), .C1(
+        n73352), .C2(n68363), .Y(n68366) );
+  sky130_fd_sc_hd__nand2_1 U87189 ( .A(n68367), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[6]), .Y(n68368) );
+  sky130_fd_sc_hd__xor2_1 U87190 ( .A(n68368), .B(n77010), .X(n68369) );
+  sky130_fd_sc_hd__a222oi_1 U87191 ( .A1(n85876), .A2(n68382), .B1(n73352), 
+        .B2(n68369), .C1(MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[7]), 
+        .C2(n68380), .Y(n68370) );
+  sky130_fd_sc_hd__xor2_1 U87192 ( .A(n68371), .B(n76998), .X(n68372) );
+  sky130_fd_sc_hd__a222oi_1 U87193 ( .A1(n85873), .A2(n68382), .B1(n68380), 
+        .B2(MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[4]), .C1(n73352), 
+        .C2(n68372), .Y(n68373) );
+  sky130_fd_sc_hd__nand2_1 U87194 ( .A(n68374), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[2]), .Y(n68375) );
+  sky130_fd_sc_hd__xor2_1 U87195 ( .A(n68375), .B(n76986), .X(n68376) );
+  sky130_fd_sc_hd__a222oi_1 U87196 ( .A1(n85872), .A2(n68382), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[3]), .B2(n68380), .C1(
+        n73352), .C2(n68376), .Y(n68377) );
+  sky130_fd_sc_hd__xor2_1 U87197 ( .A(n68379), .B(n68378), .X(n68381) );
+  sky130_fd_sc_hd__a222oi_1 U87198 ( .A1(n85869), .A2(n68382), .B1(n73352), 
+        .B2(n68381), .C1(MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[0]), 
+        .C2(n68380), .Y(n68383) );
+  sky130_fd_sc_hd__nand2_1 U87199 ( .A(n68401), .B(n68384), .Y(n68393) );
+  sky130_fd_sc_hd__nand2_1 U87200 ( .A(n68398), .B(n68386), .Y(n68390) );
+  sky130_fd_sc_hd__nor2_1 U87201 ( .A(n76968), .B(n68390), .Y(n68387) );
+  sky130_fd_sc_hd__xnor2_1 U87202 ( .A(n76967), .B(n68387), .Y(n68388) );
+  sky130_fd_sc_hd__a22oi_1 U87203 ( .A1(n73352), .A2(n68388), .B1(n68403), 
+        .B2(MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[7]), .Y(n68389) );
+  sky130_fd_sc_hd__o21ai_1 U87204 ( .A1(n82403), .A2(n68406), .B1(n68389), .Y(
+        MarmotCaravelChip_dut_sys_clint_N73) );
+  sky130_fd_sc_hd__xor2_1 U87205 ( .A(n68390), .B(n76968), .X(n68391) );
+  sky130_fd_sc_hd__a22oi_1 U87206 ( .A1(n73352), .A2(n68391), .B1(n68403), 
+        .B2(MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[6]), .Y(n68392) );
+  sky130_fd_sc_hd__o21ai_1 U87207 ( .A1(n82402), .A2(n68406), .B1(n68392), .Y(
+        MarmotCaravelChip_dut_sys_clint_N72) );
+  sky130_fd_sc_hd__nor2_1 U87208 ( .A(n76963), .B(n68393), .Y(n68394) );
+  sky130_fd_sc_hd__xnor2_1 U87209 ( .A(n68395), .B(n68394), .Y(n68396) );
+  sky130_fd_sc_hd__a22oi_1 U87210 ( .A1(n73352), .A2(n68396), .B1(n68403), 
+        .B2(MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[5]), .Y(n68397) );
+  sky130_fd_sc_hd__o21ai_1 U87211 ( .A1(n82401), .A2(n68406), .B1(n68397), .Y(
+        MarmotCaravelChip_dut_sys_clint_N71) );
+  sky130_fd_sc_hd__xnor2_1 U87212 ( .A(n76963), .B(n68398), .Y(n68399) );
+  sky130_fd_sc_hd__a22oi_1 U87213 ( .A1(n73352), .A2(n68399), .B1(n68403), 
+        .B2(MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[4]), .Y(n68400) );
+  sky130_fd_sc_hd__o21ai_1 U87214 ( .A1(n82400), .A2(n68406), .B1(n68400), .Y(
+        MarmotCaravelChip_dut_sys_clint_N70) );
+  sky130_fd_sc_hd__nand2_1 U87215 ( .A(n68401), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[0]), .Y(n68402) );
+  sky130_fd_sc_hd__xor2_1 U87216 ( .A(n68402), .B(n76962), .X(n68404) );
+  sky130_fd_sc_hd__a22oi_1 U87217 ( .A1(n73352), .A2(n68404), .B1(n68403), 
+        .B2(MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[1]), .Y(n68405) );
+  sky130_fd_sc_hd__o21ai_1 U87218 ( .A1(n82397), .A2(n68406), .B1(n68405), .Y(
+        MarmotCaravelChip_dut_sys_clint_N67) );
+  sky130_fd_sc_hd__xnor2_1 U87219 ( .A(n76933), .B(n68407), .Y(n68408) );
+  sky130_fd_sc_hd__a22oi_1 U87220 ( .A1(n73352), .A2(n68408), .B1(n68418), 
+        .B2(MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[6]), .Y(n68409) );
+  sky130_fd_sc_hd__o21ai_1 U87221 ( .A1(n82412), .A2(n68421), .B1(n68409), .Y(
+        MarmotCaravelChip_dut_sys_clint_N64) );
+  sky130_fd_sc_hd__xor2_1 U87222 ( .A(n68410), .B(n76938), .X(n68411) );
+  sky130_fd_sc_hd__a22oi_1 U87223 ( .A1(n73352), .A2(n68411), .B1(n68418), 
+        .B2(MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[4]), .Y(n68412) );
+  sky130_fd_sc_hd__o21ai_1 U87224 ( .A1(n82410), .A2(n68421), .B1(n68412), .Y(
+        MarmotCaravelChip_dut_sys_clint_N62) );
+  sky130_fd_sc_hd__xnor2_1 U87225 ( .A(n68414), .B(n68413), .Y(n68415) );
+  sky130_fd_sc_hd__a22oi_1 U87226 ( .A1(n73352), .A2(n68415), .B1(n68418), 
+        .B2(MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[2]), .Y(n68416) );
+  sky130_fd_sc_hd__xnor2_1 U87228 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[0]), .B(n68417), .Y(
+        n68419) );
+  sky130_fd_sc_hd__a22oi_1 U87229 ( .A1(n73352), .A2(n68419), .B1(n68418), 
+        .B2(MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[1]), .Y(n68420) );
+  sky130_fd_sc_hd__ha_1 U87231 ( .A(n68422), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[7]), .COUT(n68307), .SUM(
+        n68424) );
+  sky130_fd_sc_hd__a222oi_1 U87232 ( .A1(n85876), .A2(n68425), .B1(n73352), 
+        .B2(n68424), .C1(MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[7]), 
+        .C2(n68423), .Y(n68426) );
+  sky130_fd_sc_hd__a22oi_1 U87233 ( .A1(n76234), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[23]), .B1(n76233), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[55]), .Y(n68427) );
+  sky130_fd_sc_hd__a21oi_1 U87235 ( .A1(n82522), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_mask[6]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_io_full), .Y(n68430) );
+  sky130_fd_sc_hd__nand2_1 U87236 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_mask[2]), .Y(n68429) );
+  sky130_fd_sc_hd__nand2_1 U87237 ( .A(n68430), .B(n68429), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_auto_out_a_bits_mask[2]) );
+  sky130_fd_sc_hd__nor2_1 U87238 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_slaveValid), .B(n86596), .Y(n76182) );
+  sky130_fd_sc_hd__o21ai_1 U87239 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_mask[2]), .A2(n76182), .B1(n76181), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N692) );
+  sky130_fd_sc_hd__nand2_1 U87240 ( .A(n68431), .B(n81039), .Y(n76480) );
+  sky130_fd_sc_hd__nor2_1 U87241 ( .A(n76436), .B(n76480), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N134) );
+  sky130_fd_sc_hd__nor2_1 U87242 ( .A(n76436), .B(n73816), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N56) );
+  sky130_fd_sc_hd__nor2_1 U87243 ( .A(n38363), .B(n76439), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N56) );
+  sky130_fd_sc_hd__nor2_1 U87244 ( .A(n38431), .B(n76439), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N56) );
+  sky130_fd_sc_hd__nor2_1 U87245 ( .A(n76436), .B(n73541), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N49) );
+  sky130_fd_sc_hd__nor2_1 U87246 ( .A(n76436), .B(n76364), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N56) );
+  sky130_fd_sc_hd__nor2_1 U87247 ( .A(n38364), .B(n76439), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N49) );
+  sky130_fd_sc_hd__nor2_1 U87248 ( .A(n38430), .B(n76439), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N49) );
+  sky130_fd_sc_hd__nor2_1 U87249 ( .A(n76436), .B(n73537), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N49) );
+  sky130_fd_sc_hd__nand2_1 U87250 ( .A(n75701), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[16]), .Y(n68432) );
+  sky130_fd_sc_hd__o21ai_0 U87251 ( .A1(n76436), .A2(n75701), .B1(n68432), .Y(
+        n419) );
+  sky130_fd_sc_hd__nand2_1 U87252 ( .A(n86753), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[16]), 
+        .Y(n80065) );
+  sky130_fd_sc_hd__o21ai_0 U87253 ( .A1(n73517), .A2(n80729), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[16]), 
+        .Y(n68433) );
+  sky130_fd_sc_hd__nor2_1 U87255 ( .A(n76436), .B(n76429), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N207) );
+  sky130_fd_sc_hd__nor2_1 U87256 ( .A(n76436), .B(n66798), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N278) );
+  sky130_fd_sc_hd__nand2_1 U87257 ( .A(n67122), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[16]), 
+        .Y(n68434) );
+  sky130_fd_sc_hd__o21ai_0 U87258 ( .A1(n76436), .A2(n67122), .B1(n68434), .Y(
+        n393) );
+  sky130_fd_sc_hd__nor2_1 U87259 ( .A(n76436), .B(n66802), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N385) );
+  sky130_fd_sc_hd__o21ai_0 U87260 ( .A1(n80017), .A2(n80729), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[16]), 
+        .Y(n68435) );
+  sky130_fd_sc_hd__nand2_1 U87261 ( .A(n37040), .B(n78018), .Y(n79957) );
+  sky130_fd_sc_hd__nand2_1 U87262 ( .A(n68435), .B(n79957), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N451) );
+  sky130_fd_sc_hd__nor2_1 U87263 ( .A(n76436), .B(n66803), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N314) );
+  sky130_fd_sc_hd__nor2_1 U87264 ( .A(n76436), .B(n66804), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N587) );
+  sky130_fd_sc_hd__nor2_1 U87265 ( .A(n76436), .B(n66805), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N657) );
+  sky130_fd_sc_hd__nor2_1 U87266 ( .A(n76436), .B(n66806), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N552) );
+  sky130_fd_sc_hd__nor2_1 U87267 ( .A(n76436), .B(n66807), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N622) );
+  sky130_fd_sc_hd__a21o_1 U87268 ( .A1(n68438), .A2(n68437), .B1(n68436), .X(
+        n84198) );
+  sky130_fd_sc_hd__nor2_1 U87269 ( .A(n76365), .B(n76439), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N56) );
+  sky130_fd_sc_hd__o21ai_1 U87270 ( .A1(n84196), .A2(n84198), .B1(n79910), .Y(
+        n36131) );
+  sky130_fd_sc_hd__nor2_1 U87271 ( .A(n81018), .B(n80729), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N268) );
+  sky130_fd_sc_hd__nor2_1 U87272 ( .A(n76381), .B(n76439), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N49) );
+  sky130_fd_sc_hd__a22oi_1 U87273 ( .A1(n76234), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[16]), .B1(n76233), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[48]), .Y(n68439) );
+  sky130_fd_sc_hd__a22oi_1 U87275 ( .A1(n85816), .A2(n68441), .B1(n36826), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[16]), .Y(n68446) );
+  sky130_fd_sc_hd__nand2_1 U87276 ( .A(n68442), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[112]), .Y(n68445) );
+  sky130_fd_sc_hd__nand2_1 U87277 ( .A(n70204), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[48]), .Y(n68444) );
+  sky130_fd_sc_hd__nand2_1 U87278 ( .A(n70205), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[80]), .Y(n68443) );
+  sky130_fd_sc_hd__nand4_1 U87279 ( .A(n68446), .B(n68445), .C(n68444), .D(
+        n68443), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[16]) );
+  sky130_fd_sc_hd__nand2_1 U87280 ( .A(n37085), .B(n68447), .Y(n68449) );
+  sky130_fd_sc_hd__xnor2_1 U87281 ( .A(n68449), .B(n68448), .Y(n74083) );
+  sky130_fd_sc_hd__nand2_1 U87282 ( .A(n68450), .B(n70284), .Y(n68457) );
+  sky130_fd_sc_hd__nand2_1 U87283 ( .A(n68451), .B(n70276), .Y(n68456) );
+  sky130_fd_sc_hd__nand2_1 U87284 ( .A(n68452), .B(n70272), .Y(n68455) );
+  sky130_fd_sc_hd__nand2_1 U87285 ( .A(n68453), .B(n70273), .Y(n68454) );
+  sky130_fd_sc_hd__nand4_1 U87286 ( .A(n68457), .B(n68456), .C(n68455), .D(
+        n68454), .Y(n68523) );
+  sky130_fd_sc_hd__nand2_1 U87287 ( .A(n68523), .B(n69884), .Y(n68458) );
+  sky130_fd_sc_hd__o21a_1 U87288 ( .A1(n69884), .A2(n68459), .B1(n68458), .X(
+        n69828) );
+  sky130_fd_sc_hd__o21ai_0 U87289 ( .A1(n70270), .A2(n69828), .B1(n70268), .Y(
+        n68687) );
+  sky130_fd_sc_hd__a21oi_1 U87290 ( .A1(n72547), .A2(n69434), .B1(n68460), .Y(
+        n70089) );
+  sky130_fd_sc_hd__a222oi_1 U87291 ( .A1(n68462), .A2(n68599), .B1(n68524), 
+        .B2(n68461), .C1(n70270), .C2(n70089), .Y(n68685) );
+  sky130_fd_sc_hd__xnor2_1 U87292 ( .A(n68464), .B(n68463), .Y(n72551) );
+  sky130_fd_sc_hd__nor3_1 U87293 ( .A(n68466), .B(n72141), .C(n68465), .Y(
+        n68467) );
+  sky130_fd_sc_hd__a21oi_1 U87294 ( .A1(n68468), .A2(n72144), .B1(n68467), .Y(
+        n68469) );
+  sky130_fd_sc_hd__o21ai_0 U87295 ( .A1(n70307), .A2(n68685), .B1(n68469), .Y(
+        n68470) );
+  sky130_fd_sc_hd__a21oi_1 U87296 ( .A1(n70309), .A2(n68687), .B1(n68470), .Y(
+        n68471) );
+  sky130_fd_sc_hd__xnor2_1 U87298 ( .A(n68474), .B(n68473), .Y(n68475) );
+  sky130_fd_sc_hd__a22oi_1 U87299 ( .A1(n70471), .A2(n68475), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[48]), .Y(n68476) );
+  sky130_fd_sc_hd__o21ai_0 U87300 ( .A1(n36580), .A2(n72259), .B1(n68476), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1552) );
+  sky130_fd_sc_hd__xor2_1 U87301 ( .A(n68478), .B(n68477), .X(n68479) );
+  sky130_fd_sc_hd__a22oi_1 U87302 ( .A1(n70471), .A2(n68479), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[16]), .Y(n68480) );
+  sky130_fd_sc_hd__o21ai_0 U87303 ( .A1(n36581), .A2(n72259), .B1(n68480), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1520) );
+  sky130_fd_sc_hd__xnor2_1 U87304 ( .A(n68482), .B(n68481), .Y(n68483) );
+  sky130_fd_sc_hd__a22oi_1 U87305 ( .A1(n68483), .A2(n70374), .B1(n70369), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[48]), .Y(n68484) );
+  sky130_fd_sc_hd__xor2_1 U87307 ( .A(n68486), .B(n68485), .X(n68487) );
+  sky130_fd_sc_hd__a22oi_1 U87308 ( .A1(n70374), .A2(n68487), .B1(n70369), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[16]), .Y(n68488) );
+  sky130_fd_sc_hd__o21ai_1 U87309 ( .A1(n36576), .A2(n72259), .B1(n68488), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1257) );
+  sky130_fd_sc_hd__a22oi_1 U87310 ( .A1(n64374), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[304]), .B1(n64375), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[272]), .Y(n68492) );
+  sky130_fd_sc_hd__a22oi_1 U87311 ( .A1(n64261), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[880]), .B1(n64376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[400]), .Y(n68491) );
+  sky130_fd_sc_hd__a22oi_1 U87312 ( .A1(n64377), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[656]), .B1(n64378), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[464]), .Y(n68490) );
+  sky130_fd_sc_hd__a22oi_1 U87313 ( .A1(n64379), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[112]), .B1(n64262), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[208]), .Y(n68489) );
+  sky130_fd_sc_hd__nand4_1 U87314 ( .A(n68492), .B(n68491), .C(n68490), .D(
+        n68489), .Y(n68509) );
+  sky130_fd_sc_hd__a22oi_1 U87315 ( .A1(n69566), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[80]), .B1(n64384), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[528]), .Y(n68495) );
+  sky130_fd_sc_hd__a22oi_1 U87316 ( .A1(n64263), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[624]), .B1(n66525), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[816]), .Y(n68494) );
+  sky130_fd_sc_hd__a22oi_1 U87317 ( .A1(n64385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[688]), .B1(n64386), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[240]), .Y(n68493) );
+  sky130_fd_sc_hd__nand3_1 U87318 ( .A(n68495), .B(n68494), .C(n68493), .Y(
+        n68508) );
+  sky130_fd_sc_hd__clkinv_1 U87319 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[176]), .Y(n68497) );
+  sky130_fd_sc_hd__a22oi_1 U87320 ( .A1(n37076), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[944]), .B1(n66629), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[848]), .Y(n68496) );
+  sky130_fd_sc_hd__a22oi_1 U87322 ( .A1(n64254), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[432]), .B1(n64392), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[784]), .Y(n68501) );
+  sky130_fd_sc_hd__a22oi_1 U87323 ( .A1(n64393), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[336]), .B1(n66620), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[912]), .Y(n68500) );
+  sky130_fd_sc_hd__a22oi_1 U87324 ( .A1(n64394), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[144]), .B1(n64395), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[368]), .Y(n68499) );
+  sky130_fd_sc_hd__a22oi_1 U87325 ( .A1(n64396), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[720]), .B1(n64442), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[976]), .Y(n68498) );
+  sky130_fd_sc_hd__nand4_1 U87326 ( .A(n68501), .B(n68500), .C(n68499), .D(
+        n68498), .Y(n68502) );
+  sky130_fd_sc_hd__a211oi_1 U87327 ( .A1(n69311), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[16]), .B1(n68503), .C1(n68502), .Y(n68506) );
+  sky130_fd_sc_hd__a22oi_1 U87328 ( .A1(n70107), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[48]), .B1(n64403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[560]), .Y(n68505) );
+  sky130_fd_sc_hd__a22oi_1 U87329 ( .A1(n70059), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[592]), .B1(n70058), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[496]), .Y(n68504) );
+  sky130_fd_sc_hd__nand3_1 U87330 ( .A(n68506), .B(n68505), .C(n68504), .Y(
+        n68507) );
+  sky130_fd_sc_hd__nor3_1 U87331 ( .A(n68509), .B(n68508), .C(n68507), .Y(
+        n68511) );
+  sky130_fd_sc_hd__o22ai_1 U87332 ( .A1(n68511), .A2(n70071), .B1(n68510), 
+        .B2(n70115), .Y(n68512) );
+  sky130_fd_sc_hd__a21oi_1 U87333 ( .A1(n70119), .A2(n85590), .B1(n68512), .Y(
+        n68513) );
+  sky130_fd_sc_hd__o21ai_1 U87334 ( .A1(n69591), .A2(n68514), .B1(n68513), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N571) );
+  sky130_fd_sc_hd__nand2_1 U87335 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[16]), .B(n37259), .Y(n68515) );
+  sky130_fd_sc_hd__o21ai_1 U87336 ( .A1(n37259), .A2(n72309), .B1(n68515), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N296) );
+  sky130_fd_sc_hd__nand2_1 U87337 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .Y(n68516) );
+  sky130_fd_sc_hd__o21ai_1 U87338 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n72309), .B1(n68516), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N215) );
+  sky130_fd_sc_hd__nand2_1 U87339 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .Y(n68517) );
+  sky130_fd_sc_hd__o21ai_1 U87340 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n72309), .B1(n68517), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N134) );
+  sky130_fd_sc_hd__nand2_1 U87341 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[16]), .B(n73340), .Y(n68518) );
+  sky130_fd_sc_hd__o2bb2ai_1 U87343 ( .B1(n69484), .B2(n69487), .A1_N(n70284), 
+        .A2_N(n69485), .Y(n68522) );
+  sky130_fd_sc_hd__o22ai_1 U87344 ( .A1(n69486), .A2(n68520), .B1(n69489), 
+        .B2(n68519), .Y(n68521) );
+  sky130_fd_sc_hd__nor2_1 U87345 ( .A(n68522), .B(n68521), .Y(n69830) );
+  sky130_fd_sc_hd__a222oi_1 U87346 ( .A1(n68526), .A2(n70270), .B1(n68525), 
+        .B2(n68524), .C1(n68523), .C2(n68599), .Y(n68807) );
+  sky130_fd_sc_hd__o21ai_0 U87347 ( .A1(n70270), .A2(n68527), .B1(n70268), .Y(
+        n68797) );
+  sky130_fd_sc_hd__xnor2_1 U87348 ( .A(n68529), .B(n68528), .Y(n72536) );
+  sky130_fd_sc_hd__or3_1 U87349 ( .A(n72141), .B(n68531), .C(n68530), .X(
+        n68532) );
+  sky130_fd_sc_hd__o21ai_1 U87350 ( .A1(n70301), .A2(n72536), .B1(n68532), .Y(
+        n68533) );
+  sky130_fd_sc_hd__a21oi_1 U87351 ( .A1(n68797), .A2(n72149), .B1(n68533), .Y(
+        n68535) );
+  sky130_fd_sc_hd__nand2_1 U87352 ( .A(n76602), .B(n70187), .Y(n68534) );
+  sky130_fd_sc_hd__o211ai_1 U87353 ( .A1(n68807), .A2(n72147), .B1(n68535), 
+        .C1(n68534), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[8]) );
+  sky130_fd_sc_hd__nand2_1 U87354 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .Y(n68536) );
+  sky130_fd_sc_hd__nand2_1 U87356 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .Y(n68537) );
+  sky130_fd_sc_hd__o21ai_1 U87357 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n68540), .B1(n68537), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N207) );
+  sky130_fd_sc_hd__nand2_1 U87358 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[8]), .B(n76241), .Y(n68538) );
+  sky130_fd_sc_hd__o21ai_1 U87359 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n68540), .B1(n68538), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N126) );
+  sky130_fd_sc_hd__nand2_1 U87360 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[8]), .B(n73340), .Y(n68539) );
+  sky130_fd_sc_hd__o21ai_1 U87361 ( .A1(n73340), .A2(n68540), .B1(n68539), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N45) );
+  sky130_fd_sc_hd__a222oi_1 U87362 ( .A1(n85591), .A2(n64978), .B1(n73183), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[8]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[8]), .C2(n73182), .Y(n68541) );
+  sky130_fd_sc_hd__a222oi_1 U87363 ( .A1(n73185), .A2(n85591), .B1(n78500), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[8]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[8]), .C2(n78499), .Y(n68542) );
+  sky130_fd_sc_hd__xnor2_1 U87364 ( .A(n68544), .B(n68636), .Y(n68545) );
+  sky130_fd_sc_hd__a22oi_1 U87365 ( .A1(n70471), .A2(n68545), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[8]), .Y(n68546) );
+  sky130_fd_sc_hd__o21ai_0 U87366 ( .A1(n36581), .A2(n68562), .B1(n68546), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1512) );
+  sky130_fd_sc_hd__xnor2_1 U87367 ( .A(n68548), .B(n68624), .Y(n68549) );
+  sky130_fd_sc_hd__a22oi_1 U87368 ( .A1(n70374), .A2(n68549), .B1(n70369), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[8]), .Y(n68550) );
+  sky130_fd_sc_hd__o21ai_1 U87369 ( .A1(n36576), .A2(n68562), .B1(n68550), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1249) );
+  sky130_fd_sc_hd__nand2_1 U87370 ( .A(n70438), .B(n68552), .Y(n68630) );
+  sky130_fd_sc_hd__xor2_1 U87371 ( .A(n68630), .B(n68631), .X(n68553) );
+  sky130_fd_sc_hd__a22oi_1 U87372 ( .A1(n70471), .A2(n68553), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[40]), .Y(n68554) );
+  sky130_fd_sc_hd__o21ai_0 U87373 ( .A1(n36580), .A2(n68562), .B1(n68554), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1544) );
+  sky130_fd_sc_hd__buf_2 U87374 ( .A(n68555), .X(n70369) );
+  sky130_fd_sc_hd__nand2_1 U87375 ( .A(n70379), .B(n68557), .Y(n68618) );
+  sky130_fd_sc_hd__xor2_1 U87376 ( .A(n68618), .B(n68619), .X(n68558) );
+  sky130_fd_sc_hd__a22oi_1 U87377 ( .A1(n70369), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[40]), .B1(n68558), .B2(n70335), .Y(n68559) );
+  sky130_fd_sc_hd__o21ai_1 U87378 ( .A1(n86301), .A2(n68562), .B1(n68559), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1281) );
+  sky130_fd_sc_hd__a222oi_1 U87379 ( .A1(n85591), .A2(n75874), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[8]), .B2(n38422), .C1(n78486), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[8]), .Y(n68560) );
+  sky130_fd_sc_hd__nand2_1 U87380 ( .A(n85591), .B(n86752), .Y(n78560) );
+  sky130_fd_sc_hd__a22oi_1 U87381 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[8]), .A2(n78526), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[8]), .B2(n78527), .Y(n68561) );
+  sky130_fd_sc_hd__a222oi_1 U87383 ( .A1(n85591), .A2(n75878), .B1(n37199), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[8]), .C1(n78520), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[8]), .Y(n68563) );
+  sky130_fd_sc_hd__a22oi_1 U87384 ( .A1(n37076), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[936]), .B1(n66629), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[840]), .Y(n68565) );
+  sky130_fd_sc_hd__a22oi_1 U87385 ( .A1(n64394), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[136]), .B1(n64395), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[360]), .Y(n68564) );
+  sky130_fd_sc_hd__nand2_1 U87386 ( .A(n68565), .B(n68564), .Y(n68571) );
+  sky130_fd_sc_hd__a22oi_1 U87387 ( .A1(n64442), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[968]), .B1(n70101), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[744]), .Y(n68569) );
+  sky130_fd_sc_hd__a22oi_1 U87388 ( .A1(n64392), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[776]), .B1(n66620), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[904]), .Y(n68568) );
+  sky130_fd_sc_hd__a22oi_1 U87389 ( .A1(n64396), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[712]), .B1(n64254), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[424]), .Y(n68567) );
+  sky130_fd_sc_hd__a22oi_1 U87390 ( .A1(n64393), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[328]), .B1(n64375), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[264]), .Y(n68566) );
+  sky130_fd_sc_hd__nand4_1 U87391 ( .A(n68569), .B(n68568), .C(n68567), .D(
+        n68566), .Y(n68570) );
+  sky130_fd_sc_hd__a211oi_1 U87392 ( .A1(n69311), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[8]), .B1(n68571), .C1(n68570), .Y(n68584) );
+  sky130_fd_sc_hd__a22oi_1 U87393 ( .A1(n64374), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[296]), .B1(n64378), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[456]), .Y(n68575) );
+  sky130_fd_sc_hd__a22oi_1 U87394 ( .A1(n64377), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[648]), .B1(n64261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[872]), .Y(n68574) );
+  sky130_fd_sc_hd__a22oi_1 U87395 ( .A1(n64379), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[104]), .B1(n64376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[392]), .Y(n68573) );
+  sky130_fd_sc_hd__a22oi_1 U87396 ( .A1(n64262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[200]), .B1(n64263), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[616]), .Y(n68572) );
+  sky130_fd_sc_hd__nand4_1 U87397 ( .A(n68575), .B(n68574), .C(n68573), .D(
+        n68572), .Y(n68580) );
+  sky130_fd_sc_hd__a22oi_1 U87398 ( .A1(n69566), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[72]), .B1(n64384), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[520]), .Y(n68578) );
+  sky130_fd_sc_hd__a22oi_1 U87399 ( .A1(n64385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[680]), .B1(n66525), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[808]), .Y(n68577) );
+  sky130_fd_sc_hd__a22oi_1 U87400 ( .A1(n63012), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[168]), .B1(n64386), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[232]), .Y(n68576) );
+  sky130_fd_sc_hd__nand3_1 U87401 ( .A(n68578), .B(n68577), .C(n68576), .Y(
+        n68579) );
+  sky130_fd_sc_hd__nor2_1 U87402 ( .A(n68580), .B(n68579), .Y(n68583) );
+  sky130_fd_sc_hd__a22oi_1 U87403 ( .A1(n70107), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[40]), .B1(n64403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[552]), .Y(n68582) );
+  sky130_fd_sc_hd__a22oi_1 U87404 ( .A1(n70059), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[584]), .B1(n70058), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[488]), .Y(n68581) );
+  sky130_fd_sc_hd__nand4_1 U87405 ( .A(n68584), .B(n68583), .C(n68582), .D(
+        n68581), .Y(n68585) );
+  sky130_fd_sc_hd__a22oi_1 U87406 ( .A1(n70075), .A2(n68586), .B1(n69540), 
+        .B2(n68585), .Y(n68587) );
+  sky130_fd_sc_hd__nand2_1 U87409 ( .A(n68591), .B(n70272), .Y(n68598) );
+  sky130_fd_sc_hd__nand2_1 U87410 ( .A(n68592), .B(n70276), .Y(n68597) );
+  sky130_fd_sc_hd__nand2_1 U87411 ( .A(n68593), .B(n70284), .Y(n68596) );
+  sky130_fd_sc_hd__nand2_1 U87412 ( .A(n68594), .B(n70273), .Y(n68595) );
+  sky130_fd_sc_hd__nand4_1 U87413 ( .A(n68598), .B(n68597), .C(n68596), .D(
+        n68595), .Y(n70294) );
+  sky130_fd_sc_hd__a2bb2oi_1 U87414 ( .B1(n68599), .B2(n70291), .A1_N(n70275), 
+        .A2_N(n70294), .Y(n68600) );
+  sky130_fd_sc_hd__o21ai_1 U87415 ( .A1(n69829), .A2(n68601), .B1(n68600), .Y(
+        n68723) );
+  sky130_fd_sc_hd__xnor2_1 U87416 ( .A(n68603), .B(n68602), .Y(n72555) );
+  sky130_fd_sc_hd__or3_1 U87417 ( .A(n72141), .B(n68605), .C(n68604), .X(
+        n68606) );
+  sky130_fd_sc_hd__a21oi_1 U87419 ( .A1(n68723), .A2(n70309), .B1(n68607), .Y(
+        n68609) );
+  sky130_fd_sc_hd__nand2_1 U87420 ( .A(n76609), .B(n70187), .Y(n68608) );
+  sky130_fd_sc_hd__o211ai_1 U87421 ( .A1(n70307), .A2(n68728), .B1(n68609), 
+        .C1(n68608), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[9]) );
+  sky130_fd_sc_hd__a22oi_1 U87422 ( .A1(n85877), .A2(n75874), .B1(n38422), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[9]), .Y(n68610) );
+  sky130_fd_sc_hd__o21ai_1 U87423 ( .A1(n68611), .A2(n72156), .B1(n68610), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1152) );
+  sky130_fd_sc_hd__nand2_1 U87424 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[9]), .B(n37259), .Y(n68612) );
+  sky130_fd_sc_hd__o21ai_1 U87425 ( .A1(n37259), .A2(n54963), .B1(n68612), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N289) );
+  sky130_fd_sc_hd__nand2_1 U87426 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .Y(n68613) );
+  sky130_fd_sc_hd__o21ai_1 U87427 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n54963), .B1(n68613), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N208) );
+  sky130_fd_sc_hd__nand2_1 U87428 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[9]), .B(n76241), .Y(n68614) );
+  sky130_fd_sc_hd__o21ai_1 U87429 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n54963), .B1(n68614), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N127) );
+  sky130_fd_sc_hd__nand2_1 U87430 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[9]), .B(n73340), .Y(n68615) );
+  sky130_fd_sc_hd__a22oi_1 U87432 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[9]), .A2(n78526), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[9]), .B2(n78527), .Y(n68616) );
+  sky130_fd_sc_hd__o21ai_0 U87433 ( .A1(n78529), .A2(n76489), .B1(n68616), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N426) );
+  sky130_fd_sc_hd__a222oi_1 U87434 ( .A1(n73185), .A2(n85877), .B1(n78500), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[9]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[9]), .C2(n78499), .Y(n68617) );
+  sky130_fd_sc_hd__nor2_1 U87435 ( .A(n68619), .B(n68618), .Y(n68620) );
+  sky130_fd_sc_hd__xnor2_1 U87436 ( .A(n68621), .B(n68620), .Y(n68622) );
+  sky130_fd_sc_hd__a22oi_1 U87437 ( .A1(n70369), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[41]), .B1(n68622), .B2(n70374), .Y(n68623) );
+  sky130_fd_sc_hd__o21ai_1 U87438 ( .A1(n86301), .A2(n76489), .B1(n68623), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1282) );
+  sky130_fd_sc_hd__nand2_1 U87439 ( .A(n85877), .B(n36846), .Y(n68644) );
+  sky130_fd_sc_hd__nand2_1 U87440 ( .A(n68624), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[8]), .Y(n68626) );
+  sky130_fd_sc_hd__xor2_1 U87441 ( .A(n68626), .B(n68625), .X(n68627) );
+  sky130_fd_sc_hd__a22oi_1 U87442 ( .A1(n70374), .A2(n68627), .B1(n70369), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[9]), .Y(n68628) );
+  sky130_fd_sc_hd__o21ai_1 U87443 ( .A1(n78554), .A2(n68644), .B1(n68628), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1250) );
+  sky130_fd_sc_hd__nor2_1 U87444 ( .A(n83821), .B(n68629), .Y(n86493) );
+  sky130_fd_sc_hd__nor2_1 U87445 ( .A(n68631), .B(n68630), .Y(n68632) );
+  sky130_fd_sc_hd__xnor2_1 U87446 ( .A(n68633), .B(n68632), .Y(n68634) );
+  sky130_fd_sc_hd__a22oi_1 U87447 ( .A1(n70471), .A2(n68634), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[41]), .Y(n68635) );
+  sky130_fd_sc_hd__o21ai_0 U87448 ( .A1(n36580), .A2(n76489), .B1(n68635), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1545) );
+  sky130_fd_sc_hd__nand2_1 U87449 ( .A(n68636), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[8]), .Y(n68638) );
+  sky130_fd_sc_hd__xor2_1 U87450 ( .A(n68638), .B(n68637), .X(n68639) );
+  sky130_fd_sc_hd__a22oi_1 U87451 ( .A1(n70471), .A2(n68639), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[9]), .Y(n68640) );
+  sky130_fd_sc_hd__o21ai_0 U87452 ( .A1(n76489), .A2(n36581), .B1(n68640), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1513) );
+  sky130_fd_sc_hd__nand3_1 U87453 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__read_hvip_T_9_), .B(n68642), .C(n70399), .Y(n68641) );
+  sky130_fd_sc_hd__o21ai_1 U87454 ( .A1(n68642), .A2(n70401), .B1(n68641), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__new_mip_T_8_9_) );
+  sky130_fd_sc_hd__nor2_1 U87455 ( .A(n83821), .B(n75805), .Y(n86492) );
+  sky130_fd_sc_hd__a222oi_1 U87456 ( .A1(n85877), .A2(n64978), .B1(n73183), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[9]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[9]), .C2(n73182), .Y(n68645) );
+  sky130_fd_sc_hd__a222oi_1 U87457 ( .A1(n85877), .A2(n75878), .B1(n37199), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[9]), .C1(n78520), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[9]), .Y(n68646) );
+  sky130_fd_sc_hd__a22oi_1 U87458 ( .A1(n64261), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[873]), .B1(n64376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[393]), .Y(n68650) );
+  sky130_fd_sc_hd__a22oi_1 U87459 ( .A1(n64263), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[617]), .B1(n66525), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[809]), .Y(n68649) );
+  sky130_fd_sc_hd__a22oi_1 U87460 ( .A1(n64379), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[105]), .B1(n64262), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[201]), .Y(n68648) );
+  sky130_fd_sc_hd__a22oi_1 U87461 ( .A1(n64385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[681]), .B1(n64386), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[233]), .Y(n68647) );
+  sky130_fd_sc_hd__nand4_1 U87462 ( .A(n68650), .B(n68649), .C(n68648), .D(
+        n68647), .Y(n68665) );
+  sky130_fd_sc_hd__a22oi_1 U87463 ( .A1(n64254), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[425]), .B1(n64392), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[777]), .Y(n68654) );
+  sky130_fd_sc_hd__a22oi_1 U87464 ( .A1(n64393), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[329]), .B1(n66620), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[905]), .Y(n68653) );
+  sky130_fd_sc_hd__a22oi_1 U87465 ( .A1(n64377), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[649]), .B1(n64378), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[457]), .Y(n68652) );
+  sky130_fd_sc_hd__a22oi_1 U87466 ( .A1(n64374), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[297]), .B1(n64375), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[265]), .Y(n68651) );
+  sky130_fd_sc_hd__nand4_1 U87467 ( .A(n68654), .B(n68653), .C(n68652), .D(
+        n68651), .Y(n68664) );
+  sky130_fd_sc_hd__a22oi_1 U87468 ( .A1(n64384), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[521]), .B1(n64403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[553]), .Y(n68656) );
+  sky130_fd_sc_hd__a22oi_1 U87469 ( .A1(n70107), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[41]), .B1(n70059), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[585]), .Y(n68655) );
+  sky130_fd_sc_hd__nand2_1 U87470 ( .A(n68656), .B(n68655), .Y(n68663) );
+  sky130_fd_sc_hd__a22o_1 U87471 ( .A1(n64394), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[137]), .B1(n37076), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[937]), .X(n68657) );
+  sky130_fd_sc_hd__a21oi_1 U87472 ( .A1(n63012), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[169]), .B1(n68657), .Y(n68661) );
+  sky130_fd_sc_hd__a22oi_1 U87473 ( .A1(n69311), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[9]), .B1(n70058), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[489]), .Y(n68660) );
+  sky130_fd_sc_hd__a22oi_1 U87474 ( .A1(n64395), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[361]), .B1(n66629), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[841]), .Y(n68659) );
+  sky130_fd_sc_hd__a22oi_1 U87475 ( .A1(n64396), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[713]), .B1(n64442), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[969]), .Y(n68658) );
+  sky130_fd_sc_hd__nand4_1 U87476 ( .A(n68661), .B(n68660), .C(n68659), .D(
+        n68658), .Y(n68662) );
+  sky130_fd_sc_hd__nor4_1 U87477 ( .A(n68665), .B(n68664), .C(n68663), .D(
+        n68662), .Y(n68667) );
+  sky130_fd_sc_hd__o22ai_1 U87478 ( .A1(n68667), .A2(n70071), .B1(n68666), 
+        .B2(n70115), .Y(n68668) );
+  sky130_fd_sc_hd__a21oi_1 U87479 ( .A1(n70119), .A2(n85592), .B1(n68668), .Y(
+        n68670) );
+  sky130_fd_sc_hd__a22oi_1 U87480 ( .A1(n69914), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[745]), .B1(n70082), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[73]), .Y(n68669) );
+  sky130_fd_sc_hd__nand2_1 U87481 ( .A(n68670), .B(n68669), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N564) );
+  sky130_fd_sc_hd__a21oi_1 U87482 ( .A1(n68673), .A2(n68672), .B1(n68671), .Y(
+        n68677) );
+  sky130_fd_sc_hd__nand2_1 U87483 ( .A(n68675), .B(n68674), .Y(n68676) );
+  sky130_fd_sc_hd__xor2_1 U87484 ( .A(n68677), .B(n68676), .X(n74052) );
+  sky130_fd_sc_hd__xnor2_1 U87485 ( .A(n68679), .B(n68678), .Y(n72549) );
+  sky130_fd_sc_hd__nor3_1 U87486 ( .A(n68681), .B(n72141), .C(n68680), .Y(
+        n68682) );
+  sky130_fd_sc_hd__a21oi_1 U87487 ( .A1(n68683), .A2(n72144), .B1(n68682), .Y(
+        n68684) );
+  sky130_fd_sc_hd__o21ai_0 U87488 ( .A1(n72147), .A2(n68685), .B1(n68684), .Y(
+        n68686) );
+  sky130_fd_sc_hd__a21oi_1 U87489 ( .A1(n72149), .A2(n68687), .B1(n68686), .Y(
+        n68688) );
+  sky130_fd_sc_hd__o21ai_1 U87490 ( .A1(n72153), .A2(n68689), .B1(n68688), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[15]) );
+  sky130_fd_sc_hd__a22oi_1 U87491 ( .A1(n64393), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[335]), .B1(n64392), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[783]), .Y(n68693) );
+  sky130_fd_sc_hd__a22oi_1 U87492 ( .A1(n64375), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[271]), .B1(n66620), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[911]), .Y(n68692) );
+  sky130_fd_sc_hd__a22oi_1 U87493 ( .A1(n64377), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[655]), .B1(n64261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[879]), .Y(n68691) );
+  sky130_fd_sc_hd__a22oi_1 U87494 ( .A1(n64374), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[303]), .B1(n64378), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[463]), .Y(n68690) );
+  sky130_fd_sc_hd__nand4_1 U87495 ( .A(n68693), .B(n68692), .C(n68691), .D(
+        n68690), .Y(n68708) );
+  sky130_fd_sc_hd__a22oi_1 U87496 ( .A1(n64385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[687]), .B1(n66525), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[815]), .Y(n68697) );
+  sky130_fd_sc_hd__a22oi_1 U87497 ( .A1(n64379), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[111]), .B1(n64376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[399]), .Y(n68696) );
+  sky130_fd_sc_hd__a22oi_1 U87498 ( .A1(n63012), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[175]), .B1(n64386), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[239]), .Y(n68695) );
+  sky130_fd_sc_hd__a22oi_1 U87499 ( .A1(n64262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[207]), .B1(n64263), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[623]), .Y(n68694) );
+  sky130_fd_sc_hd__nand4_1 U87500 ( .A(n68697), .B(n68696), .C(n68695), .D(
+        n68694), .Y(n68707) );
+  sky130_fd_sc_hd__a22oi_1 U87501 ( .A1(n64396), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[719]), .B1(n70101), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[751]), .Y(n68701) );
+  sky130_fd_sc_hd__a22oi_1 U87502 ( .A1(n64395), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[367]), .B1(n66629), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[847]), .Y(n68700) );
+  sky130_fd_sc_hd__a22oi_1 U87503 ( .A1(n64394), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[143]), .B1(n37076), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[943]), .Y(n68699) );
+  sky130_fd_sc_hd__a22oi_1 U87504 ( .A1(n64254), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[431]), .B1(n64442), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[975]), .Y(n68698) );
+  sky130_fd_sc_hd__nand4_1 U87505 ( .A(n68701), .B(n68700), .C(n68699), .D(
+        n68698), .Y(n68705) );
+  sky130_fd_sc_hd__a22oi_1 U87506 ( .A1(n64384), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[527]), .B1(n64403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[559]), .Y(n68704) );
+  sky130_fd_sc_hd__a22oi_1 U87507 ( .A1(n70107), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[47]), .B1(n70059), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[591]), .Y(n68703) );
+  sky130_fd_sc_hd__a22oi_1 U87508 ( .A1(n69311), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[15]), .B1(n70058), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[495]), .Y(n68702) );
+  sky130_fd_sc_hd__nand4b_1 U87509 ( .A_N(n68705), .B(n68704), .C(n68703), .D(
+        n68702), .Y(n68706) );
+  sky130_fd_sc_hd__nor3_1 U87510 ( .A(n68708), .B(n68707), .C(n68706), .Y(
+        n68710) );
+  sky130_fd_sc_hd__o22ai_1 U87511 ( .A1(n68710), .A2(n70071), .B1(n68709), 
+        .B2(n70115), .Y(n68711) );
+  sky130_fd_sc_hd__a21oi_1 U87512 ( .A1(n70119), .A2(n85593), .B1(n68711), .Y(
+        n68712) );
+  sky130_fd_sc_hd__o21ai_1 U87513 ( .A1(n70122), .A2(n68713), .B1(n68712), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N570) );
+  sky130_fd_sc_hd__nand2_1 U87514 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .Y(n68714) );
+  sky130_fd_sc_hd__nand2_1 U87516 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .Y(n68715) );
+  sky130_fd_sc_hd__nand2_1 U87518 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[15]), .B(n76241), .Y(n68716) );
+  sky130_fd_sc_hd__o21ai_1 U87519 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n72368), .B1(n68716), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N133) );
+  sky130_fd_sc_hd__nand2_1 U87520 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .Y(n68717) );
+  sky130_fd_sc_hd__o21ai_1 U87521 ( .A1(n73340), .A2(n72368), .B1(n68717), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N52) );
+  sky130_fd_sc_hd__xnor2_1 U87522 ( .A(n68719), .B(n68718), .Y(n72524) );
+  sky130_fd_sc_hd__nand3_1 U87523 ( .A(n68720), .B(n70305), .C(n68719), .Y(
+        n68721) );
+  sky130_fd_sc_hd__o21ai_1 U87524 ( .A1(n70301), .A2(n72524), .B1(n68721), .Y(
+        n68722) );
+  sky130_fd_sc_hd__a21oi_1 U87525 ( .A1(n68723), .A2(n72149), .B1(n68722), .Y(
+        n68727) );
+  sky130_fd_sc_hd__nand2_1 U87526 ( .A(n68799), .B(n68724), .Y(n68725) );
+  sky130_fd_sc_hd__xnor2_1 U87527 ( .A(n68725), .B(n68800), .Y(n74131) );
+  sky130_fd_sc_hd__nand2_1 U87528 ( .A(n74131), .B(n70187), .Y(n68726) );
+  sky130_fd_sc_hd__o211ai_1 U87529 ( .A1(n68728), .A2(n72147), .B1(n68727), 
+        .C1(n68726), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[22]) );
+  sky130_fd_sc_hd__a22oi_1 U87530 ( .A1(n37076), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[950]), .B1(n66629), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[854]), .Y(n68730) );
+  sky130_fd_sc_hd__a22oi_1 U87531 ( .A1(n64394), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[150]), .B1(n64395), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[374]), .Y(n68729) );
+  sky130_fd_sc_hd__nand2_1 U87532 ( .A(n68730), .B(n68729), .Y(n68736) );
+  sky130_fd_sc_hd__a22oi_1 U87533 ( .A1(n64442), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[982]), .B1(n70101), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[758]), .Y(n68734) );
+  sky130_fd_sc_hd__a22oi_1 U87534 ( .A1(n64392), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[790]), .B1(n66620), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[918]), .Y(n68733) );
+  sky130_fd_sc_hd__a22oi_1 U87535 ( .A1(n64396), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[726]), .B1(n64254), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[438]), .Y(n68732) );
+  sky130_fd_sc_hd__a22oi_1 U87536 ( .A1(n64393), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[342]), .B1(n64375), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[278]), .Y(n68731) );
+  sky130_fd_sc_hd__nand4_1 U87537 ( .A(n68734), .B(n68733), .C(n68732), .D(
+        n68731), .Y(n68735) );
+  sky130_fd_sc_hd__a211oi_1 U87538 ( .A1(n69311), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[22]), .B1(n68736), .C1(n68735), .Y(n68749) );
+  sky130_fd_sc_hd__a22oi_1 U87539 ( .A1(n64374), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[310]), .B1(n64378), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[470]), .Y(n68740) );
+  sky130_fd_sc_hd__a22oi_1 U87540 ( .A1(n64377), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[662]), .B1(n64261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[886]), .Y(n68739) );
+  sky130_fd_sc_hd__a22oi_1 U87541 ( .A1(n64379), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[118]), .B1(n64376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[406]), .Y(n68738) );
+  sky130_fd_sc_hd__a22oi_1 U87542 ( .A1(n64262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[214]), .B1(n64263), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[630]), .Y(n68737) );
+  sky130_fd_sc_hd__nand4_1 U87543 ( .A(n68740), .B(n68739), .C(n68738), .D(
+        n68737), .Y(n68745) );
+  sky130_fd_sc_hd__a22oi_1 U87544 ( .A1(n69566), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[86]), .B1(n64384), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[534]), .Y(n68743) );
+  sky130_fd_sc_hd__a22oi_1 U87545 ( .A1(n64385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[694]), .B1(n66525), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[822]), .Y(n68742) );
+  sky130_fd_sc_hd__a22oi_1 U87546 ( .A1(n63012), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[182]), .B1(n64386), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[246]), .Y(n68741) );
+  sky130_fd_sc_hd__nand3_1 U87547 ( .A(n68743), .B(n68742), .C(n68741), .Y(
+        n68744) );
+  sky130_fd_sc_hd__nor2_1 U87548 ( .A(n68745), .B(n68744), .Y(n68748) );
+  sky130_fd_sc_hd__a22oi_1 U87549 ( .A1(n70107), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[54]), .B1(n64403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[566]), .Y(n68747) );
+  sky130_fd_sc_hd__a22oi_1 U87550 ( .A1(n70059), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[598]), .B1(n70058), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[502]), .Y(n68746) );
+  sky130_fd_sc_hd__nand4_1 U87551 ( .A(n68749), .B(n68748), .C(n68747), .D(
+        n68746), .Y(n68750) );
+  sky130_fd_sc_hd__a22oi_1 U87552 ( .A1(n69540), .A2(n68750), .B1(n70119), 
+        .B2(n85594), .Y(n68751) );
+  sky130_fd_sc_hd__o21ai_1 U87553 ( .A1(n68752), .A2(n69476), .B1(n68751), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N577) );
+  sky130_fd_sc_hd__ha_1 U87554 ( .A(n68754), .B(n68753), .COUT(n68762), .SUM(
+        n68755) );
+  sky130_fd_sc_hd__nand2_1 U87555 ( .A(n68755), .B(n69929), .Y(n68760) );
+  sky130_fd_sc_hd__a22oi_1 U87556 ( .A1(n69932), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[30]), .B1(n69931), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[21]), .Y(n68759) );
+  sky130_fd_sc_hd__nand2_1 U87557 ( .A(n68756), .B(n86393), .Y(n68758) );
+  sky130_fd_sc_hd__nand2_1 U87558 ( .A(n69934), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[22]), .Y(n68757) );
+  sky130_fd_sc_hd__nand4_1 U87559 ( .A(n68760), .B(n68759), .C(n68758), .D(
+        n68757), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N128) );
+  sky130_fd_sc_hd__ha_1 U87560 ( .A(n68762), .B(n68761), .COUT(n66127), .SUM(
+        n68763) );
+  sky130_fd_sc_hd__nand2_1 U87561 ( .A(n68763), .B(n69929), .Y(n68768) );
+  sky130_fd_sc_hd__a22oi_1 U87562 ( .A1(n69932), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[31]), .B1(n69931), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[22]), .Y(n68767) );
+  sky130_fd_sc_hd__nand2_1 U87563 ( .A(n68764), .B(n86393), .Y(n68766) );
+  sky130_fd_sc_hd__nand2_1 U87564 ( .A(n69934), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[23]), .Y(n68765) );
+  sky130_fd_sc_hd__nand4_1 U87565 ( .A(n68768), .B(n68767), .C(n68766), .D(
+        n68765), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N129) );
+  sky130_fd_sc_hd__a22oi_1 U87566 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[407]), .A2(n70237), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[823]), .B2(n64319), .Y(n68772) );
+  sky130_fd_sc_hd__a22oi_1 U87567 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[535]), .A2(n70221), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[983]), .B2(n70244), .Y(n68771) );
+  sky130_fd_sc_hd__a22oi_1 U87568 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[23]), .A2(n70223), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[727]), .B2(n70216), .Y(n68770) );
+  sky130_fd_sc_hd__nand2_1 U87569 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[55]), .B(n70231), .Y(n68769) );
+  sky130_fd_sc_hd__nand4_1 U87570 ( .A(n68772), .B(n68771), .C(n68770), .D(
+        n68769), .Y(n68789) );
+  sky130_fd_sc_hd__a22oi_1 U87571 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[183]), .A2(n70229), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[311]), .B2(n70230), .Y(n68776) );
+  sky130_fd_sc_hd__a22oi_1 U87572 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[791]), .A2(n70234), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[343]), .B2(n70214), .Y(n68775) );
+  sky130_fd_sc_hd__a22oi_1 U87573 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[663]), .A2(n70212), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[151]), .B2(n70150), .Y(n68774) );
+  sky130_fd_sc_hd__a22oi_1 U87574 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[567]), .A2(n70215), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[215]), .B2(n69952), .Y(n68773) );
+  sky130_fd_sc_hd__nand4_1 U87575 ( .A(n68776), .B(n68775), .C(n68774), .D(
+        n68773), .Y(n68788) );
+  sky130_fd_sc_hd__a22oi_1 U87576 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[375]), .A2(n70222), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[247]), .B2(n70152), .Y(n68780) );
+  sky130_fd_sc_hd__a22oi_1 U87577 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[87]), .A2(n70246), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[439]), .B2(n70243), .Y(n68779) );
+  sky130_fd_sc_hd__a22oi_1 U87578 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[919]), .A2(n64325), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[887]), .B2(n64638), .Y(n68778) );
+  sky130_fd_sc_hd__a22oi_1 U87579 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[855]), .A2(n70238), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[951]), .B2(n64326), .Y(n68777) );
+  sky130_fd_sc_hd__nand4_1 U87580 ( .A(n68780), .B(n68779), .C(n68778), .D(
+        n68777), .Y(n68787) );
+  sky130_fd_sc_hd__a22oi_1 U87581 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[119]), .A2(n68781), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[759]), .B2(n64330), .Y(n68785) );
+  sky130_fd_sc_hd__a22oi_1 U87582 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[599]), .A2(n70236), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[631]), .B2(n70213), .Y(n68784) );
+  sky130_fd_sc_hd__a22oi_1 U87583 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[279]), .A2(n70245), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[471]), .B2(n70235), .Y(n68783) );
+  sky130_fd_sc_hd__a22oi_1 U87584 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[503]), .A2(n70211), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[695]), .B2(n70224), .Y(n68782) );
+  sky130_fd_sc_hd__nand4_1 U87585 ( .A(n68785), .B(n68784), .C(n68783), .D(
+        n68782), .Y(n68786) );
+  sky130_fd_sc_hd__nor4_1 U87586 ( .A(n68789), .B(n68788), .C(n68787), .D(
+        n68786), .Y(n68791) );
+  sky130_fd_sc_hd__nand2_1 U87587 ( .A(n65334), .B(n85595), .Y(n68790) );
+  sky130_fd_sc_hd__o21ai_1 U87588 ( .A1(n65334), .A2(n68791), .B1(n68790), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[23]) );
+  sky130_fd_sc_hd__xnor2_1 U87589 ( .A(n68793), .B(n68792), .Y(n72539) );
+  sky130_fd_sc_hd__nand3_1 U87590 ( .A(n68794), .B(n70305), .C(n68793), .Y(
+        n68795) );
+  sky130_fd_sc_hd__o21ai_1 U87591 ( .A1(n70301), .A2(n72539), .B1(n68795), .Y(
+        n68796) );
+  sky130_fd_sc_hd__a21oi_1 U87592 ( .A1(n68797), .A2(n70309), .B1(n68796), .Y(
+        n68806) );
+  sky130_fd_sc_hd__a21oi_1 U87593 ( .A1(n68800), .A2(n68799), .B1(n68798), .Y(
+        n68804) );
+  sky130_fd_sc_hd__nand2_1 U87594 ( .A(n68802), .B(n68801), .Y(n68803) );
+  sky130_fd_sc_hd__xor2_1 U87595 ( .A(n68804), .B(n68803), .X(n74137) );
+  sky130_fd_sc_hd__nand2_1 U87596 ( .A(n74137), .B(n70187), .Y(n68805) );
+  sky130_fd_sc_hd__o211ai_1 U87597 ( .A1(n68807), .A2(n70307), .B1(n68806), 
+        .C1(n68805), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[23]) );
+  sky130_fd_sc_hd__a22oi_1 U87598 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[23]), .A2(n78527), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[23]), .B2(n78526), .Y(n68808) );
+  sky130_fd_sc_hd__o21ai_1 U87599 ( .A1(n78529), .A2(n78506), .B1(n68808), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N440) );
+  sky130_fd_sc_hd__a222oi_1 U87600 ( .A1(n85596), .A2(n73185), .B1(n78500), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[23]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[23]), .C2(n78499), .Y(n68809) );
+  sky130_fd_sc_hd__o22ai_1 U87601 ( .A1(n68811), .A2(n73073), .B1(n68810), 
+        .B2(n58802), .Y(n68845) );
+  sky130_fd_sc_hd__clkinv_1 U87602 ( .A(n68813), .Y(n68816) );
+  sky130_fd_sc_hd__a21oi_1 U87603 ( .A1(n70577), .A2(n68816), .B1(n68815), .Y(
+        n68821) );
+  sky130_fd_sc_hd__nand2_1 U87604 ( .A(n68819), .B(n68818), .Y(n68820) );
+  sky130_fd_sc_hd__xor2_1 U87605 ( .A(n68821), .B(n68820), .X(n68829) );
+  sky130_fd_sc_hd__nand2_1 U87606 ( .A(n68824), .B(n68823), .Y(n68826) );
+  sky130_fd_sc_hd__xnor2_1 U87607 ( .A(n68826), .B(n68825), .Y(n68827) );
+  sky130_fd_sc_hd__a222oi_1 U87608 ( .A1(n73319), .A2(n68829), .B1(n73229), 
+        .B2(n68828), .C1(n68827), .C2(n73312), .Y(n68840) );
+  sky130_fd_sc_hd__nand2_1 U87610 ( .A(n73192), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[23]), .Y(n68834) );
+  sky130_fd_sc_hd__a22oi_1 U87611 ( .A1(n78262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[23]), .B1(n73261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[23]), .Y(n68833) );
+  sky130_fd_sc_hd__nand2_1 U87612 ( .A(n73187), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[23]), .Y(n68832) );
+  sky130_fd_sc_hd__nand2_1 U87613 ( .A(n78265), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[23]), .Y(n68831) );
+  sky130_fd_sc_hd__nand4_1 U87614 ( .A(n68834), .B(n68833), .C(n68832), .D(
+        n68831), .Y(n68835) );
+  sky130_fd_sc_hd__a21oi_1 U87615 ( .A1(n73097), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[23]), .B1(n68835), .Y(n68836) );
+  sky130_fd_sc_hd__o21ai_0 U87616 ( .A1(n68856), .A2(n73154), .B1(n68836), .Y(
+        n68837) );
+  sky130_fd_sc_hd__a21oi_1 U87617 ( .A1(n68838), .A2(n72458), .B1(n68837), .Y(
+        n68839) );
+  sky130_fd_sc_hd__o21ai_1 U87618 ( .A1(n73322), .A2(n68840), .B1(n68839), .Y(
+        n68841) );
+  sky130_fd_sc_hd__a21oi_1 U87619 ( .A1(n61937), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[23]), .B1(n68841), .Y(n68842) );
+  sky130_fd_sc_hd__o21ai_0 U87620 ( .A1(n68843), .A2(n73106), .B1(n68842), .Y(
+        n68844) );
+  sky130_fd_sc_hd__a211oi_1 U87621 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[9]), .A2(n73110), .B1(n68845), .C1(n68844), .Y(n68850) );
+  sky130_fd_sc_hd__a22oi_1 U87622 ( .A1(n73327), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[23]), .B1(n72509), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[23]), .Y(n68849) );
+  sky130_fd_sc_hd__a22oi_1 U87623 ( .A1(n72357), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[23]), .B1(n69413), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[23]), .Y(n68848) );
+  sky130_fd_sc_hd__a22o_1 U87624 ( .A1(n72510), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[23]), .B1(n73111), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[9]), .X(n68846) );
+  sky130_fd_sc_hd__a21oi_1 U87625 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[9]), .A2(n73113), .B1(n68846), .Y(n68847) );
+  sky130_fd_sc_hd__nand4_1 U87626 ( .A(n68850), .B(n68849), .C(n68848), .D(
+        n68847), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[23]) );
+  sky130_fd_sc_hd__nor2_1 U87627 ( .A(n68851), .B(n73338), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N105) );
+  sky130_fd_sc_hd__nand2_1 U87628 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[23]), .B(n37259), .Y(n68852) );
+  sky130_fd_sc_hd__o21ai_1 U87629 ( .A1(n37259), .A2(n68856), .B1(n68852), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N303) );
+  sky130_fd_sc_hd__nand2_1 U87630 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[23]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .Y(n68853) );
+  sky130_fd_sc_hd__o21ai_1 U87631 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n68856), .B1(n68853), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N222) );
+  sky130_fd_sc_hd__nand2_1 U87632 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[23]), .B(n76241), .Y(n68854) );
+  sky130_fd_sc_hd__o21ai_1 U87633 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n68856), .B1(n68854), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N141) );
+  sky130_fd_sc_hd__nand2_1 U87634 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[23]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .Y(n68855) );
+  sky130_fd_sc_hd__o21ai_1 U87635 ( .A1(n73340), .A2(n68856), .B1(n68855), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N60) );
+  sky130_fd_sc_hd__a222oi_1 U87636 ( .A1(n85596), .A2(n64978), .B1(n73183), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[23]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[23]), .C2(n73182), .Y(n68857) );
+  sky130_fd_sc_hd__ha_1 U87637 ( .A(n68858), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[55]), .COUT(n66097), .SUM(n68859) );
+  sky130_fd_sc_hd__a222oi_1 U87638 ( .A1(n70408), .A2(n85596), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[55]), .C1(n68859), .C2(n70471), .Y(n68860) );
+  sky130_fd_sc_hd__nor2_1 U87639 ( .A(n68861), .B(n68876), .Y(n68862) );
+  sky130_fd_sc_hd__xnor2_1 U87640 ( .A(n68863), .B(n68862), .Y(n68864) );
+  sky130_fd_sc_hd__a22oi_1 U87641 ( .A1(n70471), .A2(n68864), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[23]), .Y(n68865) );
+  sky130_fd_sc_hd__o21ai_1 U87642 ( .A1(n78537), .A2(n68866), .B1(n68865), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1527) );
+  sky130_fd_sc_hd__ha_1 U87643 ( .A(n68867), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[55]), .COUT(n66094), .SUM(n68868) );
+  sky130_fd_sc_hd__a222oi_1 U87644 ( .A1(n70337), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[55]), .B1(n70336), .B2(n85596), .C1(n68868), .C2(n70335), .Y(n68869) );
+  sky130_fd_sc_hd__nor2_1 U87645 ( .A(n68870), .B(n68883), .Y(n68871) );
+  sky130_fd_sc_hd__xnor2_1 U87646 ( .A(n68872), .B(n68871), .Y(n68873) );
+  sky130_fd_sc_hd__a22oi_1 U87647 ( .A1(n70374), .A2(n68873), .B1(n70369), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[23]), .Y(n68874) );
+  sky130_fd_sc_hd__o21ai_1 U87648 ( .A1(n36576), .A2(n78506), .B1(n68874), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1264) );
+  sky130_fd_sc_hd__nor2_1 U87649 ( .A(n68877), .B(n68876), .Y(n70388) );
+  sky130_fd_sc_hd__nor2_1 U87650 ( .A(n68878), .B(n69341), .Y(n69282) );
+  sky130_fd_sc_hd__xnor2_1 U87651 ( .A(n68879), .B(n69282), .Y(n68880) );
+  sky130_fd_sc_hd__a22oi_1 U87652 ( .A1(n70471), .A2(n68880), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[28]), .Y(n68881) );
+  sky130_fd_sc_hd__o21ai_0 U87653 ( .A1(n36581), .A2(n78515), .B1(n68881), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1532) );
+  sky130_fd_sc_hd__nor2_1 U87654 ( .A(n68884), .B(n68883), .Y(n70358) );
+  sky130_fd_sc_hd__nor2_1 U87655 ( .A(n68885), .B(n69348), .Y(n69294) );
+  sky130_fd_sc_hd__xnor2_1 U87656 ( .A(n68886), .B(n69294), .Y(n68887) );
+  sky130_fd_sc_hd__a22oi_1 U87657 ( .A1(n70369), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[28]), .B1(n68887), .B2(n70374), .Y(n68888) );
+  sky130_fd_sc_hd__o21ai_1 U87658 ( .A1(n36576), .A2(n78515), .B1(n68888), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1269) );
+  sky130_fd_sc_hd__ha_1 U87659 ( .A(n68889), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[60]), .COUT(n69288), .SUM(n68890) );
+  sky130_fd_sc_hd__a222oi_1 U87660 ( .A1(n70408), .A2(n85597), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[60]), .C1(n68890), .C2(n70471), .Y(n68891) );
+  sky130_fd_sc_hd__ha_1 U87661 ( .A(n68892), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[60]), .COUT(n69291), .SUM(n68893) );
+  sky130_fd_sc_hd__a222oi_1 U87662 ( .A1(n68894), .A2(n85598), .B1(n70337), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[60]), .C1(n68893), .C2(n70335), .Y(n68895) );
+  sky130_fd_sc_hd__clkinv_1 U87663 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[178]), .Y(n68897) );
+  sky130_fd_sc_hd__a22oi_1 U87664 ( .A1(n37076), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[946]), .B1(n66629), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[850]), .Y(n68896) );
+  sky130_fd_sc_hd__o21ai_1 U87665 ( .A1(n69572), .A2(n68897), .B1(n68896), .Y(
+        n68903) );
+  sky130_fd_sc_hd__a22oi_1 U87666 ( .A1(n64254), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[434]), .B1(n64392), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[786]), .Y(n68901) );
+  sky130_fd_sc_hd__a22oi_1 U87667 ( .A1(n64393), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[338]), .B1(n66620), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[914]), .Y(n68900) );
+  sky130_fd_sc_hd__a22oi_1 U87668 ( .A1(n64394), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[146]), .B1(n64395), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[370]), .Y(n68899) );
+  sky130_fd_sc_hd__a22oi_1 U87669 ( .A1(n64396), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[722]), .B1(n64442), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[978]), .Y(n68898) );
+  sky130_fd_sc_hd__nand4_1 U87670 ( .A(n68901), .B(n68900), .C(n68899), .D(
+        n68898), .Y(n68902) );
+  sky130_fd_sc_hd__a211oi_1 U87671 ( .A1(n69311), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[18]), .B1(n68903), .C1(n68902), .Y(n68916) );
+  sky130_fd_sc_hd__a22oi_1 U87672 ( .A1(n69566), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[82]), .B1(n64384), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[530]), .Y(n68906) );
+  sky130_fd_sc_hd__a22oi_1 U87673 ( .A1(n64263), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[626]), .B1(n66525), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[818]), .Y(n68905) );
+  sky130_fd_sc_hd__a22oi_1 U87674 ( .A1(n64385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[690]), .B1(n64386), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[242]), .Y(n68904) );
+  sky130_fd_sc_hd__nand3_1 U87675 ( .A(n68906), .B(n68905), .C(n68904), .Y(
+        n68912) );
+  sky130_fd_sc_hd__a22oi_1 U87676 ( .A1(n64374), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[306]), .B1(n64375), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[274]), .Y(n68910) );
+  sky130_fd_sc_hd__a22oi_1 U87677 ( .A1(n64261), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[882]), .B1(n64376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[402]), .Y(n68909) );
+  sky130_fd_sc_hd__a22oi_1 U87678 ( .A1(n64377), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[658]), .B1(n64378), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[466]), .Y(n68908) );
+  sky130_fd_sc_hd__a22oi_1 U87679 ( .A1(n64379), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[114]), .B1(n64262), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[210]), .Y(n68907) );
+  sky130_fd_sc_hd__nand4_1 U87680 ( .A(n68910), .B(n68909), .C(n68908), .D(
+        n68907), .Y(n68911) );
+  sky130_fd_sc_hd__nor2_1 U87681 ( .A(n68912), .B(n68911), .Y(n68915) );
+  sky130_fd_sc_hd__a22oi_1 U87682 ( .A1(n70107), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[50]), .B1(n64403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[562]), .Y(n68914) );
+  sky130_fd_sc_hd__a22oi_1 U87683 ( .A1(n70059), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[594]), .B1(n70058), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[498]), .Y(n68913) );
+  sky130_fd_sc_hd__nand4_1 U87684 ( .A(n68916), .B(n68915), .C(n68914), .D(
+        n68913), .Y(n68917) );
+  sky130_fd_sc_hd__a22oi_1 U87685 ( .A1(n70075), .A2(n68918), .B1(n69540), 
+        .B2(n68917), .Y(n68920) );
+  sky130_fd_sc_hd__nand2_1 U87686 ( .A(n69914), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[754]), .Y(n68919) );
+  sky130_fd_sc_hd__o211ai_1 U87687 ( .A1(n68921), .A2(n70078), .B1(n68920), 
+        .C1(n68919), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N573) );
+  sky130_fd_sc_hd__ha_1 U87688 ( .A(n68922), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[18]), .COUT(n63906), .SUM(n68923) );
+  sky130_fd_sc_hd__nand2_1 U87689 ( .A(n73179), .B(n68923), .Y(n68924) );
+  sky130_fd_sc_hd__o21ai_0 U87690 ( .A1(n68979), .A2(n73179), .B1(n68924), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[18]) );
+  sky130_fd_sc_hd__a22oi_1 U87691 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[18]), .A2(n78527), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[18]), .B2(n78526), .Y(n68926) );
+  sky130_fd_sc_hd__o21ai_1 U87692 ( .A1(n78529), .A2(n68927), .B1(n68926), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N435) );
+  sky130_fd_sc_hd__a222oi_1 U87693 ( .A1(n85748), .A2(n64978), .B1(n73183), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[18]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[18]), .C2(n73182), .Y(n68928) );
+  sky130_fd_sc_hd__a222oi_1 U87694 ( .A1(n73185), .A2(n85748), .B1(n78500), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[18]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[18]), .C2(n78499), .Y(n68929) );
+  sky130_fd_sc_hd__o22ai_1 U87695 ( .A1(n68931), .A2(n73073), .B1(n68930), 
+        .B2(n58802), .Y(n68968) );
+  sky130_fd_sc_hd__clkinv_1 U87696 ( .A(n68933), .Y(n68934) );
+  sky130_fd_sc_hd__a21oi_1 U87697 ( .A1(n68936), .A2(n68935), .B1(n68934), .Y(
+        n68941) );
+  sky130_fd_sc_hd__nand2_1 U87698 ( .A(n68939), .B(n68938), .Y(n68940) );
+  sky130_fd_sc_hd__xor2_1 U87699 ( .A(n68941), .B(n68940), .X(n68951) );
+  sky130_fd_sc_hd__ha_1 U87700 ( .A(n37092), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[18]), .COUT(n72222), .SUM(n68950) );
+  sky130_fd_sc_hd__clkinv_1 U87701 ( .A(n68942), .Y(n72225) );
+  sky130_fd_sc_hd__nand2_1 U87702 ( .A(n72225), .B(n72223), .Y(n68948) );
+  sky130_fd_sc_hd__clkinv_1 U87703 ( .A(n68943), .Y(n68947) );
+  sky130_fd_sc_hd__clkinv_1 U87704 ( .A(n68945), .Y(n68946) );
+  sky130_fd_sc_hd__o21ai_1 U87705 ( .A1(n68947), .A2(n72281), .B1(n68946), .Y(
+        n72226) );
+  sky130_fd_sc_hd__xnor2_1 U87706 ( .A(n68948), .B(n72226), .Y(n68949) );
+  sky130_fd_sc_hd__a222oi_1 U87707 ( .A1(n73319), .A2(n68951), .B1(n73229), 
+        .B2(n68950), .C1(n68949), .C2(n73312), .Y(n68963) );
+  sky130_fd_sc_hd__nand2_1 U87708 ( .A(n73192), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[18]), .Y(n68956) );
+  sky130_fd_sc_hd__a22oi_1 U87709 ( .A1(n78262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[18]), .B1(n73261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[18]), .Y(n68955) );
+  sky130_fd_sc_hd__nand2_1 U87710 ( .A(n73187), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[18]), .Y(n68954) );
+  sky130_fd_sc_hd__nand2_1 U87711 ( .A(n78265), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[18]), .Y(n68953) );
+  sky130_fd_sc_hd__nand4_1 U87712 ( .A(n68956), .B(n68955), .C(n68954), .D(
+        n68953), .Y(n68957) );
+  sky130_fd_sc_hd__a21oi_1 U87713 ( .A1(n73097), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[18]), .B1(n68957), .Y(n68958) );
+  sky130_fd_sc_hd__o21ai_0 U87714 ( .A1(n68979), .A2(n68959), .B1(n68958), .Y(
+        n68960) );
+  sky130_fd_sc_hd__a21oi_1 U87715 ( .A1(n68961), .A2(n72499), .B1(n68960), .Y(
+        n68962) );
+  sky130_fd_sc_hd__o21ai_1 U87716 ( .A1(n73322), .A2(n68963), .B1(n68962), .Y(
+        n68964) );
+  sky130_fd_sc_hd__a21oi_1 U87717 ( .A1(n72504), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[18]), .B1(n68964), .Y(n68965) );
+  sky130_fd_sc_hd__o21ai_0 U87718 ( .A1(n68966), .A2(n73106), .B1(n68965), .Y(
+        n68967) );
+  sky130_fd_sc_hd__a211oi_1 U87719 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[4]), .A2(n73110), .B1(n68968), .C1(n68967), .Y(n68974) );
+  sky130_fd_sc_hd__a22oi_1 U87720 ( .A1(n73327), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[18]), .B1(n72509), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[18]), .Y(n68973) );
+  sky130_fd_sc_hd__a22oi_1 U87721 ( .A1(n72357), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[18]), .B1(n69413), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[18]), .Y(n68972) );
+  sky130_fd_sc_hd__a22o_1 U87722 ( .A1(n72419), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[18]), .B1(n73111), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[4]), .X(n68970) );
+  sky130_fd_sc_hd__a21oi_1 U87723 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[4]), .A2(n73113), .B1(n68970), .Y(n68971) );
+  sky130_fd_sc_hd__nand4_1 U87724 ( .A(n68974), .B(n68973), .C(n68972), .D(
+        n68971), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[18]) );
+  sky130_fd_sc_hd__nor2_1 U87725 ( .A(n70629), .B(n73338), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N100) );
+  sky130_fd_sc_hd__nand2_1 U87726 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[18]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .Y(n68975) );
+  sky130_fd_sc_hd__o21ai_1 U87727 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .A2(n68979), .B1(n68975), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N298) );
+  sky130_fd_sc_hd__nand2_1 U87728 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[18]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .Y(n68976) );
+  sky130_fd_sc_hd__o21ai_1 U87729 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n68979), .B1(n68976), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N217) );
+  sky130_fd_sc_hd__nand2_1 U87730 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[18]), .B(n76241), .Y(n68977) );
+  sky130_fd_sc_hd__o21ai_1 U87731 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n68979), .B1(n68977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N136) );
+  sky130_fd_sc_hd__nand2_1 U87732 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[18]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .Y(n68978) );
+  sky130_fd_sc_hd__o21ai_1 U87733 ( .A1(n73340), .A2(n68979), .B1(n68978), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N55) );
+  sky130_fd_sc_hd__xor2_1 U87734 ( .A(n68981), .B(n68980), .X(n72527) );
+  sky130_fd_sc_hd__and3_1 U87735 ( .A(n68982), .B(n70305), .C(n68981), .X(
+        n68985) );
+  sky130_fd_sc_hd__nor2_1 U87736 ( .A(n70307), .B(n68983), .Y(n68984) );
+  sky130_fd_sc_hd__a211oi_1 U87737 ( .A1(n72144), .A2(n72527), .B1(n68985), 
+        .C1(n68984), .Y(n68990) );
+  sky130_fd_sc_hd__nand2_1 U87738 ( .A(n37084), .B(n68986), .Y(n68988) );
+  sky130_fd_sc_hd__xnor2_1 U87739 ( .A(n68988), .B(n68987), .Y(n74105) );
+  sky130_fd_sc_hd__nand2_1 U87740 ( .A(n74105), .B(n70187), .Y(n68989) );
+  sky130_fd_sc_hd__o211ai_1 U87741 ( .A1(n72147), .A2(n68991), .B1(n68990), 
+        .C1(n68989), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[20]) );
+  sky130_fd_sc_hd__ha_1 U87742 ( .A(n68992), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[20]), .COUT(n63947), .SUM(n68993) );
+  sky130_fd_sc_hd__nand2_1 U87743 ( .A(n73179), .B(n68993), .Y(n68994) );
+  sky130_fd_sc_hd__o21ai_0 U87744 ( .A1(n69040), .A2(n73179), .B1(n68994), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[20]) );
+  sky130_fd_sc_hd__a222oi_1 U87745 ( .A1(n85749), .A2(n64978), .B1(n73183), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[20]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[20]), .C2(n73182), .Y(n68995) );
+  sky130_fd_sc_hd__a222oi_1 U87746 ( .A1(n73185), .A2(n85749), .B1(n78500), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[20]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[20]), .C2(n78499), .Y(n68996) );
+  sky130_fd_sc_hd__o22ai_1 U87747 ( .A1(n68998), .A2(n73073), .B1(n68997), 
+        .B2(n58802), .Y(n69027) );
+  sky130_fd_sc_hd__clkinv_1 U87748 ( .A(n72218), .Y(n69000) );
+  sky130_fd_sc_hd__a21oi_1 U87749 ( .A1(n72220), .A2(n72219), .B1(n69000), .Y(
+        n69005) );
+  sky130_fd_sc_hd__nand2_1 U87750 ( .A(n69003), .B(n69002), .Y(n69004) );
+  sky130_fd_sc_hd__xor2_1 U87751 ( .A(n69005), .B(n69004), .X(n69011) );
+  sky130_fd_sc_hd__ha_1 U87752 ( .A(n69006), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .COUT(n70579), .SUM(n69010) );
+  sky130_fd_sc_hd__clkinv_1 U87753 ( .A(n69007), .Y(n70582) );
+  sky130_fd_sc_hd__nand2_1 U87754 ( .A(n70582), .B(n70580), .Y(n69008) );
+  sky130_fd_sc_hd__xnor2_1 U87755 ( .A(n69008), .B(n70583), .Y(n69009) );
+  sky130_fd_sc_hd__a222oi_1 U87756 ( .A1(n73319), .A2(n69011), .B1(n73229), 
+        .B2(n69010), .C1(n69009), .C2(n73312), .Y(n69022) );
+  sky130_fd_sc_hd__nand2_1 U87757 ( .A(n73192), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[20]), .Y(n69016) );
+  sky130_fd_sc_hd__a22oi_1 U87758 ( .A1(n78262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[20]), .B1(n73261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[20]), .Y(n69015) );
+  sky130_fd_sc_hd__nand2_1 U87759 ( .A(n73187), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[20]), .Y(n69014) );
+  sky130_fd_sc_hd__nand2_1 U87760 ( .A(n78265), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[20]), .Y(n69013) );
+  sky130_fd_sc_hd__nand4_1 U87761 ( .A(n69016), .B(n69015), .C(n69014), .D(
+        n69013), .Y(n69017) );
+  sky130_fd_sc_hd__a21oi_1 U87762 ( .A1(n73097), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[20]), .B1(n69017), .Y(n69018) );
+  sky130_fd_sc_hd__o21ai_0 U87763 ( .A1(n69040), .A2(n73154), .B1(n69018), .Y(
+        n69019) );
+  sky130_fd_sc_hd__a21oi_1 U87764 ( .A1(n69020), .A2(n72499), .B1(n69019), .Y(
+        n69021) );
+  sky130_fd_sc_hd__o21ai_1 U87765 ( .A1(n73322), .A2(n69022), .B1(n69021), .Y(
+        n69023) );
+  sky130_fd_sc_hd__a21oi_1 U87766 ( .A1(n72504), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[20]), .B1(n69023), .Y(n69024) );
+  sky130_fd_sc_hd__o21ai_0 U87767 ( .A1(n69025), .A2(n73106), .B1(n69024), .Y(
+        n69026) );
+  sky130_fd_sc_hd__a211oi_1 U87768 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[6]), .A2(n73110), .B1(n69027), .C1(n69026), .Y(n69034) );
+  sky130_fd_sc_hd__inv_2 U87769 ( .A(n69028), .Y(n72203) );
+  sky130_fd_sc_hd__a22oi_1 U87770 ( .A1(n72203), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[20]), .B1(n72509), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[20]), .Y(n69033) );
+  sky130_fd_sc_hd__a22oi_1 U87771 ( .A1(n72357), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[20]), .B1(n69413), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[20]), .Y(n69032) );
+  sky130_fd_sc_hd__a22o_1 U87772 ( .A1(n72510), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[20]), .B1(n73111), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[6]), .X(n69030) );
+  sky130_fd_sc_hd__a21oi_1 U87773 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[6]), .A2(n73113), .B1(n69030), .Y(n69031) );
+  sky130_fd_sc_hd__nand4_1 U87774 ( .A(n69034), .B(n69033), .C(n69032), .D(
+        n69031), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[20]) );
+  sky130_fd_sc_hd__nor2_1 U87775 ( .A(n69035), .B(n73338), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N102) );
+  sky130_fd_sc_hd__nand2_1 U87776 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[20]), .B(n37259), .Y(n69036) );
+  sky130_fd_sc_hd__o21ai_1 U87777 ( .A1(n37259), .A2(n69040), .B1(n69036), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N300) );
+  sky130_fd_sc_hd__nand2_1 U87778 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[20]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .Y(n69037) );
+  sky130_fd_sc_hd__o21ai_1 U87779 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n69040), .B1(n69037), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N219) );
+  sky130_fd_sc_hd__nand2_1 U87780 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[20]), .B(n76241), .Y(n69038) );
+  sky130_fd_sc_hd__o21ai_1 U87781 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n69040), .B1(n69038), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N138) );
+  sky130_fd_sc_hd__nand2_1 U87782 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[20]), .B(n73340), .Y(n69039) );
+  sky130_fd_sc_hd__o21ai_1 U87783 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .A2(n69040), .B1(n69039), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N57) );
+  sky130_fd_sc_hd__a22oi_1 U87784 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[20]), .A2(n78526), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[20]), .B2(n78527), .Y(n69041) );
+  sky130_fd_sc_hd__o21ai_1 U87785 ( .A1(n78529), .A2(n69059), .B1(n69041), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N437) );
+  sky130_fd_sc_hd__ha_1 U87786 ( .A(n69042), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[52]), .COUT(n64220), .SUM(n69043) );
+  sky130_fd_sc_hd__a222oi_1 U87787 ( .A1(n70408), .A2(n85749), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[52]), .C1(n69043), .C2(n70471), .Y(n69044) );
+  sky130_fd_sc_hd__nand2_1 U87788 ( .A(n69047), .B(n69046), .Y(n70028) );
+  sky130_fd_sc_hd__xor2_1 U87789 ( .A(n70028), .B(n70029), .X(n69048) );
+  sky130_fd_sc_hd__a22oi_1 U87790 ( .A1(n70471), .A2(n69048), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[20]), .Y(n69049) );
+  sky130_fd_sc_hd__o21ai_1 U87791 ( .A1(n78537), .A2(n69050), .B1(n69049), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1524) );
+  sky130_fd_sc_hd__ha_1 U87792 ( .A(n69051), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[52]), .COUT(n70035), .SUM(n69052) );
+  sky130_fd_sc_hd__a222oi_1 U87793 ( .A1(n70337), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[52]), .B1(n70316), .B2(n85599), .C1(n69052), .C2(n70335), .Y(n69053) );
+  sky130_fd_sc_hd__nand2_1 U87794 ( .A(n69056), .B(n69055), .Y(n70039) );
+  sky130_fd_sc_hd__xor2_1 U87795 ( .A(n70039), .B(n70040), .X(n69057) );
+  sky130_fd_sc_hd__a22oi_1 U87796 ( .A1(n69057), .A2(n70374), .B1(n70369), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[20]), .Y(n69058) );
+  sky130_fd_sc_hd__o21ai_1 U87797 ( .A1(n36576), .A2(n69059), .B1(n69058), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1261) );
+  sky130_fd_sc_hd__a22oi_1 U87798 ( .A1(n37076), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[948]), .B1(n66629), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[852]), .Y(n69061) );
+  sky130_fd_sc_hd__a22oi_1 U87799 ( .A1(n64394), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[148]), .B1(n64395), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[372]), .Y(n69060) );
+  sky130_fd_sc_hd__nand2_1 U87800 ( .A(n69061), .B(n69060), .Y(n69067) );
+  sky130_fd_sc_hd__a22oi_1 U87801 ( .A1(n64442), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[980]), .B1(n70101), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[756]), .Y(n69065) );
+  sky130_fd_sc_hd__a22oi_1 U87802 ( .A1(n64392), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[788]), .B1(n66620), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[916]), .Y(n69064) );
+  sky130_fd_sc_hd__a22oi_1 U87803 ( .A1(n64396), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[724]), .B1(n64254), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[436]), .Y(n69063) );
+  sky130_fd_sc_hd__a22oi_1 U87804 ( .A1(n64393), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[340]), .B1(n64375), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[276]), .Y(n69062) );
+  sky130_fd_sc_hd__nand4_1 U87805 ( .A(n69065), .B(n69064), .C(n69063), .D(
+        n69062), .Y(n69066) );
+  sky130_fd_sc_hd__a211oi_1 U87806 ( .A1(n69311), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[20]), .B1(n69067), .C1(n69066), .Y(n69080) );
+  sky130_fd_sc_hd__a22oi_1 U87807 ( .A1(n64374), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[308]), .B1(n64378), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[468]), .Y(n69071) );
+  sky130_fd_sc_hd__a22oi_1 U87808 ( .A1(n64377), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[660]), .B1(n64261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[884]), .Y(n69070) );
+  sky130_fd_sc_hd__a22oi_1 U87809 ( .A1(n64379), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[116]), .B1(n64376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[404]), .Y(n69069) );
+  sky130_fd_sc_hd__a22oi_1 U87810 ( .A1(n64262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[212]), .B1(n64263), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[628]), .Y(n69068) );
+  sky130_fd_sc_hd__nand4_1 U87811 ( .A(n69071), .B(n69070), .C(n69069), .D(
+        n69068), .Y(n69076) );
+  sky130_fd_sc_hd__a22oi_1 U87812 ( .A1(n69566), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[84]), .B1(n64384), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[532]), .Y(n69074) );
+  sky130_fd_sc_hd__a22oi_1 U87813 ( .A1(n64385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[692]), .B1(n66525), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[820]), .Y(n69073) );
+  sky130_fd_sc_hd__a22oi_1 U87814 ( .A1(n63012), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[180]), .B1(n64386), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[244]), .Y(n69072) );
+  sky130_fd_sc_hd__nand3_1 U87815 ( .A(n69074), .B(n69073), .C(n69072), .Y(
+        n69075) );
+  sky130_fd_sc_hd__nor2_1 U87816 ( .A(n69076), .B(n69075), .Y(n69079) );
+  sky130_fd_sc_hd__a22oi_1 U87817 ( .A1(n70107), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[52]), .B1(n64403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[564]), .Y(n69078) );
+  sky130_fd_sc_hd__a22oi_1 U87818 ( .A1(n70059), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[596]), .B1(n70058), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[500]), .Y(n69077) );
+  sky130_fd_sc_hd__nand4_1 U87819 ( .A(n69080), .B(n69079), .C(n69078), .D(
+        n69077), .Y(n69082) );
+  sky130_fd_sc_hd__xnor2_1 U87820 ( .A(n69084), .B(n69083), .Y(n72552) );
+  sky130_fd_sc_hd__or3_1 U87821 ( .A(n72141), .B(n69086), .C(n69085), .X(
+        n69087) );
+  sky130_fd_sc_hd__o21ai_1 U87822 ( .A1(n70301), .A2(n72552), .B1(n69087), .Y(
+        n69088) );
+  sky130_fd_sc_hd__a21oi_1 U87823 ( .A1(n69089), .A2(n70309), .B1(n69088), .Y(
+        n69098) );
+  sky130_fd_sc_hd__a21oi_1 U87825 ( .A1(n70185), .A2(n37086), .B1(n69093), .Y(
+        n69096) );
+  sky130_fd_sc_hd__nand2_1 U87826 ( .A(n37051), .B(n69094), .Y(n69095) );
+  sky130_fd_sc_hd__xor2_1 U87827 ( .A(n69096), .B(n69095), .X(n74078) );
+  sky130_fd_sc_hd__nand2_1 U87828 ( .A(n74078), .B(n70187), .Y(n69097) );
+  sky130_fd_sc_hd__o211ai_1 U87829 ( .A1(n69099), .A2(n70307), .B1(n69098), 
+        .C1(n69097), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[13]) );
+  sky130_fd_sc_hd__nand2_1 U87830 ( .A(n69100), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[12]), .Y(n69102) );
+  sky130_fd_sc_hd__xor2_1 U87831 ( .A(n69102), .B(n69101), .X(n69103) );
+  sky130_fd_sc_hd__a22oi_1 U87832 ( .A1(n70471), .A2(n69103), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[13]), .Y(n69104) );
+  sky130_fd_sc_hd__o21ai_0 U87833 ( .A1(n36581), .A2(n73181), .B1(n69104), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1517) );
+  sky130_fd_sc_hd__nand2_1 U87834 ( .A(n69105), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[12]), .Y(n69107) );
+  sky130_fd_sc_hd__xor2_1 U87835 ( .A(n69107), .B(n69106), .X(n69108) );
+  sky130_fd_sc_hd__a22oi_1 U87836 ( .A1(n70374), .A2(n69108), .B1(n70369), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[13]), .Y(n69109) );
+  sky130_fd_sc_hd__o21ai_1 U87837 ( .A1(n36576), .A2(n73181), .B1(n69109), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1254) );
+  sky130_fd_sc_hd__nand2_1 U87838 ( .A(n69112), .B(n69111), .Y(n70431) );
+  sky130_fd_sc_hd__nor2_1 U87839 ( .A(n70430), .B(n70431), .Y(n69113) );
+  sky130_fd_sc_hd__xnor2_1 U87840 ( .A(n69114), .B(n69113), .Y(n69115) );
+  sky130_fd_sc_hd__a22oi_1 U87841 ( .A1(n70469), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[45]), .B1(n70471), .B2(n69115), .Y(n69116) );
+  sky130_fd_sc_hd__o21ai_0 U87842 ( .A1(n36580), .A2(n73181), .B1(n69116), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1549) );
+  sky130_fd_sc_hd__nor2_1 U87843 ( .A(n69118), .B(n69117), .Y(n69119) );
+  sky130_fd_sc_hd__xnor2_1 U87844 ( .A(n69120), .B(n69119), .Y(n69121) );
+  sky130_fd_sc_hd__a22oi_1 U87845 ( .A1(n70369), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[45]), .B1(n69121), .B2(n70335), .Y(n69122) );
+  sky130_fd_sc_hd__a22oi_1 U87847 ( .A1(n64374), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[301]), .B1(n64375), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[269]), .Y(n69126) );
+  sky130_fd_sc_hd__a22oi_1 U87848 ( .A1(n64261), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[877]), .B1(n64376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[397]), .Y(n69125) );
+  sky130_fd_sc_hd__a22oi_1 U87849 ( .A1(n64377), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[653]), .B1(n64378), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[461]), .Y(n69124) );
+  sky130_fd_sc_hd__a22oi_1 U87850 ( .A1(n64379), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[109]), .B1(n64262), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[205]), .Y(n69123) );
+  sky130_fd_sc_hd__nand4_1 U87851 ( .A(n69126), .B(n69125), .C(n69124), .D(
+        n69123), .Y(n69143) );
+  sky130_fd_sc_hd__a22oi_1 U87852 ( .A1(n69566), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[77]), .B1(n64384), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[525]), .Y(n69129) );
+  sky130_fd_sc_hd__a22oi_1 U87853 ( .A1(n64263), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[621]), .B1(n66525), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[813]), .Y(n69128) );
+  sky130_fd_sc_hd__a22oi_1 U87854 ( .A1(n64385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[685]), .B1(n64386), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[237]), .Y(n69127) );
+  sky130_fd_sc_hd__nand3_1 U87855 ( .A(n69129), .B(n69128), .C(n69127), .Y(
+        n69142) );
+  sky130_fd_sc_hd__clkinv_1 U87856 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[173]), .Y(n69131) );
+  sky130_fd_sc_hd__a22oi_1 U87857 ( .A1(n37076), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[941]), .B1(n66629), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[845]), .Y(n69130) );
+  sky130_fd_sc_hd__a22oi_1 U87859 ( .A1(n64254), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[429]), .B1(n64392), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[781]), .Y(n69135) );
+  sky130_fd_sc_hd__a22oi_1 U87860 ( .A1(n64393), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[333]), .B1(n66620), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[909]), .Y(n69134) );
+  sky130_fd_sc_hd__a22oi_1 U87861 ( .A1(n64394), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[141]), .B1(n64395), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[365]), .Y(n69133) );
+  sky130_fd_sc_hd__a22oi_1 U87862 ( .A1(n64396), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[717]), .B1(n64442), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[973]), .Y(n69132) );
+  sky130_fd_sc_hd__nand4_1 U87863 ( .A(n69135), .B(n69134), .C(n69133), .D(
+        n69132), .Y(n69136) );
+  sky130_fd_sc_hd__a211oi_1 U87864 ( .A1(n69311), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[13]), .B1(n69137), .C1(n69136), .Y(n69140) );
+  sky130_fd_sc_hd__a22oi_1 U87865 ( .A1(n70107), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[45]), .B1(n64403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[557]), .Y(n69139) );
+  sky130_fd_sc_hd__a22oi_1 U87866 ( .A1(n70059), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[589]), .B1(n70058), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[493]), .Y(n69138) );
+  sky130_fd_sc_hd__nand3_1 U87867 ( .A(n69140), .B(n69139), .C(n69138), .Y(
+        n69141) );
+  sky130_fd_sc_hd__nor3_1 U87868 ( .A(n69143), .B(n69142), .C(n69141), .Y(
+        n69145) );
+  sky130_fd_sc_hd__o22ai_1 U87869 ( .A1(n69145), .A2(n70071), .B1(n69144), 
+        .B2(n70115), .Y(n69146) );
+  sky130_fd_sc_hd__a21oi_1 U87870 ( .A1(n70119), .A2(n85601), .B1(n69146), .Y(
+        n69147) );
+  sky130_fd_sc_hd__nand2_1 U87872 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[13]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .Y(n69149) );
+  sky130_fd_sc_hd__o21ai_1 U87873 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .A2(n54954), .B1(n69149), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N293) );
+  sky130_fd_sc_hd__nand2_1 U87874 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[13]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .Y(n69150) );
+  sky130_fd_sc_hd__o21ai_1 U87875 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n54954), .B1(n69150), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N212) );
+  sky130_fd_sc_hd__nand2_1 U87876 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[13]), .B(n76241), .Y(n69151) );
+  sky130_fd_sc_hd__o21ai_1 U87877 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n54954), .B1(n69151), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N131) );
+  sky130_fd_sc_hd__nand2_1 U87878 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[13]), .B(n73340), .Y(n69152) );
+  sky130_fd_sc_hd__xnor2_1 U87880 ( .A(n69154), .B(n69153), .Y(n72540) );
+  sky130_fd_sc_hd__nand3_1 U87881 ( .A(n69155), .B(n70305), .C(n69154), .Y(
+        n69156) );
+  sky130_fd_sc_hd__a21oi_1 U87883 ( .A1(n69158), .A2(n70309), .B1(n69157), .Y(
+        n69165) );
+  sky130_fd_sc_hd__nand2_1 U87884 ( .A(n69161), .B(n69160), .Y(n69162) );
+  sky130_fd_sc_hd__xor2_1 U87885 ( .A(n69163), .B(n69162), .X(n74058) );
+  sky130_fd_sc_hd__nand2_1 U87886 ( .A(n74058), .B(n70187), .Y(n69164) );
+  sky130_fd_sc_hd__o211ai_1 U87887 ( .A1(n69166), .A2(n70307), .B1(n69165), 
+        .C1(n69164), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[25]) );
+  sky130_fd_sc_hd__ha_1 U87888 ( .A(n69167), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[57]), .COUT(n69344), .SUM(n69168) );
+  sky130_fd_sc_hd__a222oi_1 U87889 ( .A1(n70337), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[57]), .B1(n70336), .B2(n85603), .C1(n69168), .C2(n70335), .Y(n69169) );
+  sky130_fd_sc_hd__inv_1 U87890 ( .A(n69169), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1298) );
+  sky130_fd_sc_hd__nand2_1 U87891 ( .A(n85603), .B(n37037), .Y(n69183) );
+  sky130_fd_sc_hd__nand2_1 U87892 ( .A(n69170), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[24]), .Y(n69172) );
+  sky130_fd_sc_hd__xor2_1 U87893 ( .A(n69172), .B(n69171), .X(n69173) );
+  sky130_fd_sc_hd__a22oi_1 U87894 ( .A1(n70369), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[25]), .B1(n69173), .B2(n70374), .Y(n69174) );
+  sky130_fd_sc_hd__o21ai_1 U87895 ( .A1(n78554), .A2(n69183), .B1(n69174), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1266) );
+  sky130_fd_sc_hd__ha_1 U87896 ( .A(n69175), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[57]), .COUT(n69337), .SUM(n69176) );
+  sky130_fd_sc_hd__a222oi_1 U87897 ( .A1(n70408), .A2(n85603), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[57]), .C1(n69176), .C2(n70471), .Y(n69177) );
+  sky130_fd_sc_hd__nand2_1 U87898 ( .A(n69178), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[24]), .Y(n69180) );
+  sky130_fd_sc_hd__xor2_1 U87899 ( .A(n69180), .B(n69179), .X(n69181) );
+  sky130_fd_sc_hd__a22oi_1 U87900 ( .A1(n70471), .A2(n69181), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[25]), .Y(n69182) );
+  sky130_fd_sc_hd__o21ai_0 U87901 ( .A1(n36581), .A2(n78530), .B1(n69182), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1529) );
+  sky130_fd_sc_hd__a22oi_1 U87902 ( .A1(n64374), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[313]), .B1(n64375), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[281]), .Y(n69187) );
+  sky130_fd_sc_hd__a22oi_1 U87903 ( .A1(n64261), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[889]), .B1(n64376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[409]), .Y(n69186) );
+  sky130_fd_sc_hd__a22oi_1 U87904 ( .A1(n64377), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[665]), .B1(n64378), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[473]), .Y(n69185) );
+  sky130_fd_sc_hd__a22oi_1 U87905 ( .A1(n64379), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[121]), .B1(n64262), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[217]), .Y(n69184) );
+  sky130_fd_sc_hd__nand4_1 U87906 ( .A(n69187), .B(n69186), .C(n69185), .D(
+        n69184), .Y(n69204) );
+  sky130_fd_sc_hd__a22oi_1 U87907 ( .A1(n69566), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[89]), .B1(n64384), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[537]), .Y(n69190) );
+  sky130_fd_sc_hd__a22oi_1 U87908 ( .A1(n64263), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[633]), .B1(n66525), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[825]), .Y(n69189) );
+  sky130_fd_sc_hd__a22oi_1 U87909 ( .A1(n64385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[697]), .B1(n64386), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[249]), .Y(n69188) );
+  sky130_fd_sc_hd__nand3_1 U87910 ( .A(n69190), .B(n69189), .C(n69188), .Y(
+        n69203) );
+  sky130_fd_sc_hd__clkinv_1 U87911 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[185]), .Y(n69192) );
+  sky130_fd_sc_hd__a22oi_1 U87912 ( .A1(n37076), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[953]), .B1(n66629), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[857]), .Y(n69191) );
+  sky130_fd_sc_hd__a22oi_1 U87914 ( .A1(n64254), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[441]), .B1(n64392), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[793]), .Y(n69196) );
+  sky130_fd_sc_hd__a22oi_1 U87915 ( .A1(n64393), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[345]), .B1(n66620), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[921]), .Y(n69195) );
+  sky130_fd_sc_hd__a22oi_1 U87916 ( .A1(n64394), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[153]), .B1(n64395), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[377]), .Y(n69194) );
+  sky130_fd_sc_hd__a22oi_1 U87917 ( .A1(n64396), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[729]), .B1(n64442), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[985]), .Y(n69193) );
+  sky130_fd_sc_hd__nand4_1 U87918 ( .A(n69196), .B(n69195), .C(n69194), .D(
+        n69193), .Y(n69197) );
+  sky130_fd_sc_hd__a211oi_1 U87919 ( .A1(n69311), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[25]), .B1(n69198), .C1(n69197), .Y(n69201) );
+  sky130_fd_sc_hd__a22oi_1 U87920 ( .A1(n70107), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[57]), .B1(n64403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[569]), .Y(n69200) );
+  sky130_fd_sc_hd__a22oi_1 U87921 ( .A1(n70059), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[601]), .B1(n70058), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[505]), .Y(n69199) );
+  sky130_fd_sc_hd__nand3_1 U87922 ( .A(n69201), .B(n69200), .C(n69199), .Y(
+        n69202) );
+  sky130_fd_sc_hd__nor3_1 U87923 ( .A(n69204), .B(n69203), .C(n69202), .Y(
+        n69206) );
+  sky130_fd_sc_hd__o22ai_1 U87924 ( .A1(n69206), .A2(n70071), .B1(n69205), 
+        .B2(n70115), .Y(n69207) );
+  sky130_fd_sc_hd__a21oi_1 U87925 ( .A1(n70119), .A2(n85602), .B1(n69207), .Y(
+        n69208) );
+  sky130_fd_sc_hd__ha_1 U87927 ( .A(n69210), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[25]), .COUT(n69377), .SUM(n69211) );
+  sky130_fd_sc_hd__nand2_1 U87928 ( .A(n73179), .B(n69211), .Y(n69212) );
+  sky130_fd_sc_hd__o21ai_0 U87929 ( .A1(n69255), .A2(n73179), .B1(n69212), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[25]) );
+  sky130_fd_sc_hd__a222oi_1 U87930 ( .A1(n85603), .A2(n64978), .B1(n73183), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[25]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[25]), .C2(n73182), .Y(n69213) );
+  sky130_fd_sc_hd__o22ai_1 U87931 ( .A1(n69215), .A2(n58802), .B1(n69214), 
+        .B2(n70572), .Y(n69245) );
+  sky130_fd_sc_hd__a21oi_1 U87932 ( .A1(n72220), .A2(n69218), .B1(n69217), .Y(
+        n69222) );
+  sky130_fd_sc_hd__nand2_1 U87933 ( .A(n69220), .B(n69219), .Y(n69221) );
+  sky130_fd_sc_hd__xor2_1 U87934 ( .A(n69222), .B(n69221), .X(n69230) );
+  sky130_fd_sc_hd__ha_1 U87935 ( .A(n69223), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[25]), .COUT(n69388), .SUM(n69229) );
+  sky130_fd_sc_hd__nand2_1 U87936 ( .A(n69225), .B(n69224), .Y(n69227) );
+  sky130_fd_sc_hd__xnor2_1 U87937 ( .A(n69227), .B(n69226), .Y(n69228) );
+  sky130_fd_sc_hd__a222oi_1 U87938 ( .A1(n73319), .A2(n69230), .B1(n73229), 
+        .B2(n69229), .C1(n69228), .C2(n73312), .Y(n69240) );
+  sky130_fd_sc_hd__nand2_1 U87939 ( .A(n73192), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[25]), .Y(n69234) );
+  sky130_fd_sc_hd__a22oi_1 U87940 ( .A1(n78262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[25]), .B1(n73261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[25]), .Y(n69233) );
+  sky130_fd_sc_hd__nand2_1 U87941 ( .A(n73187), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[25]), .Y(n69232) );
+  sky130_fd_sc_hd__nand2_1 U87942 ( .A(n78265), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[25]), .Y(n69231) );
+  sky130_fd_sc_hd__nand4_1 U87943 ( .A(n69234), .B(n69233), .C(n69232), .D(
+        n69231), .Y(n69235) );
+  sky130_fd_sc_hd__a21oi_1 U87944 ( .A1(n73097), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[25]), .B1(n69235), .Y(n69236) );
+  sky130_fd_sc_hd__o21ai_0 U87945 ( .A1(n69255), .A2(n73154), .B1(n69236), .Y(
+        n69237) );
+  sky130_fd_sc_hd__a21oi_1 U87946 ( .A1(n69238), .A2(n72499), .B1(n69237), .Y(
+        n69239) );
+  sky130_fd_sc_hd__o21ai_1 U87947 ( .A1(n73105), .A2(n69240), .B1(n69239), .Y(
+        n69241) );
+  sky130_fd_sc_hd__a21oi_1 U87948 ( .A1(n72504), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[25]), .B1(n69241), .Y(n69242) );
+  sky130_fd_sc_hd__o21ai_0 U87949 ( .A1(n69243), .A2(n73106), .B1(n69242), .Y(
+        n69244) );
+  sky130_fd_sc_hd__a211oi_1 U87950 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[11]), .A2(n70609), .B1(n69245), .C1(n69244), .Y(n69250) );
+  sky130_fd_sc_hd__a22oi_1 U87951 ( .A1(n72203), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[25]), .B1(n72509), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[25]), .Y(n69249) );
+  sky130_fd_sc_hd__a22oi_1 U87952 ( .A1(n72252), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[25]), .B1(n36816), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[25]), .Y(n69248) );
+  sky130_fd_sc_hd__a22o_1 U87953 ( .A1(n72419), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[25]), .B1(n73111), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[11]), .X(n69246) );
+  sky130_fd_sc_hd__a21oi_1 U87954 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[11]), .A2(n73113), .B1(n69246), .Y(n69247) );
+  sky130_fd_sc_hd__nand4_1 U87955 ( .A(n69250), .B(n69249), .C(n69248), .D(
+        n69247), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[25]) );
+  sky130_fd_sc_hd__nor2_1 U87956 ( .A(n71568), .B(n73338), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N107) );
+  sky130_fd_sc_hd__nand2_1 U87957 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[25]), .B(n37259), .Y(n69251) );
+  sky130_fd_sc_hd__o21ai_1 U87958 ( .A1(n37259), .A2(n69255), .B1(n69251), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N305) );
+  sky130_fd_sc_hd__nand2_1 U87959 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[25]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .Y(n69252) );
+  sky130_fd_sc_hd__o21ai_1 U87960 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n69255), .B1(n69252), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N224) );
+  sky130_fd_sc_hd__nand2_1 U87961 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[25]), .B(n76241), .Y(n69253) );
+  sky130_fd_sc_hd__o21ai_1 U87962 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n69255), .B1(n69253), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N143) );
+  sky130_fd_sc_hd__nand2_1 U87963 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[25]), .B(n73340), .Y(n69254) );
+  sky130_fd_sc_hd__o21ai_1 U87964 ( .A1(n73340), .A2(n69255), .B1(n69254), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N62) );
+  sky130_fd_sc_hd__mux2_2 U87965 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[4]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[4]), .S(n37259), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N284) );
+  sky130_fd_sc_hd__mux2_2 U87966 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[4]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[4]), .S(n76239), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N203) );
+  sky130_fd_sc_hd__mux2_2 U87967 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[4]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[4]), .S(n76241), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N122) );
+  sky130_fd_sc_hd__mux2_2 U87968 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[4]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[4]), .S(n73340), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N41) );
+  sky130_fd_sc_hd__o22ai_1 U87969 ( .A1(n69852), .A2(n70279), .B1(n69256), 
+        .B2(n70278), .Y(n69258) );
+  sky130_fd_sc_hd__o22ai_1 U87970 ( .A1(n69885), .A2(n70280), .B1(n69426), 
+        .B2(n70282), .Y(n69257) );
+  sky130_fd_sc_hd__nor2_1 U87971 ( .A(n69258), .B(n69257), .Y(n69825) );
+  sky130_fd_sc_hd__a21oi_1 U87972 ( .A1(n69825), .A2(n70284), .B1(n70275), .Y(
+        n69259) );
+  sky130_fd_sc_hd__o21ai_1 U87973 ( .A1(n69489), .A2(n69485), .B1(n69259), .Y(
+        n69266) );
+  sky130_fd_sc_hd__o22ai_1 U87974 ( .A1(n69428), .A2(n70278), .B1(n69427), 
+        .B2(n70279), .Y(n69263) );
+  sky130_fd_sc_hd__o22ai_1 U87975 ( .A1(n69261), .A2(n70282), .B1(n69260), 
+        .B2(n70280), .Y(n69262) );
+  sky130_fd_sc_hd__nor2_1 U87976 ( .A(n69263), .B(n69262), .Y(n69824) );
+  sky130_fd_sc_hd__o22ai_1 U87977 ( .A1(n69484), .A2(n69264), .B1(n69486), 
+        .B2(n69483), .Y(n69265) );
+  sky130_fd_sc_hd__o22ai_1 U87978 ( .A1(n70295), .A2(n69267), .B1(n69266), 
+        .B2(n69265), .Y(n69268) );
+  sky130_fd_sc_hd__a21oi_1 U87979 ( .A1(n69269), .A2(n70270), .B1(n69268), .Y(
+        n69857) );
+  sky130_fd_sc_hd__o21ai_0 U87980 ( .A1(n70270), .A2(n69270), .B1(n70268), .Y(
+        n69854) );
+  sky130_fd_sc_hd__xnor2_1 U87981 ( .A(n70283), .B(n69271), .Y(n72523) );
+  sky130_fd_sc_hd__nand3_1 U87982 ( .A(n69272), .B(n70305), .C(n70283), .Y(
+        n69273) );
+  sky130_fd_sc_hd__a21oi_1 U87984 ( .A1(n69854), .A2(n70309), .B1(n69274), .Y(
+        n69281) );
+  sky130_fd_sc_hd__nand2_1 U87985 ( .A(n69277), .B(n69276), .Y(n69278) );
+  sky130_fd_sc_hd__xor2_1 U87986 ( .A(n69279), .B(n69278), .X(n74125) );
+  sky130_fd_sc_hd__nand2_1 U87987 ( .A(n74125), .B(n70187), .Y(n69280) );
+  sky130_fd_sc_hd__o211ai_1 U87988 ( .A1(n69857), .A2(n70307), .B1(n69281), 
+        .C1(n69280), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[29]) );
+  sky130_fd_sc_hd__nand2_1 U87989 ( .A(n69282), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[28]), .Y(n69284) );
+  sky130_fd_sc_hd__xor2_1 U87990 ( .A(n69284), .B(n69283), .X(n69285) );
+  sky130_fd_sc_hd__a22oi_1 U87991 ( .A1(n70471), .A2(n69285), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[29]), .Y(n69286) );
+  sky130_fd_sc_hd__o21ai_0 U87992 ( .A1(n36581), .A2(n78517), .B1(n69286), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1533) );
+  sky130_fd_sc_hd__a22oi_1 U87993 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[29]), .A2(n78526), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[29]), .B2(n78527), .Y(n69287) );
+  sky130_fd_sc_hd__o21ai_1 U87994 ( .A1(n78529), .A2(n78517), .B1(n69287), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N446) );
+  sky130_fd_sc_hd__ha_1 U87995 ( .A(n69288), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[61]), .COUT(n70418), .SUM(n69289) );
+  sky130_fd_sc_hd__a222oi_1 U87996 ( .A1(n70408), .A2(n85604), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[61]), .C1(n69289), .C2(n70471), .Y(n69290) );
+  sky130_fd_sc_hd__ha_1 U87997 ( .A(n69291), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[61]), .COUT(n70311), .SUM(n69292) );
+  sky130_fd_sc_hd__a222oi_1 U87998 ( .A1(n70337), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[61]), .B1(n70336), .B2(n85604), .C1(n69292), .C2(n70335), .Y(n69293) );
+  sky130_fd_sc_hd__nand2_1 U87999 ( .A(n85604), .B(n36846), .Y(n72473) );
+  sky130_fd_sc_hd__nand2_1 U88000 ( .A(n69294), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[28]), .Y(n69296) );
+  sky130_fd_sc_hd__xor2_1 U88001 ( .A(n69296), .B(n69295), .X(n69297) );
+  sky130_fd_sc_hd__a22oi_1 U88002 ( .A1(n70369), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[29]), .B1(n69297), .B2(n70335), .Y(n69298) );
+  sky130_fd_sc_hd__o21ai_1 U88003 ( .A1(n78554), .A2(n72473), .B1(n69298), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1270) );
+  sky130_fd_sc_hd__a22oi_1 U88004 ( .A1(n64393), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[349]), .B1(n64392), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[797]), .Y(n69302) );
+  sky130_fd_sc_hd__a22oi_1 U88005 ( .A1(n64375), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[285]), .B1(n66620), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[925]), .Y(n69301) );
+  sky130_fd_sc_hd__a22oi_1 U88006 ( .A1(n64377), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[669]), .B1(n64261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[893]), .Y(n69300) );
+  sky130_fd_sc_hd__a22oi_1 U88007 ( .A1(n64374), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[317]), .B1(n64378), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[477]), .Y(n69299) );
+  sky130_fd_sc_hd__nand4_1 U88008 ( .A(n69302), .B(n69301), .C(n69300), .D(
+        n69299), .Y(n69318) );
+  sky130_fd_sc_hd__a22oi_1 U88009 ( .A1(n64385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[701]), .B1(n66525), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[829]), .Y(n69306) );
+  sky130_fd_sc_hd__a22oi_1 U88010 ( .A1(n64379), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[125]), .B1(n64376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[413]), .Y(n69305) );
+  sky130_fd_sc_hd__a22oi_1 U88011 ( .A1(n63012), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[189]), .B1(n64386), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[253]), .Y(n69304) );
+  sky130_fd_sc_hd__a22oi_1 U88012 ( .A1(n64262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[221]), .B1(n64263), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[637]), .Y(n69303) );
+  sky130_fd_sc_hd__nand4_1 U88013 ( .A(n69306), .B(n69305), .C(n69304), .D(
+        n69303), .Y(n69317) );
+  sky130_fd_sc_hd__a22oi_1 U88014 ( .A1(n64396), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[733]), .B1(n70101), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[765]), .Y(n69310) );
+  sky130_fd_sc_hd__a22oi_1 U88015 ( .A1(n64395), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[381]), .B1(n66629), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[861]), .Y(n69309) );
+  sky130_fd_sc_hd__a22oi_1 U88016 ( .A1(n64394), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[157]), .B1(n37076), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[957]), .Y(n69308) );
+  sky130_fd_sc_hd__a22oi_1 U88017 ( .A1(n64254), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[445]), .B1(n64442), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[989]), .Y(n69307) );
+  sky130_fd_sc_hd__nand4_1 U88018 ( .A(n69310), .B(n69309), .C(n69308), .D(
+        n69307), .Y(n69315) );
+  sky130_fd_sc_hd__a22oi_1 U88019 ( .A1(n64384), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[541]), .B1(n64403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[573]), .Y(n69314) );
+  sky130_fd_sc_hd__a22oi_1 U88020 ( .A1(n70107), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[61]), .B1(n70059), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[605]), .Y(n69313) );
+  sky130_fd_sc_hd__a22oi_1 U88021 ( .A1(n69311), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[29]), .B1(n70058), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[509]), .Y(n69312) );
+  sky130_fd_sc_hd__nand4b_1 U88022 ( .A_N(n69315), .B(n69314), .C(n69313), .D(
+        n69312), .Y(n69316) );
+  sky130_fd_sc_hd__nor3_1 U88023 ( .A(n69318), .B(n69317), .C(n69316), .Y(
+        n69320) );
+  sky130_fd_sc_hd__o22ai_1 U88024 ( .A1(n69320), .A2(n70071), .B1(n69319), 
+        .B2(n70115), .Y(n69321) );
+  sky130_fd_sc_hd__a21oi_1 U88025 ( .A1(n70119), .A2(n85605), .B1(n69321), .Y(
+        n69322) );
+  sky130_fd_sc_hd__o21ai_1 U88026 ( .A1(n70122), .A2(n69323), .B1(n69322), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N584) );
+  sky130_fd_sc_hd__xnor2_1 U88027 ( .A(n69325), .B(n69324), .Y(n72522) );
+  sky130_fd_sc_hd__nand3_1 U88028 ( .A(n69326), .B(n70305), .C(n69325), .Y(
+        n69327) );
+  sky130_fd_sc_hd__o21ai_1 U88029 ( .A1(n70301), .A2(n72522), .B1(n69327), .Y(
+        n69328) );
+  sky130_fd_sc_hd__a21oi_1 U88030 ( .A1(n69329), .A2(n72149), .B1(n69328), .Y(
+        n69335) );
+  sky130_fd_sc_hd__nand2_1 U88031 ( .A(n69331), .B(n69330), .Y(n69333) );
+  sky130_fd_sc_hd__xnor2_1 U88032 ( .A(n69333), .B(n69332), .Y(n74094) );
+  sky130_fd_sc_hd__nand2_1 U88033 ( .A(n74094), .B(n70187), .Y(n69334) );
+  sky130_fd_sc_hd__o211ai_1 U88034 ( .A1(n69336), .A2(n72147), .B1(n69335), 
+        .C1(n69334), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[26]) );
+  sky130_fd_sc_hd__ha_1 U88035 ( .A(n69337), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[58]), .COUT(n70385), .SUM(n69338) );
+  sky130_fd_sc_hd__a222oi_1 U88036 ( .A1(n70408), .A2(n85606), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[58]), .C1(n69338), .C2(n70471), .Y(n69339) );
+  sky130_fd_sc_hd__xor2_1 U88037 ( .A(n69341), .B(n69340), .X(n69342) );
+  sky130_fd_sc_hd__a22oi_1 U88038 ( .A1(n70471), .A2(n69342), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[26]), .Y(n69343) );
+  sky130_fd_sc_hd__o21ai_0 U88039 ( .A1(n36581), .A2(n78511), .B1(n69343), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1530) );
+  sky130_fd_sc_hd__ha_1 U88040 ( .A(n69344), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[58]), .COUT(n70314), .SUM(n69345) );
+  sky130_fd_sc_hd__a222oi_1 U88041 ( .A1(n70337), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[58]), .B1(n70336), .B2(n85606), .C1(n69345), .C2(n70335), .Y(n69346) );
+  sky130_fd_sc_hd__nand2_1 U88043 ( .A(n85606), .B(n86402), .Y(n69380) );
+  sky130_fd_sc_hd__xor2_1 U88044 ( .A(n69348), .B(n69347), .X(n69349) );
+  sky130_fd_sc_hd__a22oi_1 U88045 ( .A1(n70369), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[26]), .B1(n69349), .B2(n70335), .Y(n69350) );
+  sky130_fd_sc_hd__o21ai_1 U88046 ( .A1(n78554), .A2(n69380), .B1(n69350), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1267) );
+  sky130_fd_sc_hd__a22oi_1 U88047 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[26]), .A2(n78526), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[26]), .B2(n78527), .Y(n69351) );
+  sky130_fd_sc_hd__o21ai_1 U88048 ( .A1(n78529), .A2(n78511), .B1(n69351), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N443) );
+  sky130_fd_sc_hd__a22oi_1 U88049 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[26]), .A2(n78500), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[26]), .B2(n78499), .Y(n69352) );
+  sky130_fd_sc_hd__o21ai_1 U88050 ( .A1(n78502), .A2(n78511), .B1(n69352), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1041) );
+  sky130_fd_sc_hd__a22oi_1 U88051 ( .A1(n37076), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[954]), .B1(n66629), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[858]), .Y(n69354) );
+  sky130_fd_sc_hd__a22oi_1 U88052 ( .A1(n64394), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[154]), .B1(n64395), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[378]), .Y(n69353) );
+  sky130_fd_sc_hd__nand2_1 U88053 ( .A(n69354), .B(n69353), .Y(n69360) );
+  sky130_fd_sc_hd__a22oi_1 U88054 ( .A1(n64442), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[986]), .B1(n70101), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[762]), .Y(n69358) );
+  sky130_fd_sc_hd__a22oi_1 U88055 ( .A1(n64392), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[794]), .B1(n66620), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[922]), .Y(n69357) );
+  sky130_fd_sc_hd__a22oi_1 U88056 ( .A1(n64396), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[730]), .B1(n64254), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[442]), .Y(n69356) );
+  sky130_fd_sc_hd__a22oi_1 U88057 ( .A1(n64393), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[346]), .B1(n64375), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[282]), .Y(n69355) );
+  sky130_fd_sc_hd__nand4_1 U88058 ( .A(n69358), .B(n69357), .C(n69356), .D(
+        n69355), .Y(n69359) );
+  sky130_fd_sc_hd__a211oi_1 U88059 ( .A1(n69311), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[26]), .B1(n69360), .C1(n69359), .Y(n69373) );
+  sky130_fd_sc_hd__a22oi_1 U88060 ( .A1(n64374), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[314]), .B1(n64378), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[474]), .Y(n69364) );
+  sky130_fd_sc_hd__a22oi_1 U88061 ( .A1(n64377), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[666]), .B1(n64261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[890]), .Y(n69363) );
+  sky130_fd_sc_hd__a22oi_1 U88062 ( .A1(n64379), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[122]), .B1(n64376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[410]), .Y(n69362) );
+  sky130_fd_sc_hd__a22oi_1 U88063 ( .A1(n64262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[218]), .B1(n64263), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[634]), .Y(n69361) );
+  sky130_fd_sc_hd__nand4_1 U88064 ( .A(n69364), .B(n69363), .C(n69362), .D(
+        n69361), .Y(n69369) );
+  sky130_fd_sc_hd__a22oi_1 U88065 ( .A1(n69566), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[90]), .B1(n64384), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[538]), .Y(n69367) );
+  sky130_fd_sc_hd__a22oi_1 U88066 ( .A1(n64385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[698]), .B1(n66525), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[826]), .Y(n69366) );
+  sky130_fd_sc_hd__a22oi_1 U88067 ( .A1(n63012), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[186]), .B1(n64386), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[250]), .Y(n69365) );
+  sky130_fd_sc_hd__nand3_1 U88068 ( .A(n69367), .B(n69366), .C(n69365), .Y(
+        n69368) );
+  sky130_fd_sc_hd__nor2_1 U88069 ( .A(n69369), .B(n69368), .Y(n69372) );
+  sky130_fd_sc_hd__a22oi_1 U88070 ( .A1(n70107), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[58]), .B1(n64403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[570]), .Y(n69371) );
+  sky130_fd_sc_hd__a22oi_1 U88071 ( .A1(n70059), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[602]), .B1(n70058), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[506]), .Y(n69370) );
+  sky130_fd_sc_hd__nand4_1 U88072 ( .A(n69373), .B(n69372), .C(n69371), .D(
+        n69370), .Y(n69375) );
+  sky130_fd_sc_hd__a222oi_1 U88073 ( .A1(n69375), .A2(n69540), .B1(n69374), 
+        .B2(n69523), .C1(n85607), .C2(n70119), .Y(n69376) );
+  sky130_fd_sc_hd__inv_1 U88074 ( .A(n69376), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N581) );
+  sky130_fd_sc_hd__ha_1 U88075 ( .A(n69377), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[26]), .COUT(n63951), .SUM(n69378) );
+  sky130_fd_sc_hd__nand2_1 U88076 ( .A(n69378), .B(n73179), .Y(n69379) );
+  sky130_fd_sc_hd__o21ai_0 U88077 ( .A1(n69424), .A2(n73179), .B1(n69379), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[26]) );
+  sky130_fd_sc_hd__a22oi_1 U88078 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[26]), .A2(n73183), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[26]), .B2(n73182), .Y(n69381) );
+  sky130_fd_sc_hd__o21ai_1 U88079 ( .A1(n73123), .A2(n78511), .B1(n69381), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N813) );
+  sky130_fd_sc_hd__o22ai_1 U88080 ( .A1(n69383), .A2(n58802), .B1(n69382), 
+        .B2(n70572), .Y(n69412) );
+  sky130_fd_sc_hd__nand2_1 U88081 ( .A(n69385), .B(n69384), .Y(n69387) );
+  sky130_fd_sc_hd__xnor2_1 U88082 ( .A(n69387), .B(n69386), .Y(n69396) );
+  sky130_fd_sc_hd__ha_1 U88083 ( .A(n69388), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .COUT(n70538), .SUM(n69395) );
+  sky130_fd_sc_hd__nand2_1 U88084 ( .A(n69391), .B(n69390), .Y(n69392) );
+  sky130_fd_sc_hd__xor2_1 U88085 ( .A(n69393), .B(n69392), .X(n69394) );
+  sky130_fd_sc_hd__a222oi_1 U88086 ( .A1(n73319), .A2(n69396), .B1(n73229), 
+        .B2(n69395), .C1(n69394), .C2(n73312), .Y(n69407) );
+  sky130_fd_sc_hd__nand2_1 U88087 ( .A(n73192), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[26]), .Y(n69401) );
+  sky130_fd_sc_hd__a22oi_1 U88088 ( .A1(n78262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[26]), .B1(n73261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[26]), .Y(n69400) );
+  sky130_fd_sc_hd__nand2_1 U88089 ( .A(n73187), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[26]), .Y(n69399) );
+  sky130_fd_sc_hd__nand2_1 U88090 ( .A(n78265), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[26]), .Y(n69398) );
+  sky130_fd_sc_hd__nand4_1 U88091 ( .A(n69401), .B(n69400), .C(n69399), .D(
+        n69398), .Y(n69402) );
+  sky130_fd_sc_hd__a21oi_1 U88092 ( .A1(n73097), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[26]), .B1(n69402), .Y(n69403) );
+  sky130_fd_sc_hd__o21ai_0 U88093 ( .A1(n69424), .A2(n73154), .B1(n69403), .Y(
+        n69404) );
+  sky130_fd_sc_hd__a21oi_1 U88094 ( .A1(n69405), .A2(n72458), .B1(n69404), .Y(
+        n69406) );
+  sky130_fd_sc_hd__o21ai_1 U88095 ( .A1(n73105), .A2(n69407), .B1(n69406), .Y(
+        n69408) );
+  sky130_fd_sc_hd__a21oi_1 U88096 ( .A1(n72504), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[26]), .B1(n69408), .Y(n69409) );
+  sky130_fd_sc_hd__o21ai_0 U88097 ( .A1(n69410), .A2(n73106), .B1(n69409), .Y(
+        n69411) );
+  sky130_fd_sc_hd__a211oi_1 U88098 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[12]), .A2(n70609), .B1(n69412), .C1(n69411), .Y(n69418) );
+  sky130_fd_sc_hd__a22oi_1 U88099 ( .A1(n72203), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[26]), .B1(n72509), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[26]), .Y(n69417) );
+  sky130_fd_sc_hd__a22oi_1 U88100 ( .A1(n72252), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[26]), .B1(n69413), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[26]), .Y(n69416) );
+  sky130_fd_sc_hd__a22o_1 U88101 ( .A1(n72419), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[26]), .B1(n73111), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[12]), .X(n69414) );
+  sky130_fd_sc_hd__a21oi_1 U88102 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[12]), .A2(n73113), .B1(n69414), .Y(n69415) );
+  sky130_fd_sc_hd__nand4_1 U88103 ( .A(n69418), .B(n69417), .C(n69416), .D(
+        n69415), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[26]) );
+  sky130_fd_sc_hd__nor2_1 U88104 ( .A(n69419), .B(n73338), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N108) );
+  sky130_fd_sc_hd__nand2_1 U88105 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[26]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .Y(n69420) );
+  sky130_fd_sc_hd__o21ai_1 U88106 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .A2(n69424), .B1(n69420), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N306) );
+  sky130_fd_sc_hd__nand2_1 U88107 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[26]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .Y(n69421) );
+  sky130_fd_sc_hd__o21ai_1 U88108 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n69424), .B1(n69421), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N225) );
+  sky130_fd_sc_hd__nand2_1 U88109 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[26]), .B(n76241), .Y(n69422) );
+  sky130_fd_sc_hd__o21ai_1 U88110 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n69424), .B1(n69422), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N144) );
+  sky130_fd_sc_hd__nand2_1 U88111 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[26]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .Y(n69423) );
+  sky130_fd_sc_hd__o21ai_1 U88112 ( .A1(n73340), .A2(n69424), .B1(n69423), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N63) );
+  sky130_fd_sc_hd__a21oi_1 U88113 ( .A1(n69425), .A2(n69829), .B1(n69481), .Y(
+        n69890) );
+  sky130_fd_sc_hd__o22ai_1 U88114 ( .A1(n69885), .A2(n70279), .B1(n69426), 
+        .B2(n70278), .Y(n69430) );
+  sky130_fd_sc_hd__o22ai_1 U88115 ( .A1(n69428), .A2(n70282), .B1(n69427), 
+        .B2(n70280), .Y(n69429) );
+  sky130_fd_sc_hd__nor2_1 U88116 ( .A(n69430), .B(n69429), .Y(n70277) );
+  sky130_fd_sc_hd__a21oi_1 U88117 ( .A1(n70277), .A2(n70284), .B1(n70275), .Y(
+        n69432) );
+  sky130_fd_sc_hd__a22oi_1 U88118 ( .A1(n70274), .A2(n70276), .B1(n70273), 
+        .B2(n70271), .Y(n69431) );
+  sky130_fd_sc_hd__o211ai_1 U88119 ( .A1(n69433), .A2(n69489), .B1(n69432), 
+        .C1(n69431), .Y(n69438) );
+  sky130_fd_sc_hd__nor2_1 U88120 ( .A(n69434), .B(n69829), .Y(n70290) );
+  sky130_fd_sc_hd__nand2_1 U88121 ( .A(n70270), .B(n69434), .Y(n70287) );
+  sky130_fd_sc_hd__a2bb2oi_1 U88122 ( .B1(n70290), .B2(n69436), .A1_N(n70287), 
+        .A2_N(n69435), .Y(n69437) );
+  sky130_fd_sc_hd__o211ai_1 U88123 ( .A1(n69439), .A2(n70295), .B1(n69438), 
+        .C1(n69437), .Y(n69887) );
+  sky130_fd_sc_hd__xnor2_1 U88124 ( .A(n69441), .B(n69440), .Y(n72538) );
+  sky130_fd_sc_hd__nand3_1 U88125 ( .A(n69442), .B(n70305), .C(n69441), .Y(
+        n69443) );
+  sky130_fd_sc_hd__a21oi_1 U88127 ( .A1(n69887), .A2(n72149), .B1(n69444), .Y(
+        n69450) );
+  sky130_fd_sc_hd__nand2_1 U88128 ( .A(n69446), .B(n69445), .Y(n69448) );
+  sky130_fd_sc_hd__xnor2_1 U88129 ( .A(n69448), .B(n69447), .Y(n74160) );
+  sky130_fd_sc_hd__nand2_1 U88130 ( .A(n74160), .B(n70187), .Y(n69449) );
+  sky130_fd_sc_hd__o211ai_1 U88131 ( .A1(n69890), .A2(n72147), .B1(n69450), 
+        .C1(n69449), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[28]) );
+  sky130_fd_sc_hd__clkinv_1 U88132 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[188]), .Y(n69452) );
+  sky130_fd_sc_hd__a22oi_1 U88133 ( .A1(n37076), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[956]), .B1(n66629), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[860]), .Y(n69451) );
+  sky130_fd_sc_hd__o21ai_1 U88134 ( .A1(n69572), .A2(n69452), .B1(n69451), .Y(
+        n69458) );
+  sky130_fd_sc_hd__a22oi_1 U88135 ( .A1(n64254), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[444]), .B1(n64392), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[796]), .Y(n69456) );
+  sky130_fd_sc_hd__a22oi_1 U88136 ( .A1(n64393), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[348]), .B1(n66620), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[924]), .Y(n69455) );
+  sky130_fd_sc_hd__a22oi_1 U88137 ( .A1(n64394), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[156]), .B1(n64395), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[380]), .Y(n69454) );
+  sky130_fd_sc_hd__a22oi_1 U88138 ( .A1(n64396), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[732]), .B1(n64442), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[988]), .Y(n69453) );
+  sky130_fd_sc_hd__nand4_1 U88139 ( .A(n69456), .B(n69455), .C(n69454), .D(
+        n69453), .Y(n69457) );
+  sky130_fd_sc_hd__a211oi_1 U88140 ( .A1(n69311), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[28]), .B1(n69458), .C1(n69457), .Y(n69471) );
+  sky130_fd_sc_hd__a22oi_1 U88141 ( .A1(n69566), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[92]), .B1(n64384), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[540]), .Y(n69461) );
+  sky130_fd_sc_hd__a22oi_1 U88142 ( .A1(n64263), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[636]), .B1(n66525), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[828]), .Y(n69460) );
+  sky130_fd_sc_hd__a22oi_1 U88143 ( .A1(n64385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[700]), .B1(n64386), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[252]), .Y(n69459) );
+  sky130_fd_sc_hd__nand3_1 U88144 ( .A(n69461), .B(n69460), .C(n69459), .Y(
+        n69467) );
+  sky130_fd_sc_hd__a22oi_1 U88145 ( .A1(n64374), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[316]), .B1(n64375), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[284]), .Y(n69465) );
+  sky130_fd_sc_hd__a22oi_1 U88146 ( .A1(n64261), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[892]), .B1(n64376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[412]), .Y(n69464) );
+  sky130_fd_sc_hd__a22oi_1 U88147 ( .A1(n64377), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[668]), .B1(n64378), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[476]), .Y(n69463) );
+  sky130_fd_sc_hd__a22oi_1 U88148 ( .A1(n64379), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[124]), .B1(n64262), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[220]), .Y(n69462) );
+  sky130_fd_sc_hd__nand4_1 U88149 ( .A(n69465), .B(n69464), .C(n69463), .D(
+        n69462), .Y(n69466) );
+  sky130_fd_sc_hd__nor2_1 U88150 ( .A(n69467), .B(n69466), .Y(n69470) );
+  sky130_fd_sc_hd__a22oi_1 U88151 ( .A1(n70107), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[60]), .B1(n64403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[572]), .Y(n69469) );
+  sky130_fd_sc_hd__a22oi_1 U88152 ( .A1(n70059), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[604]), .B1(n70058), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[508]), .Y(n69468) );
+  sky130_fd_sc_hd__nand4_1 U88153 ( .A(n69471), .B(n69470), .C(n69469), .D(
+        n69468), .Y(n69472) );
+  sky130_fd_sc_hd__a22oi_1 U88154 ( .A1(n69540), .A2(n69472), .B1(n69914), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[764]), .Y(n69474) );
+  sky130_fd_sc_hd__nand2_1 U88155 ( .A(n70119), .B(n85608), .Y(n69473) );
+  sky130_fd_sc_hd__o211ai_1 U88156 ( .A1(n69476), .A2(n69475), .B1(n69474), 
+        .C1(n69473), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N583) );
+  sky130_fd_sc_hd__nand2_1 U88157 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[28]), .B(n37259), .Y(n69477) );
+  sky130_fd_sc_hd__nand2_1 U88159 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[28]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .Y(n69478) );
+  sky130_fd_sc_hd__o21ai_1 U88160 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n72456), .B1(n69478), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N227) );
+  sky130_fd_sc_hd__nand2_1 U88161 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[28]), .B(n76241), .Y(n69479) );
+  sky130_fd_sc_hd__o21ai_1 U88162 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n72456), .B1(n69479), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N146) );
+  sky130_fd_sc_hd__nand2_1 U88163 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[28]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .Y(n69480) );
+  sky130_fd_sc_hd__o21ai_1 U88164 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .A2(n72456), .B1(n69480), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N65) );
+  sky130_fd_sc_hd__a21oi_1 U88165 ( .A1(n69482), .A2(n69829), .B1(n69481), .Y(
+        n69970) );
+  sky130_fd_sc_hd__o22ai_1 U88166 ( .A1(n69486), .A2(n69485), .B1(n69484), 
+        .B2(n69483), .Y(n69492) );
+  sky130_fd_sc_hd__a21oi_1 U88167 ( .A1(n69824), .A2(n70284), .B1(n70275), .Y(
+        n69488) );
+  sky130_fd_sc_hd__o22ai_1 U88169 ( .A1(n70295), .A2(n69493), .B1(n69492), 
+        .B2(n69491), .Y(n69494) );
+  sky130_fd_sc_hd__a21oi_1 U88170 ( .A1(n70290), .A2(n69495), .B1(n69494), .Y(
+        n69496) );
+  sky130_fd_sc_hd__o21ai_1 U88171 ( .A1(n70287), .A2(n69497), .B1(n69496), .Y(
+        n69967) );
+  sky130_fd_sc_hd__xnor2_1 U88172 ( .A(n69499), .B(n69498), .Y(n72521) );
+  sky130_fd_sc_hd__nand3_1 U88173 ( .A(n69500), .B(n70305), .C(n69499), .Y(
+        n69501) );
+  sky130_fd_sc_hd__a21oi_1 U88175 ( .A1(n69967), .A2(n72149), .B1(n69502), .Y(
+        n69507) );
+  sky130_fd_sc_hd__nand2_1 U88176 ( .A(n37212), .B(n69503), .Y(n69504) );
+  sky130_fd_sc_hd__xor2_1 U88177 ( .A(n69505), .B(n69504), .X(n74100) );
+  sky130_fd_sc_hd__nand2_1 U88178 ( .A(n74100), .B(n70187), .Y(n69506) );
+  sky130_fd_sc_hd__o211ai_1 U88179 ( .A1(n69970), .A2(n72147), .B1(n69507), 
+        .C1(n69506), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[27]) );
+  sky130_fd_sc_hd__ha_1 U88180 ( .A(n69509), .B(n69508), .COUT(n65676), .SUM(
+        n69510) );
+  sky130_fd_sc_hd__nand2_1 U88181 ( .A(n69510), .B(n69929), .Y(n69521) );
+  sky130_fd_sc_hd__a22oi_1 U88182 ( .A1(n69931), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[26]), .B1(n69934), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[27]), .Y(n69520) );
+  sky130_fd_sc_hd__nand2_1 U88183 ( .A(n69513), .B(n69512), .Y(n69515) );
+  sky130_fd_sc_hd__xor2_1 U88184 ( .A(n69515), .B(n69514), .X(n69516) );
+  sky130_fd_sc_hd__nand2_1 U88185 ( .A(n69516), .B(n69796), .Y(n69519) );
+  sky130_fd_sc_hd__nand2_1 U88186 ( .A(n69517), .B(n86393), .Y(n69518) );
+  sky130_fd_sc_hd__nand4_1 U88187 ( .A(n69521), .B(n69520), .C(n69519), .D(
+        n69518), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N133) );
+  sky130_fd_sc_hd__a22oi_1 U88188 ( .A1(n70082), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[91]), .B1(n70119), .B2(n85609), .Y(n69546) );
+  sky130_fd_sc_hd__nand2_1 U88189 ( .A(n69523), .B(n69522), .Y(n69545) );
+  sky130_fd_sc_hd__a22oi_1 U88190 ( .A1(n64385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[699]), .B1(n66525), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[827]), .Y(n69527) );
+  sky130_fd_sc_hd__a22oi_1 U88191 ( .A1(n64379), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[123]), .B1(n64376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[411]), .Y(n69526) );
+  sky130_fd_sc_hd__a22oi_1 U88192 ( .A1(n63012), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[187]), .B1(n64386), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[251]), .Y(n69525) );
+  sky130_fd_sc_hd__a22oi_1 U88193 ( .A1(n64262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[219]), .B1(n64263), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[635]), .Y(n69524) );
+  sky130_fd_sc_hd__nand4_1 U88194 ( .A(n69527), .B(n69526), .C(n69525), .D(
+        n69524), .Y(n69543) );
+  sky130_fd_sc_hd__a22oi_1 U88195 ( .A1(n64393), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[347]), .B1(n64392), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[795]), .Y(n69531) );
+  sky130_fd_sc_hd__a22oi_1 U88196 ( .A1(n64375), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[283]), .B1(n66620), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[923]), .Y(n69530) );
+  sky130_fd_sc_hd__a22oi_1 U88197 ( .A1(n64377), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[667]), .B1(n64261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[891]), .Y(n69529) );
+  sky130_fd_sc_hd__a22oi_1 U88198 ( .A1(n64374), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[315]), .B1(n64378), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[475]), .Y(n69528) );
+  sky130_fd_sc_hd__nand4_1 U88199 ( .A(n69531), .B(n69530), .C(n69529), .D(
+        n69528), .Y(n69542) );
+  sky130_fd_sc_hd__a22oi_1 U88200 ( .A1(n64396), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[731]), .B1(n70101), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[763]), .Y(n69535) );
+  sky130_fd_sc_hd__a22oi_1 U88201 ( .A1(n64395), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[379]), .B1(n66629), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[859]), .Y(n69534) );
+  sky130_fd_sc_hd__a22oi_1 U88202 ( .A1(n64394), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[155]), .B1(n37076), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[955]), .Y(n69533) );
+  sky130_fd_sc_hd__a22oi_1 U88203 ( .A1(n64254), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[443]), .B1(n64442), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[987]), .Y(n69532) );
+  sky130_fd_sc_hd__nand4_1 U88204 ( .A(n69535), .B(n69534), .C(n69533), .D(
+        n69532), .Y(n69539) );
+  sky130_fd_sc_hd__a22oi_1 U88205 ( .A1(n64384), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[539]), .B1(n64403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[571]), .Y(n69538) );
+  sky130_fd_sc_hd__a22oi_1 U88206 ( .A1(n70107), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[59]), .B1(n70059), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[603]), .Y(n69537) );
+  sky130_fd_sc_hd__a22oi_1 U88207 ( .A1(n69311), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[27]), .B1(n70058), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[507]), .Y(n69536) );
+  sky130_fd_sc_hd__nand4b_1 U88208 ( .A_N(n69539), .B(n69538), .C(n69537), .D(
+        n69536), .Y(n69541) );
+  sky130_fd_sc_hd__o31ai_1 U88209 ( .A1(n69543), .A2(n69542), .A3(n69541), 
+        .B1(n69540), .Y(n69544) );
+  sky130_fd_sc_hd__nand3_1 U88210 ( .A(n69546), .B(n69545), .C(n69544), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N582) );
+  sky130_fd_sc_hd__nand2_1 U88211 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[27]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .Y(n69547) );
+  sky130_fd_sc_hd__o21ai_1 U88212 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .A2(n70553), .B1(n69547), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N307) );
+  sky130_fd_sc_hd__nand2_1 U88213 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[27]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .Y(n69548) );
+  sky130_fd_sc_hd__o21ai_1 U88214 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n70553), .B1(n69548), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N226) );
+  sky130_fd_sc_hd__nand2_1 U88215 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[27]), .B(n76241), .Y(n69549) );
+  sky130_fd_sc_hd__o21ai_1 U88216 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n70553), .B1(n69549), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N145) );
+  sky130_fd_sc_hd__nand2_1 U88217 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[27]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .Y(n69550) );
+  sky130_fd_sc_hd__o21ai_1 U88218 ( .A1(n73340), .A2(n70553), .B1(n69550), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N64) );
+  sky130_fd_sc_hd__xnor2_1 U88219 ( .A(n69552), .B(n69551), .Y(n72535) );
+  sky130_fd_sc_hd__or3_1 U88220 ( .A(n72141), .B(n69554), .C(n69553), .X(
+        n69555) );
+  sky130_fd_sc_hd__o21ai_1 U88221 ( .A1(n70301), .A2(n72535), .B1(n69555), .Y(
+        n69556) );
+  sky130_fd_sc_hd__a21oi_1 U88222 ( .A1(n69557), .A2(n70309), .B1(n69556), .Y(
+        n69559) );
+  sky130_fd_sc_hd__nand2_1 U88223 ( .A(n76596), .B(n70187), .Y(n69558) );
+  sky130_fd_sc_hd__o211ai_1 U88224 ( .A1(n69560), .A2(n70307), .B1(n69559), 
+        .C1(n69558), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[7]) );
+  sky130_fd_sc_hd__mux2_2 U88225 ( .A0(n69561), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[7]), .S(n70191), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_int_wdata[7]) );
+  sky130_fd_sc_hd__a22oi_1 U88226 ( .A1(n64374), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[295]), .B1(n64375), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[263]), .Y(n69565) );
+  sky130_fd_sc_hd__a22oi_1 U88227 ( .A1(n64261), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[871]), .B1(n64376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[391]), .Y(n69564) );
+  sky130_fd_sc_hd__a22oi_1 U88228 ( .A1(n64377), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[647]), .B1(n64378), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[455]), .Y(n69563) );
+  sky130_fd_sc_hd__a22oi_1 U88229 ( .A1(n64379), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[103]), .B1(n64262), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[199]), .Y(n69562) );
+  sky130_fd_sc_hd__nand4_1 U88230 ( .A(n69565), .B(n69564), .C(n69563), .D(
+        n69562), .Y(n69585) );
+  sky130_fd_sc_hd__a22oi_1 U88231 ( .A1(n69566), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[71]), .B1(n64384), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[519]), .Y(n69569) );
+  sky130_fd_sc_hd__a22oi_1 U88232 ( .A1(n64263), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[615]), .B1(n66525), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[807]), .Y(n69568) );
+  sky130_fd_sc_hd__a22oi_1 U88233 ( .A1(n64385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[679]), .B1(n64386), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[231]), .Y(n69567) );
+  sky130_fd_sc_hd__nand3_1 U88234 ( .A(n69569), .B(n69568), .C(n69567), .Y(
+        n69584) );
+  sky130_fd_sc_hd__clkinv_1 U88235 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[167]), .Y(n69571) );
+  sky130_fd_sc_hd__a22oi_1 U88236 ( .A1(n37076), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[935]), .B1(n66629), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[839]), .Y(n69570) );
+  sky130_fd_sc_hd__a22oi_1 U88238 ( .A1(n64254), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[423]), .B1(n64392), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[775]), .Y(n69576) );
+  sky130_fd_sc_hd__a22oi_1 U88239 ( .A1(n64393), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[327]), .B1(n66620), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[903]), .Y(n69575) );
+  sky130_fd_sc_hd__a22oi_1 U88240 ( .A1(n64394), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[135]), .B1(n64395), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[359]), .Y(n69574) );
+  sky130_fd_sc_hd__a22oi_1 U88241 ( .A1(n64396), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[711]), .B1(n64442), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[967]), .Y(n69573) );
+  sky130_fd_sc_hd__nand4_1 U88242 ( .A(n69576), .B(n69575), .C(n69574), .D(
+        n69573), .Y(n69577) );
+  sky130_fd_sc_hd__a211oi_1 U88243 ( .A1(n69311), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[7]), .B1(n69578), .C1(n69577), .Y(n69582) );
+  sky130_fd_sc_hd__a22oi_1 U88244 ( .A1(n70107), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[39]), .B1(n64403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[551]), .Y(n69581) );
+  sky130_fd_sc_hd__a22oi_1 U88245 ( .A1(n70059), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[583]), .B1(n70058), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[487]), .Y(n69580) );
+  sky130_fd_sc_hd__nand3_1 U88246 ( .A(n69582), .B(n69581), .C(n69580), .Y(
+        n69583) );
+  sky130_fd_sc_hd__nor3_1 U88247 ( .A(n69585), .B(n69584), .C(n69583), .Y(
+        n69587) );
+  sky130_fd_sc_hd__o22ai_1 U88248 ( .A1(n69587), .A2(n70071), .B1(n69586), 
+        .B2(n70115), .Y(n69588) );
+  sky130_fd_sc_hd__a21oi_1 U88249 ( .A1(n70119), .A2(n85610), .B1(n69588), .Y(
+        n69589) );
+  sky130_fd_sc_hd__o21ai_1 U88250 ( .A1(n69591), .A2(n69590), .B1(n69589), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N562) );
+  sky130_fd_sc_hd__nor2_1 U88251 ( .A(n69593), .B(n69592), .Y(n69594) );
+  sky130_fd_sc_hd__xnor2_1 U88252 ( .A(n69595), .B(n69594), .Y(n69596) );
+  sky130_fd_sc_hd__nand2_1 U88253 ( .A(n69596), .B(n69929), .Y(n69601) );
+  sky130_fd_sc_hd__a22oi_1 U88254 ( .A1(n69932), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[15]), .B1(n69931), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[6]), .Y(n69600) );
+  sky130_fd_sc_hd__nand2_1 U88255 ( .A(n69597), .B(n86393), .Y(n69599) );
+  sky130_fd_sc_hd__nand2_1 U88256 ( .A(n69934), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[7]), .Y(n69598) );
+  sky130_fd_sc_hd__nand4_1 U88257 ( .A(n69601), .B(n69600), .C(n69599), .D(
+        n69598), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N113) );
+  sky130_fd_sc_hd__nand2_1 U88258 ( .A(n70125), .B(n86393), .Y(n69611) );
+  sky130_fd_sc_hd__nand2_1 U88259 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[0]), .Y(n69602) );
+  sky130_fd_sc_hd__o22ai_1 U88261 ( .A1(n69611), .A2(n69605), .B1(n69712), 
+        .B2(n69604), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N101) );
+  sky130_fd_sc_hd__nand2_1 U88262 ( .A(n69608), .B(n69607), .Y(n69609) );
+  sky130_fd_sc_hd__xor2_1 U88263 ( .A(n69610), .B(n69609), .X(n69724) );
+  sky130_fd_sc_hd__o21ai_1 U88264 ( .A1(n69712), .A2(n69612), .B1(n69611), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N100) );
+  sky130_fd_sc_hd__nand2_1 U88265 ( .A(n69614), .B(n69613), .Y(n69616) );
+  sky130_fd_sc_hd__xnor2_1 U88266 ( .A(n69616), .B(n69615), .Y(n69744) );
+  sky130_fd_sc_hd__nand2_1 U88267 ( .A(n86393), .B(n69617), .Y(n69658) );
+  sky130_fd_sc_hd__nand2_1 U88268 ( .A(n86393), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_bypass_1), .Y(n69657) );
+  sky130_fd_sc_hd__o22ai_1 U88269 ( .A1(n69658), .A2(n69619), .B1(n69657), 
+        .B2(n69618), .Y(n69620) );
+  sky130_fd_sc_hd__a21o_1 U88270 ( .A1(n69744), .A2(n69706), .B1(n69620), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N99) );
+  sky130_fd_sc_hd__o22ai_1 U88271 ( .A1(n69658), .A2(n69622), .B1(n69657), 
+        .B2(n69621), .Y(n69623) );
+  sky130_fd_sc_hd__a21o_1 U88272 ( .A1(n69624), .A2(n69706), .B1(n69623), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N98) );
+  sky130_fd_sc_hd__o22ai_1 U88273 ( .A1(n69658), .A2(n69626), .B1(n69657), 
+        .B2(n69625), .Y(n69627) );
+  sky130_fd_sc_hd__a21o_1 U88274 ( .A1(n69628), .A2(n69706), .B1(n69627), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N97) );
+  sky130_fd_sc_hd__o22ai_1 U88275 ( .A1(n69658), .A2(n69630), .B1(n69657), 
+        .B2(n69629), .Y(n69631) );
+  sky130_fd_sc_hd__a21o_1 U88276 ( .A1(n69632), .A2(n69706), .B1(n69631), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N96) );
+  sky130_fd_sc_hd__o22ai_1 U88277 ( .A1(n69658), .A2(n69634), .B1(n69657), 
+        .B2(n69633), .Y(n69635) );
+  sky130_fd_sc_hd__a21o_1 U88278 ( .A1(n69636), .A2(n69706), .B1(n69635), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N95) );
+  sky130_fd_sc_hd__o22ai_1 U88279 ( .A1(n69658), .A2(n69638), .B1(n69657), 
+        .B2(n69637), .Y(n69639) );
+  sky130_fd_sc_hd__a21o_1 U88280 ( .A1(n69640), .A2(n69706), .B1(n69639), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N94) );
+  sky130_fd_sc_hd__o22ai_1 U88281 ( .A1(n69658), .A2(n69642), .B1(n69657), 
+        .B2(n69641), .Y(n69643) );
+  sky130_fd_sc_hd__a21o_1 U88282 ( .A1(n69644), .A2(n69706), .B1(n69643), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N93) );
+  sky130_fd_sc_hd__o22ai_1 U88283 ( .A1(n69658), .A2(n69646), .B1(n69657), 
+        .B2(n69645), .Y(n69647) );
+  sky130_fd_sc_hd__a21o_1 U88284 ( .A1(n69648), .A2(n69706), .B1(n69647), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N92) );
+  sky130_fd_sc_hd__o22ai_1 U88285 ( .A1(n69658), .A2(n69650), .B1(n69657), 
+        .B2(n69649), .Y(n69651) );
+  sky130_fd_sc_hd__a21o_1 U88286 ( .A1(n69652), .A2(n69706), .B1(n69651), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N91) );
+  sky130_fd_sc_hd__o22ai_1 U88287 ( .A1(n69658), .A2(n69654), .B1(n69657), 
+        .B2(n69653), .Y(n69655) );
+  sky130_fd_sc_hd__a21o_1 U88288 ( .A1(n69656), .A2(n69706), .B1(n69655), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N90) );
+  sky130_fd_sc_hd__a222oi_1 U88289 ( .A1(n69660), .A2(n69697), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[20]), .B2(n69696), .C1(n69706), .C2(n69659), .Y(n69661) );
+  sky130_fd_sc_hd__a222oi_1 U88290 ( .A1(n69663), .A2(n69697), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[19]), .B2(n69696), .C1(n69706), .C2(n69662), .Y(n69664) );
+  sky130_fd_sc_hd__a222oi_1 U88291 ( .A1(n69666), .A2(n69697), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[18]), .B2(n69696), .C1(n69706), .C2(n69665), .Y(n69667) );
+  sky130_fd_sc_hd__a222oi_1 U88292 ( .A1(n69669), .A2(n69697), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[17]), .B2(n69696), .C1(n69706), .C2(n69668), .Y(n69670) );
+  sky130_fd_sc_hd__a222oi_1 U88293 ( .A1(n69672), .A2(n69697), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[16]), .B2(n69696), .C1(n69706), .C2(n69671), .Y(n69673) );
+  sky130_fd_sc_hd__a222oi_1 U88294 ( .A1(n69675), .A2(n69697), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[15]), .B2(n69696), .C1(n69706), .C2(n69674), .Y(n69676) );
+  sky130_fd_sc_hd__a222oi_1 U88295 ( .A1(n69678), .A2(n69697), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[14]), .B2(n69696), .C1(n69706), .C2(n69677), .Y(n69679) );
+  sky130_fd_sc_hd__a222oi_1 U88296 ( .A1(n69681), .A2(n69697), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[13]), .B2(n69696), .C1(n69706), .C2(n69680), .Y(n69682) );
+  sky130_fd_sc_hd__a222oi_1 U88297 ( .A1(n69684), .A2(n69697), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[12]), .B2(n69696), .C1(n69706), .C2(n69683), .Y(n69685) );
+  sky130_fd_sc_hd__a222oi_1 U88298 ( .A1(n69687), .A2(n69697), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[11]), .B2(n69696), .C1(n69706), .C2(n69686), .Y(n69688) );
+  sky130_fd_sc_hd__a222oi_1 U88299 ( .A1(n69690), .A2(n69697), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[10]), .B2(n69696), .C1(n69706), .C2(n69689), .Y(n69691) );
+  sky130_fd_sc_hd__a222oi_1 U88300 ( .A1(n69693), .A2(n69697), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[9]), .B2(n69696), .C1(n69706), .C2(n69692), .Y(n69694) );
+  sky130_fd_sc_hd__a222oi_1 U88301 ( .A1(n69698), .A2(n69697), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_13[8]), .B2(n69696), .C1(n69706), .C2(n69695), .Y(n69699) );
+  sky130_fd_sc_hd__a22o_1 U88302 ( .A1(n85611), .A2(n86393), .B1(n69700), .B2(
+        n69706), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N76) );
+  sky130_fd_sc_hd__a22o_1 U88303 ( .A1(n85612), .A2(n86393), .B1(n69701), .B2(
+        n69706), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N75) );
+  sky130_fd_sc_hd__a22o_1 U88304 ( .A1(n85613), .A2(n86393), .B1(n69702), .B2(
+        n69706), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N74) );
+  sky130_fd_sc_hd__a22o_1 U88305 ( .A1(n85614), .A2(n86393), .B1(n69703), .B2(
+        n69706), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N73) );
+  sky130_fd_sc_hd__a22o_1 U88306 ( .A1(n85615), .A2(n86393), .B1(n69704), .B2(
+        n69706), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N72) );
+  sky130_fd_sc_hd__a22o_1 U88307 ( .A1(n85616), .A2(n86393), .B1(n69705), .B2(
+        n69706), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N71) );
+  sky130_fd_sc_hd__a22o_1 U88308 ( .A1(n86393), .A2(n85617), .B1(n69707), .B2(
+        n69706), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N70) );
+  sky130_fd_sc_hd__o22ai_1 U88309 ( .A1(n69712), .A2(n69711), .B1(n69710), 
+        .B2(n69709), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N69) );
+  sky130_fd_sc_hd__nand2_1 U88310 ( .A(n69714), .B(n69713), .Y(n69723) );
+  sky130_fd_sc_hd__nor2_1 U88311 ( .A(n69718), .B(n69728), .Y(n69720) );
+  sky130_fd_sc_hd__nand2_1 U88312 ( .A(n69729), .B(n69720), .Y(n69722) );
+  sky130_fd_sc_hd__o21ai_1 U88313 ( .A1(n69718), .A2(n69734), .B1(n69717), .Y(
+        n69719) );
+  sky130_fd_sc_hd__a21oi_1 U88314 ( .A1(n69738), .A2(n69720), .B1(n69719), .Y(
+        n69721) );
+  sky130_fd_sc_hd__nand2_1 U88315 ( .A(n69726), .B(n69725), .Y(n69742) );
+  sky130_fd_sc_hd__nand2_1 U88316 ( .A(n69727), .B(n69731), .Y(n69735) );
+  sky130_fd_sc_hd__nor2_1 U88317 ( .A(n69735), .B(n69728), .Y(n69737) );
+  sky130_fd_sc_hd__nand2_1 U88318 ( .A(n69729), .B(n69737), .Y(n69741) );
+  sky130_fd_sc_hd__a21oi_1 U88319 ( .A1(n69732), .A2(n69731), .B1(n69730), .Y(
+        n69733) );
+  sky130_fd_sc_hd__o21ai_1 U88320 ( .A1(n69735), .A2(n69734), .B1(n69733), .Y(
+        n69736) );
+  sky130_fd_sc_hd__a21oi_1 U88321 ( .A1(n69738), .A2(n69737), .B1(n69736), .Y(
+        n69739) );
+  sky130_fd_sc_hd__a22oi_1 U88322 ( .A1(n64393), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[350]), .B1(n64392), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[798]), .Y(n69749) );
+  sky130_fd_sc_hd__a22oi_1 U88323 ( .A1(n64375), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[286]), .B1(n66620), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[926]), .Y(n69748) );
+  sky130_fd_sc_hd__a22oi_1 U88324 ( .A1(n64377), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[670]), .B1(n64261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[894]), .Y(n69747) );
+  sky130_fd_sc_hd__a22oi_1 U88325 ( .A1(n64374), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[318]), .B1(n64378), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[478]), .Y(n69746) );
+  sky130_fd_sc_hd__nand4_1 U88326 ( .A(n69749), .B(n69748), .C(n69747), .D(
+        n69746), .Y(n69764) );
+  sky130_fd_sc_hd__a22oi_1 U88327 ( .A1(n64385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[702]), .B1(n66525), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[830]), .Y(n69753) );
+  sky130_fd_sc_hd__a22oi_1 U88328 ( .A1(n64379), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[126]), .B1(n64376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[414]), .Y(n69752) );
+  sky130_fd_sc_hd__a22oi_1 U88329 ( .A1(n63012), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[190]), .B1(n64386), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[254]), .Y(n69751) );
+  sky130_fd_sc_hd__a22oi_1 U88330 ( .A1(n64262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[222]), .B1(n64263), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[638]), .Y(n69750) );
+  sky130_fd_sc_hd__nand4_1 U88331 ( .A(n69753), .B(n69752), .C(n69751), .D(
+        n69750), .Y(n69763) );
+  sky130_fd_sc_hd__a22oi_1 U88332 ( .A1(n64396), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[734]), .B1(n70101), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[766]), .Y(n69757) );
+  sky130_fd_sc_hd__a22oi_1 U88333 ( .A1(n64395), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[382]), .B1(n66629), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[862]), .Y(n69756) );
+  sky130_fd_sc_hd__a22oi_1 U88334 ( .A1(n64394), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[158]), .B1(n37076), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[958]), .Y(n69755) );
+  sky130_fd_sc_hd__a22oi_1 U88335 ( .A1(n64254), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[446]), .B1(n64442), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[990]), .Y(n69754) );
+  sky130_fd_sc_hd__nand4_1 U88336 ( .A(n69757), .B(n69756), .C(n69755), .D(
+        n69754), .Y(n69761) );
+  sky130_fd_sc_hd__a22oi_1 U88337 ( .A1(n64384), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[542]), .B1(n64403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[574]), .Y(n69760) );
+  sky130_fd_sc_hd__a22oi_1 U88338 ( .A1(n70107), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[62]), .B1(n70059), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[606]), .Y(n69759) );
+  sky130_fd_sc_hd__a22oi_1 U88339 ( .A1(n69311), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[30]), .B1(n70058), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[510]), .Y(n69758) );
+  sky130_fd_sc_hd__nand4b_1 U88340 ( .A_N(n69761), .B(n69760), .C(n69759), .D(
+        n69758), .Y(n69762) );
+  sky130_fd_sc_hd__nor3_1 U88341 ( .A(n69764), .B(n69763), .C(n69762), .Y(
+        n69767) );
+  sky130_fd_sc_hd__o22ai_1 U88342 ( .A1(n69767), .A2(n70071), .B1(n69766), 
+        .B2(n70115), .Y(n69768) );
+  sky130_fd_sc_hd__a21oi_1 U88343 ( .A1(n70119), .A2(n85618), .B1(n69768), .Y(
+        n69769) );
+  sky130_fd_sc_hd__o21ai_1 U88344 ( .A1(n70122), .A2(n69770), .B1(n69769), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N585) );
+  sky130_fd_sc_hd__ha_1 U88345 ( .A(n69772), .B(n69771), .COUT(n69788), .SUM(
+        n65678) );
+  sky130_fd_sc_hd__nand2_1 U88346 ( .A(n69774), .B(n69929), .Y(n69785) );
+  sky130_fd_sc_hd__a22oi_1 U88347 ( .A1(n69931), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[29]), .B1(n69934), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[30]), .Y(n69784) );
+  sky130_fd_sc_hd__nand2_1 U88348 ( .A(n69777), .B(n69776), .Y(n69778) );
+  sky130_fd_sc_hd__xor2_1 U88349 ( .A(n69779), .B(n69778), .X(n69780) );
+  sky130_fd_sc_hd__nand2_1 U88350 ( .A(n69780), .B(n69796), .Y(n69783) );
+  sky130_fd_sc_hd__nand2_1 U88351 ( .A(n69781), .B(n86393), .Y(n69782) );
+  sky130_fd_sc_hd__nand4_1 U88352 ( .A(n69785), .B(n69784), .C(n69783), .D(
+        n69782), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N136) );
+  sky130_fd_sc_hd__ha_1 U88353 ( .A(n69788), .B(n69787), .COUT(n69789), .SUM(
+        n69774) );
+  sky130_fd_sc_hd__xor2_1 U88354 ( .A(n69790), .B(n69789), .X(n69791) );
+  sky130_fd_sc_hd__nand2_1 U88355 ( .A(n69791), .B(n69929), .Y(n69800) );
+  sky130_fd_sc_hd__a22oi_1 U88356 ( .A1(n69931), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[30]), .B1(n70126), .B2(n86393), .Y(n69799) );
+  sky130_fd_sc_hd__nand2_1 U88357 ( .A(n69793), .B(n69792), .Y(n69795) );
+  sky130_fd_sc_hd__xnor2_1 U88358 ( .A(n69795), .B(n69794), .Y(n69797) );
+  sky130_fd_sc_hd__nand2_1 U88359 ( .A(n69797), .B(n69796), .Y(n69798) );
+  sky130_fd_sc_hd__nand3_1 U88360 ( .A(n69800), .B(n69799), .C(n69798), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N137) );
+  sky130_fd_sc_hd__a22oi_1 U88361 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[543]), .A2(n70221), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[287]), .B2(n70245), .Y(n69804) );
+  sky130_fd_sc_hd__a22oi_1 U88362 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[895]), .A2(n64638), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[479]), .B2(n70235), .Y(n69803) );
+  sky130_fd_sc_hd__a22oi_1 U88363 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[383]), .A2(n70222), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[607]), .B2(n70236), .Y(n69802) );
+  sky130_fd_sc_hd__nand2_1 U88364 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[447]), .B(n70243), .Y(n69801) );
+  sky130_fd_sc_hd__nand4_1 U88365 ( .A(n69804), .B(n69803), .C(n69802), .D(
+        n69801), .Y(n69820) );
+  sky130_fd_sc_hd__a22oi_1 U88366 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[319]), .A2(n70230), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[767]), .B2(n64330), .Y(n69808) );
+  sky130_fd_sc_hd__a22oi_1 U88367 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[511]), .A2(n70211), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[959]), .B2(n64326), .Y(n69807) );
+  sky130_fd_sc_hd__a22oi_1 U88368 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[127]), .A2(n68781), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[671]), .B2(n70212), .Y(n69806) );
+  sky130_fd_sc_hd__a22oi_1 U88369 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[575]), .A2(n70215), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[415]), .B2(n70237), .Y(n69805) );
+  sky130_fd_sc_hd__nand4_1 U88370 ( .A(n69808), .B(n69807), .C(n69806), .D(
+        n69805), .Y(n69819) );
+  sky130_fd_sc_hd__a22oi_1 U88371 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[191]), .A2(n70229), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[639]), .B2(n70213), .Y(n69812) );
+  sky130_fd_sc_hd__a22oi_1 U88372 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[991]), .A2(n70244), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[63]), .B2(n70231), .Y(n69811) );
+  sky130_fd_sc_hd__a22oi_1 U88373 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[351]), .A2(n70214), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[863]), .B2(n70238), .Y(n69810) );
+  sky130_fd_sc_hd__a22oi_1 U88374 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[95]), .A2(n70246), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[703]), .B2(n70224), .Y(n69809) );
+  sky130_fd_sc_hd__nand4_1 U88375 ( .A(n69812), .B(n69811), .C(n69810), .D(
+        n69809), .Y(n69818) );
+  sky130_fd_sc_hd__a22oi_1 U88376 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[31]), .A2(n70223), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[255]), .B2(n70152), .Y(n69816) );
+  sky130_fd_sc_hd__a22oi_1 U88377 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[799]), .A2(n70234), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[159]), .B2(n70150), .Y(n69815) );
+  sky130_fd_sc_hd__a22oi_1 U88378 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[927]), .A2(n64325), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[735]), .B2(n70216), .Y(n69814) );
+  sky130_fd_sc_hd__a22oi_1 U88379 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[831]), .A2(n64319), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[223]), .B2(n69952), .Y(n69813) );
+  sky130_fd_sc_hd__nand4_1 U88380 ( .A(n69816), .B(n69815), .C(n69814), .D(
+        n69813), .Y(n69817) );
+  sky130_fd_sc_hd__nor4_1 U88381 ( .A(n69820), .B(n69819), .C(n69818), .D(
+        n69817), .Y(n69822) );
+  sky130_fd_sc_hd__nand2_1 U88382 ( .A(n65334), .B(n85625), .Y(n69821) );
+  sky130_fd_sc_hd__o21ai_1 U88383 ( .A1(n65334), .A2(n69822), .B1(n69821), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[31]) );
+  sky130_fd_sc_hd__a22oi_1 U88384 ( .A1(n69824), .A2(n70273), .B1(n70272), 
+        .B2(n69823), .Y(n69834) );
+  sky130_fd_sc_hd__a21oi_1 U88385 ( .A1(n69825), .A2(n70276), .B1(n70275), .Y(
+        n69833) );
+  sky130_fd_sc_hd__o22ai_1 U88386 ( .A1(n69837), .A2(n70279), .B1(n70084), 
+        .B2(n70278), .Y(n69827) );
+  sky130_fd_sc_hd__o22ai_1 U88387 ( .A1(n72139), .A2(n70280), .B1(n70304), 
+        .B2(n70282), .Y(n69826) );
+  sky130_fd_sc_hd__o21ai_1 U88388 ( .A1(n69827), .A2(n69826), .B1(n70284), .Y(
+        n69832) );
+  sky130_fd_sc_hd__o22ai_1 U88389 ( .A1(n69830), .A2(n70295), .B1(n69829), 
+        .B2(n69828), .Y(n69831) );
+  sky130_fd_sc_hd__a31oi_1 U88390 ( .A1(n69834), .A2(n69833), .A3(n69832), 
+        .B1(n69831), .Y(n70092) );
+  sky130_fd_sc_hd__xor2_1 U88391 ( .A(n69836), .B(n69835), .X(n72531) );
+  sky130_fd_sc_hd__nand3_1 U88392 ( .A(n69838), .B(n69837), .C(n70305), .Y(
+        n69840) );
+  sky130_fd_sc_hd__nand3_1 U88393 ( .A(n70089), .B(n72149), .C(n70088), .Y(
+        n69839) );
+  sky130_fd_sc_hd__o211ai_1 U88394 ( .A1(n70301), .A2(n72531), .B1(n69840), 
+        .C1(n69839), .Y(n69841) );
+  sky130_fd_sc_hd__a21oi_1 U88395 ( .A1(n85619), .A2(n70187), .B1(n69841), .Y(
+        n69848) );
+  sky130_fd_sc_hd__a21oi_1 U88396 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[1]), .A2(n70084), .B1(n69842), .Y(n69843) );
+  sky130_fd_sc_hd__nand2_1 U88397 ( .A(n72561), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[2]), .Y(n69847) );
+  sky130_fd_sc_hd__o211ai_1 U88398 ( .A1(n72147), .A2(n70092), .B1(n69848), 
+        .C1(n69847), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[0]) );
+  sky130_fd_sc_hd__xor2_1 U88399 ( .A(n70281), .B(n69849), .X(n72560) );
+  sky130_fd_sc_hd__nand2_1 U88400 ( .A(n72560), .B(n72144), .Y(n69850) );
+  sky130_fd_sc_hd__o31ai_1 U88401 ( .A1(n69852), .A2(n69851), .A3(n72141), 
+        .B1(n69850), .Y(n69853) );
+  sky130_fd_sc_hd__a21oi_1 U88402 ( .A1(n69854), .A2(n72149), .B1(n69853), .Y(
+        n69856) );
+  sky130_fd_sc_hd__nand2_1 U88403 ( .A(n85774), .B(n70187), .Y(n69855) );
+  sky130_fd_sc_hd__o211ai_1 U88404 ( .A1(n69857), .A2(n72147), .B1(n69856), 
+        .C1(n69855), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[2]) );
+  sky130_fd_sc_hd__mux2_2 U88405 ( .A0(n69858), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[2]), .S(n70191), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_int_wdata[2]) );
+  sky130_fd_sc_hd__a22oi_1 U88406 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[706]), .A2(n70216), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[450]), .B2(n70235), .Y(n69862) );
+  sky130_fd_sc_hd__a22oi_1 U88407 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[514]), .A2(n70221), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[130]), .B2(n70150), .Y(n69861) );
+  sky130_fd_sc_hd__a22oi_1 U88408 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[866]), .A2(n64638), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[962]), .B2(n70244), .Y(n69860) );
+  sky130_fd_sc_hd__a22oi_1 U88409 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[738]), .A2(n64330), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[66]), .B2(n70246), .Y(n69859) );
+  sky130_fd_sc_hd__nand4_1 U88410 ( .A(n69862), .B(n69861), .C(n69860), .D(
+        n69859), .Y(n69878) );
+  sky130_fd_sc_hd__a22oi_1 U88411 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[674]), .A2(n70224), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[34]), .B2(n70231), .Y(n69866) );
+  sky130_fd_sc_hd__a22oi_1 U88412 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[834]), .A2(n70238), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[802]), .B2(n64319), .Y(n69865) );
+  sky130_fd_sc_hd__a22oi_1 U88413 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[546]), .A2(n70215), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[290]), .B2(n70230), .Y(n69864) );
+  sky130_fd_sc_hd__a22oi_1 U88414 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[930]), .A2(n64326), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[226]), .B2(n70152), .Y(n69863) );
+  sky130_fd_sc_hd__nand4_1 U88415 ( .A(n69866), .B(n69865), .C(n69864), .D(
+        n69863), .Y(n69877) );
+  sky130_fd_sc_hd__a22oi_1 U88416 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[770]), .A2(n70234), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[642]), .B2(n70212), .Y(n69870) );
+  sky130_fd_sc_hd__a22oi_1 U88417 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[610]), .A2(n70213), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[354]), .B2(n70222), .Y(n69869) );
+  sky130_fd_sc_hd__a22oi_1 U88418 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[418]), .A2(n70243), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[322]), .B2(n70214), .Y(n69868) );
+  sky130_fd_sc_hd__nand2_1 U88419 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[98]), .B(n68781), .Y(n69867) );
+  sky130_fd_sc_hd__nand4_1 U88420 ( .A(n69870), .B(n69869), .C(n69868), .D(
+        n69867), .Y(n69876) );
+  sky130_fd_sc_hd__a22oi_1 U88421 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[2]), .A2(n70223), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[578]), .B2(n70236), .Y(n69874) );
+  sky130_fd_sc_hd__a22oi_1 U88422 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[482]), .A2(n70211), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[162]), .B2(n70229), .Y(n69873) );
+  sky130_fd_sc_hd__a22oi_1 U88423 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[258]), .A2(n70245), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[194]), .B2(n69952), .Y(n69872) );
+  sky130_fd_sc_hd__a22oi_1 U88424 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[386]), .A2(n70237), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[898]), .B2(n64325), .Y(n69871) );
+  sky130_fd_sc_hd__nand4_1 U88425 ( .A(n69874), .B(n69873), .C(n69872), .D(
+        n69871), .Y(n69875) );
+  sky130_fd_sc_hd__nor4_1 U88426 ( .A(n69878), .B(n69877), .C(n69876), .D(
+        n69875), .Y(n69880) );
+  sky130_fd_sc_hd__nand2_1 U88427 ( .A(n65334), .B(n85620), .Y(n69879) );
+  sky130_fd_sc_hd__o21ai_1 U88428 ( .A1(n65334), .A2(n69880), .B1(n69879), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[2]) );
+  sky130_fd_sc_hd__xor2_1 U88429 ( .A(n69882), .B(n69881), .X(n72528) );
+  sky130_fd_sc_hd__nand2_1 U88430 ( .A(n72528), .B(n72144), .Y(n69883) );
+  sky130_fd_sc_hd__o31ai_1 U88431 ( .A1(n69885), .A2(n69884), .A3(n72141), 
+        .B1(n69883), .Y(n69886) );
+  sky130_fd_sc_hd__a21oi_1 U88432 ( .A1(n69887), .A2(n70309), .B1(n69886), .Y(
+        n69889) );
+  sky130_fd_sc_hd__nand2_1 U88433 ( .A(n85775), .B(n70187), .Y(n69888) );
+  sky130_fd_sc_hd__o211ai_1 U88434 ( .A1(n69890), .A2(n70307), .B1(n69889), 
+        .C1(n69888), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[3]) );
+  sky130_fd_sc_hd__mux2_2 U88435 ( .A0(n69891), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[3]), .S(n70191), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_int_wdata[3]) );
+  sky130_fd_sc_hd__a22oi_1 U88436 ( .A1(n64261), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[867]), .B1(n64376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[387]), .Y(n69895) );
+  sky130_fd_sc_hd__a22oi_1 U88437 ( .A1(n64263), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[611]), .B1(n66525), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[803]), .Y(n69894) );
+  sky130_fd_sc_hd__a22oi_1 U88438 ( .A1(n64379), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[99]), .B1(n64262), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[195]), .Y(n69893) );
+  sky130_fd_sc_hd__a22oi_1 U88439 ( .A1(n64385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[675]), .B1(n64386), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[227]), .Y(n69892) );
+  sky130_fd_sc_hd__nand4_1 U88440 ( .A(n69895), .B(n69894), .C(n69893), .D(
+        n69892), .Y(n69910) );
+  sky130_fd_sc_hd__a22oi_1 U88441 ( .A1(n64254), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[419]), .B1(n64392), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[771]), .Y(n69899) );
+  sky130_fd_sc_hd__a22oi_1 U88442 ( .A1(n64393), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[323]), .B1(n66620), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[899]), .Y(n69898) );
+  sky130_fd_sc_hd__a22oi_1 U88443 ( .A1(n64377), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[643]), .B1(n64378), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[451]), .Y(n69897) );
+  sky130_fd_sc_hd__a22oi_1 U88444 ( .A1(n64374), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[291]), .B1(n64375), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[259]), .Y(n69896) );
+  sky130_fd_sc_hd__nand4_1 U88445 ( .A(n69899), .B(n69898), .C(n69897), .D(
+        n69896), .Y(n69909) );
+  sky130_fd_sc_hd__a22oi_1 U88446 ( .A1(n64384), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[515]), .B1(n64403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[547]), .Y(n69901) );
+  sky130_fd_sc_hd__a22oi_1 U88447 ( .A1(n70107), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[35]), .B1(n70059), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[579]), .Y(n69900) );
+  sky130_fd_sc_hd__nand2_1 U88448 ( .A(n69901), .B(n69900), .Y(n69908) );
+  sky130_fd_sc_hd__a22o_1 U88449 ( .A1(n64394), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[131]), .B1(n37076), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[931]), .X(n69902) );
+  sky130_fd_sc_hd__a21oi_1 U88450 ( .A1(n63012), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[163]), .B1(n69902), .Y(n69906) );
+  sky130_fd_sc_hd__a22oi_1 U88451 ( .A1(n69311), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[3]), .B1(n70058), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[483]), .Y(n69905) );
+  sky130_fd_sc_hd__a22oi_1 U88452 ( .A1(n64395), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[355]), .B1(n66629), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[835]), .Y(n69904) );
+  sky130_fd_sc_hd__a22oi_1 U88453 ( .A1(n64396), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[707]), .B1(n64442), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[963]), .Y(n69903) );
+  sky130_fd_sc_hd__nand4_1 U88454 ( .A(n69906), .B(n69905), .C(n69904), .D(
+        n69903), .Y(n69907) );
+  sky130_fd_sc_hd__nor4_1 U88455 ( .A(n69910), .B(n69909), .C(n69908), .D(
+        n69907), .Y(n69912) );
+  sky130_fd_sc_hd__o22ai_1 U88456 ( .A1(n69912), .A2(n70071), .B1(n69911), 
+        .B2(n70115), .Y(n69913) );
+  sky130_fd_sc_hd__a21oi_1 U88457 ( .A1(n70119), .A2(n85621), .B1(n69913), .Y(
+        n69916) );
+  sky130_fd_sc_hd__a22oi_1 U88458 ( .A1(n69914), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[739]), .B1(n70082), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[67]), .Y(n69915) );
+  sky130_fd_sc_hd__nand2_1 U88459 ( .A(n69916), .B(n69915), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N558) );
+  sky130_fd_sc_hd__nor2_1 U88460 ( .A(n69918), .B(n69917), .Y(n69919) );
+  sky130_fd_sc_hd__xnor2_1 U88461 ( .A(n69920), .B(n69919), .Y(n69921) );
+  sky130_fd_sc_hd__nand2_1 U88462 ( .A(n69921), .B(n69929), .Y(n69926) );
+  sky130_fd_sc_hd__a22oi_1 U88463 ( .A1(n69932), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[11]), .B1(n69931), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[2]), .Y(n69925) );
+  sky130_fd_sc_hd__nand2_1 U88464 ( .A(n69922), .B(n86393), .Y(n69924) );
+  sky130_fd_sc_hd__nand2_1 U88465 ( .A(n69934), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[3]), .Y(n69923) );
+  sky130_fd_sc_hd__nand4_1 U88466 ( .A(n69926), .B(n69925), .C(n69924), .D(
+        n69923), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N109) );
+  sky130_fd_sc_hd__xnor2_1 U88467 ( .A(n69928), .B(n69927), .Y(n69930) );
+  sky130_fd_sc_hd__nand2_1 U88468 ( .A(n69930), .B(n69929), .Y(n69938) );
+  sky130_fd_sc_hd__a22oi_1 U88469 ( .A1(n69932), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[12]), .B1(n69931), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[3]), .Y(n69937) );
+  sky130_fd_sc_hd__nand2_1 U88470 ( .A(n69933), .B(n86393), .Y(n69936) );
+  sky130_fd_sc_hd__nand2_1 U88471 ( .A(n69934), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__ex_rs_T_6[4]), .Y(n69935) );
+  sky130_fd_sc_hd__nand4_1 U88472 ( .A(n69938), .B(n69937), .C(n69936), .D(
+        n69935), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N110) );
+  sky130_fd_sc_hd__a22oi_1 U88473 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[580]), .A2(n70236), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[100]), .B2(n68781), .Y(n69942) );
+  sky130_fd_sc_hd__a22oi_1 U88474 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[4]), .A2(n70223), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[516]), .B2(n70221), .Y(n69941) );
+  sky130_fd_sc_hd__a22oi_1 U88475 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[836]), .A2(n70238), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[356]), .B2(n70222), .Y(n69940) );
+  sky130_fd_sc_hd__a22oi_1 U88476 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[900]), .A2(n64325), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[36]), .B2(n70231), .Y(n69939) );
+  sky130_fd_sc_hd__nand4_1 U88477 ( .A(n69942), .B(n69941), .C(n69940), .D(
+        n69939), .Y(n69960) );
+  sky130_fd_sc_hd__a22oi_1 U88478 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[804]), .A2(n64319), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[964]), .B2(n69943), .Y(n69947) );
+  sky130_fd_sc_hd__a22oi_1 U88479 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[932]), .A2(n64326), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[324]), .B2(n70214), .Y(n69946) );
+  sky130_fd_sc_hd__a22oi_1 U88480 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[164]), .A2(n70229), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[772]), .B2(n70234), .Y(n69945) );
+  sky130_fd_sc_hd__a22oi_1 U88481 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[676]), .A2(n70224), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[260]), .B2(n70245), .Y(n69944) );
+  sky130_fd_sc_hd__nand4_1 U88482 ( .A(n69947), .B(n69946), .C(n69945), .D(
+        n69944), .Y(n69959) );
+  sky130_fd_sc_hd__a22oi_1 U88483 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[292]), .A2(n70230), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[644]), .B2(n70212), .Y(n69951) );
+  sky130_fd_sc_hd__a22oi_1 U88484 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[228]), .A2(n70152), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[868]), .B2(n64638), .Y(n69950) );
+  sky130_fd_sc_hd__a22oi_1 U88485 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[420]), .A2(n70243), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[452]), .B2(n70235), .Y(n69949) );
+  sky130_fd_sc_hd__nand2_1 U88486 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[708]), .B(n70216), .Y(n69948) );
+  sky130_fd_sc_hd__nand4_1 U88487 ( .A(n69951), .B(n69950), .C(n69949), .D(
+        n69948), .Y(n69958) );
+  sky130_fd_sc_hd__a22oi_1 U88488 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[388]), .A2(n70237), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[740]), .B2(n64330), .Y(n69956) );
+  sky130_fd_sc_hd__a22oi_1 U88489 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[612]), .A2(n70213), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[68]), .B2(n70246), .Y(n69955) );
+  sky130_fd_sc_hd__a22oi_1 U88490 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[484]), .A2(n70211), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[132]), .B2(n70150), .Y(n69954) );
+  sky130_fd_sc_hd__a22oi_1 U88491 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[196]), .A2(n69952), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[548]), .B2(n70215), .Y(n69953) );
+  sky130_fd_sc_hd__nand4_1 U88492 ( .A(n69956), .B(n69955), .C(n69954), .D(
+        n69953), .Y(n69957) );
+  sky130_fd_sc_hd__nor4_1 U88493 ( .A(n69960), .B(n69959), .C(n69958), .D(
+        n69957), .Y(n69962) );
+  sky130_fd_sc_hd__nand2_1 U88494 ( .A(n65334), .B(n85622), .Y(n69961) );
+  sky130_fd_sc_hd__o21ai_1 U88495 ( .A1(n65334), .A2(n69962), .B1(n69961), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[4]) );
+  sky130_fd_sc_hd__xnor2_1 U88496 ( .A(n69964), .B(n69963), .Y(n72530) );
+  sky130_fd_sc_hd__nand3_1 U88497 ( .A(n70270), .B(n70305), .C(n69964), .Y(
+        n69965) );
+  sky130_fd_sc_hd__o21ai_1 U88498 ( .A1(n70301), .A2(n72530), .B1(n69965), .Y(
+        n69966) );
+  sky130_fd_sc_hd__a21oi_1 U88499 ( .A1(n69967), .A2(n70309), .B1(n69966), .Y(
+        n69969) );
+  sky130_fd_sc_hd__nand2_1 U88500 ( .A(n85776), .B(n70187), .Y(n69968) );
+  sky130_fd_sc_hd__o211ai_1 U88501 ( .A1(n69970), .A2(n70307), .B1(n69969), 
+        .C1(n69968), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[4]) );
+  sky130_fd_sc_hd__mux2_2 U88502 ( .A0(n69971), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[4]), .S(n70191), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_int_wdata[4]) );
+  sky130_fd_sc_hd__a22oi_1 U88503 ( .A1(n85751), .A2(n75874), .B1(n38422), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[4]), .Y(n69972) );
+  sky130_fd_sc_hd__o21ai_1 U88504 ( .A1(n69973), .A2(n72156), .B1(n69972), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1147) );
+  sky130_fd_sc_hd__nand2_1 U88505 ( .A(n69974), .B(n59913), .Y(n76343) );
+  sky130_fd_sc_hd__nor2_1 U88506 ( .A(n78498), .B(n78557), .Y(n78491) );
+  sky130_fd_sc_hd__o22ai_1 U88507 ( .A1(n69995), .A2(n76343), .B1(n69975), 
+        .B2(n78494), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1083) );
+  sky130_fd_sc_hd__a22oi_1 U88508 ( .A1(n85751), .A2(n64978), .B1(n73182), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[4]), .Y(n69976) );
+  sky130_fd_sc_hd__o21ai_1 U88509 ( .A1(n69977), .A2(n70397), .B1(n69976), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N791) );
+  sky130_fd_sc_hd__o21ai_1 U88510 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[4]), .A2(n78539), .B1(n70413), .Y(n69979) );
+  sky130_fd_sc_hd__o22ai_1 U88512 ( .A1(n69979), .A2(n78534), .B1(n36581), 
+        .B2(n69995), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1446) );
+  sky130_fd_sc_hd__nor2_1 U88513 ( .A(n69981), .B(n70144), .Y(n70339) );
+  sky130_fd_sc_hd__nor2_1 U88514 ( .A(n69982), .B(n70345), .Y(n70331) );
+  sky130_fd_sc_hd__xnor2_1 U88515 ( .A(n69983), .B(n70331), .Y(n69984) );
+  sky130_fd_sc_hd__a22oi_1 U88516 ( .A1(n70369), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[36]), .B1(n69984), .B2(n70374), .Y(n69985) );
+  sky130_fd_sc_hd__o21ai_1 U88517 ( .A1(n86301), .A2(n69995), .B1(n69985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1277) );
+  sky130_fd_sc_hd__nor2_1 U88518 ( .A(n69987), .B(n70130), .Y(n70442) );
+  sky130_fd_sc_hd__nor2_1 U88519 ( .A(n69988), .B(n70448), .Y(n70404) );
+  sky130_fd_sc_hd__xnor2_1 U88520 ( .A(n69989), .B(n70404), .Y(n69990) );
+  sky130_fd_sc_hd__a22oi_1 U88521 ( .A1(n70469), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[36]), .B1(n69990), .B2(n70471), .Y(n69991) );
+  sky130_fd_sc_hd__o21ai_0 U88522 ( .A1(n36580), .A2(n69995), .B1(n69991), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1540) );
+  sky130_fd_sc_hd__o211ai_1 U88523 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[4]), .A2(n78548), .B1(n78544), .C1(n69992), .Y(n69993) );
+  sky130_fd_sc_hd__o21ai_0 U88524 ( .A1(n69995), .A2(n36576), .B1(n69993), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1183) );
+  sky130_fd_sc_hd__a22oi_1 U88525 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[4]), .A2(n78526), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[4]), .B2(n78527), .Y(n69994) );
+  sky130_fd_sc_hd__o21ai_1 U88526 ( .A1(n78529), .A2(n69995), .B1(n69994), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N421) );
+  sky130_fd_sc_hd__nor2_1 U88527 ( .A(n69997), .B(n69996), .Y(n69998) );
+  sky130_fd_sc_hd__xnor2_1 U88528 ( .A(n69999), .B(n69998), .Y(n70000) );
+  sky130_fd_sc_hd__a22oi_1 U88529 ( .A1(n70471), .A2(n70000), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[43]), .Y(n70001) );
+  sky130_fd_sc_hd__o21ai_0 U88530 ( .A1(n36580), .A2(n70025), .B1(n70001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1547) );
+  sky130_fd_sc_hd__a222oi_1 U88531 ( .A1(n73185), .A2(n85794), .B1(n78500), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[11]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[11]), .C2(n78499), .Y(n70002) );
+  sky130_fd_sc_hd__nor2_1 U88532 ( .A(n70004), .B(n70003), .Y(n70005) );
+  sky130_fd_sc_hd__xnor2_1 U88533 ( .A(n70006), .B(n70005), .Y(n70007) );
+  sky130_fd_sc_hd__a22oi_1 U88534 ( .A1(n70471), .A2(n70007), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[11]), .Y(n70008) );
+  sky130_fd_sc_hd__nor2_1 U88536 ( .A(n70011), .B(n70010), .Y(n70012) );
+  sky130_fd_sc_hd__xnor2_1 U88537 ( .A(n70013), .B(n70012), .Y(n70014) );
+  sky130_fd_sc_hd__a22oi_1 U88538 ( .A1(n70369), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[43]), .B1(n70014), .B2(n70374), .Y(n70015) );
+  sky130_fd_sc_hd__o21ai_1 U88539 ( .A1(n86301), .A2(n70025), .B1(n70015), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1284) );
+  sky130_fd_sc_hd__a22oi_1 U88540 ( .A1(n85794), .A2(n75874), .B1(n38422), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[11]), .Y(n70016) );
+  sky130_fd_sc_hd__nor2_1 U88542 ( .A(n70019), .B(n70018), .Y(n70020) );
+  sky130_fd_sc_hd__xnor2_1 U88543 ( .A(n70021), .B(n70020), .Y(n70022) );
+  sky130_fd_sc_hd__a22oi_1 U88544 ( .A1(n70374), .A2(n70022), .B1(n70369), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[11]), .Y(n70023) );
+  sky130_fd_sc_hd__o21ai_1 U88545 ( .A1(n36576), .A2(n70025), .B1(n70023), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1252) );
+  sky130_fd_sc_hd__a22oi_1 U88546 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[11]), .A2(n78526), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[11]), .B2(n78527), .Y(n70024) );
+  sky130_fd_sc_hd__o21ai_1 U88547 ( .A1(n78529), .A2(n70025), .B1(n70024), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N428) );
+  sky130_fd_sc_hd__o22ai_1 U88548 ( .A1(n72162), .A2(n70026), .B1(n76400), 
+        .B2(n70025), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N726) );
+  sky130_fd_sc_hd__nand2_1 U88549 ( .A(n70027), .B(n36846), .Y(n36572) );
+  sky130_fd_sc_hd__nor2_1 U88550 ( .A(n70029), .B(n70028), .Y(n70030) );
+  sky130_fd_sc_hd__xnor2_1 U88551 ( .A(n70031), .B(n70030), .Y(n70032) );
+  sky130_fd_sc_hd__a22oi_1 U88552 ( .A1(n70471), .A2(n70032), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[21]), .Y(n70033) );
+  sky130_fd_sc_hd__o21ai_1 U88553 ( .A1(n78537), .A2(n70034), .B1(n70033), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1525) );
+  sky130_fd_sc_hd__ha_1 U88554 ( .A(n70035), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[53]), .COUT(n64966), .SUM(n70036) );
+  sky130_fd_sc_hd__a222oi_1 U88555 ( .A1(n70337), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[53]), .B1(n85623), .B2(n70316), .C1(n70036), .C2(n70335), .Y(n70037) );
+  sky130_fd_sc_hd__a222oi_1 U88556 ( .A1(n85750), .A2(n75874), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[21]), .B2(n38422), .C1(n78486), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[21]), .Y(n70038) );
+  sky130_fd_sc_hd__nor2_1 U88557 ( .A(n70040), .B(n70039), .Y(n70041) );
+  sky130_fd_sc_hd__xnor2_1 U88558 ( .A(n70042), .B(n70041), .Y(n70043) );
+  sky130_fd_sc_hd__a22oi_1 U88559 ( .A1(n70369), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[21]), .B1(n70043), .B2(n70335), .Y(n70044) );
+  sky130_fd_sc_hd__o21ai_1 U88560 ( .A1(n36576), .A2(n70569), .B1(n70044), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1262) );
+  sky130_fd_sc_hd__a31oi_1 U88561 ( .A1(n70047), .A2(n70256), .A3(n37649), 
+        .B1(n70046), .Y(n70081) );
+  sky130_fd_sc_hd__a22oi_1 U88562 ( .A1(n64385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[672]), .B1(n66525), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[800]), .Y(n70051) );
+  sky130_fd_sc_hd__a22oi_1 U88563 ( .A1(n64379), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[96]), .B1(n64376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[384]), .Y(n70050) );
+  sky130_fd_sc_hd__a22oi_1 U88564 ( .A1(n63012), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[160]), .B1(n64386), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[224]), .Y(n70049) );
+  sky130_fd_sc_hd__a22oi_1 U88565 ( .A1(n64262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[192]), .B1(n64263), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[608]), .Y(n70048) );
+  sky130_fd_sc_hd__nand4_1 U88566 ( .A(n70051), .B(n70050), .C(n70049), .D(
+        n70048), .Y(n70057) );
+  sky130_fd_sc_hd__a22oi_1 U88567 ( .A1(n64393), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[320]), .B1(n64392), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[768]), .Y(n70055) );
+  sky130_fd_sc_hd__a22oi_1 U88568 ( .A1(n64375), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[256]), .B1(n66620), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[896]), .Y(n70054) );
+  sky130_fd_sc_hd__a22oi_1 U88569 ( .A1(n64377), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[640]), .B1(n64261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[864]), .Y(n70053) );
+  sky130_fd_sc_hd__a22oi_1 U88570 ( .A1(n64374), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[288]), .B1(n64378), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[448]), .Y(n70052) );
+  sky130_fd_sc_hd__nand4_1 U88571 ( .A(n70055), .B(n70054), .C(n70053), .D(
+        n70052), .Y(n70056) );
+  sky130_fd_sc_hd__nor2_1 U88572 ( .A(n70057), .B(n70056), .Y(n70073) );
+  sky130_fd_sc_hd__a22o_1 U88573 ( .A1(n69311), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[0]), .B1(n70058), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[480]), .X(n70070) );
+  sky130_fd_sc_hd__nand2_1 U88574 ( .A(n64384), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[512]), .Y(n70063) );
+  sky130_fd_sc_hd__nand2_1 U88575 ( .A(n64403), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[544]), .Y(n70062) );
+  sky130_fd_sc_hd__nand2_1 U88576 ( .A(n70107), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[32]), .Y(n70061) );
+  sky130_fd_sc_hd__nand2_1 U88577 ( .A(n70059), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[576]), .Y(n70060) );
+  sky130_fd_sc_hd__nand4_1 U88578 ( .A(n70063), .B(n70062), .C(n70061), .D(
+        n70060), .Y(n70069) );
+  sky130_fd_sc_hd__a22oi_1 U88579 ( .A1(n64396), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[704]), .B1(n70101), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[736]), .Y(n70067) );
+  sky130_fd_sc_hd__a22oi_1 U88580 ( .A1(n64395), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[352]), .B1(n66629), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[832]), .Y(n70066) );
+  sky130_fd_sc_hd__a22oi_1 U88581 ( .A1(n64394), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[128]), .B1(n37076), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[928]), .Y(n70065) );
+  sky130_fd_sc_hd__a22oi_1 U88582 ( .A1(n64254), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[416]), .B1(n64442), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[960]), .Y(n70064) );
+  sky130_fd_sc_hd__nand4_1 U88583 ( .A(n70067), .B(n70066), .C(n70065), .D(
+        n70064), .Y(n70068) );
+  sky130_fd_sc_hd__nor3_1 U88584 ( .A(n70070), .B(n70069), .C(n70068), .Y(
+        n70072) );
+  sky130_fd_sc_hd__a21oi_1 U88585 ( .A1(n70073), .A2(n70072), .B1(n70071), .Y(
+        n70074) );
+  sky130_fd_sc_hd__a21oi_1 U88586 ( .A1(n70076), .A2(n70075), .B1(n70074), .Y(
+        n70077) );
+  sky130_fd_sc_hd__o21ai_1 U88587 ( .A1(n70079), .A2(n70078), .B1(n70077), .Y(
+        n70080) );
+  sky130_fd_sc_hd__xnor2_1 U88588 ( .A(n70084), .B(n70083), .Y(n72546) );
+  sky130_fd_sc_hd__nand3_1 U88589 ( .A(n70085), .B(n70305), .C(n70084), .Y(
+        n70086) );
+  sky130_fd_sc_hd__o21ai_1 U88590 ( .A1(n70301), .A2(n72546), .B1(n70086), .Y(
+        n70087) );
+  sky130_fd_sc_hd__a31oi_1 U88591 ( .A1(n70309), .A2(n70089), .A3(n70088), 
+        .B1(n70087), .Y(n70091) );
+  sky130_fd_sc_hd__nand2_1 U88592 ( .A(n85624), .B(n70187), .Y(n70090) );
+  sky130_fd_sc_hd__o211ai_1 U88593 ( .A1(n70307), .A2(n70092), .B1(n70091), 
+        .C1(n70090), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[31]) );
+  sky130_fd_sc_hd__a22oi_1 U88594 ( .A1(n64393), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[351]), .B1(n64392), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[799]), .Y(n70096) );
+  sky130_fd_sc_hd__a22oi_1 U88595 ( .A1(n64375), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[287]), .B1(n66620), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[927]), .Y(n70095) );
+  sky130_fd_sc_hd__a22oi_1 U88596 ( .A1(n64377), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[671]), .B1(n64261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[895]), .Y(n70094) );
+  sky130_fd_sc_hd__a22oi_1 U88597 ( .A1(n64374), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[319]), .B1(n64378), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[479]), .Y(n70093) );
+  sky130_fd_sc_hd__nand4_1 U88598 ( .A(n70096), .B(n70095), .C(n70094), .D(
+        n70093), .Y(n70114) );
+  sky130_fd_sc_hd__a22oi_1 U88599 ( .A1(n64385), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[703]), .B1(n66525), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[831]), .Y(n70100) );
+  sky130_fd_sc_hd__a22oi_1 U88600 ( .A1(n64379), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[127]), .B1(n64376), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[415]), .Y(n70099) );
+  sky130_fd_sc_hd__a22oi_1 U88601 ( .A1(n63012), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[191]), .B1(n64386), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[255]), .Y(n70098) );
+  sky130_fd_sc_hd__a22oi_1 U88602 ( .A1(n64262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[223]), .B1(n64263), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[639]), .Y(n70097) );
+  sky130_fd_sc_hd__nand4_1 U88603 ( .A(n70100), .B(n70099), .C(n70098), .D(
+        n70097), .Y(n70113) );
+  sky130_fd_sc_hd__a22oi_1 U88604 ( .A1(n64396), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[735]), .B1(n70101), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[767]), .Y(n70106) );
+  sky130_fd_sc_hd__a22oi_1 U88605 ( .A1(n64395), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[383]), .B1(n66629), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[863]), .Y(n70105) );
+  sky130_fd_sc_hd__a22oi_1 U88606 ( .A1(n64394), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[159]), .B1(n37076), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[959]), .Y(n70104) );
+  sky130_fd_sc_hd__a22oi_1 U88607 ( .A1(n64254), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[447]), .B1(n64442), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[991]), .Y(n70103) );
+  sky130_fd_sc_hd__nand4_1 U88608 ( .A(n70106), .B(n70105), .C(n70104), .D(
+        n70103), .Y(n70111) );
+  sky130_fd_sc_hd__a22oi_1 U88609 ( .A1(n64384), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[543]), .B1(n64403), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[575]), .Y(n70110) );
+  sky130_fd_sc_hd__a22oi_1 U88610 ( .A1(n70107), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[63]), .B1(n70059), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[607]), .Y(n70109) );
+  sky130_fd_sc_hd__a22oi_1 U88611 ( .A1(n69311), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[31]), .B1(n70058), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[511]), .Y(n70108) );
+  sky130_fd_sc_hd__nand4b_1 U88612 ( .A_N(n70111), .B(n70110), .C(n70109), .D(
+        n70108), .Y(n70112) );
+  sky130_fd_sc_hd__nor3_1 U88613 ( .A(n70114), .B(n70113), .C(n70112), .Y(
+        n70117) );
+  sky130_fd_sc_hd__o22ai_1 U88614 ( .A1(n70117), .A2(n70071), .B1(n70116), 
+        .B2(n70115), .Y(n70118) );
+  sky130_fd_sc_hd__a21oi_1 U88615 ( .A1(n70119), .A2(n85625), .B1(n70118), .Y(
+        n70120) );
+  sky130_fd_sc_hd__o21ai_1 U88616 ( .A1(n70122), .A2(n70121), .B1(n70120), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N586) );
+  sky130_fd_sc_hd__o211ai_1 U88617 ( .A1(n70126), .A2(n70125), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[2]), .C1(n70124), .Y(n70127) );
+  sky130_fd_sc_hd__nand3_1 U88618 ( .A(n70127), .B(n86393), .C(n36846), .Y(
+        n70128) );
+  sky130_fd_sc_hd__nor2_1 U88620 ( .A(n70131), .B(n70130), .Y(n70467) );
+  sky130_fd_sc_hd__nand2_1 U88621 ( .A(n70467), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[32]), .Y(n70133) );
+  sky130_fd_sc_hd__xor2_1 U88622 ( .A(n70133), .B(n70132), .X(n70134) );
+  sky130_fd_sc_hd__a22oi_1 U88623 ( .A1(n70469), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[33]), .B1(n70471), .B2(n70134), .Y(n70135) );
+  sky130_fd_sc_hd__o21ai_0 U88624 ( .A1(n36580), .A2(n76371), .B1(n70135), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1537) );
+  sky130_fd_sc_hd__a22oi_1 U88625 ( .A1(n85744), .A2(n75874), .B1(n38422), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[1]), .Y(n70136) );
+  sky130_fd_sc_hd__a222oi_1 U88627 ( .A1(n85744), .A2(n64978), .B1(n73183), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[1]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[1]), .C2(n73182), .Y(n70138) );
+  sky130_fd_sc_hd__a22oi_1 U88628 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[1]), .A2(n78526), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[1]), .B2(n78527), .Y(n70139) );
+  sky130_fd_sc_hd__o21ai_0 U88629 ( .A1(n78529), .A2(n76371), .B1(n70139), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N418) );
+  sky130_fd_sc_hd__a222oi_1 U88630 ( .A1(n85744), .A2(n73185), .B1(n78500), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[1]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[1]), .C2(n78499), .Y(n70140) );
+  sky130_fd_sc_hd__o21ai_1 U88631 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[1]), .A2(n70142), .B1(n70141), .Y(n70143) );
+  sky130_fd_sc_hd__o22ai_1 U88632 ( .A1(n78534), .A2(n70143), .B1(n36581), 
+        .B2(n76371), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1443) );
+  sky130_fd_sc_hd__nor2_1 U88633 ( .A(n70145), .B(n70144), .Y(n70348) );
+  sky130_fd_sc_hd__nand2_1 U88634 ( .A(n70348), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[32]), .Y(n70147) );
+  sky130_fd_sc_hd__xor2_1 U88635 ( .A(n70147), .B(n70146), .X(n70148) );
+  sky130_fd_sc_hd__a22oi_1 U88636 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[33]), .A2(n68555), .B1(n70148), .B2(n70335), .Y(n70149) );
+  sky130_fd_sc_hd__o21ai_1 U88637 ( .A1(n70352), .A2(n78541), .B1(n70149), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1274) );
+  sky130_fd_sc_hd__a22oi_1 U88638 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[481]), .A2(n70211), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[417]), .B2(n70243), .Y(n70171) );
+  sky130_fd_sc_hd__a22oi_1 U88639 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[129]), .A2(n70150), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[353]), .B2(n70222), .Y(n70170) );
+  sky130_fd_sc_hd__a22o_1 U88640 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[737]), .A2(n64330), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[161]), .B2(n70229), .X(n70151) );
+  sky130_fd_sc_hd__a21oi_1 U88641 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[961]), .A2(n70244), .B1(n70151), .Y(n70169) );
+  sky130_fd_sc_hd__a22oi_1 U88642 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[897]), .A2(n64325), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[577]), .B2(n70236), .Y(n70156) );
+  sky130_fd_sc_hd__a22oi_1 U88643 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[257]), .A2(n70245), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[705]), .B2(n70216), .Y(n70155) );
+  sky130_fd_sc_hd__a22oi_1 U88644 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[545]), .A2(n70215), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[225]), .B2(n70152), .Y(n70154) );
+  sky130_fd_sc_hd__a22oi_1 U88645 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[513]), .A2(n70221), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[97]), .B2(n68781), .Y(n70153) );
+  sky130_fd_sc_hd__nand4_1 U88646 ( .A(n70156), .B(n70155), .C(n70154), .D(
+        n70153), .Y(n70167) );
+  sky130_fd_sc_hd__a22oi_1 U88647 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[641]), .A2(n70212), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[673]), .B2(n70224), .Y(n70160) );
+  sky130_fd_sc_hd__a22oi_1 U88648 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[801]), .A2(n64319), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[385]), .B2(n70237), .Y(n70159) );
+  sky130_fd_sc_hd__a22oi_1 U88649 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[193]), .A2(n69952), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[321]), .B2(n70214), .Y(n70158) );
+  sky130_fd_sc_hd__a22oi_1 U88650 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[33]), .A2(n70231), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[929]), .B2(n64326), .Y(n70157) );
+  sky130_fd_sc_hd__nand4_1 U88651 ( .A(n70160), .B(n70159), .C(n70158), .D(
+        n70157), .Y(n70166) );
+  sky130_fd_sc_hd__a22oi_1 U88652 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[609]), .A2(n70213), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[289]), .B2(n70230), .Y(n70164) );
+  sky130_fd_sc_hd__a22oi_1 U88653 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[865]), .A2(n64638), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[833]), .B2(n70238), .Y(n70163) );
+  sky130_fd_sc_hd__a22oi_1 U88654 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[65]), .A2(n70246), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[1]), .B2(n70223), .Y(n70162) );
+  sky130_fd_sc_hd__a22oi_1 U88655 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[769]), .A2(n70234), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[449]), .B2(n70235), .Y(n70161) );
+  sky130_fd_sc_hd__nand4_1 U88656 ( .A(n70164), .B(n70163), .C(n70162), .D(
+        n70161), .Y(n70165) );
+  sky130_fd_sc_hd__nor3_1 U88657 ( .A(n70167), .B(n70166), .C(n70165), .Y(
+        n70168) );
+  sky130_fd_sc_hd__nand4_1 U88658 ( .A(n70171), .B(n70170), .C(n70169), .D(
+        n70168), .Y(n70172) );
+  sky130_fd_sc_hd__nand2_1 U88659 ( .A(n70172), .B(n70210), .Y(n70176) );
+  sky130_fd_sc_hd__nand2_1 U88660 ( .A(n65334), .B(n85626), .Y(n70175) );
+  sky130_fd_sc_hd__a31oi_1 U88661 ( .A1(n70176), .A2(n70255), .A3(n70175), 
+        .B1(n70174), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N551) );
+  sky130_fd_sc_hd__xnor2_1 U88662 ( .A(n70178), .B(n70177), .Y(n72548) );
+  sky130_fd_sc_hd__or3_1 U88663 ( .A(n72141), .B(n70180), .C(n70179), .X(
+        n70181) );
+  sky130_fd_sc_hd__o21ai_1 U88664 ( .A1(n70301), .A2(n72548), .B1(n70181), .Y(
+        n70182) );
+  sky130_fd_sc_hd__a21oi_1 U88665 ( .A1(n70183), .A2(n72149), .B1(n70182), .Y(
+        n70189) );
+  sky130_fd_sc_hd__nand2_1 U88666 ( .A(n37086), .B(n70184), .Y(n70186) );
+  sky130_fd_sc_hd__xnor2_1 U88667 ( .A(n70186), .B(n70185), .Y(n74088) );
+  sky130_fd_sc_hd__nand2_1 U88668 ( .A(n74088), .B(n70187), .Y(n70188) );
+  sky130_fd_sc_hd__o211ai_1 U88669 ( .A1(n70190), .A2(n72147), .B1(n70189), 
+        .C1(n70188), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[12]) );
+  sky130_fd_sc_hd__mux2_2 U88670 ( .A0(n70192), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[12]), .S(n70191), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_int_wdata[12]) );
+  sky130_fd_sc_hd__nand2_1 U88671 ( .A(n70193), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_action), .Y(n70195) );
+  sky130_fd_sc_hd__a21oi_1 U88672 ( .A1(n70196), .A2(n70195), .B1(n70194), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N463) );
+  sky130_fd_sc_hd__a31oi_1 U88673 ( .A1(n70200), .A2(n70199), .A3(n70198), 
+        .B1(n76271), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N357) );
+  sky130_fd_sc_hd__nand2_1 U88674 ( .A(n78412), .B(n36801), .Y(n36476) );
+  sky130_fd_sc_hd__nand2_1 U88675 ( .A(n78369), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[11]), .Y(n70201) );
+  sky130_fd_sc_hd__o21ai_0 U88676 ( .A1(n70202), .A2(n78369), .B1(n70201), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N718) );
+  sky130_fd_sc_hd__a22oi_1 U88677 ( .A1(n85816), .A2(n70203), .B1(n36826), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[0]), .Y(n70209) );
+  sky130_fd_sc_hd__nand2_1 U88678 ( .A(n64476), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[96]), .Y(n70208) );
+  sky130_fd_sc_hd__nand2_1 U88679 ( .A(n70204), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[32]), .Y(n70207) );
+  sky130_fd_sc_hd__nand2_1 U88680 ( .A(n70205), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_dout0[64]), .Y(n70206) );
+  sky130_fd_sc_hd__nand4_1 U88681 ( .A(n70209), .B(n70208), .C(n70207), .D(
+        n70206), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_data_T_9[0]) );
+  sky130_fd_sc_hd__o21ai_0 U88682 ( .A1(n85627), .A2(n70210), .B1(n70255), .Y(
+        n70263) );
+  sky130_fd_sc_hd__a22oi_1 U88683 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[480]), .A2(n70211), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[896]), .B2(n64325), .Y(n70220) );
+  sky130_fd_sc_hd__a22oi_1 U88684 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[608]), .A2(n70213), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[640]), .B2(n70212), .Y(n70219) );
+  sky130_fd_sc_hd__a22oi_1 U88685 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[800]), .A2(n64319), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[320]), .B2(n70214), .Y(n70218) );
+  sky130_fd_sc_hd__a22oi_1 U88686 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[704]), .A2(n70216), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[544]), .B2(n70215), .Y(n70217) );
+  sky130_fd_sc_hd__nand4_1 U88687 ( .A(n70220), .B(n70219), .C(n70218), .D(
+        n70217), .Y(n70253) );
+  sky130_fd_sc_hd__a22oi_1 U88688 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[512]), .A2(n70221), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[96]), .B2(n68781), .Y(n70228) );
+  sky130_fd_sc_hd__a22oi_1 U88689 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[352]), .A2(n70222), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[128]), .B2(n70150), .Y(n70227) );
+  sky130_fd_sc_hd__a22oi_1 U88690 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[0]), .A2(n70223), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[224]), .B2(n70152), .Y(n70226) );
+  sky130_fd_sc_hd__a22oi_1 U88691 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[736]), .A2(n64330), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[672]), .B2(n70224), .Y(n70225) );
+  sky130_fd_sc_hd__nand4_1 U88692 ( .A(n70228), .B(n70227), .C(n70226), .D(
+        n70225), .Y(n70252) );
+  sky130_fd_sc_hd__a22oi_1 U88693 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[288]), .A2(n70230), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[160]), .B2(n70229), .Y(n70233) );
+  sky130_fd_sc_hd__a21oi_1 U88694 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[32]), .A2(n70231), .B1(n65334), .Y(n70232) );
+  sky130_fd_sc_hd__nand2_1 U88695 ( .A(n70233), .B(n70232), .Y(n70251) );
+  sky130_fd_sc_hd__a22oi_1 U88696 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[768]), .A2(n70234), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[928]), .B2(n64326), .Y(n70242) );
+  sky130_fd_sc_hd__a22oi_1 U88697 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[864]), .A2(n64638), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[448]), .B2(n70235), .Y(n70241) );
+  sky130_fd_sc_hd__a22oi_1 U88698 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[576]), .A2(n70236), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[192]), .B2(n69952), .Y(n70240) );
+  sky130_fd_sc_hd__a22oi_1 U88699 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[832]), .A2(n70238), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[384]), .B2(n70237), .Y(n70239) );
+  sky130_fd_sc_hd__nand4_1 U88700 ( .A(n70242), .B(n70241), .C(n70240), .D(
+        n70239), .Y(n70249) );
+  sky130_fd_sc_hd__a22oi_1 U88701 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[960]), .A2(n70244), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[416]), .B2(n70243), .Y(n70248) );
+  sky130_fd_sc_hd__a22oi_1 U88702 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[64]), .A2(n70246), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_rf[256]), .B2(n70245), .Y(n70247) );
+  sky130_fd_sc_hd__nand3b_1 U88703 ( .A_N(n70249), .B(n70248), .C(n70247), .Y(
+        n70250) );
+  sky130_fd_sc_hd__nor4_1 U88704 ( .A(n70253), .B(n70252), .C(n70251), .D(
+        n70250), .Y(n70262) );
+  sky130_fd_sc_hd__a21oi_1 U88705 ( .A1(n70257), .A2(n70256), .B1(n70255), .Y(
+        n70259) );
+  sky130_fd_sc_hd__o21ai_1 U88707 ( .A1(n70263), .A2(n70262), .B1(n70261), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N550) );
+  sky130_fd_sc_hd__nand2_1 U88708 ( .A(n70265), .B(n70264), .Y(n70267) );
+  sky130_fd_sc_hd__a22oi_1 U88710 ( .A1(n70274), .A2(n70273), .B1(n70272), 
+        .B2(n70271), .Y(n70299) );
+  sky130_fd_sc_hd__a21oi_1 U88711 ( .A1(n70277), .A2(n70276), .B1(n70275), .Y(
+        n70298) );
+  sky130_fd_sc_hd__o22ai_1 U88712 ( .A1(n72139), .A2(n70279), .B1(n70304), 
+        .B2(n70278), .Y(n70286) );
+  sky130_fd_sc_hd__o22ai_1 U88713 ( .A1(n70283), .A2(n70282), .B1(n70281), 
+        .B2(n70280), .Y(n70285) );
+  sky130_fd_sc_hd__nand2_1 U88715 ( .A(n70289), .B(n70288), .Y(n70293) );
+  sky130_fd_sc_hd__nand2_1 U88716 ( .A(n70291), .B(n70290), .Y(n70292) );
+  sky130_fd_sc_hd__o211ai_1 U88717 ( .A1(n70295), .A2(n70294), .B1(n70293), 
+        .C1(n70292), .Y(n70296) );
+  sky130_fd_sc_hd__a31oi_1 U88718 ( .A1(n70299), .A2(n70298), .A3(n70297), 
+        .B1(n70296), .Y(n72146) );
+  sky130_fd_sc_hd__xnor2_1 U88719 ( .A(n70304), .B(n70300), .Y(n72537) );
+  sky130_fd_sc_hd__nor2_1 U88720 ( .A(n70301), .B(n72537), .Y(n70302) );
+  sky130_fd_sc_hd__a31oi_1 U88721 ( .A1(n70305), .A2(n70304), .A3(n70303), 
+        .B1(n70302), .Y(n70306) );
+  sky130_fd_sc_hd__o21ai_0 U88722 ( .A1(n70307), .A2(n72146), .B1(n70306), .Y(
+        n70308) );
+  sky130_fd_sc_hd__a21oi_1 U88723 ( .A1(n72150), .A2(n70309), .B1(n70308), .Y(
+        n70310) );
+  sky130_fd_sc_hd__o21ai_1 U88724 ( .A1(n72153), .A2(n38492), .B1(n70310), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[30]) );
+  sky130_fd_sc_hd__ha_1 U88725 ( .A(n70311), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[62]), .COUT(n64135), .SUM(n70312) );
+  sky130_fd_sc_hd__a222oi_1 U88726 ( .A1(n70337), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[62]), .B1(n85628), .B2(n70336), .C1(n70312), .C2(n70335), .Y(n70313) );
+  sky130_fd_sc_hd__ha_1 U88727 ( .A(n70314), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[59]), .COUT(n68892), .SUM(n70315) );
+  sky130_fd_sc_hd__a222oi_1 U88728 ( .A1(n70316), .A2(n85629), .B1(n70337), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[59]), .C1(n70315), .C2(n70335), .Y(n70317) );
+  sky130_fd_sc_hd__nand2_1 U88729 ( .A(n70379), .B(n70319), .Y(n70321) );
+  sky130_fd_sc_hd__xor2_1 U88730 ( .A(n70321), .B(n70320), .X(n70322) );
+  sky130_fd_sc_hd__a22oi_1 U88731 ( .A1(n70369), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[46]), .B1(n70322), .B2(n70335), .Y(n70323) );
+  sky130_fd_sc_hd__o21ai_1 U88732 ( .A1(n86301), .A2(n72378), .B1(n70323), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1287) );
+  sky130_fd_sc_hd__nand2_1 U88733 ( .A(n70379), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[38]), .Y(n70325) );
+  sky130_fd_sc_hd__xor2_1 U88734 ( .A(n70325), .B(n70324), .X(n70326) );
+  sky130_fd_sc_hd__a22oi_1 U88735 ( .A1(n68555), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[39]), .B1(n70326), .B2(n70374), .Y(n70327) );
+  sky130_fd_sc_hd__o21ai_1 U88736 ( .A1(n86301), .A2(n70497), .B1(n70327), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1280) );
+  sky130_fd_sc_hd__xnor2_1 U88737 ( .A(n70328), .B(n70379), .Y(n70329) );
+  sky130_fd_sc_hd__a22oi_1 U88738 ( .A1(n70374), .A2(n70329), .B1(n70369), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[38]), .Y(n70330) );
+  sky130_fd_sc_hd__o21ai_1 U88739 ( .A1(n86301), .A2(n70511), .B1(n70330), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1279) );
+  sky130_fd_sc_hd__nand2_1 U88740 ( .A(n70331), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[36]), .Y(n70333) );
+  sky130_fd_sc_hd__xor2_1 U88741 ( .A(n70333), .B(n70332), .X(n70334) );
+  sky130_fd_sc_hd__a222oi_1 U88742 ( .A1(n70337), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[37]), .B1(n85764), .B2(n70336), .C1(n70335), .C2(n70334), .Y(n70338) );
+  sky130_fd_sc_hd__nand2_1 U88743 ( .A(n70339), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[34]), .Y(n70341) );
+  sky130_fd_sc_hd__xor2_1 U88744 ( .A(n70341), .B(n70340), .X(n70342) );
+  sky130_fd_sc_hd__a22oi_1 U88745 ( .A1(n68555), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[35]), .B1(n70342), .B2(n70335), .Y(n70343) );
+  sky130_fd_sc_hd__o21ai_1 U88746 ( .A1(n86301), .A2(n72160), .B1(n70343), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1276) );
+  sky130_fd_sc_hd__xor2_1 U88747 ( .A(n70345), .B(n70344), .X(n70346) );
+  sky130_fd_sc_hd__a22oi_1 U88748 ( .A1(n70369), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[34]), .B1(n70346), .B2(n70374), .Y(n70347) );
+  sky130_fd_sc_hd__o21ai_1 U88749 ( .A1(n86301), .A2(n70483), .B1(n70347), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1275) );
+  sky130_fd_sc_hd__xnor2_1 U88750 ( .A(n70349), .B(n70348), .Y(n70350) );
+  sky130_fd_sc_hd__a22oi_1 U88751 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[32]), .A2(n68555), .B1(n70350), .B2(n70374), .Y(n70351) );
+  sky130_fd_sc_hd__o21ai_1 U88752 ( .A1(n70352), .A2(n82478), .B1(n70351), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1273) );
+  sky130_fd_sc_hd__nand2_1 U88753 ( .A(n70353), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[30]), .Y(n70355) );
+  sky130_fd_sc_hd__xor2_1 U88754 ( .A(n70355), .B(n70354), .X(n70356) );
+  sky130_fd_sc_hd__a22oi_1 U88755 ( .A1(n70337), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[31]), .B1(n70356), .B2(n70374), .Y(n70357) );
+  sky130_fd_sc_hd__o21ai_0 U88756 ( .A1(n36576), .A2(n78523), .B1(n70357), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1272) );
+  sky130_fd_sc_hd__nand2_1 U88757 ( .A(n70358), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[26]), .Y(n70360) );
+  sky130_fd_sc_hd__xor2_1 U88758 ( .A(n70360), .B(n70359), .X(n70361) );
+  sky130_fd_sc_hd__a22oi_1 U88759 ( .A1(n70337), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[27]), .B1(n70361), .B2(n70335), .Y(n70362) );
+  sky130_fd_sc_hd__o21ai_1 U88760 ( .A1(n78546), .A2(n70393), .B1(n70362), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1268) );
+  sky130_fd_sc_hd__nand2_1 U88761 ( .A(n70367), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[14]), .Y(n70364) );
+  sky130_fd_sc_hd__xor2_1 U88762 ( .A(n70364), .B(n70363), .X(n70365) );
+  sky130_fd_sc_hd__a22oi_1 U88763 ( .A1(n70374), .A2(n70365), .B1(n70369), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[15]), .Y(n70366) );
+  sky130_fd_sc_hd__o21ai_1 U88764 ( .A1(n36576), .A2(n72316), .B1(n70366), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1256) );
+  sky130_fd_sc_hd__nand2_1 U88765 ( .A(n85642), .B(n49230), .Y(n72376) );
+  sky130_fd_sc_hd__xnor2_1 U88766 ( .A(n70368), .B(n70367), .Y(n70370) );
+  sky130_fd_sc_hd__a22oi_1 U88767 ( .A1(n70374), .A2(n70370), .B1(n70369), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[14]), .Y(n70371) );
+  sky130_fd_sc_hd__o21ai_1 U88768 ( .A1(n78554), .A2(n72376), .B1(n70371), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1255) );
+  sky130_fd_sc_hd__xnor2_1 U88769 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[6]), .B(n70372), .Y(n70373) );
+  sky130_fd_sc_hd__a22oi_1 U88770 ( .A1(n70374), .A2(n70373), .B1(n70369), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[7]), .Y(n70375) );
+  sky130_fd_sc_hd__o21ai_1 U88771 ( .A1(n36576), .A2(n70497), .B1(n70375), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1248) );
+  sky130_fd_sc_hd__nor2_1 U88772 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[6]), .B(n37080), .Y(n70376) );
+  sky130_fd_sc_hd__a21oi_1 U88773 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[6]), .A2(n70337), .B1(n70376), .Y(n70377) );
+  sky130_fd_sc_hd__o21ai_0 U88774 ( .A1(n36576), .A2(n70511), .B1(n70377), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1247) );
+  sky130_fd_sc_hd__nand2_1 U88775 ( .A(n85747), .B(n36846), .Y(n72314) );
+  sky130_fd_sc_hd__nand2_1 U88776 ( .A(n70379), .B(n70378), .Y(n70381) );
+  sky130_fd_sc_hd__xor2_1 U88777 ( .A(n70381), .B(n70380), .X(n70382) );
+  sky130_fd_sc_hd__a22oi_1 U88778 ( .A1(n68555), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[47]), .B1(n70382), .B2(n70374), .Y(n70383) );
+  sky130_fd_sc_hd__ha_1 U88780 ( .A(n70385), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[59]), .COUT(n68889), .SUM(n70386) );
+  sky130_fd_sc_hd__a222oi_1 U88781 ( .A1(n85632), .A2(n70408), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[59]), .C1(n70471), .C2(n70386), .Y(n70387) );
+  sky130_fd_sc_hd__nand2_1 U88782 ( .A(n70388), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[26]), .Y(n70390) );
+  sky130_fd_sc_hd__xor2_1 U88783 ( .A(n70390), .B(n70389), .X(n70391) );
+  sky130_fd_sc_hd__a22oi_1 U88784 ( .A1(n70471), .A2(n70391), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[27]), .Y(n70392) );
+  sky130_fd_sc_hd__o21ai_0 U88785 ( .A1(n78537), .A2(n70393), .B1(n70392), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1531) );
+  sky130_fd_sc_hd__nor2_1 U88786 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_l), .B(n70394), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N706) );
+  sky130_fd_sc_hd__nand2_1 U88787 ( .A(n70395), .B(n36801), .Y(n36562) );
+  sky130_fd_sc_hd__a22oi_1 U88788 ( .A1(n85764), .A2(n64978), .B1(n73182), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[5]), .Y(n70396) );
+  sky130_fd_sc_hd__nand3_1 U88790 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__read_hvip_T_5), .B(n70402), .C(n70399), .Y(n70400) );
+  sky130_fd_sc_hd__o21ai_1 U88791 ( .A1(n70402), .A2(n70401), .B1(n70400), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__new_mip_T_8_5) );
+  sky130_fd_sc_hd__a22oi_1 U88792 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[5]), .A2(n78526), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[5]), .B2(n78527), .Y(n70403) );
+  sky130_fd_sc_hd__o21ai_1 U88793 ( .A1(n78529), .A2(n76399), .B1(n70403), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N422) );
+  sky130_fd_sc_hd__nand2_1 U88794 ( .A(n85764), .B(n36846), .Y(n78553) );
+  sky130_fd_sc_hd__nand2_1 U88795 ( .A(n70404), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[36]), .Y(n70406) );
+  sky130_fd_sc_hd__xor2_1 U88796 ( .A(n70406), .B(n70405), .X(n70407) );
+  sky130_fd_sc_hd__a222oi_1 U88797 ( .A1(n70469), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[37]), .B1(n85764), .B2(n70408), .C1(n70471), .C2(n70407), .Y(n70409) );
+  sky130_fd_sc_hd__a222oi_1 U88798 ( .A1(n85764), .A2(n75874), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[5]), .B2(n38422), .C1(n78486), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[5]), .Y(n70410) );
+  sky130_fd_sc_hd__a22oi_1 U88799 ( .A1(n73185), .A2(n85764), .B1(n78499), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[5]), .Y(n70411) );
+  sky130_fd_sc_hd__o21ai_1 U88800 ( .A1(n70412), .A2(n70507), .B1(n70411), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1020) );
+  sky130_fd_sc_hd__o211ai_1 U88801 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[5]), .A2(n70416), .B1(n70415), .C1(n70414), .Y(n70417) );
+  sky130_fd_sc_hd__o21ai_0 U88802 ( .A1(n36581), .A2(n76399), .B1(n70417), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1447) );
+  sky130_fd_sc_hd__ha_1 U88803 ( .A(n70418), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[62]), .COUT(n70419), .SUM(n64143) );
+  sky130_fd_sc_hd__xor2_1 U88804 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[63]), .B(n70419), .X(n70423) );
+  sky130_fd_sc_hd__o22ai_1 U88805 ( .A1(n70421), .A2(n70420), .B1(n86333), 
+        .B2(n78523), .Y(n70422) );
+  sky130_fd_sc_hd__a21o_1 U88806 ( .A1(n70423), .A2(n70471), .B1(n70422), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1567) );
+  sky130_fd_sc_hd__nand2_1 U88807 ( .A(n70438), .B(n70425), .Y(n70427) );
+  sky130_fd_sc_hd__xor2_1 U88808 ( .A(n70427), .B(n70426), .X(n70428) );
+  sky130_fd_sc_hd__a22oi_1 U88809 ( .A1(n70471), .A2(n70428), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[46]), .Y(n70429) );
+  sky130_fd_sc_hd__o21ai_0 U88810 ( .A1(n36580), .A2(n72378), .B1(n70429), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1550) );
+  sky130_fd_sc_hd__xor2_1 U88811 ( .A(n70431), .B(n70430), .X(n70432) );
+  sky130_fd_sc_hd__a22oi_1 U88812 ( .A1(n70471), .A2(n70432), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[44]), .Y(n70433) );
+  sky130_fd_sc_hd__o21ai_0 U88813 ( .A1(n36580), .A2(n78258), .B1(n70433), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1548) );
+  sky130_fd_sc_hd__nand2_1 U88814 ( .A(n70438), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[38]), .Y(n70435) );
+  sky130_fd_sc_hd__xor2_1 U88815 ( .A(n70435), .B(n70434), .X(n70436) );
+  sky130_fd_sc_hd__a22oi_1 U88816 ( .A1(n70471), .A2(n70436), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[39]), .Y(n70437) );
+  sky130_fd_sc_hd__o21ai_0 U88817 ( .A1(n36580), .A2(n70497), .B1(n70437), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1543) );
+  sky130_fd_sc_hd__xnor2_1 U88818 ( .A(n70439), .B(n70438), .Y(n70440) );
+  sky130_fd_sc_hd__a22oi_1 U88819 ( .A1(n70471), .A2(n70440), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[38]), .Y(n70441) );
+  sky130_fd_sc_hd__o21ai_0 U88820 ( .A1(n36580), .A2(n70511), .B1(n70441), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1542) );
+  sky130_fd_sc_hd__nand2_1 U88821 ( .A(n70442), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[34]), .Y(n70444) );
+  sky130_fd_sc_hd__xor2_1 U88822 ( .A(n70444), .B(n70443), .X(n70445) );
+  sky130_fd_sc_hd__a22oi_1 U88823 ( .A1(n70471), .A2(n70445), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[35]), .Y(n70446) );
+  sky130_fd_sc_hd__o21ai_0 U88824 ( .A1(n36580), .A2(n72160), .B1(n70446), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1539) );
+  sky130_fd_sc_hd__xor2_1 U88825 ( .A(n70448), .B(n70447), .X(n70449) );
+  sky130_fd_sc_hd__a22oi_1 U88826 ( .A1(n70471), .A2(n70449), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[34]), .Y(n70450) );
+  sky130_fd_sc_hd__o21ai_0 U88827 ( .A1(n36580), .A2(n70483), .B1(n70450), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1538) );
+  sky130_fd_sc_hd__nand2_1 U88828 ( .A(n70451), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[30]), .Y(n70453) );
+  sky130_fd_sc_hd__xor2_1 U88829 ( .A(n70453), .B(n70452), .X(n70454) );
+  sky130_fd_sc_hd__a22oi_1 U88830 ( .A1(n70471), .A2(n70454), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[31]), .B2(n70469), .Y(n70455) );
+  sky130_fd_sc_hd__o21ai_0 U88831 ( .A1(n36581), .A2(n78523), .B1(n70455), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1535) );
+  sky130_fd_sc_hd__xnor2_1 U88832 ( .A(n70457), .B(n70456), .Y(n70458) );
+  sky130_fd_sc_hd__a22oi_1 U88833 ( .A1(n70471), .A2(n70458), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[14]), .Y(n70459) );
+  sky130_fd_sc_hd__o21ai_0 U88834 ( .A1(n36581), .A2(n72378), .B1(n70459), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1518) );
+  sky130_fd_sc_hd__xnor2_1 U88835 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[6]), .B(n70460), .Y(n70461) );
+  sky130_fd_sc_hd__a22oi_1 U88836 ( .A1(n70471), .A2(n70461), .B1(n70469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[7]), .Y(n70462) );
+  sky130_fd_sc_hd__o21ai_1 U88837 ( .A1(n78537), .A2(n70463), .B1(n70462), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1511) );
+  sky130_fd_sc_hd__nor2_1 U88838 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[6]), .B(n70464), .Y(n70465) );
+  sky130_fd_sc_hd__a21oi_1 U88839 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[6]), .A2(n70469), .B1(n70465), .Y(n70466) );
+  sky130_fd_sc_hd__o21ai_0 U88840 ( .A1(n70511), .A2(n36581), .B1(n70466), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1510) );
+  sky130_fd_sc_hd__xnor2_1 U88841 ( .A(n70468), .B(n70467), .Y(n70470) );
+  sky130_fd_sc_hd__a22oi_1 U88842 ( .A1(n70471), .A2(n70470), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value_1[32]), .B2(n70469), .Y(n70472) );
+  sky130_fd_sc_hd__o21ai_0 U88843 ( .A1(n86333), .A2(n76378), .B1(n70472), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1536) );
+  sky130_fd_sc_hd__a22oi_1 U88844 ( .A1(n85630), .A2(n75874), .B1(n38422), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[0]), .Y(n70473) );
+  sky130_fd_sc_hd__o21ai_1 U88845 ( .A1(n70474), .A2(n72156), .B1(n70473), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1143) );
+  sky130_fd_sc_hd__a222oi_1 U88846 ( .A1(n85630), .A2(n75878), .B1(n37199), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[0]), .C1(n78520), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[0]), .Y(n70475) );
+  sky130_fd_sc_hd__nor2_1 U88848 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mcountinhibit_2_), .B(n70477), .Y(n70478) );
+  sky130_fd_sc_hd__o21ai_1 U88849 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[0]), .A2(n70478), .B1(n78544), .Y(n70479) );
+  sky130_fd_sc_hd__o22ai_1 U88850 ( .A1(n36576), .A2(n76378), .B1(n70479), 
+        .B2(n78540), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1179) );
+  sky130_fd_sc_hd__o22ai_1 U88852 ( .A1(n78552), .A2(n70481), .B1(n36576), 
+        .B2(n70483), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1181) );
+  sky130_fd_sc_hd__a22oi_1 U88853 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[2]), .A2(n78526), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[2]), .B2(n78527), .Y(n70482) );
+  sky130_fd_sc_hd__o21ai_1 U88854 ( .A1(n78529), .A2(n70483), .B1(n70482), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N419) );
+  sky130_fd_sc_hd__a222oi_1 U88855 ( .A1(n73185), .A2(n85822), .B1(n78500), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[2]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[2]), .C2(n78499), .Y(n70484) );
+  sky130_fd_sc_hd__o22ai_1 U88857 ( .A1(n70486), .A2(n78534), .B1(n78537), 
+        .B2(n78286), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1444) );
+  sky130_fd_sc_hd__a222oi_1 U88858 ( .A1(n85822), .A2(n64978), .B1(n73183), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[2]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[2]), .C2(n73182), .Y(n70487) );
+  sky130_fd_sc_hd__a222oi_1 U88859 ( .A1(n85822), .A2(n75878), .B1(n37199), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[2]), .C1(n78520), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[2]), .Y(n70488) );
+  sky130_fd_sc_hd__nand2_1 U88860 ( .A(n76373), .B(n59913), .Y(n78275) );
+  sky130_fd_sc_hd__nand2_1 U88861 ( .A(n78275), .B(n37040), .Y(n36577) );
+  sky130_fd_sc_hd__nand2_1 U88862 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_valid), .B(n70489), .Y(n70491) );
+  sky130_fd_sc_hd__a31oi_1 U88863 ( .A1(n70492), .A2(n70491), .A3(n82483), 
+        .B1(n70490), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N353) );
+  sky130_fd_sc_hd__a222oi_1 U88864 ( .A1(n85631), .A2(n75874), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[7]), .B2(n38422), .C1(n78486), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[7]), .Y(n70493) );
+  sky130_fd_sc_hd__o22ai_1 U88865 ( .A1(n72162), .A2(n70494), .B1(n76400), 
+        .B2(n70497), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N722) );
+  sky130_fd_sc_hd__nand2_1 U88866 ( .A(n85631), .B(n86752), .Y(n78259) );
+  sky130_fd_sc_hd__a222oi_1 U88867 ( .A1(n85631), .A2(n64978), .B1(n73183), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[7]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[7]), .C2(n73182), .Y(n70495) );
+  sky130_fd_sc_hd__a22oi_1 U88868 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[7]), .A2(n78526), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[7]), .B2(n78527), .Y(n70496) );
+  sky130_fd_sc_hd__o21ai_0 U88869 ( .A1(n78529), .A2(n70497), .B1(n70496), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N424) );
+  sky130_fd_sc_hd__a222oi_1 U88870 ( .A1(n85631), .A2(n75878), .B1(n37199), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[7]), .C1(n78520), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[7]), .Y(n70498) );
+  sky130_fd_sc_hd__a22oi_1 U88871 ( .A1(n73185), .A2(n85631), .B1(n78499), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[7]), .Y(n70499) );
+  sky130_fd_sc_hd__a22oi_1 U88873 ( .A1(n85642), .A2(n75874), .B1(n38422), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[14]), .Y(n70501) );
+  sky130_fd_sc_hd__o21ai_1 U88874 ( .A1(n70502), .A2(n72156), .B1(n70501), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1157) );
+  sky130_fd_sc_hd__a222oi_1 U88875 ( .A1(n85642), .A2(n75878), .B1(n37199), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[14]), .C1(n78520), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[14]), .Y(n70503) );
+  sky130_fd_sc_hd__a222oi_1 U88876 ( .A1(n73185), .A2(n85642), .B1(n78500), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[14]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[14]), .C2(n78499), .Y(n70504) );
+  sky130_fd_sc_hd__a222oi_1 U88877 ( .A1(n85745), .A2(n64978), .B1(n73183), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[6]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[6]), .C2(n73182), .Y(n70505) );
+  sky130_fd_sc_hd__a22oi_1 U88878 ( .A1(n73185), .A2(n85745), .B1(n78499), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[6]), .Y(n70506) );
+  sky130_fd_sc_hd__o21ai_1 U88879 ( .A1(n70508), .A2(n70507), .B1(n70506), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1021) );
+  sky130_fd_sc_hd__a222oi_1 U88880 ( .A1(n85745), .A2(n75874), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[6]), .B2(n38422), .C1(n78486), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[6]), .Y(n70509) );
+  sky130_fd_sc_hd__a22oi_1 U88881 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[6]), .A2(n78526), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[6]), .B2(n78527), .Y(n70510) );
+  sky130_fd_sc_hd__o21ai_0 U88882 ( .A1(n78529), .A2(n70511), .B1(n70510), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N423) );
+  sky130_fd_sc_hd__o21ai_1 U88883 ( .A1(n72722), .A2(n73063), .B1(n73056), .Y(
+        n70512) );
+  sky130_fd_sc_hd__o21ai_1 U88885 ( .A1(n70521), .A2(n73060), .B1(n70513), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1526) );
+  sky130_fd_sc_hd__o21ai_1 U88887 ( .A1(n70519), .A2(n70515), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[26]), .Y(n70516) );
+  sky130_fd_sc_hd__o21ai_1 U88888 ( .A1(n70521), .A2(n72778), .B1(n70516), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1527) );
+  sky130_fd_sc_hd__o21ai_1 U88889 ( .A1(n72727), .A2(n73063), .B1(n70517), .Y(
+        n70518) );
+  sky130_fd_sc_hd__o21ai_1 U88890 ( .A1(n70519), .A2(n70518), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[24]), .Y(n70520) );
+  sky130_fd_sc_hd__a22oi_1 U88892 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[12]), .A2(n78527), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[12]), .B2(n78526), .Y(n70522) );
+  sky130_fd_sc_hd__a222oi_1 U88894 ( .A1(n85743), .A2(n64978), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[12]), .B2(n73183), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[12]), .C2(n73182), .Y(n70523) );
+  sky130_fd_sc_hd__a222oi_1 U88895 ( .A1(n85743), .A2(n73185), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[12]), .B2(n78500), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[12]), .C2(n78499), .Y(n70524) );
+  sky130_fd_sc_hd__nand2_1 U88896 ( .A(n86725), .B(n70526), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[8]) );
+  sky130_fd_sc_hd__a22oi_1 U88897 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[27]), .A2(n78526), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[27]), .B2(n78527), .Y(n70528) );
+  sky130_fd_sc_hd__o21ai_1 U88898 ( .A1(n78529), .A2(n78513), .B1(n70528), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N444) );
+  sky130_fd_sc_hd__a22oi_1 U88899 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[27]), .A2(n73183), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[27]), .B2(n73182), .Y(n70529) );
+  sky130_fd_sc_hd__o21ai_1 U88900 ( .A1(n73123), .A2(n78513), .B1(n70529), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N814) );
+  sky130_fd_sc_hd__a22oi_1 U88901 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[27]), .A2(n78500), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[27]), .B2(n78499), .Y(n70530) );
+  sky130_fd_sc_hd__o21ai_1 U88902 ( .A1(n78502), .A2(n78513), .B1(n70530), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1042) );
+  sky130_fd_sc_hd__o22ai_1 U88903 ( .A1(n70532), .A2(n73073), .B1(n70531), 
+        .B2(n58802), .Y(n70562) );
+  sky130_fd_sc_hd__nand2_1 U88904 ( .A(n70535), .B(n70534), .Y(n70536) );
+  sky130_fd_sc_hd__xor2_1 U88905 ( .A(n70537), .B(n70536), .X(n70545) );
+  sky130_fd_sc_hd__ha_1 U88906 ( .A(n70538), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .COUT(n72440), .SUM(n70544) );
+  sky130_fd_sc_hd__nand2_1 U88907 ( .A(n70540), .B(n70539), .Y(n70542) );
+  sky130_fd_sc_hd__xnor2_1 U88908 ( .A(n70542), .B(n70541), .Y(n70543) );
+  sky130_fd_sc_hd__a222oi_1 U88909 ( .A1(n73319), .A2(n70545), .B1(n73229), 
+        .B2(n70544), .C1(n70543), .C2(n73312), .Y(n70557) );
+  sky130_fd_sc_hd__nand2_1 U88910 ( .A(n73192), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[27]), .Y(n70550) );
+  sky130_fd_sc_hd__a22oi_1 U88911 ( .A1(n78262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[27]), .B1(n73261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[27]), .Y(n70549) );
+  sky130_fd_sc_hd__nand2_1 U88912 ( .A(n73187), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[27]), .Y(n70548) );
+  sky130_fd_sc_hd__nand2_1 U88913 ( .A(n78265), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[27]), .Y(n70547) );
+  sky130_fd_sc_hd__nand4_1 U88914 ( .A(n70550), .B(n70549), .C(n70548), .D(
+        n70547), .Y(n70551) );
+  sky130_fd_sc_hd__a21oi_1 U88915 ( .A1(n73097), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[27]), .B1(n70551), .Y(n70552) );
+  sky130_fd_sc_hd__o21ai_0 U88916 ( .A1(n70553), .A2(n73154), .B1(n70552), .Y(
+        n70554) );
+  sky130_fd_sc_hd__a21oi_1 U88917 ( .A1(n70555), .A2(n72458), .B1(n70554), .Y(
+        n70556) );
+  sky130_fd_sc_hd__o21ai_1 U88918 ( .A1(n73105), .A2(n70557), .B1(n70556), .Y(
+        n70558) );
+  sky130_fd_sc_hd__a21oi_1 U88919 ( .A1(n61937), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[27]), .B1(n70558), .Y(n70559) );
+  sky130_fd_sc_hd__o21ai_0 U88920 ( .A1(n70560), .A2(n73106), .B1(n70559), .Y(
+        n70561) );
+  sky130_fd_sc_hd__a211oi_1 U88921 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[13]), .A2(n73110), .B1(n70562), .C1(n70561), .Y(n70567) );
+  sky130_fd_sc_hd__a22oi_1 U88922 ( .A1(n73327), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[27]), .B1(n72202), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[27]), .Y(n70566) );
+  sky130_fd_sc_hd__a22oi_1 U88923 ( .A1(n72357), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[27]), .B1(n69413), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[27]), .Y(n70565) );
+  sky130_fd_sc_hd__a22o_1 U88924 ( .A1(n72419), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[27]), .B1(n73111), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[13]), .X(n70563) );
+  sky130_fd_sc_hd__a21oi_1 U88925 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[13]), .A2(n73113), .B1(n70563), .Y(n70564) );
+  sky130_fd_sc_hd__nand4_1 U88926 ( .A(n70567), .B(n70566), .C(n70565), .D(
+        n70564), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[27]) );
+  sky130_fd_sc_hd__nor2_1 U88927 ( .A(n70796), .B(n73338), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N109) );
+  sky130_fd_sc_hd__a22oi_1 U88928 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[21]), .A2(n78527), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[21]), .B2(n78526), .Y(n70568) );
+  sky130_fd_sc_hd__o21ai_1 U88929 ( .A1(n78529), .A2(n70569), .B1(n70568), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N438) );
+  sky130_fd_sc_hd__a222oi_1 U88930 ( .A1(n85750), .A2(n64978), .B1(n73183), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[21]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[21]), .C2(n73182), .Y(n70570) );
+  sky130_fd_sc_hd__a222oi_1 U88931 ( .A1(n73185), .A2(n85750), .B1(n78500), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[21]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[21]), .C2(n78499), .Y(n70571) );
+  sky130_fd_sc_hd__o22ai_1 U88932 ( .A1(n70574), .A2(n58802), .B1(n70573), 
+        .B2(n70572), .Y(n70608) );
+  sky130_fd_sc_hd__nand2_1 U88933 ( .A(n70576), .B(n70575), .Y(n70578) );
+  sky130_fd_sc_hd__xnor2_1 U88934 ( .A(n70578), .B(n70577), .Y(n70591) );
+  sky130_fd_sc_hd__ha_1 U88935 ( .A(n70579), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[21]), .COUT(n64992), .SUM(n70590) );
+  sky130_fd_sc_hd__clkinv_1 U88936 ( .A(n70580), .Y(n70581) );
+  sky130_fd_sc_hd__a21oi_1 U88937 ( .A1(n70583), .A2(n70582), .B1(n70581), .Y(
+        n70588) );
+  sky130_fd_sc_hd__clkinv_1 U88938 ( .A(n70584), .Y(n70586) );
+  sky130_fd_sc_hd__nand2_1 U88939 ( .A(n70586), .B(n70585), .Y(n70587) );
+  sky130_fd_sc_hd__xor2_1 U88940 ( .A(n70588), .B(n70587), .X(n70589) );
+  sky130_fd_sc_hd__a222oi_1 U88941 ( .A1(n73319), .A2(n70591), .B1(n73229), 
+        .B2(n70590), .C1(n70589), .C2(n73312), .Y(n70603) );
+  sky130_fd_sc_hd__nand2_1 U88942 ( .A(n73192), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[21]), .Y(n70596) );
+  sky130_fd_sc_hd__a22oi_1 U88943 ( .A1(n78262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[21]), .B1(n73261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[21]), .Y(n70595) );
+  sky130_fd_sc_hd__nand2_1 U88944 ( .A(n73187), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[21]), .Y(n70594) );
+  sky130_fd_sc_hd__nand2_1 U88945 ( .A(n78265), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[21]), .Y(n70593) );
+  sky130_fd_sc_hd__nand4_1 U88946 ( .A(n70596), .B(n70595), .C(n70594), .D(
+        n70593), .Y(n70597) );
+  sky130_fd_sc_hd__a21oi_1 U88947 ( .A1(n73097), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[21]), .B1(n70597), .Y(n70598) );
+  sky130_fd_sc_hd__o21ai_0 U88948 ( .A1(n70599), .A2(n73154), .B1(n70598), .Y(
+        n70600) );
+  sky130_fd_sc_hd__a21oi_1 U88949 ( .A1(n70601), .A2(n72499), .B1(n70600), .Y(
+        n70602) );
+  sky130_fd_sc_hd__a21oi_1 U88951 ( .A1(n61937), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[21]), .B1(n70604), .Y(n70605) );
+  sky130_fd_sc_hd__o21ai_0 U88952 ( .A1(n70606), .A2(n73106), .B1(n70605), .Y(
+        n70607) );
+  sky130_fd_sc_hd__a211oi_1 U88953 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_3[7]), .A2(n70609), .B1(n70608), .C1(n70607), .Y(n70614) );
+  sky130_fd_sc_hd__a22oi_1 U88954 ( .A1(n72203), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[21]), .B1(n72202), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[21]), .Y(n70613) );
+  sky130_fd_sc_hd__a22oi_1 U88955 ( .A1(n72357), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[21]), .B1(n69413), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[21]), .Y(n70612) );
+  sky130_fd_sc_hd__a22o_1 U88956 ( .A1(n72419), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[21]), .B1(n73111), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[7]), .X(n70610) );
+  sky130_fd_sc_hd__a21oi_1 U88957 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[7]), .A2(n73113), .B1(n70610), .Y(n70611) );
+  sky130_fd_sc_hd__nand4_1 U88958 ( .A(n70614), .B(n70613), .C(n70612), .D(
+        n70611), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[21]) );
+  sky130_fd_sc_hd__nor2_1 U88959 ( .A(n71568), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[23]), .Y(n70621) );
+  sky130_fd_sc_hd__a21oi_1 U88960 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .A2(n70622), .B1(n70621), .Y(n70625) );
+  sky130_fd_sc_hd__nand2_1 U88961 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .B(n74475), .Y(n70619) );
+  sky130_fd_sc_hd__o211ai_1 U88962 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[20]), .A2(n70816), .B1(n70625), .C1(n70619), .Y(n70665) );
+  sky130_fd_sc_hd__nor2_1 U88963 ( .A(n71920), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[19]), .Y(n70616) );
+  sky130_fd_sc_hd__a21oi_1 U88964 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .A2(n74471), .B1(n70616), .Y(n70668) );
+  sky130_fd_sc_hd__nand2_1 U88965 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .B(n74472), .Y(n70628) );
+  sky130_fd_sc_hd__nand2_1 U88966 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[16]), .B(n70628), .Y(n70615) );
+  sky130_fd_sc_hd__o22ai_1 U88967 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .A2(n74472), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[18]), .B2(n70615), .Y(n70618) );
+  sky130_fd_sc_hd__nor3_1 U88968 ( .A(n74471), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .C(n70616), .Y(n70617) );
+  sky130_fd_sc_hd__a221oi_1 U88969 ( .A1(n70668), .A2(n70618), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[19]), .B2(n71920), .C1(n70617), .Y(n70627) );
+  sky130_fd_sc_hd__nand2_1 U88970 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[20]), .B(n70619), .Y(n70620) );
+  sky130_fd_sc_hd__o22ai_1 U88971 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .A2(n74475), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[22]), .B2(n70620), .Y(n70624) );
+  sky130_fd_sc_hd__nor3_1 U88972 ( .A(n70622), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .C(n70621), .Y(n70623) );
+  sky130_fd_sc_hd__a221oi_1 U88973 ( .A1(n70625), .A2(n70624), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[23]), .B2(n71568), .C1(n70623), .Y(n70626) );
+  sky130_fd_sc_hd__nor2b_1 U88976 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[13]), .Y(n70656) );
+  sky130_fd_sc_hd__nand2_1 U88977 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .B(n74444), .Y(n70654) );
+  sky130_fd_sc_hd__o21ai_1 U88978 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[14]), .A2(n72276), .B1(n70654), .Y(n70657) );
+  sky130_fd_sc_hd__a211oi_1 U88979 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .A2(n74436), .B1(n70656), .C1(n70657), .Y(n70664) );
+  sky130_fd_sc_hd__nor2_1 U88980 ( .A(n71575), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[9]), .Y(n70651) );
+  sky130_fd_sc_hd__nor3b_1 U88981 ( .C_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[8]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .B(n70651), .Y(n70630) );
+  sky130_fd_sc_hd__a21oi_1 U88982 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[9]), .A2(n71575), .B1(n70630), .Y(n70633) );
+  sky130_fd_sc_hd__nand2_1 U88983 ( .A(n85637), .B(n74437), .Y(n70631) );
+  sky130_fd_sc_hd__nand3_1 U88985 ( .A(n71652), .B(n70631), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[10]), .Y(n70632) );
+  sky130_fd_sc_hd__o221ai_1 U88986 ( .A1(n85637), .A2(n74437), .B1(n70633), 
+        .B2(n70650), .C1(n70632), .Y(n70663) );
+  sky130_fd_sc_hd__nor2_1 U88987 ( .A(n71585), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[3]), .Y(n70635) );
+  sky130_fd_sc_hd__a21oi_1 U88988 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .A2(n74449), .B1(n70635), .Y(n70638) );
+  sky130_fd_sc_hd__o2bb2ai_1 U88990 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .B2(n70634), .A1_N(n41845), .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[1]), .Y(n70637) );
+  sky130_fd_sc_hd__nor3_1 U88991 ( .A(n74449), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .C(n70635), .Y(n70636) );
+  sky130_fd_sc_hd__a221o_1 U88992 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[3]), .A2(n71585), .B1(n70638), .B2(n70637), .C1(n70636), .X(n70643) );
+  sky130_fd_sc_hd__nand2_1 U88993 ( .A(n72059), .B(n74446), .Y(n70642) );
+  sky130_fd_sc_hd__nand2b_1 U88994 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[4]), .B(n72064), .Y(n70641) );
+  sky130_fd_sc_hd__nand2_1 U88995 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[4]), .B(n70642), .Y(n70639) );
+  sky130_fd_sc_hd__o22ai_1 U88996 ( .A1(n72064), .A2(n70639), .B1(n72059), 
+        .B2(n74446), .Y(n70640) );
+  sky130_fd_sc_hd__a31oi_1 U88997 ( .A1(n70643), .A2(n70642), .A3(n70641), 
+        .B1(n70640), .Y(n70648) );
+  sky130_fd_sc_hd__nor2_1 U88998 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[7]), .B(n58336), .Y(n70647) );
+  sky130_fd_sc_hd__nor2b_1 U88999 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[6]), .Y(n70646) );
+  sky130_fd_sc_hd__nor2_1 U89000 ( .A(n70647), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n70644) );
+  sky130_fd_sc_hd__a22oi_1 U89001 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[7]), .A2(n58336), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[6]), .B2(n70644), .Y(n70645) );
+  sky130_fd_sc_hd__o31ai_1 U89002 ( .A1(n70648), .A2(n70647), .A3(n70646), 
+        .B1(n70645), .Y(n70649) );
+  sky130_fd_sc_hd__nand2_1 U89003 ( .A(n70664), .B(n70649), .Y(n70653) );
+  sky130_fd_sc_hd__nor2b_1 U89004 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[8]), .Y(n70652) );
+  sky130_fd_sc_hd__nor4_1 U89005 ( .A(n70653), .B(n70652), .C(n70651), .D(
+        n70650), .Y(n70662) );
+  sky130_fd_sc_hd__nand2_1 U89006 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[14]), .B(n70654), .Y(n70655) );
+  sky130_fd_sc_hd__o22ai_1 U89007 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .A2(n74444), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[16]), .B2(n70655), .Y(n70661) );
+  sky130_fd_sc_hd__nand2b_1 U89008 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[13]), .Y(n70659) );
+  sky130_fd_sc_hd__or3_1 U89009 ( .A(n70656), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .C(n74436), .X(n70658) );
+  sky130_fd_sc_hd__a21oi_1 U89010 ( .A1(n70659), .A2(n70658), .B1(n70657), .Y(
+        n70660) );
+  sky130_fd_sc_hd__a2111oi_0 U89011 ( .A1(n70664), .A2(n70663), .B1(n70662), 
+        .C1(n70661), .D1(n70660), .Y(n70666) );
+  sky130_fd_sc_hd__nor4b_1 U89012 ( .D_N(n70668), .A(n70667), .B(n70666), .C(
+        n70665), .Y(n70669) );
+  sky130_fd_sc_hd__nor2_1 U89013 ( .A(n70670), .B(n70669), .Y(n70686) );
+  sky130_fd_sc_hd__nor2_1 U89014 ( .A(n71702), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[27]), .Y(n70676) );
+  sky130_fd_sc_hd__a21oi_1 U89015 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .A2(n74434), .B1(n70676), .Y(n70679) );
+  sky130_fd_sc_hd__nand2_1 U89016 ( .A(n70680), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .Y(n70672) );
+  sky130_fd_sc_hd__nand2_1 U89017 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .B(n70674), .Y(n70673) );
+  sky130_fd_sc_hd__nand2b_1 U89018 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .Y(n70671) );
+  sky130_fd_sc_hd__nand4_1 U89019 ( .A(n70679), .B(n70672), .C(n70673), .D(
+        n70671), .Y(n70685) );
+  sky130_fd_sc_hd__nand2_1 U89020 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[24]), .B(n70673), .Y(n70675) );
+  sky130_fd_sc_hd__o22ai_1 U89021 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .A2(n70675), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .B2(n70674), .Y(n70678) );
+  sky130_fd_sc_hd__nor3_1 U89022 ( .A(n74434), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .C(n70676), .Y(n70677) );
+  sky130_fd_sc_hd__a221oi_1 U89023 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[27]), .A2(n71702), .B1(n70679), .B2(n70678), .C1(n70677), .Y(n70681) );
+  sky130_fd_sc_hd__o21ai_1 U89024 ( .A1(n70681), .A2(n70680), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .Y(n70682) );
+  sky130_fd_sc_hd__o21ai_1 U89025 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[28]), .A2(n70683), .B1(n70682), .Y(n70684) );
+  sky130_fd_sc_hd__nor2_1 U89027 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_cfg_a[1]), .B(n70687), .Y(n74731) );
+  sky130_fd_sc_hd__nand2_1 U89028 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[5]), .Y(n70688) );
+  sky130_fd_sc_hd__nand2_1 U89029 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[4]), .Y(n70724) );
+  sky130_fd_sc_hd__nor2_1 U89030 ( .A(n70688), .B(n70724), .Y(n70690) );
+  sky130_fd_sc_hd__nand2_1 U89031 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_cfg_a[0]), .Y(n74634) );
+  sky130_fd_sc_hd__nand2_1 U89032 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[2]), .Y(n70689) );
+  sky130_fd_sc_hd__nor2_1 U89033 ( .A(n74634), .B(n70689), .Y(n74646) );
+  sky130_fd_sc_hd__nand2_1 U89034 ( .A(n70690), .B(n74646), .Y(n70717) );
+  sky130_fd_sc_hd__nand2_1 U89035 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[10]), .Y(n70691) );
+  sky130_fd_sc_hd__nand2_1 U89036 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[8]), .Y(n70718) );
+  sky130_fd_sc_hd__nor2_1 U89037 ( .A(n70691), .B(n70718), .Y(n70720) );
+  sky130_fd_sc_hd__nand2_1 U89038 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[12]), .Y(n70759) );
+  sky130_fd_sc_hd__nand2_1 U89039 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[13]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[14]), .Y(n70692) );
+  sky130_fd_sc_hd__nor2_1 U89040 ( .A(n70759), .B(n70692), .Y(n70693) );
+  sky130_fd_sc_hd__nand2_1 U89041 ( .A(n70720), .B(n70693), .Y(n70694) );
+  sky130_fd_sc_hd__nor2_1 U89042 ( .A(n70717), .B(n70694), .Y(n70723) );
+  sky130_fd_sc_hd__nor2_1 U89043 ( .A(n74444), .B(n74443), .Y(n70747) );
+  sky130_fd_sc_hd__nand2_1 U89044 ( .A(n70747), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[17]), .Y(n70752) );
+  sky130_fd_sc_hd__nor2_1 U89045 ( .A(n74471), .B(n70752), .Y(n70695) );
+  sky130_fd_sc_hd__clkinv_1 U89046 ( .A(n70696), .Y(n70697) );
+  sky130_fd_sc_hd__nor2_1 U89047 ( .A(n70698), .B(n70697), .Y(n74616) );
+  sky130_fd_sc_hd__xor2_1 U89048 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[28]), .X(n70789) );
+  sky130_fd_sc_hd__xnor2_1 U89049 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[27]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[29]), .Y(n70787) );
+  sky130_fd_sc_hd__ha_1 U89050 ( .A(n70699), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[26]), .COUT(n70696), .SUM(n70700) );
+  sky130_fd_sc_hd__nor2_1 U89051 ( .A(n74434), .B(n70700), .Y(n74726) );
+  sky130_fd_sc_hd__ha_1 U89052 ( .A(n70701), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[25]), .COUT(n70699), .SUM(n70702) );
+  sky130_fd_sc_hd__nand2_1 U89053 ( .A(n70703), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[25]), .Y(n74724) );
+  sky130_fd_sc_hd__xor2_1 U89054 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[26]), .X(n70785) );
+  sky130_fd_sc_hd__ha_1 U89055 ( .A(n70704), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[23]), .COUT(n70777), .SUM(n70705) );
+  sky130_fd_sc_hd__nor2_1 U89056 ( .A(n70706), .B(n70705), .Y(n74721) );
+  sky130_fd_sc_hd__xnor2_1 U89057 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[24]), .Y(n70783) );
+  sky130_fd_sc_hd__ha_1 U89058 ( .A(n70707), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[22]), .COUT(n70704), .SUM(n70708) );
+  sky130_fd_sc_hd__nand2_1 U89059 ( .A(n70709), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[22]), .Y(n74715) );
+  sky130_fd_sc_hd__xor2_1 U89060 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[25]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[23]), .X(n70776) );
+  sky130_fd_sc_hd__xnor2_1 U89061 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[22]), .Y(n70774) );
+  sky130_fd_sc_hd__ha_1 U89062 ( .A(n70710), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[21]), .COUT(n70707), .SUM(n70711) );
+  sky130_fd_sc_hd__nor2_1 U89063 ( .A(n74475), .B(n70711), .Y(n74711) );
+  sky130_fd_sc_hd__xor2_1 U89064 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[21]), .X(n70772) );
+  sky130_fd_sc_hd__ha_1 U89065 ( .A(n70712), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[20]), .COUT(n70710), .SUM(n70713) );
+  sky130_fd_sc_hd__nand2_1 U89066 ( .A(n70714), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[20]), .Y(n74709) );
+  sky130_fd_sc_hd__ha_1 U89067 ( .A(n74670), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[19]), .COUT(n70712), .SUM(n70715) );
+  sky130_fd_sc_hd__clkinv_1 U89068 ( .A(n70715), .Y(n70716) );
+  sky130_fd_sc_hd__nand2_1 U89069 ( .A(n70716), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[19]), .Y(n74662) );
+  sky130_fd_sc_hd__xor2_1 U89070 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[22]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[20]), .X(n70746) );
+  sky130_fd_sc_hd__xnor2_1 U89071 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[10]), .Y(n70722) );
+  sky130_fd_sc_hd__nand2_1 U89072 ( .A(n74681), .B(n70719), .Y(n74677) );
+  sky130_fd_sc_hd__nor2_1 U89073 ( .A(n74460), .B(n74677), .Y(n74658) );
+  sky130_fd_sc_hd__xnor2_1 U89074 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[12]), .Y(n70721) );
+  sky130_fd_sc_hd__nand2_1 U89075 ( .A(n74681), .B(n70720), .Y(n70758) );
+  sky130_fd_sc_hd__nor2_1 U89076 ( .A(n74437), .B(n70758), .Y(n74656) );
+  sky130_fd_sc_hd__o22ai_1 U89077 ( .A1(n70722), .A2(n74658), .B1(n70721), 
+        .B2(n74656), .Y(n70745) );
+  sky130_fd_sc_hd__nor2_1 U89078 ( .A(n74444), .B(n74701), .Y(n74624) );
+  sky130_fd_sc_hd__xnor2_1 U89079 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[18]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[16]), .Y(n70743) );
+  sky130_fd_sc_hd__xor2_1 U89080 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[6]), .X(n70741) );
+  sky130_fd_sc_hd__nor2_1 U89081 ( .A(n70724), .B(n70731), .Y(n74632) );
+  sky130_fd_sc_hd__nand2_1 U89082 ( .A(n74632), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[5]), .Y(n74650) );
+  sky130_fd_sc_hd__xnor2_1 U89083 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[3]), .Y(n70736) );
+  sky130_fd_sc_hd__nand2_1 U89084 ( .A(n70725), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[1]), .Y(n74641) );
+  sky130_fd_sc_hd__xor2_1 U89085 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[2]), .X(n70730) );
+  sky130_fd_sc_hd__xnor2_1 U89086 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[0]), .Y(n70728) );
+  sky130_fd_sc_hd__xor2_1 U89087 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[1]), .X(n70726) );
+  sky130_fd_sc_hd__nand2_1 U89088 ( .A(n74634), .B(n70726), .Y(n70727) );
+  sky130_fd_sc_hd__o211ai_1 U89089 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_cfg_a[0]), .A2(n70728), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_cfg_a[1]), .C1(n70727), .Y(n70729) );
+  sky130_fd_sc_hd__a21oi_1 U89090 ( .A1(n74641), .A2(n70730), .B1(n70729), .Y(
+        n70735) );
+  sky130_fd_sc_hd__or2_0 U89091 ( .A(n70732), .B(n70731), .X(n74643) );
+  sky130_fd_sc_hd__xor2_1 U89092 ( .A(n72064), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[4]), .X(n70733) );
+  sky130_fd_sc_hd__nand2_1 U89093 ( .A(n74643), .B(n70733), .Y(n70734) );
+  sky130_fd_sc_hd__o211ai_1 U89094 ( .A1(n70736), .A2(n74646), .B1(n70735), 
+        .C1(n70734), .Y(n70740) );
+  sky130_fd_sc_hd__xnor2_1 U89095 ( .A(n72059), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[5]), .Y(n70738) );
+  sky130_fd_sc_hd__xnor2_1 U89096 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[8]), .Y(n70737) );
+  sky130_fd_sc_hd__o22ai_1 U89097 ( .A1(n70738), .A2(n74632), .B1(n74631), 
+        .B2(n70737), .Y(n70739) );
+  sky130_fd_sc_hd__a211oi_1 U89098 ( .A1(n70741), .A2(n74650), .B1(n70740), 
+        .C1(n70739), .Y(n70742) );
+  sky130_fd_sc_hd__a211oi_1 U89100 ( .A1(n74662), .A2(n70746), .B1(n70745), 
+        .C1(n70744), .Y(n70770) );
+  sky130_fd_sc_hd__xor2_1 U89101 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[17]), .X(n70757) );
+  sky130_fd_sc_hd__xnor2_1 U89102 ( .A(n85634), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[7]), .Y(n70751) );
+  sky130_fd_sc_hd__xnor2_1 U89103 ( .A(n85633), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[9]), .Y(n70750) );
+  sky130_fd_sc_hd__clkinv_1 U89104 ( .A(n74677), .Y(n70749) );
+  sky130_fd_sc_hd__o22ai_1 U89105 ( .A1(n70751), .A2(n74681), .B1(n70750), 
+        .B2(n70749), .Y(n70756) );
+  sky130_fd_sc_hd__xnor2_1 U89106 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[21]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[19]), .Y(n70754) );
+  sky130_fd_sc_hd__xnor2_1 U89107 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[18]), .Y(n70753) );
+  sky130_fd_sc_hd__nor2_1 U89108 ( .A(n70752), .B(n74701), .Y(n74674) );
+  sky130_fd_sc_hd__o22ai_1 U89109 ( .A1(n70754), .A2(n74670), .B1(n70753), 
+        .B2(n74674), .Y(n70755) );
+  sky130_fd_sc_hd__a211oi_1 U89110 ( .A1(n70757), .A2(n74672), .B1(n70756), 
+        .C1(n70755), .Y(n70769) );
+  sky130_fd_sc_hd__xor2_1 U89111 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[14]), .X(n70765) );
+  sky130_fd_sc_hd__clkinv_1 U89112 ( .A(n70758), .Y(n74696) );
+  sky130_fd_sc_hd__nand2_1 U89113 ( .A(n74696), .B(n70760), .Y(n74692) );
+  sky130_fd_sc_hd__xnor2_1 U89114 ( .A(n85637), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[11]), .Y(n70763) );
+  sky130_fd_sc_hd__xnor2_1 U89115 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[13]), .Y(n70762) );
+  sky130_fd_sc_hd__clkinv_1 U89116 ( .A(n74692), .Y(n70761) );
+  sky130_fd_sc_hd__o22ai_1 U89117 ( .A1(n70763), .A2(n74696), .B1(n70762), 
+        .B2(n70761), .Y(n70764) );
+  sky130_fd_sc_hd__a21oi_1 U89118 ( .A1(n70765), .A2(n74700), .B1(n70764), .Y(
+        n70768) );
+  sky130_fd_sc_hd__xor2_1 U89119 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[15]), .X(n70766) );
+  sky130_fd_sc_hd__nand2_1 U89120 ( .A(n74701), .B(n70766), .Y(n70767) );
+  sky130_fd_sc_hd__nand4_1 U89121 ( .A(n70770), .B(n70769), .C(n70768), .D(
+        n70767), .Y(n70771) );
+  sky130_fd_sc_hd__a21oi_1 U89122 ( .A1(n70772), .A2(n74709), .B1(n70771), .Y(
+        n70773) );
+  sky130_fd_sc_hd__a21oi_1 U89124 ( .A1(n74715), .A2(n70776), .B1(n70775), .Y(
+        n70782) );
+  sky130_fd_sc_hd__ha_1 U89125 ( .A(n70777), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[24]), .COUT(n70701), .SUM(n70778) );
+  sky130_fd_sc_hd__nand2_1 U89126 ( .A(n70779), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[24]), .Y(n74717) );
+  sky130_fd_sc_hd__xor2_1 U89127 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[25]), .X(n70780) );
+  sky130_fd_sc_hd__nand2_1 U89128 ( .A(n74717), .B(n70780), .Y(n70781) );
+  sky130_fd_sc_hd__o211ai_1 U89129 ( .A1(n74721), .A2(n70783), .B1(n70782), 
+        .C1(n70781), .Y(n70784) );
+  sky130_fd_sc_hd__a21oi_1 U89130 ( .A1(n74724), .A2(n70785), .B1(n70784), .Y(
+        n70786) );
+  sky130_fd_sc_hd__o21ai_1 U89131 ( .A1(n70787), .A2(n74726), .B1(n70786), .Y(
+        n70788) );
+  sky130_fd_sc_hd__a21oi_1 U89132 ( .A1(n70790), .A2(n70789), .B1(n70788), .Y(
+        n70791) );
+  sky130_fd_sc_hd__a21oi_1 U89133 ( .A1(n71002), .A2(n74731), .B1(n70791), .Y(
+        n71189) );
+  sky130_fd_sc_hd__a211oi_1 U89134 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_1_status_prv[1]), .A2(n70792), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_cfg_x), .C1(n71189), .Y(n72127) );
+  sky130_fd_sc_hd__nand2_1 U89135 ( .A(n70793), .B(n73166), .Y(n70794) );
+  sky130_fd_sc_hd__o2bb2ai_1 U89136 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .B2(n70795), .A1_N(n71702), .A2_N(n70794), .Y(n70811) );
+  sky130_fd_sc_hd__nand3_1 U89137 ( .A(n70796), .B(n70810), .C(n71702), .Y(
+        n70798) );
+  sky130_fd_sc_hd__nor2_1 U89138 ( .A(n70798), .B(n70797), .Y(n70807) );
+  sky130_fd_sc_hd__nor3_1 U89139 ( .A(n85637), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .Y(n70803) );
+  sky130_fd_sc_hd__nand2_1 U89140 ( .A(n66438), .B(n73166), .Y(n70801) );
+  sky130_fd_sc_hd__nor2_1 U89141 ( .A(n70801), .B(n70800), .Y(n70802) );
+  sky130_fd_sc_hd__nand3_1 U89142 ( .A(n70807), .B(n70803), .C(n70802), .Y(
+        n70812) );
+  sky130_fd_sc_hd__nand4_1 U89143 ( .A(n72363), .B(n70804), .C(n85637), .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .Y(n70805) );
+  sky130_fd_sc_hd__a21oi_1 U89144 ( .A1(n70805), .A2(n72276), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .Y(n70806) );
+  sky130_fd_sc_hd__nand2_1 U89145 ( .A(n70807), .B(n70806), .Y(n70808) );
+  sky130_fd_sc_hd__nand2_1 U89146 ( .A(n70812), .B(n70808), .Y(n70809) );
+  sky130_fd_sc_hd__a21oi_1 U89147 ( .A1(n70811), .A2(n70810), .B1(n70809), .Y(
+        n73165) );
+  sky130_fd_sc_hd__nand2_1 U89148 ( .A(n71702), .B(n73166), .Y(n70814) );
+  sky130_fd_sc_hd__nor4_1 U89149 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[18]), .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[25]), .Y(n70813) );
+  sky130_fd_sc_hd__o22ai_1 U89150 ( .A1(n70814), .A2(n70813), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_status_debug), .B2(n70812), .Y(n72126) );
+  sky130_fd_sc_hd__a21oi_1 U89151 ( .A1(n70815), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_1_status_prv[1]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_cfg_x), .Y(n71187) );
+  sky130_fd_sc_hd__nor2_1 U89152 ( .A(n71568), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[23]), .Y(n70824) );
+  sky130_fd_sc_hd__a21oi_1 U89153 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .A2(n74319), .B1(n70824), .Y(n70827) );
+  sky130_fd_sc_hd__nand2_1 U89154 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .B(n70823), .Y(n70821) );
+  sky130_fd_sc_hd__o211ai_1 U89155 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[20]), .A2(n70816), .B1(n70827), .C1(n70821), .Y(n70866) );
+  sky130_fd_sc_hd__nor2_1 U89156 ( .A(n71920), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[19]), .Y(n70818) );
+  sky130_fd_sc_hd__a21oi_1 U89157 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .A2(n74267), .B1(n70818), .Y(n70869) );
+  sky130_fd_sc_hd__nand2_1 U89158 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .B(n74310), .Y(n70830) );
+  sky130_fd_sc_hd__nand2_1 U89159 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[16]), .B(n70830), .Y(n70817) );
+  sky130_fd_sc_hd__o22ai_1 U89160 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .A2(n74310), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[18]), .B2(n70817), .Y(n70820) );
+  sky130_fd_sc_hd__nor3_1 U89161 ( .A(n74267), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .C(n70818), .Y(n70819) );
+  sky130_fd_sc_hd__a221oi_1 U89162 ( .A1(n70869), .A2(n70820), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[19]), .B2(n71920), .C1(n70819), .Y(n70829) );
+  sky130_fd_sc_hd__nand2_1 U89163 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[20]), .B(n70821), .Y(n70822) );
+  sky130_fd_sc_hd__o22ai_1 U89164 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .A2(n70823), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[22]), .B2(n70822), .Y(n70826) );
+  sky130_fd_sc_hd__nor3_1 U89165 ( .A(n74319), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .C(n70824), .Y(n70825) );
+  sky130_fd_sc_hd__a221oi_1 U89166 ( .A1(n70827), .A2(n70826), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[23]), .B2(n71568), .C1(n70825), .Y(n70828) );
+  sky130_fd_sc_hd__o21ai_1 U89167 ( .A1(n70866), .A2(n70829), .B1(n70828), .Y(
+        n70871) );
+  sky130_fd_sc_hd__nor2b_1 U89169 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[13]), .Y(n70857) );
+  sky130_fd_sc_hd__nand2_1 U89170 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .B(n74268), .Y(n70855) );
+  sky130_fd_sc_hd__o21ai_1 U89171 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[14]), .A2(n72276), .B1(n70855), .Y(n70858) );
+  sky130_fd_sc_hd__a211oi_1 U89172 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .A2(n74270), .B1(n70857), .C1(n70858), .Y(n70865) );
+  sky130_fd_sc_hd__nor2_1 U89173 ( .A(n71575), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[9]), .Y(n70852) );
+  sky130_fd_sc_hd__nor3b_1 U89174 ( .C_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[8]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .B(n70852), .Y(n70831) );
+  sky130_fd_sc_hd__a21oi_1 U89175 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[9]), .A2(n71575), .B1(n70831), .Y(n70834) );
+  sky130_fd_sc_hd__nand2_1 U89176 ( .A(n85637), .B(n74296), .Y(n70832) );
+  sky130_fd_sc_hd__nand3_1 U89178 ( .A(n71652), .B(n70832), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[10]), .Y(n70833) );
+  sky130_fd_sc_hd__o221ai_1 U89179 ( .A1(n85637), .A2(n74296), .B1(n70834), 
+        .B2(n70851), .C1(n70833), .Y(n70864) );
+  sky130_fd_sc_hd__nor2_1 U89180 ( .A(n71585), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[3]), .Y(n70836) );
+  sky130_fd_sc_hd__a21oi_1 U89181 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .A2(n74282), .B1(n70836), .Y(n70839) );
+  sky130_fd_sc_hd__o2bb2ai_1 U89183 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .B2(n70835), .A1_N(n41845), .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[1]), .Y(n70838) );
+  sky130_fd_sc_hd__nor3_1 U89184 ( .A(n74282), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .C(n70836), .Y(n70837) );
+  sky130_fd_sc_hd__a221o_1 U89185 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[3]), .A2(n71585), .B1(n70839), .B2(n70838), .C1(n70837), .X(n70844) );
+  sky130_fd_sc_hd__nand2_1 U89186 ( .A(n72059), .B(n74291), .Y(n70843) );
+  sky130_fd_sc_hd__nand2b_1 U89187 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[4]), .B(n72064), .Y(n70842) );
+  sky130_fd_sc_hd__nand2_1 U89188 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[4]), .B(n70843), .Y(n70840) );
+  sky130_fd_sc_hd__o22ai_1 U89189 ( .A1(n72064), .A2(n70840), .B1(n72059), 
+        .B2(n74291), .Y(n70841) );
+  sky130_fd_sc_hd__a31oi_1 U89190 ( .A1(n70844), .A2(n70843), .A3(n70842), 
+        .B1(n70841), .Y(n70849) );
+  sky130_fd_sc_hd__nor2_1 U89191 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[7]), .B(n58336), .Y(n70848) );
+  sky130_fd_sc_hd__nor2b_1 U89192 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[6]), .Y(n70847) );
+  sky130_fd_sc_hd__nor2_1 U89193 ( .A(n70848), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n70845) );
+  sky130_fd_sc_hd__a22oi_1 U89194 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[7]), .A2(n58336), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[6]), .B2(n70845), .Y(n70846) );
+  sky130_fd_sc_hd__o31ai_1 U89195 ( .A1(n70849), .A2(n70848), .A3(n70847), 
+        .B1(n70846), .Y(n70850) );
+  sky130_fd_sc_hd__nand2_1 U89196 ( .A(n70865), .B(n70850), .Y(n70854) );
+  sky130_fd_sc_hd__nor2b_1 U89197 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[8]), .Y(n70853) );
+  sky130_fd_sc_hd__nor4_1 U89198 ( .A(n70854), .B(n70853), .C(n70852), .D(
+        n70851), .Y(n70863) );
+  sky130_fd_sc_hd__nand2_1 U89199 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[14]), .B(n70855), .Y(n70856) );
+  sky130_fd_sc_hd__o22ai_1 U89200 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .A2(n74268), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[16]), .B2(n70856), .Y(n70862) );
+  sky130_fd_sc_hd__nand2b_1 U89201 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[13]), .Y(n70860) );
+  sky130_fd_sc_hd__or3_1 U89202 ( .A(n70857), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .C(n74270), .X(n70859) );
+  sky130_fd_sc_hd__a21oi_1 U89203 ( .A1(n70860), .A2(n70859), .B1(n70858), .Y(
+        n70861) );
+  sky130_fd_sc_hd__a2111oi_0 U89204 ( .A1(n70865), .A2(n70864), .B1(n70863), 
+        .C1(n70862), .D1(n70861), .Y(n70867) );
+  sky130_fd_sc_hd__nor4b_1 U89205 ( .D_N(n70869), .A(n70868), .B(n70867), .C(
+        n70866), .Y(n70870) );
+  sky130_fd_sc_hd__nor2_1 U89206 ( .A(n70871), .B(n70870), .Y(n70888) );
+  sky130_fd_sc_hd__nor2_1 U89207 ( .A(n71702), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[27]), .Y(n70877) );
+  sky130_fd_sc_hd__a21oi_1 U89208 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .A2(n70878), .B1(n70877), .Y(n70881) );
+  sky130_fd_sc_hd__nand2_1 U89209 ( .A(n70882), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .Y(n70873) );
+  sky130_fd_sc_hd__nand2_1 U89210 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .B(n70875), .Y(n70874) );
+  sky130_fd_sc_hd__nand2b_1 U89211 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .Y(n70872) );
+  sky130_fd_sc_hd__nand4_1 U89212 ( .A(n70881), .B(n70873), .C(n70874), .D(
+        n70872), .Y(n70887) );
+  sky130_fd_sc_hd__nand2_1 U89213 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[24]), .B(n70874), .Y(n70876) );
+  sky130_fd_sc_hd__o22ai_1 U89214 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .A2(n70876), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .B2(n70875), .Y(n70880) );
+  sky130_fd_sc_hd__nor3_1 U89215 ( .A(n70878), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .C(n70877), .Y(n70879) );
+  sky130_fd_sc_hd__a221oi_1 U89216 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[27]), .A2(n71702), .B1(n70881), .B2(n70880), .C1(n70879), .Y(n70883) );
+  sky130_fd_sc_hd__o21ai_1 U89217 ( .A1(n70883), .A2(n70882), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .Y(n70884) );
+  sky130_fd_sc_hd__o21a_1 U89219 ( .A1(n70888), .A2(n70887), .B1(n70886), .X(
+        n71182) );
+  sky130_fd_sc_hd__nand2_1 U89220 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[4]), .Y(n70930) );
+  sky130_fd_sc_hd__nand2_1 U89221 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[5]), .Y(n70889) );
+  sky130_fd_sc_hd__nor2_1 U89222 ( .A(n70930), .B(n70889), .Y(n70891) );
+  sky130_fd_sc_hd__nand2_1 U89223 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_cfg_a[0]), .Y(n74516) );
+  sky130_fd_sc_hd__nand2_1 U89224 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[2]), .Y(n70890) );
+  sky130_fd_sc_hd__nor2_1 U89225 ( .A(n74516), .B(n70890), .Y(n70920) );
+  sky130_fd_sc_hd__nand2_1 U89226 ( .A(n70891), .B(n70920), .Y(n74548) );
+  sky130_fd_sc_hd__nand2_1 U89227 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[10]), .Y(n70892) );
+  sky130_fd_sc_hd__nand2_1 U89228 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[8]), .Y(n70950) );
+  sky130_fd_sc_hd__nor2_1 U89229 ( .A(n70892), .B(n70950), .Y(n70940) );
+  sky130_fd_sc_hd__nand2_1 U89230 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[12]), .Y(n70941) );
+  sky130_fd_sc_hd__nand2_1 U89231 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[13]), .Y(n70893) );
+  sky130_fd_sc_hd__nor2_1 U89232 ( .A(n70941), .B(n70893), .Y(n70894) );
+  sky130_fd_sc_hd__nand2_1 U89233 ( .A(n70940), .B(n70894), .Y(n70895) );
+  sky130_fd_sc_hd__nor2_1 U89234 ( .A(n74548), .B(n70895), .Y(n70918) );
+  sky130_fd_sc_hd__nand2_1 U89235 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[15]), .Y(n70949) );
+  sky130_fd_sc_hd__nor2_1 U89236 ( .A(n74310), .B(n70949), .Y(n70896) );
+  sky130_fd_sc_hd__nand2_1 U89237 ( .A(n70918), .B(n70896), .Y(n74567) );
+  sky130_fd_sc_hd__nand2_1 U89238 ( .A(n70897), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[27]), .Y(n74499) );
+  sky130_fd_sc_hd__xor2_1 U89239 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[28]), .X(n70898) );
+  sky130_fd_sc_hd__nand2_1 U89240 ( .A(n74499), .B(n70898), .Y(n70999) );
+  sky130_fd_sc_hd__ha_1 U89241 ( .A(n70899), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[25]), .COUT(n70993), .SUM(n70900) );
+  sky130_fd_sc_hd__nand2_1 U89242 ( .A(n70901), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[25]), .Y(n74603) );
+  sky130_fd_sc_hd__xor2_1 U89243 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[26]), .X(n70992) );
+  sky130_fd_sc_hd__xnor2_1 U89244 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[25]), .Y(n70990) );
+  sky130_fd_sc_hd__ha_1 U89245 ( .A(n70902), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[24]), .COUT(n70899), .SUM(n70903) );
+  sky130_fd_sc_hd__nor2_1 U89246 ( .A(n70904), .B(n70903), .Y(n74599) );
+  sky130_fd_sc_hd__ha_1 U89247 ( .A(n70905), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[23]), .COUT(n70902), .SUM(n70906) );
+  sky130_fd_sc_hd__nand2_1 U89248 ( .A(n70907), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[23]), .Y(n74597) );
+  sky130_fd_sc_hd__xor2_1 U89249 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[24]), .X(n70988) );
+  sky130_fd_sc_hd__ha_1 U89250 ( .A(n70908), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[22]), .COUT(n70905), .SUM(n70909) );
+  sky130_fd_sc_hd__nand2_1 U89251 ( .A(n70910), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[22]), .Y(n74502) );
+  sky130_fd_sc_hd__xor2_1 U89252 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[25]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[23]), .X(n70911) );
+  sky130_fd_sc_hd__nand2_1 U89253 ( .A(n74502), .B(n70911), .Y(n70986) );
+  sky130_fd_sc_hd__ha_1 U89254 ( .A(n70912), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[20]), .COUT(n70980), .SUM(n70913) );
+  sky130_fd_sc_hd__clkinv_1 U89255 ( .A(n70913), .Y(n70914) );
+  sky130_fd_sc_hd__nand2_1 U89256 ( .A(n70914), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[20]), .Y(n74586) );
+  sky130_fd_sc_hd__xor2_1 U89257 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[21]), .X(n70979) );
+  sky130_fd_sc_hd__xnor2_1 U89258 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[22]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[20]), .Y(n70977) );
+  sky130_fd_sc_hd__ha_1 U89259 ( .A(n70915), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[19]), .COUT(n70912), .SUM(n70916) );
+  sky130_fd_sc_hd__nor2_1 U89260 ( .A(n70917), .B(n70916), .Y(n74582) );
+  sky130_fd_sc_hd__xor2_1 U89261 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[15]), .X(n70919) );
+  sky130_fd_sc_hd__nand2_1 U89262 ( .A(n74505), .B(n70919), .Y(n70948) );
+  sky130_fd_sc_hd__xor2_1 U89263 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[18]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[16]), .X(n70939) );
+  sky130_fd_sc_hd__nor2_1 U89264 ( .A(n74286), .B(n74521), .Y(n74527) );
+  sky130_fd_sc_hd__xnor2_1 U89265 ( .A(n72064), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[4]), .Y(n70934) );
+  sky130_fd_sc_hd__xor2_1 U89266 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[3]), .X(n70929) );
+  sky130_fd_sc_hd__nand2_1 U89267 ( .A(n70921), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[1]), .Y(n74508) );
+  sky130_fd_sc_hd__xor2_1 U89268 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[2]), .X(n70922) );
+  sky130_fd_sc_hd__nand2_1 U89269 ( .A(n74508), .B(n70922), .Y(n70927) );
+  sky130_fd_sc_hd__xor2_1 U89270 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[1]), .X(n70925) );
+  sky130_fd_sc_hd__xnor2_1 U89271 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[0]), .Y(n70923) );
+  sky130_fd_sc_hd__a21oi_1 U89273 ( .A1(n74516), .A2(n70925), .B1(n70924), .Y(
+        n70926) );
+  sky130_fd_sc_hd__nand2_1 U89274 ( .A(n70927), .B(n70926), .Y(n70928) );
+  sky130_fd_sc_hd__a21oi_1 U89275 ( .A1(n74521), .A2(n70929), .B1(n70928), .Y(
+        n70933) );
+  sky130_fd_sc_hd__nor2_1 U89276 ( .A(n70930), .B(n74521), .Y(n74530) );
+  sky130_fd_sc_hd__nand2_1 U89277 ( .A(n74530), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[5]), .Y(n74523) );
+  sky130_fd_sc_hd__xor2_1 U89278 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[6]), .X(n70931) );
+  sky130_fd_sc_hd__nand2_1 U89279 ( .A(n74523), .B(n70931), .Y(n70932) );
+  sky130_fd_sc_hd__o211ai_1 U89280 ( .A1(n74527), .A2(n70934), .B1(n70933), 
+        .C1(n70932), .Y(n70938) );
+  sky130_fd_sc_hd__xnor2_1 U89281 ( .A(n72059), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[5]), .Y(n70936) );
+  sky130_fd_sc_hd__xnor2_1 U89282 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[8]), .Y(n70935) );
+  sky130_fd_sc_hd__o22ai_1 U89283 ( .A1(n70936), .A2(n74530), .B1(n74529), 
+        .B2(n70935), .Y(n70937) );
+  sky130_fd_sc_hd__a211oi_1 U89284 ( .A1(n74543), .A2(n70939), .B1(n70938), 
+        .C1(n70937), .Y(n70947) );
+  sky130_fd_sc_hd__xor2_1 U89285 ( .A(n85637), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[11]), .X(n70945) );
+  sky130_fd_sc_hd__nand2_1 U89286 ( .A(n70952), .B(n70940), .Y(n74540) );
+  sky130_fd_sc_hd__xnor2_1 U89287 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[13]), .Y(n70944) );
+  sky130_fd_sc_hd__clkinv_1 U89288 ( .A(n74540), .Y(n70943) );
+  sky130_fd_sc_hd__clkinv_1 U89289 ( .A(n70941), .Y(n70942) );
+  sky130_fd_sc_hd__nand2_1 U89290 ( .A(n70943), .B(n70942), .Y(n70964) );
+  sky130_fd_sc_hd__clkinv_1 U89291 ( .A(n70964), .Y(n74538) );
+  sky130_fd_sc_hd__a2bb2oi_1 U89292 ( .B1(n70945), .B2(n74540), .A1_N(n70944), 
+        .A2_N(n74538), .Y(n70946) );
+  sky130_fd_sc_hd__nand3_1 U89293 ( .A(n70948), .B(n70947), .C(n70946), .Y(
+        n70975) );
+  sky130_fd_sc_hd__xor2_1 U89294 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[17]), .X(n70957) );
+  sky130_fd_sc_hd__xnor2_1 U89295 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[10]), .Y(n70955) );
+  sky130_fd_sc_hd__clkinv_1 U89296 ( .A(n70950), .Y(n70951) );
+  sky130_fd_sc_hd__nand2_1 U89297 ( .A(n70952), .B(n70951), .Y(n70958) );
+  sky130_fd_sc_hd__nor2_1 U89298 ( .A(n70953), .B(n70958), .Y(n74563) );
+  sky130_fd_sc_hd__xnor2_1 U89299 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[12]), .Y(n70954) );
+  sky130_fd_sc_hd__nor2_1 U89300 ( .A(n74296), .B(n74540), .Y(n74561) );
+  sky130_fd_sc_hd__o22ai_1 U89301 ( .A1(n70955), .A2(n74563), .B1(n70954), 
+        .B2(n74561), .Y(n70956) );
+  sky130_fd_sc_hd__a21oi_1 U89302 ( .A1(n70957), .A2(n74556), .B1(n70956), .Y(
+        n70973) );
+  sky130_fd_sc_hd__xor2_1 U89303 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[18]), .X(n70963) );
+  sky130_fd_sc_hd__xnor2_1 U89304 ( .A(n85633), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[9]), .Y(n70961) );
+  sky130_fd_sc_hd__clkinv_1 U89305 ( .A(n70958), .Y(n74552) );
+  sky130_fd_sc_hd__xor2_1 U89306 ( .A(n85634), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[7]), .X(n70959) );
+  sky130_fd_sc_hd__nand2_1 U89307 ( .A(n74548), .B(n70959), .Y(n70960) );
+  sky130_fd_sc_hd__a21oi_1 U89309 ( .A1(n74567), .A2(n70963), .B1(n70962), .Y(
+        n70972) );
+  sky130_fd_sc_hd__xor2_1 U89310 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[14]), .X(n70965) );
+  sky130_fd_sc_hd__nand2_1 U89311 ( .A(n74568), .B(n70965), .Y(n70971) );
+  sky130_fd_sc_hd__ha_1 U89312 ( .A(n70966), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[18]), .COUT(n70915), .SUM(n70967) );
+  sky130_fd_sc_hd__clkinv_1 U89313 ( .A(n70967), .Y(n70968) );
+  sky130_fd_sc_hd__nand2_1 U89314 ( .A(n70968), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[18]), .Y(n74572) );
+  sky130_fd_sc_hd__xor2_1 U89315 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[21]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[19]), .X(n70969) );
+  sky130_fd_sc_hd__nand2_1 U89316 ( .A(n74572), .B(n70969), .Y(n70970) );
+  sky130_fd_sc_hd__nand4_1 U89317 ( .A(n70973), .B(n70972), .C(n70971), .D(
+        n70970), .Y(n70974) );
+  sky130_fd_sc_hd__nor2_1 U89318 ( .A(n70975), .B(n70974), .Y(n70976) );
+  sky130_fd_sc_hd__o21ai_1 U89319 ( .A1(n70977), .A2(n74582), .B1(n70976), .Y(
+        n70978) );
+  sky130_fd_sc_hd__a21oi_1 U89320 ( .A1(n74586), .A2(n70979), .B1(n70978), .Y(
+        n70985) );
+  sky130_fd_sc_hd__ha_1 U89321 ( .A(n70980), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[21]), .COUT(n70908), .SUM(n70981) );
+  sky130_fd_sc_hd__clkinv_1 U89322 ( .A(n70981), .Y(n70982) );
+  sky130_fd_sc_hd__nand2_1 U89323 ( .A(n70982), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[21]), .Y(n74589) );
+  sky130_fd_sc_hd__xor2_1 U89324 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[22]), .X(n70983) );
+  sky130_fd_sc_hd__nand2_1 U89325 ( .A(n74589), .B(n70983), .Y(n70984) );
+  sky130_fd_sc_hd__nand3_1 U89326 ( .A(n70986), .B(n70985), .C(n70984), .Y(
+        n70987) );
+  sky130_fd_sc_hd__a21oi_1 U89327 ( .A1(n74597), .A2(n70988), .B1(n70987), .Y(
+        n70989) );
+  sky130_fd_sc_hd__a21oi_1 U89329 ( .A1(n74603), .A2(n70992), .B1(n70991), .Y(
+        n70998) );
+  sky130_fd_sc_hd__ha_1 U89330 ( .A(n70993), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[26]), .COUT(n70897), .SUM(n70994) );
+  sky130_fd_sc_hd__nand2_1 U89331 ( .A(n70995), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[26]), .Y(n74605) );
+  sky130_fd_sc_hd__xor2_1 U89332 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[29]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[27]), .X(n70996) );
+  sky130_fd_sc_hd__nand2_1 U89333 ( .A(n74605), .B(n70996), .Y(n70997) );
+  sky130_fd_sc_hd__nand3_1 U89334 ( .A(n70999), .B(n70998), .C(n70997), .Y(
+        n71000) );
+  sky130_fd_sc_hd__o31ai_1 U89335 ( .A1(n71002), .A2(n71001), .A3(n71182), 
+        .B1(n71000), .Y(n71193) );
+  sky130_fd_sc_hd__xnor2_1 U89336 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[27]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[29]), .Y(n71103) );
+  sky130_fd_sc_hd__nand2_1 U89337 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[5]), .Y(n71003) );
+  sky130_fd_sc_hd__nand2_1 U89338 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[4]), .Y(n71054) );
+  sky130_fd_sc_hd__nor2_1 U89339 ( .A(n71003), .B(n71054), .Y(n71005) );
+  sky130_fd_sc_hd__nand2_1 U89340 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_cfg_a[0]), .Y(n74354) );
+  sky130_fd_sc_hd__nand2_1 U89341 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[2]), .Y(n71004) );
+  sky130_fd_sc_hd__nor2_1 U89342 ( .A(n74354), .B(n71004), .Y(n74363) );
+  sky130_fd_sc_hd__nand2_1 U89343 ( .A(n71005), .B(n74363), .Y(n71027) );
+  sky130_fd_sc_hd__nand2_1 U89344 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[10]), .Y(n71006) );
+  sky130_fd_sc_hd__nand2_1 U89345 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[8]), .Y(n71034) );
+  sky130_fd_sc_hd__nor2_1 U89346 ( .A(n71006), .B(n71034), .Y(n71028) );
+  sky130_fd_sc_hd__nand2_1 U89347 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[12]), .Y(n71029) );
+  sky130_fd_sc_hd__nand2_1 U89348 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[13]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[14]), .Y(n71007) );
+  sky130_fd_sc_hd__nor2_1 U89349 ( .A(n71029), .B(n71007), .Y(n71008) );
+  sky130_fd_sc_hd__nand2_1 U89350 ( .A(n71028), .B(n71008), .Y(n71009) );
+  sky130_fd_sc_hd__nor2_1 U89351 ( .A(n71027), .B(n71009), .Y(n71040) );
+  sky130_fd_sc_hd__nand2_1 U89352 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[15]), .Y(n71041) );
+  sky130_fd_sc_hd__nor2_1 U89353 ( .A(n74238), .B(n71041), .Y(n71010) );
+  sky130_fd_sc_hd__nor2_1 U89354 ( .A(n71172), .B(n71011), .Y(n74430) );
+  sky130_fd_sc_hd__ha_1 U89355 ( .A(n71012), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[25]), .COUT(n71104), .SUM(n71013) );
+  sky130_fd_sc_hd__nand2_1 U89356 ( .A(n71014), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[25]), .Y(n74428) );
+  sky130_fd_sc_hd__xor2_1 U89357 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[26]), .X(n71101) );
+  sky130_fd_sc_hd__ha_1 U89358 ( .A(n71015), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[23]), .COUT(n71093), .SUM(n71016) );
+  sky130_fd_sc_hd__nor2_1 U89359 ( .A(n71017), .B(n71016), .Y(n74425) );
+  sky130_fd_sc_hd__xnor2_1 U89360 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[24]), .Y(n71099) );
+  sky130_fd_sc_hd__ha_1 U89361 ( .A(n71018), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[22]), .COUT(n71015), .SUM(n71019) );
+  sky130_fd_sc_hd__nand2_1 U89362 ( .A(n71020), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[22]), .Y(n74419) );
+  sky130_fd_sc_hd__xor2_1 U89363 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[25]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[23]), .X(n71092) );
+  sky130_fd_sc_hd__ha_1 U89364 ( .A(n71021), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[20]), .COUT(n71084), .SUM(n71022) );
+  sky130_fd_sc_hd__nor2_1 U89365 ( .A(n71023), .B(n71022), .Y(n74336) );
+  sky130_fd_sc_hd__xnor2_1 U89366 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[21]), .Y(n71090) );
+  sky130_fd_sc_hd__xor2_1 U89367 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[22]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[20]), .X(n71083) );
+  sky130_fd_sc_hd__ha_1 U89368 ( .A(n71024), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[19]), .COUT(n71021), .SUM(n71025) );
+  sky130_fd_sc_hd__clkinv_1 U89369 ( .A(n71025), .Y(n71026) );
+  sky130_fd_sc_hd__nand2_1 U89370 ( .A(n71026), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[19]), .Y(n74412) );
+  sky130_fd_sc_hd__xnor2_1 U89371 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[14]), .Y(n71032) );
+  sky130_fd_sc_hd__nand2_1 U89372 ( .A(n74343), .B(n71028), .Y(n74381) );
+  sky130_fd_sc_hd__clkinv_1 U89373 ( .A(n74381), .Y(n71031) );
+  sky130_fd_sc_hd__clkinv_1 U89374 ( .A(n71029), .Y(n71030) );
+  sky130_fd_sc_hd__nand2_1 U89375 ( .A(n71031), .B(n71030), .Y(n74378) );
+  sky130_fd_sc_hd__nor2_1 U89376 ( .A(n74202), .B(n74378), .Y(n74348) );
+  sky130_fd_sc_hd__nor2_1 U89377 ( .A(n71032), .B(n74348), .Y(n71053) );
+  sky130_fd_sc_hd__xnor2_1 U89378 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[18]), .Y(n71033) );
+  sky130_fd_sc_hd__nor2_1 U89379 ( .A(n71033), .B(n74346), .Y(n71052) );
+  sky130_fd_sc_hd__xnor2_1 U89380 ( .A(n85633), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[9]), .Y(n71037) );
+  sky130_fd_sc_hd__clkinv_1 U89381 ( .A(n71034), .Y(n71035) );
+  sky130_fd_sc_hd__nand2_1 U89382 ( .A(n74343), .B(n71035), .Y(n71042) );
+  sky130_fd_sc_hd__clkinv_1 U89383 ( .A(n71042), .Y(n74344) );
+  sky130_fd_sc_hd__xnor2_1 U89384 ( .A(n85634), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[7]), .Y(n71036) );
+  sky130_fd_sc_hd__o22ai_1 U89385 ( .A1(n71037), .A2(n74344), .B1(n74343), 
+        .B2(n71036), .Y(n71051) );
+  sky130_fd_sc_hd__xnor2_1 U89386 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[21]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[19]), .Y(n71049) );
+  sky130_fd_sc_hd__ha_1 U89387 ( .A(n74346), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[18]), .COUT(n71024), .SUM(n71038) );
+  sky130_fd_sc_hd__clkinv_1 U89388 ( .A(n71038), .Y(n71039) );
+  sky130_fd_sc_hd__nand2_1 U89389 ( .A(n71039), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[18]), .Y(n74404) );
+  sky130_fd_sc_hd__clkinv_1 U89390 ( .A(n74404), .Y(n71048) );
+  sky130_fd_sc_hd__xor2_1 U89391 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[17]), .X(n71046) );
+  sky130_fd_sc_hd__xnor2_1 U89392 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[10]), .Y(n71044) );
+  sky130_fd_sc_hd__nor2_1 U89393 ( .A(n74222), .B(n71042), .Y(n74398) );
+  sky130_fd_sc_hd__xnor2_1 U89394 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[12]), .Y(n71043) );
+  sky130_fd_sc_hd__nor2_1 U89395 ( .A(n74204), .B(n74381), .Y(n74396) );
+  sky130_fd_sc_hd__o22ai_1 U89396 ( .A1(n71044), .A2(n74398), .B1(n71043), 
+        .B2(n74396), .Y(n71045) );
+  sky130_fd_sc_hd__a21oi_1 U89397 ( .A1(n71046), .A2(n74401), .B1(n71045), .Y(
+        n71047) );
+  sky130_fd_sc_hd__nor4_1 U89399 ( .A(n71053), .B(n71052), .C(n71051), .D(
+        n71050), .Y(n71081) );
+  sky130_fd_sc_hd__xor2_1 U89400 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[18]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[16]), .X(n71074) );
+  sky130_fd_sc_hd__xnor2_1 U89401 ( .A(n72059), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[5]), .Y(n71057) );
+  sky130_fd_sc_hd__nor2_1 U89402 ( .A(n71054), .B(n71058), .Y(n74370) );
+  sky130_fd_sc_hd__xnor2_1 U89403 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[8]), .Y(n71056) );
+  sky130_fd_sc_hd__nand2_1 U89404 ( .A(n74343), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[7]), .Y(n74372) );
+  sky130_fd_sc_hd__clkinv_1 U89405 ( .A(n74372), .Y(n71055) );
+  sky130_fd_sc_hd__o22ai_1 U89406 ( .A1(n71057), .A2(n74370), .B1(n71056), 
+        .B2(n71055), .Y(n71073) );
+  sky130_fd_sc_hd__xnor2_1 U89407 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[6]), .Y(n71071) );
+  sky130_fd_sc_hd__nor2_1 U89408 ( .A(n74212), .B(n71058), .Y(n74365) );
+  sky130_fd_sc_hd__clkinv_1 U89409 ( .A(n74365), .Y(n71069) );
+  sky130_fd_sc_hd__xor2_1 U89410 ( .A(n72064), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[4]), .X(n71068) );
+  sky130_fd_sc_hd__xnor2_1 U89411 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[3]), .Y(n71066) );
+  sky130_fd_sc_hd__nand2_1 U89412 ( .A(n71059), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[1]), .Y(n74361) );
+  sky130_fd_sc_hd__xor2_1 U89413 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[2]), .X(n71064) );
+  sky130_fd_sc_hd__xnor2_1 U89414 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[0]), .Y(n71062) );
+  sky130_fd_sc_hd__xor2_1 U89415 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[1]), .X(n71060) );
+  sky130_fd_sc_hd__nand2_1 U89416 ( .A(n74354), .B(n71060), .Y(n71061) );
+  sky130_fd_sc_hd__o211ai_1 U89417 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_cfg_a[0]), .A2(n71062), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_cfg_a[1]), .C1(n71061), .Y(n71063) );
+  sky130_fd_sc_hd__a21oi_1 U89418 ( .A1(n74361), .A2(n71064), .B1(n71063), .Y(
+        n71065) );
+  sky130_fd_sc_hd__a21oi_1 U89420 ( .A1(n71069), .A2(n71068), .B1(n71067), .Y(
+        n71070) );
+  sky130_fd_sc_hd__a211oi_1 U89422 ( .A1(n71074), .A2(n74384), .B1(n71073), 
+        .C1(n71072), .Y(n71080) );
+  sky130_fd_sc_hd__xor2_1 U89423 ( .A(n85637), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[11]), .X(n71076) );
+  sky130_fd_sc_hd__xor2_1 U89424 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[13]), .X(n71075) );
+  sky130_fd_sc_hd__a22oi_1 U89425 ( .A1(n74381), .A2(n71076), .B1(n71075), 
+        .B2(n74378), .Y(n71079) );
+  sky130_fd_sc_hd__xor2_1 U89426 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[15]), .X(n71077) );
+  sky130_fd_sc_hd__nand2_1 U89427 ( .A(n74393), .B(n71077), .Y(n71078) );
+  sky130_fd_sc_hd__nand4_1 U89428 ( .A(n71081), .B(n71080), .C(n71079), .D(
+        n71078), .Y(n71082) );
+  sky130_fd_sc_hd__a21oi_1 U89429 ( .A1(n71083), .A2(n74412), .B1(n71082), .Y(
+        n71089) );
+  sky130_fd_sc_hd__ha_1 U89430 ( .A(n71084), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[21]), .COUT(n71018), .SUM(n71085) );
+  sky130_fd_sc_hd__clkinv_1 U89431 ( .A(n71085), .Y(n71086) );
+  sky130_fd_sc_hd__nand2_1 U89432 ( .A(n71086), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[21]), .Y(n74339) );
+  sky130_fd_sc_hd__xor2_1 U89433 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[22]), .X(n71087) );
+  sky130_fd_sc_hd__nand2_1 U89434 ( .A(n74339), .B(n71087), .Y(n71088) );
+  sky130_fd_sc_hd__o211ai_1 U89435 ( .A1(n74336), .A2(n71090), .B1(n71089), 
+        .C1(n71088), .Y(n71091) );
+  sky130_fd_sc_hd__a21oi_1 U89436 ( .A1(n74419), .A2(n71092), .B1(n71091), .Y(
+        n71098) );
+  sky130_fd_sc_hd__ha_1 U89437 ( .A(n71093), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[24]), .COUT(n71012), .SUM(n71094) );
+  sky130_fd_sc_hd__nand2_1 U89438 ( .A(n71095), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[24]), .Y(n74421) );
+  sky130_fd_sc_hd__xor2_1 U89439 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[25]), .X(n71096) );
+  sky130_fd_sc_hd__nand2_1 U89440 ( .A(n74421), .B(n71096), .Y(n71097) );
+  sky130_fd_sc_hd__o211ai_1 U89441 ( .A1(n74425), .A2(n71099), .B1(n71098), 
+        .C1(n71097), .Y(n71100) );
+  sky130_fd_sc_hd__a21oi_1 U89442 ( .A1(n74428), .A2(n71101), .B1(n71100), .Y(
+        n71102) );
+  sky130_fd_sc_hd__o21ai_1 U89443 ( .A1(n71103), .A2(n74430), .B1(n71102), .Y(
+        n71185) );
+  sky130_fd_sc_hd__xnor2_1 U89444 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[28]), .Y(n71108) );
+  sky130_fd_sc_hd__ha_1 U89445 ( .A(n71104), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[26]), .COUT(n71105), .SUM(n71011) );
+  sky130_fd_sc_hd__clkinv_1 U89446 ( .A(n71105), .Y(n71106) );
+  sky130_fd_sc_hd__nor2_1 U89447 ( .A(n71107), .B(n71106), .Y(n74333) );
+  sky130_fd_sc_hd__nor2_1 U89448 ( .A(n71108), .B(n74333), .Y(n71184) );
+  sky130_fd_sc_hd__nor2_1 U89449 ( .A(n71568), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[23]), .Y(n71115) );
+  sky130_fd_sc_hd__a21oi_1 U89450 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .A2(n74248), .B1(n71115), .Y(n71118) );
+  sky130_fd_sc_hd__nand2_1 U89451 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .B(n74201), .Y(n71113) );
+  sky130_fd_sc_hd__o211ai_1 U89452 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[20]), .A2(n70816), .B1(n71118), .C1(n71113), .Y(n71160) );
+  sky130_fd_sc_hd__nor2_1 U89453 ( .A(n71920), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[19]), .Y(n71110) );
+  sky130_fd_sc_hd__a21oi_1 U89454 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .A2(n74240), .B1(n71110), .Y(n71163) );
+  sky130_fd_sc_hd__nand2_1 U89455 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .B(n74238), .Y(n71121) );
+  sky130_fd_sc_hd__nand2_1 U89456 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[16]), .B(n71121), .Y(n71109) );
+  sky130_fd_sc_hd__o22ai_1 U89457 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .A2(n74238), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[18]), .B2(n71109), .Y(n71112) );
+  sky130_fd_sc_hd__nor3_1 U89458 ( .A(n74240), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .C(n71110), .Y(n71111) );
+  sky130_fd_sc_hd__a221oi_1 U89459 ( .A1(n71163), .A2(n71112), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[19]), .B2(n71920), .C1(n71111), .Y(n71120) );
+  sky130_fd_sc_hd__nand2_1 U89460 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[20]), .B(n71113), .Y(n71114) );
+  sky130_fd_sc_hd__o22ai_1 U89461 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .A2(n74201), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[22]), .B2(n71114), .Y(n71117) );
+  sky130_fd_sc_hd__nor3_1 U89462 ( .A(n74248), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .C(n71115), .Y(n71116) );
+  sky130_fd_sc_hd__a221oi_1 U89463 ( .A1(n71118), .A2(n71117), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[23]), .B2(n71568), .C1(n71116), .Y(n71119) );
+  sky130_fd_sc_hd__o21ai_1 U89464 ( .A1(n71160), .A2(n71120), .B1(n71119), .Y(
+        n71165) );
+  sky130_fd_sc_hd__nor2b_1 U89466 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[13]), .Y(n71151) );
+  sky130_fd_sc_hd__nand2_1 U89467 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .B(n71150), .Y(n71148) );
+  sky130_fd_sc_hd__o21ai_1 U89468 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[14]), .A2(n72276), .B1(n71148), .Y(n71152) );
+  sky130_fd_sc_hd__a211oi_1 U89469 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .A2(n74203), .B1(n71151), .C1(n71152), .Y(n71159) );
+  sky130_fd_sc_hd__nor2_1 U89470 ( .A(n71575), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[9]), .Y(n71145) );
+  sky130_fd_sc_hd__nor3b_1 U89471 ( .C_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[8]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .B(n71145), .Y(n71122) );
+  sky130_fd_sc_hd__a21oi_1 U89472 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[9]), .A2(n71575), .B1(n71122), .Y(n71125) );
+  sky130_fd_sc_hd__nand2_1 U89473 ( .A(n85637), .B(n74204), .Y(n71123) );
+  sky130_fd_sc_hd__nand3_1 U89475 ( .A(n71652), .B(n71123), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[10]), .Y(n71124) );
+  sky130_fd_sc_hd__o221ai_1 U89476 ( .A1(n85637), .A2(n74204), .B1(n71125), 
+        .B2(n71144), .C1(n71124), .Y(n71158) );
+  sky130_fd_sc_hd__nor2_1 U89477 ( .A(n71585), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[3]), .Y(n71127) );
+  sky130_fd_sc_hd__a21oi_1 U89478 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .A2(n71128), .B1(n71127), .Y(n71131) );
+  sky130_fd_sc_hd__o2bb2ai_1 U89480 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .B2(n71126), .A1_N(n41845), .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[1]), .Y(n71130) );
+  sky130_fd_sc_hd__nor3_1 U89481 ( .A(n71128), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .C(n71127), .Y(n71129) );
+  sky130_fd_sc_hd__a221o_1 U89482 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[3]), .A2(n71585), .B1(n71131), .B2(n71130), .C1(n71129), .X(n71137) );
+  sky130_fd_sc_hd__nand2_1 U89483 ( .A(n72059), .B(n71132), .Y(n71136) );
+  sky130_fd_sc_hd__nand2b_1 U89484 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[4]), .B(n72064), .Y(n71135) );
+  sky130_fd_sc_hd__nand2_1 U89485 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[4]), .B(n71136), .Y(n71133) );
+  sky130_fd_sc_hd__o22ai_1 U89486 ( .A1(n72064), .A2(n71133), .B1(n72059), 
+        .B2(n71132), .Y(n71134) );
+  sky130_fd_sc_hd__a31oi_1 U89487 ( .A1(n71137), .A2(n71136), .A3(n71135), 
+        .B1(n71134), .Y(n71142) );
+  sky130_fd_sc_hd__nor2_1 U89488 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[7]), .B(n58336), .Y(n71141) );
+  sky130_fd_sc_hd__nor2b_1 U89489 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[6]), .Y(n71140) );
+  sky130_fd_sc_hd__nor2_1 U89490 ( .A(n71141), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n71138) );
+  sky130_fd_sc_hd__a22oi_1 U89491 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[7]), .A2(n58336), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[6]), .B2(n71138), .Y(n71139) );
+  sky130_fd_sc_hd__o31ai_1 U89492 ( .A1(n71142), .A2(n71141), .A3(n71140), 
+        .B1(n71139), .Y(n71143) );
+  sky130_fd_sc_hd__nand2_1 U89493 ( .A(n71159), .B(n71143), .Y(n71147) );
+  sky130_fd_sc_hd__nor2b_1 U89494 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[8]), .Y(n71146) );
+  sky130_fd_sc_hd__nor4_1 U89495 ( .A(n71147), .B(n71146), .C(n71145), .D(
+        n71144), .Y(n71157) );
+  sky130_fd_sc_hd__nand2_1 U89496 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[14]), .B(n71148), .Y(n71149) );
+  sky130_fd_sc_hd__o22ai_1 U89497 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .A2(n71150), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[16]), .B2(n71149), .Y(n71156) );
+  sky130_fd_sc_hd__nand2b_1 U89498 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[13]), .Y(n71154) );
+  sky130_fd_sc_hd__or3_1 U89499 ( .A(n71151), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .C(n74203), .X(n71153) );
+  sky130_fd_sc_hd__a21oi_1 U89500 ( .A1(n71154), .A2(n71153), .B1(n71152), .Y(
+        n71155) );
+  sky130_fd_sc_hd__a2111oi_0 U89501 ( .A1(n71159), .A2(n71158), .B1(n71157), 
+        .C1(n71156), .D1(n71155), .Y(n71161) );
+  sky130_fd_sc_hd__nor4b_1 U89502 ( .D_N(n71163), .A(n71162), .B(n71161), .C(
+        n71160), .Y(n71164) );
+  sky130_fd_sc_hd__nor2_1 U89503 ( .A(n71165), .B(n71164), .Y(n71181) );
+  sky130_fd_sc_hd__nor2_1 U89504 ( .A(n71702), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[27]), .Y(n71171) );
+  sky130_fd_sc_hd__a21oi_1 U89505 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .A2(n71172), .B1(n71171), .Y(n71175) );
+  sky130_fd_sc_hd__nand2_1 U89506 ( .A(n74200), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .Y(n71167) );
+  sky130_fd_sc_hd__nand2_1 U89507 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .B(n71169), .Y(n71168) );
+  sky130_fd_sc_hd__nand2b_1 U89508 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .Y(n71166) );
+  sky130_fd_sc_hd__nand4_1 U89509 ( .A(n71175), .B(n71167), .C(n71168), .D(
+        n71166), .Y(n71180) );
+  sky130_fd_sc_hd__nand2_1 U89510 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[24]), .B(n71168), .Y(n71170) );
+  sky130_fd_sc_hd__o22ai_1 U89511 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .A2(n71170), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .B2(n71169), .Y(n71174) );
+  sky130_fd_sc_hd__nor3_1 U89512 ( .A(n71172), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .C(n71171), .Y(n71173) );
+  sky130_fd_sc_hd__a221oi_1 U89513 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[27]), .A2(n71702), .B1(n71175), .B2(n71174), .C1(n71173), .Y(n71176) );
+  sky130_fd_sc_hd__o21ai_1 U89516 ( .A1(n71181), .A2(n71180), .B1(n71179), .Y(
+        n71442) );
+  sky130_fd_sc_hd__nand3_1 U89517 ( .A(n71182), .B(n71442), .C(n74262), .Y(
+        n71183) );
+  sky130_fd_sc_hd__nor3_1 U89519 ( .A(n71187), .B(n71193), .C(n71186), .Y(
+        n72124) );
+  sky130_fd_sc_hd__a21oi_1 U89520 ( .A1(n71188), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_1_status_prv[1]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_cfg_x), .Y(n71191) );
+  sky130_fd_sc_hd__nor2_1 U89522 ( .A(n71193), .B(n71192), .Y(n72117) );
+  sky130_fd_sc_hd__nor2_1 U89523 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_a[1]), .B(n71194), .Y(n75062) );
+  sky130_fd_sc_hd__nor2_1 U89524 ( .A(n71568), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[23]), .Y(n71201) );
+  sky130_fd_sc_hd__a21oi_1 U89525 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .A2(n71202), .B1(n71201), .Y(n71205) );
+  sky130_fd_sc_hd__nand2_1 U89526 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .B(n74901), .Y(n71199) );
+  sky130_fd_sc_hd__o211ai_1 U89527 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[20]), .A2(n70816), .B1(n71205), .C1(n71199), .Y(n71244) );
+  sky130_fd_sc_hd__nor2_1 U89528 ( .A(n71920), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[19]), .Y(n71196) );
+  sky130_fd_sc_hd__a21oi_1 U89529 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .A2(n75032), .B1(n71196), .Y(n71247) );
+  sky130_fd_sc_hd__nand2_1 U89530 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .B(n75029), .Y(n71208) );
+  sky130_fd_sc_hd__nand2_1 U89531 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[16]), .B(n71208), .Y(n71195) );
+  sky130_fd_sc_hd__o22ai_1 U89532 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .A2(n75029), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[18]), .B2(n71195), .Y(n71198) );
+  sky130_fd_sc_hd__nor3_1 U89533 ( .A(n75032), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .C(n71196), .Y(n71197) );
+  sky130_fd_sc_hd__a221oi_1 U89534 ( .A1(n71247), .A2(n71198), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[19]), .B2(n71920), .C1(n71197), .Y(n71207) );
+  sky130_fd_sc_hd__nand2_1 U89535 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[20]), .B(n71199), .Y(n71200) );
+  sky130_fd_sc_hd__o22ai_1 U89536 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .A2(n74901), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[22]), .B2(n71200), .Y(n71204) );
+  sky130_fd_sc_hd__nor3_1 U89537 ( .A(n71202), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .C(n71201), .Y(n71203) );
+  sky130_fd_sc_hd__a221oi_1 U89538 ( .A1(n71205), .A2(n71204), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[23]), .B2(n71568), .C1(n71203), .Y(n71206) );
+  sky130_fd_sc_hd__o21ai_1 U89539 ( .A1(n71244), .A2(n71207), .B1(n71206), .Y(
+        n71249) );
+  sky130_fd_sc_hd__nor2b_1 U89541 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[13]), .Y(n71235) );
+  sky130_fd_sc_hd__nand2_1 U89542 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .B(n74906), .Y(n71233) );
+  sky130_fd_sc_hd__a211oi_1 U89544 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .A2(n74927), .B1(n71235), .C1(n71236), .Y(n71243) );
+  sky130_fd_sc_hd__nor2_1 U89545 ( .A(n71575), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[9]), .Y(n71230) );
+  sky130_fd_sc_hd__nor3b_1 U89546 ( .C_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[8]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .B(n71230), .Y(n71209) );
+  sky130_fd_sc_hd__a21oi_1 U89547 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[9]), .A2(n71575), .B1(n71209), .Y(n71212) );
+  sky130_fd_sc_hd__nand2_1 U89548 ( .A(n85637), .B(n74919), .Y(n71210) );
+  sky130_fd_sc_hd__nand3_1 U89550 ( .A(n71652), .B(n71210), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[10]), .Y(n71211) );
+  sky130_fd_sc_hd__o221ai_1 U89551 ( .A1(n85637), .A2(n74919), .B1(n71212), 
+        .B2(n71229), .C1(n71211), .Y(n71242) );
+  sky130_fd_sc_hd__nor2_1 U89552 ( .A(n71585), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[3]), .Y(n71214) );
+  sky130_fd_sc_hd__a21oi_1 U89553 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .A2(n74999), .B1(n71214), .Y(n71217) );
+  sky130_fd_sc_hd__o21ai_1 U89554 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[1]), .A2(n41845), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[0]), .Y(n71213) );
+  sky130_fd_sc_hd__o2bb2ai_1 U89555 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .B2(n71213), .A1_N(n41845), .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[1]), .Y(n71216) );
+  sky130_fd_sc_hd__nor3_1 U89556 ( .A(n74999), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .C(n71214), .Y(n71215) );
+  sky130_fd_sc_hd__a221o_1 U89557 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[3]), .A2(n71585), .B1(n71217), .B2(n71216), .C1(n71215), .X(n71222) );
+  sky130_fd_sc_hd__nand2_1 U89558 ( .A(n72059), .B(n74995), .Y(n71221) );
+  sky130_fd_sc_hd__nand2b_1 U89559 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[4]), .B(n72064), .Y(n71220) );
+  sky130_fd_sc_hd__nand2_1 U89560 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[4]), .B(n71221), .Y(n71218) );
+  sky130_fd_sc_hd__o22ai_1 U89561 ( .A1(n72064), .A2(n71218), .B1(n72059), 
+        .B2(n74995), .Y(n71219) );
+  sky130_fd_sc_hd__a31oi_1 U89562 ( .A1(n71222), .A2(n71221), .A3(n71220), 
+        .B1(n71219), .Y(n71227) );
+  sky130_fd_sc_hd__nor2_1 U89563 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[7]), .B(n58336), .Y(n71226) );
+  sky130_fd_sc_hd__nor2b_1 U89564 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[6]), .Y(n71225) );
+  sky130_fd_sc_hd__nor2_1 U89565 ( .A(n71226), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n71223) );
+  sky130_fd_sc_hd__a22oi_1 U89566 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[7]), .A2(n58336), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[6]), .B2(n71223), .Y(n71224) );
+  sky130_fd_sc_hd__o31ai_1 U89567 ( .A1(n71227), .A2(n71226), .A3(n71225), 
+        .B1(n71224), .Y(n71228) );
+  sky130_fd_sc_hd__nand2_1 U89568 ( .A(n71243), .B(n71228), .Y(n71232) );
+  sky130_fd_sc_hd__nor2b_1 U89569 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[8]), .Y(n71231) );
+  sky130_fd_sc_hd__nor4_1 U89570 ( .A(n71232), .B(n71231), .C(n71230), .D(
+        n71229), .Y(n71241) );
+  sky130_fd_sc_hd__nand2_1 U89571 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[14]), .B(n71233), .Y(n71234) );
+  sky130_fd_sc_hd__o22ai_1 U89572 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .A2(n74906), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[16]), .B2(n71234), .Y(n71240) );
+  sky130_fd_sc_hd__nand2b_1 U89573 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[13]), .Y(n71238) );
+  sky130_fd_sc_hd__or3_1 U89574 ( .A(n71235), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .C(n74927), .X(n71237) );
+  sky130_fd_sc_hd__a21oi_1 U89575 ( .A1(n71238), .A2(n71237), .B1(n71236), .Y(
+        n71239) );
+  sky130_fd_sc_hd__a2111oi_0 U89576 ( .A1(n71243), .A2(n71242), .B1(n71241), 
+        .C1(n71240), .D1(n71239), .Y(n71245) );
+  sky130_fd_sc_hd__nor4b_1 U89577 ( .D_N(n71247), .A(n71246), .B(n71245), .C(
+        n71244), .Y(n71248) );
+  sky130_fd_sc_hd__nor2_1 U89578 ( .A(n71249), .B(n71248), .Y(n71264) );
+  sky130_fd_sc_hd__nor2_1 U89579 ( .A(n71702), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[27]), .Y(n71254) );
+  sky130_fd_sc_hd__a21oi_1 U89580 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .A2(n75052), .B1(n71254), .Y(n71257) );
+  sky130_fd_sc_hd__nand2_1 U89581 ( .A(n71258), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .Y(n71251) );
+  sky130_fd_sc_hd__nand2_1 U89582 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .B(n71347), .Y(n71252) );
+  sky130_fd_sc_hd__nand2b_1 U89583 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .Y(n71250) );
+  sky130_fd_sc_hd__nand4_1 U89584 ( .A(n71257), .B(n71251), .C(n71252), .D(
+        n71250), .Y(n71263) );
+  sky130_fd_sc_hd__nand2_1 U89585 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[24]), .B(n71252), .Y(n71253) );
+  sky130_fd_sc_hd__o22ai_1 U89586 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .A2(n71253), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .B2(n71347), .Y(n71256) );
+  sky130_fd_sc_hd__nor3_1 U89587 ( .A(n75052), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .C(n71254), .Y(n71255) );
+  sky130_fd_sc_hd__a221oi_1 U89588 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[27]), .A2(n71702), .B1(n71257), .B2(n71256), .C1(n71255), .Y(n71259) );
+  sky130_fd_sc_hd__o21ai_1 U89590 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[28]), .A2(n71261), .B1(n71260), .Y(n71262) );
+  sky130_fd_sc_hd__nor2_1 U89592 ( .A(n71568), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[23]), .Y(n71271) );
+  sky130_fd_sc_hd__a21oi_1 U89593 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .A2(n71272), .B1(n71271), .Y(n71275) );
+  sky130_fd_sc_hd__nand2_1 U89594 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .B(n71467), .Y(n71269) );
+  sky130_fd_sc_hd__o211ai_1 U89595 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[20]), .A2(n70816), .B1(n71275), .C1(n71269), .Y(n71315) );
+  sky130_fd_sc_hd__nor2_1 U89596 ( .A(n71920), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[19]), .Y(n71266) );
+  sky130_fd_sc_hd__a21oi_1 U89597 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .A2(n71489), .B1(n71266), .Y(n71318) );
+  sky130_fd_sc_hd__nand2_1 U89598 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .B(n74746), .Y(n71278) );
+  sky130_fd_sc_hd__nand2_1 U89599 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[16]), .B(n71278), .Y(n71265) );
+  sky130_fd_sc_hd__o22ai_1 U89600 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .A2(n74746), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[18]), .B2(n71265), .Y(n71268) );
+  sky130_fd_sc_hd__nor3_1 U89601 ( .A(n71489), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .C(n71266), .Y(n71267) );
+  sky130_fd_sc_hd__a221oi_1 U89602 ( .A1(n71318), .A2(n71268), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[19]), .B2(n71920), .C1(n71267), .Y(n71277) );
+  sky130_fd_sc_hd__nand2_1 U89603 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[20]), .B(n71269), .Y(n71270) );
+  sky130_fd_sc_hd__o22ai_1 U89604 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .A2(n71467), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[22]), .B2(n71270), .Y(n71274) );
+  sky130_fd_sc_hd__nor3_1 U89605 ( .A(n71272), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .C(n71271), .Y(n71273) );
+  sky130_fd_sc_hd__a221oi_1 U89606 ( .A1(n71275), .A2(n71274), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[23]), .B2(n71568), .C1(n71273), .Y(n71276) );
+  sky130_fd_sc_hd__o21ai_1 U89607 ( .A1(n71315), .A2(n71277), .B1(n71276), .Y(
+        n71320) );
+  sky130_fd_sc_hd__o21ai_1 U89608 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[16]), .A2(n70629), .B1(n71278), .Y(n71317) );
+  sky130_fd_sc_hd__nor2b_1 U89609 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[13]), .Y(n71306) );
+  sky130_fd_sc_hd__nand2_1 U89610 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .B(n74791), .Y(n71303) );
+  sky130_fd_sc_hd__o21ai_1 U89611 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[14]), .A2(n72276), .B1(n71303), .Y(n71307) );
+  sky130_fd_sc_hd__a211oi_1 U89612 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .A2(n71305), .B1(n71306), .C1(n71307), .Y(n71314) );
+  sky130_fd_sc_hd__nor2_1 U89613 ( .A(n71575), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[9]), .Y(n71300) );
+  sky130_fd_sc_hd__nor3b_1 U89614 ( .C_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[8]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .B(n71300), .Y(n71279) );
+  sky130_fd_sc_hd__a21oi_1 U89615 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[9]), .A2(n71575), .B1(n71279), .Y(n71282) );
+  sky130_fd_sc_hd__nand2_1 U89616 ( .A(n85637), .B(n74851), .Y(n71280) );
+  sky130_fd_sc_hd__nand3_1 U89618 ( .A(n71652), .B(n71280), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[10]), .Y(n71281) );
+  sky130_fd_sc_hd__o221ai_1 U89619 ( .A1(n85637), .A2(n74851), .B1(n71282), 
+        .B2(n71299), .C1(n71281), .Y(n71313) );
+  sky130_fd_sc_hd__nor2_1 U89620 ( .A(n71585), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[3]), .Y(n71284) );
+  sky130_fd_sc_hd__a21oi_1 U89621 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .A2(n74756), .B1(n71284), .Y(n71287) );
+  sky130_fd_sc_hd__o2bb2ai_1 U89623 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .B2(n71283), .A1_N(n41845), .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[1]), .Y(n71286) );
+  sky130_fd_sc_hd__nor3_1 U89624 ( .A(n74756), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .C(n71284), .Y(n71285) );
+  sky130_fd_sc_hd__a221o_1 U89625 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[3]), .A2(n71585), .B1(n71287), .B2(n71286), .C1(n71285), .X(n71292) );
+  sky130_fd_sc_hd__nand2_1 U89626 ( .A(n72059), .B(n74835), .Y(n71291) );
+  sky130_fd_sc_hd__nand2b_1 U89627 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[4]), .B(n72064), .Y(n71290) );
+  sky130_fd_sc_hd__nand2_1 U89628 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[4]), .B(n71291), .Y(n71288) );
+  sky130_fd_sc_hd__o22ai_1 U89629 ( .A1(n72064), .A2(n71288), .B1(n72059), 
+        .B2(n74835), .Y(n71289) );
+  sky130_fd_sc_hd__a31oi_1 U89630 ( .A1(n71292), .A2(n71291), .A3(n71290), 
+        .B1(n71289), .Y(n71297) );
+  sky130_fd_sc_hd__nor2_1 U89631 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[7]), .B(n58336), .Y(n71296) );
+  sky130_fd_sc_hd__nor2b_1 U89632 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[6]), .Y(n71295) );
+  sky130_fd_sc_hd__nor2_1 U89633 ( .A(n71296), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n71293) );
+  sky130_fd_sc_hd__a22oi_1 U89634 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[7]), .A2(n58336), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[6]), .B2(n71293), .Y(n71294) );
+  sky130_fd_sc_hd__o31ai_1 U89635 ( .A1(n71297), .A2(n71296), .A3(n71295), 
+        .B1(n71294), .Y(n71298) );
+  sky130_fd_sc_hd__nand2_1 U89636 ( .A(n71314), .B(n71298), .Y(n71302) );
+  sky130_fd_sc_hd__nor2b_1 U89637 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[8]), .Y(n71301) );
+  sky130_fd_sc_hd__nor4_1 U89638 ( .A(n71302), .B(n71301), .C(n71300), .D(
+        n71299), .Y(n71312) );
+  sky130_fd_sc_hd__nand2_1 U89639 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[14]), .B(n71303), .Y(n71304) );
+  sky130_fd_sc_hd__o22ai_1 U89640 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .A2(n74791), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[16]), .B2(n71304), .Y(n71311) );
+  sky130_fd_sc_hd__nand2b_1 U89641 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[13]), .Y(n71309) );
+  sky130_fd_sc_hd__or3_1 U89642 ( .A(n71306), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .C(n71305), .X(n71308) );
+  sky130_fd_sc_hd__a21oi_1 U89643 ( .A1(n71309), .A2(n71308), .B1(n71307), .Y(
+        n71310) );
+  sky130_fd_sc_hd__a2111oi_0 U89644 ( .A1(n71314), .A2(n71313), .B1(n71312), 
+        .C1(n71311), .D1(n71310), .Y(n71316) );
+  sky130_fd_sc_hd__nor4b_1 U89645 ( .D_N(n71318), .A(n71317), .B(n71316), .C(
+        n71315), .Y(n71319) );
+  sky130_fd_sc_hd__nor2_1 U89646 ( .A(n71320), .B(n71319), .Y(n71334) );
+  sky130_fd_sc_hd__nor2_1 U89647 ( .A(n71702), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[27]), .Y(n71325) );
+  sky130_fd_sc_hd__a21oi_1 U89648 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .A2(n74823), .B1(n71325), .Y(n71328) );
+  sky130_fd_sc_hd__nand2_1 U89649 ( .A(n74820), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .Y(n71322) );
+  sky130_fd_sc_hd__nand2_1 U89650 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .B(n71456), .Y(n71323) );
+  sky130_fd_sc_hd__nand2b_1 U89651 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .Y(n71321) );
+  sky130_fd_sc_hd__nand4_1 U89652 ( .A(n71328), .B(n71322), .C(n71323), .D(
+        n71321), .Y(n71333) );
+  sky130_fd_sc_hd__nand2_1 U89653 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[24]), .B(n71323), .Y(n71324) );
+  sky130_fd_sc_hd__o22ai_1 U89654 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .A2(n71324), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .B2(n71456), .Y(n71327) );
+  sky130_fd_sc_hd__nor3_1 U89655 ( .A(n74823), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .C(n71325), .Y(n71326) );
+  sky130_fd_sc_hd__a221oi_1 U89656 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[27]), .A2(n71702), .B1(n71328), .B2(n71327), .C1(n71326), .Y(n71329) );
+  sky130_fd_sc_hd__o21ai_1 U89658 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[28]), .A2(n71331), .B1(n71330), .Y(n71332) );
+  sky130_fd_sc_hd__xor2_1 U89660 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[29]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[27]), .X(n71439) );
+  sky130_fd_sc_hd__nand2_1 U89661 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[5]), .Y(n71335) );
+  sky130_fd_sc_hd__nand2_1 U89662 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[4]), .Y(n71372) );
+  sky130_fd_sc_hd__nor2_1 U89663 ( .A(n71335), .B(n71372), .Y(n71337) );
+  sky130_fd_sc_hd__nand2_1 U89664 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_a[0]), .Y(n74933) );
+  sky130_fd_sc_hd__nand2_1 U89665 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[2]), .Y(n71336) );
+  sky130_fd_sc_hd__nor2_1 U89666 ( .A(n74933), .B(n71336), .Y(n74940) );
+  sky130_fd_sc_hd__nand2_1 U89667 ( .A(n71337), .B(n74940), .Y(n71356) );
+  sky130_fd_sc_hd__nand2_1 U89668 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[10]), .Y(n71338) );
+  sky130_fd_sc_hd__nand2_1 U89669 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[8]), .Y(n71357) );
+  sky130_fd_sc_hd__nor2_1 U89670 ( .A(n71338), .B(n71357), .Y(n71361) );
+  sky130_fd_sc_hd__nand2_1 U89671 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[13]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[14]), .Y(n71339) );
+  sky130_fd_sc_hd__nand2_1 U89672 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[12]), .Y(n71362) );
+  sky130_fd_sc_hd__nor2_1 U89673 ( .A(n71339), .B(n71362), .Y(n71340) );
+  sky130_fd_sc_hd__nand2_1 U89674 ( .A(n71361), .B(n71340), .Y(n71341) );
+  sky130_fd_sc_hd__nor2_1 U89675 ( .A(n71356), .B(n71341), .Y(n71367) );
+  sky130_fd_sc_hd__nand2_1 U89676 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[15]), .Y(n71400) );
+  sky130_fd_sc_hd__nor2_1 U89677 ( .A(n75029), .B(n71400), .Y(n71342) );
+  sky130_fd_sc_hd__nand2_1 U89678 ( .A(n71367), .B(n71342), .Y(n71401) );
+  sky130_fd_sc_hd__nor2_1 U89679 ( .A(n75032), .B(n71401), .Y(n74922) );
+  sky130_fd_sc_hd__nand2_1 U89680 ( .A(n71344), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[26]), .Y(n74982) );
+  sky130_fd_sc_hd__xnor2_1 U89681 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[26]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .Y(n71431) );
+  sky130_fd_sc_hd__ha_1 U89682 ( .A(n71345), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[25]), .COUT(n71432), .SUM(n71346) );
+  sky130_fd_sc_hd__nor2_1 U89683 ( .A(n71347), .B(n71346), .Y(n74986) );
+  sky130_fd_sc_hd__xor2_1 U89684 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[24]), .X(n71429) );
+  sky130_fd_sc_hd__ha_1 U89685 ( .A(n71348), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[23]), .COUT(n71423), .SUM(n71349) );
+  sky130_fd_sc_hd__nand2_1 U89686 ( .A(n71350), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[23]), .Y(n74976) );
+  sky130_fd_sc_hd__ha_1 U89687 ( .A(n71351), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[21]), .COUT(n71416), .SUM(n71352) );
+  sky130_fd_sc_hd__nor2_1 U89688 ( .A(n74901), .B(n71352), .Y(n74973) );
+  sky130_fd_sc_hd__xnor2_1 U89689 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[22]), .Y(n71422) );
+  sky130_fd_sc_hd__xor2_1 U89690 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[21]), .X(n71415) );
+  sky130_fd_sc_hd__ha_1 U89691 ( .A(n71353), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[20]), .COUT(n71351), .SUM(n71354) );
+  sky130_fd_sc_hd__clkinv_1 U89692 ( .A(n71354), .Y(n71355) );
+  sky130_fd_sc_hd__nand2_1 U89693 ( .A(n71355), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[20]), .Y(n74967) );
+  sky130_fd_sc_hd__xnor2_1 U89694 ( .A(n85633), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[9]), .Y(n71360) );
+  sky130_fd_sc_hd__clkinv_1 U89695 ( .A(n71357), .Y(n71358) );
+  sky130_fd_sc_hd__nand2_1 U89696 ( .A(n74914), .B(n71358), .Y(n71369) );
+  sky130_fd_sc_hd__clkinv_1 U89697 ( .A(n71369), .Y(n74915) );
+  sky130_fd_sc_hd__xnor2_1 U89698 ( .A(n85634), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[7]), .Y(n71359) );
+  sky130_fd_sc_hd__o22ai_1 U89699 ( .A1(n71360), .A2(n74915), .B1(n74914), 
+        .B2(n71359), .Y(n71397) );
+  sky130_fd_sc_hd__xnor2_1 U89700 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[13]), .Y(n71364) );
+  sky130_fd_sc_hd__nand2_1 U89701 ( .A(n74914), .B(n71361), .Y(n71368) );
+  sky130_fd_sc_hd__clkinv_1 U89702 ( .A(n71368), .Y(n74921) );
+  sky130_fd_sc_hd__clkinv_1 U89703 ( .A(n71362), .Y(n71363) );
+  sky130_fd_sc_hd__nand2_1 U89704 ( .A(n74921), .B(n71363), .Y(n71398) );
+  sky130_fd_sc_hd__clkinv_1 U89705 ( .A(n71398), .Y(n74917) );
+  sky130_fd_sc_hd__nor2_1 U89706 ( .A(n71364), .B(n74917), .Y(n71396) );
+  sky130_fd_sc_hd__xnor2_1 U89707 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[19]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[21]), .Y(n71366) );
+  sky130_fd_sc_hd__xnor2_1 U89708 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[13]), .Y(n71365) );
+  sky130_fd_sc_hd__o22ai_1 U89709 ( .A1(n71366), .A2(n74922), .B1(n74921), 
+        .B2(n71365), .Y(n71395) );
+  sky130_fd_sc_hd__nor2_1 U89710 ( .A(n74906), .B(n74907), .Y(n74954) );
+  sky130_fd_sc_hd__xnor2_1 U89711 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[18]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[16]), .Y(n71393) );
+  sky130_fd_sc_hd__xor2_1 U89712 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[12]), .X(n71371) );
+  sky130_fd_sc_hd__nor2_1 U89713 ( .A(n74993), .B(n71369), .Y(n74929) );
+  sky130_fd_sc_hd__xnor2_1 U89714 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[10]), .Y(n71370) );
+  sky130_fd_sc_hd__a2bb2oi_1 U89715 ( .B1(n71371), .B2(n74930), .A1_N(n74929), 
+        .A2_N(n71370), .Y(n71392) );
+  sky130_fd_sc_hd__xor2_1 U89716 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[8]), .X(n71390) );
+  sky130_fd_sc_hd__nand2_1 U89717 ( .A(n74914), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[7]), .Y(n74947) );
+  sky130_fd_sc_hd__xnor2_1 U89718 ( .A(n72059), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[5]), .Y(n71373) );
+  sky130_fd_sc_hd__nor2_1 U89719 ( .A(n71372), .B(n71374), .Y(n74945) );
+  sky130_fd_sc_hd__nor2_1 U89720 ( .A(n71373), .B(n74945), .Y(n71389) );
+  sky130_fd_sc_hd__xnor2_1 U89721 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[6]), .Y(n71387) );
+  sky130_fd_sc_hd__xor2_1 U89722 ( .A(n72064), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[4]), .X(n71385) );
+  sky130_fd_sc_hd__or2_0 U89723 ( .A(n71375), .B(n71374), .X(n74943) );
+  sky130_fd_sc_hd__xnor2_1 U89724 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[3]), .Y(n71383) );
+  sky130_fd_sc_hd__nand2_1 U89725 ( .A(n71376), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[1]), .Y(n74938) );
+  sky130_fd_sc_hd__xor2_1 U89726 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[2]), .X(n71381) );
+  sky130_fd_sc_hd__xnor2_1 U89727 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[0]), .Y(n71379) );
+  sky130_fd_sc_hd__xor2_1 U89728 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[1]), .X(n71377) );
+  sky130_fd_sc_hd__nand2_1 U89729 ( .A(n74933), .B(n71377), .Y(n71378) );
+  sky130_fd_sc_hd__o211ai_1 U89730 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_a[0]), .A2(n71379), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_a[1]), .C1(n71378), .Y(n71380) );
+  sky130_fd_sc_hd__a21oi_1 U89731 ( .A1(n74938), .A2(n71381), .B1(n71380), .Y(
+        n71382) );
+  sky130_fd_sc_hd__a21oi_1 U89733 ( .A1(n71385), .A2(n74943), .B1(n71384), .Y(
+        n71386) );
+  sky130_fd_sc_hd__o21ai_1 U89734 ( .A1(n74952), .A2(n71387), .B1(n71386), .Y(
+        n71388) );
+  sky130_fd_sc_hd__a211oi_1 U89735 ( .A1(n71390), .A2(n74947), .B1(n71389), 
+        .C1(n71388), .Y(n71391) );
+  sky130_fd_sc_hd__o211ai_1 U89736 ( .A1(n74954), .A2(n71393), .B1(n71392), 
+        .C1(n71391), .Y(n71394) );
+  sky130_fd_sc_hd__nor4_1 U89737 ( .A(n71397), .B(n71396), .C(n71395), .D(
+        n71394), .Y(n71413) );
+  sky130_fd_sc_hd__xor2_1 U89738 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[14]), .X(n71405) );
+  sky130_fd_sc_hd__xnor2_1 U89739 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[17]), .Y(n71403) );
+  sky130_fd_sc_hd__nor2_1 U89740 ( .A(n71400), .B(n74907), .Y(n74904) );
+  sky130_fd_sc_hd__xnor2_1 U89741 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[18]), .Y(n71402) );
+  sky130_fd_sc_hd__o22ai_1 U89742 ( .A1(n71403), .A2(n74904), .B1(n74903), 
+        .B2(n71402), .Y(n71404) );
+  sky130_fd_sc_hd__a21oi_1 U89743 ( .A1(n71405), .A2(n74909), .B1(n71404), .Y(
+        n71412) );
+  sky130_fd_sc_hd__xor2_1 U89744 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[15]), .X(n71406) );
+  sky130_fd_sc_hd__nand2_1 U89745 ( .A(n74907), .B(n71406), .Y(n71411) );
+  sky130_fd_sc_hd__ha_1 U89746 ( .A(n74922), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[19]), .COUT(n71353), .SUM(n71407) );
+  sky130_fd_sc_hd__clkinv_1 U89747 ( .A(n71407), .Y(n71408) );
+  sky130_fd_sc_hd__nand2_1 U89748 ( .A(n71408), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[19]), .Y(n74959) );
+  sky130_fd_sc_hd__xor2_1 U89749 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[22]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[20]), .X(n71409) );
+  sky130_fd_sc_hd__nand2_1 U89750 ( .A(n74959), .B(n71409), .Y(n71410) );
+  sky130_fd_sc_hd__nand4_1 U89751 ( .A(n71413), .B(n71412), .C(n71411), .D(
+        n71410), .Y(n71414) );
+  sky130_fd_sc_hd__a21oi_1 U89752 ( .A1(n71415), .A2(n74967), .B1(n71414), .Y(
+        n71421) );
+  sky130_fd_sc_hd__ha_1 U89753 ( .A(n71416), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[22]), .COUT(n71348), .SUM(n71417) );
+  sky130_fd_sc_hd__nand2_1 U89754 ( .A(n71418), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[22]), .Y(n74969) );
+  sky130_fd_sc_hd__xor2_1 U89755 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[25]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[23]), .X(n71419) );
+  sky130_fd_sc_hd__nand2_1 U89756 ( .A(n74969), .B(n71419), .Y(n71420) );
+  sky130_fd_sc_hd__o211ai_1 U89757 ( .A1(n74973), .A2(n71422), .B1(n71421), 
+        .C1(n71420), .Y(n71428) );
+  sky130_fd_sc_hd__xnor2_1 U89758 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[25]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .Y(n71426) );
+  sky130_fd_sc_hd__ha_1 U89759 ( .A(n71423), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[24]), .COUT(n71345), .SUM(n71424) );
+  sky130_fd_sc_hd__nor2_1 U89760 ( .A(n71425), .B(n71424), .Y(n74978) );
+  sky130_fd_sc_hd__nor2_1 U89761 ( .A(n71426), .B(n74978), .Y(n71427) );
+  sky130_fd_sc_hd__a211oi_1 U89762 ( .A1(n71429), .A2(n74976), .B1(n71428), 
+        .C1(n71427), .Y(n71430) );
+  sky130_fd_sc_hd__o21ai_1 U89763 ( .A1(n71431), .A2(n74986), .B1(n71430), .Y(
+        n71438) );
+  sky130_fd_sc_hd__xnor2_1 U89764 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[28]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .Y(n71436) );
+  sky130_fd_sc_hd__ha_1 U89765 ( .A(n71432), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[26]), .COUT(n71433), .SUM(n71343) );
+  sky130_fd_sc_hd__clkinv_1 U89766 ( .A(n71433), .Y(n71434) );
+  sky130_fd_sc_hd__nor2_1 U89767 ( .A(n71435), .B(n71434), .Y(n74988) );
+  sky130_fd_sc_hd__nor2_1 U89768 ( .A(n71436), .B(n74988), .Y(n71437) );
+  sky130_fd_sc_hd__a211oi_1 U89769 ( .A1(n71439), .A2(n74982), .B1(n71438), 
+        .C1(n71437), .Y(n71440) );
+  sky130_fd_sc_hd__a31oi_1 U89770 ( .A1(n75062), .A2(n72002), .A3(n71441), 
+        .B1(n71440), .Y(n72115) );
+  sky130_fd_sc_hd__nor2_1 U89771 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_l), .B(n72115), .Y(n71553) );
+  sky130_fd_sc_hd__nor2_1 U89772 ( .A(n74895), .B(n71442), .Y(n71551) );
+  sky130_fd_sc_hd__nand2_1 U89773 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[5]), .Y(n71443) );
+  sky130_fd_sc_hd__nand2_1 U89774 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[4]), .Y(n71499) );
+  sky130_fd_sc_hd__nor2_1 U89775 ( .A(n71443), .B(n71499), .Y(n71445) );
+  sky130_fd_sc_hd__nand2_1 U89776 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_cfg_a[0]), .Y(n74758) );
+  sky130_fd_sc_hd__nand2_1 U89777 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[2]), .Y(n71444) );
+  sky130_fd_sc_hd__nor2_1 U89778 ( .A(n74758), .B(n71444), .Y(n74768) );
+  sky130_fd_sc_hd__nand2_1 U89779 ( .A(n71445), .B(n74768), .Y(n74736) );
+  sky130_fd_sc_hd__nand2_1 U89780 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[8]), .Y(n71479) );
+  sky130_fd_sc_hd__nand2_1 U89781 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[9]), .Y(n71446) );
+  sky130_fd_sc_hd__nor2_1 U89782 ( .A(n71479), .B(n71446), .Y(n71475) );
+  sky130_fd_sc_hd__nand2_1 U89783 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[12]), .Y(n71476) );
+  sky130_fd_sc_hd__nand2_1 U89784 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[13]), .Y(n71447) );
+  sky130_fd_sc_hd__nor2_1 U89785 ( .A(n71476), .B(n71447), .Y(n71448) );
+  sky130_fd_sc_hd__nand2_1 U89786 ( .A(n71475), .B(n71448), .Y(n71449) );
+  sky130_fd_sc_hd__nor2_1 U89787 ( .A(n74736), .B(n71449), .Y(n71492) );
+  sky130_fd_sc_hd__nor2_1 U89788 ( .A(n74791), .B(n71450), .Y(n71451) );
+  sky130_fd_sc_hd__nand2_1 U89789 ( .A(n71492), .B(n71451), .Y(n74753) );
+  sky130_fd_sc_hd__nor2_1 U89790 ( .A(n74746), .B(n74753), .Y(n74783) );
+  sky130_fd_sc_hd__nand2_1 U89791 ( .A(n71453), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[26]), .Y(n74819) );
+  sky130_fd_sc_hd__xor2_1 U89792 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[29]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[27]), .X(n71545) );
+  sky130_fd_sc_hd__xnor2_1 U89793 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[26]), .Y(n71543) );
+  sky130_fd_sc_hd__ha_1 U89794 ( .A(n71454), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[25]), .COUT(n71546), .SUM(n71455) );
+  sky130_fd_sc_hd__nor2_1 U89795 ( .A(n71456), .B(n71455), .Y(n74815) );
+  sky130_fd_sc_hd__ha_1 U89796 ( .A(n71457), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[24]), .COUT(n71454), .SUM(n71458) );
+  sky130_fd_sc_hd__nand2_1 U89797 ( .A(n71459), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[24]), .Y(n74813) );
+  sky130_fd_sc_hd__xor2_1 U89798 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[25]), .X(n71541) );
+  sky130_fd_sc_hd__xnor2_1 U89799 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[24]), .Y(n71539) );
+  sky130_fd_sc_hd__ha_1 U89800 ( .A(n71460), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[23]), .COUT(n71457), .SUM(n71461) );
+  sky130_fd_sc_hd__nor2_1 U89801 ( .A(n74824), .B(n71461), .Y(n74809) );
+  sky130_fd_sc_hd__ha_1 U89802 ( .A(n71462), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[22]), .COUT(n71460), .SUM(n71463) );
+  sky130_fd_sc_hd__nand2_1 U89803 ( .A(n71464), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[22]), .Y(n74807) );
+  sky130_fd_sc_hd__xor2_1 U89804 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[25]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[23]), .X(n71537) );
+  sky130_fd_sc_hd__xnor2_1 U89805 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[22]), .Y(n71535) );
+  sky130_fd_sc_hd__ha_1 U89806 ( .A(n71465), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[21]), .COUT(n71462), .SUM(n71466) );
+  sky130_fd_sc_hd__nor2_1 U89807 ( .A(n71467), .B(n71466), .Y(n74803) );
+  sky130_fd_sc_hd__ha_1 U89808 ( .A(n71468), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[20]), .COUT(n71465), .SUM(n71469) );
+  sky130_fd_sc_hd__clkinv_1 U89809 ( .A(n71469), .Y(n71470) );
+  sky130_fd_sc_hd__nand2_1 U89810 ( .A(n71470), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[20]), .Y(n74801) );
+  sky130_fd_sc_hd__xor2_1 U89811 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[21]), .X(n71533) );
+  sky130_fd_sc_hd__ha_1 U89812 ( .A(n71471), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[19]), .COUT(n71468), .SUM(n71472) );
+  sky130_fd_sc_hd__clkinv_1 U89813 ( .A(n71472), .Y(n71473) );
+  sky130_fd_sc_hd__nand2_1 U89814 ( .A(n71473), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[19]), .Y(n74733) );
+  sky130_fd_sc_hd__xor2_1 U89815 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[22]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[20]), .X(n71474) );
+  sky130_fd_sc_hd__nand2_1 U89816 ( .A(n74733), .B(n71474), .Y(n71531) );
+  sky130_fd_sc_hd__nand2_1 U89817 ( .A(n71500), .B(n71475), .Y(n71497) );
+  sky130_fd_sc_hd__clkinv_1 U89818 ( .A(n71497), .Y(n74782) );
+  sky130_fd_sc_hd__clkinv_1 U89819 ( .A(n71476), .Y(n71477) );
+  sky130_fd_sc_hd__nand2_1 U89820 ( .A(n74782), .B(n71477), .Y(n74738) );
+  sky130_fd_sc_hd__xor2_1 U89821 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[14]), .X(n71487) );
+  sky130_fd_sc_hd__clkinv_1 U89822 ( .A(n71479), .Y(n71480) );
+  sky130_fd_sc_hd__nand2_1 U89823 ( .A(n71500), .B(n71480), .Y(n71490) );
+  sky130_fd_sc_hd__clkinv_1 U89824 ( .A(n71490), .Y(n74742) );
+  sky130_fd_sc_hd__xnor2_1 U89825 ( .A(n85633), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[9]), .Y(n71485) );
+  sky130_fd_sc_hd__xor2_1 U89826 ( .A(n85634), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[7]), .X(n71481) );
+  sky130_fd_sc_hd__nand2_1 U89827 ( .A(n74736), .B(n71481), .Y(n71484) );
+  sky130_fd_sc_hd__xor2_1 U89828 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[13]), .X(n71482) );
+  sky130_fd_sc_hd__nand2_1 U89829 ( .A(n74738), .B(n71482), .Y(n71483) );
+  sky130_fd_sc_hd__o211ai_1 U89830 ( .A1(n74742), .A2(n71485), .B1(n71484), 
+        .C1(n71483), .Y(n71486) );
+  sky130_fd_sc_hd__a21oi_1 U89831 ( .A1(n74745), .A2(n71487), .B1(n71486), .Y(
+        n71530) );
+  sky130_fd_sc_hd__ha_1 U89832 ( .A(n74783), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[18]), .COUT(n71471), .SUM(n71488) );
+  sky130_fd_sc_hd__nor2_1 U89833 ( .A(n71489), .B(n71488), .Y(n74790) );
+  sky130_fd_sc_hd__xnor2_1 U89834 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[21]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[19]), .Y(n71526) );
+  sky130_fd_sc_hd__xor2_1 U89835 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[17]), .X(n71496) );
+  sky130_fd_sc_hd__xnor2_1 U89836 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[10]), .Y(n71494) );
+  sky130_fd_sc_hd__nor2_1 U89837 ( .A(n71491), .B(n71490), .Y(n74749) );
+  sky130_fd_sc_hd__nor2_1 U89838 ( .A(n74791), .B(n74792), .Y(n74748) );
+  sky130_fd_sc_hd__xnor2_1 U89839 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[18]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[16]), .Y(n71493) );
+  sky130_fd_sc_hd__o22ai_1 U89840 ( .A1(n71494), .A2(n74749), .B1(n74748), 
+        .B2(n71493), .Y(n71495) );
+  sky130_fd_sc_hd__a21oi_1 U89841 ( .A1(n71496), .A2(n74753), .B1(n71495), .Y(
+        n71525) );
+  sky130_fd_sc_hd__xor2_1 U89842 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[12]), .X(n71498) );
+  sky130_fd_sc_hd__nand2_1 U89843 ( .A(n74754), .B(n71498), .Y(n71519) );
+  sky130_fd_sc_hd__nor2_1 U89844 ( .A(n71499), .B(n71503), .Y(n71514) );
+  sky130_fd_sc_hd__clkinv_1 U89845 ( .A(n71514), .Y(n74774) );
+  sky130_fd_sc_hd__xor2_1 U89846 ( .A(n72059), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[5]), .X(n71502) );
+  sky130_fd_sc_hd__xor2_1 U89847 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[8]), .X(n71501) );
+  sky130_fd_sc_hd__nand2_1 U89848 ( .A(n71500), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[7]), .Y(n74776) );
+  sky130_fd_sc_hd__a22oi_1 U89849 ( .A1(n74774), .A2(n71502), .B1(n71501), 
+        .B2(n74776), .Y(n71518) );
+  sky130_fd_sc_hd__xor2_1 U89850 ( .A(n72064), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[4]), .X(n71513) );
+  sky130_fd_sc_hd__or2_0 U89851 ( .A(n74833), .B(n71503), .X(n74765) );
+  sky130_fd_sc_hd__xnor2_1 U89852 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[3]), .Y(n71511) );
+  sky130_fd_sc_hd__nand2_1 U89853 ( .A(n71504), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[1]), .Y(n74763) );
+  sky130_fd_sc_hd__xor2_1 U89854 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[2]), .X(n71509) );
+  sky130_fd_sc_hd__xnor2_1 U89855 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[0]), .Y(n71507) );
+  sky130_fd_sc_hd__xor2_1 U89856 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[1]), .X(n71505) );
+  sky130_fd_sc_hd__nand2_1 U89857 ( .A(n74758), .B(n71505), .Y(n71506) );
+  sky130_fd_sc_hd__o211ai_1 U89858 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_cfg_a[0]), .A2(n71507), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_cfg_a[1]), .C1(n71506), .Y(n71508) );
+  sky130_fd_sc_hd__a21oi_1 U89859 ( .A1(n74763), .A2(n71509), .B1(n71508), .Y(
+        n71510) );
+  sky130_fd_sc_hd__a21oi_1 U89861 ( .A1(n71513), .A2(n74765), .B1(n71512), .Y(
+        n71517) );
+  sky130_fd_sc_hd__nand2_1 U89862 ( .A(n71514), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[5]), .Y(n74772) );
+  sky130_fd_sc_hd__xor2_1 U89863 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[6]), .X(n71515) );
+  sky130_fd_sc_hd__nand2_1 U89864 ( .A(n74772), .B(n71515), .Y(n71516) );
+  sky130_fd_sc_hd__nand4_1 U89865 ( .A(n71519), .B(n71518), .C(n71517), .D(
+        n71516), .Y(n71523) );
+  sky130_fd_sc_hd__xnor2_1 U89866 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[18]), .Y(n71521) );
+  sky130_fd_sc_hd__xnor2_1 U89867 ( .A(n85637), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[11]), .Y(n71520) );
+  sky130_fd_sc_hd__o22ai_1 U89868 ( .A1(n71521), .A2(n74783), .B1(n74782), 
+        .B2(n71520), .Y(n71522) );
+  sky130_fd_sc_hd__nor2_1 U89869 ( .A(n71523), .B(n71522), .Y(n71524) );
+  sky130_fd_sc_hd__o211a_2 U89870 ( .A1(n74790), .A2(n71526), .B1(n71525), 
+        .C1(n71524), .X(n71529) );
+  sky130_fd_sc_hd__xor2_1 U89871 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[15]), .X(n71527) );
+  sky130_fd_sc_hd__nand2_1 U89872 ( .A(n74792), .B(n71527), .Y(n71528) );
+  sky130_fd_sc_hd__nand4_1 U89873 ( .A(n71531), .B(n71530), .C(n71529), .D(
+        n71528), .Y(n71532) );
+  sky130_fd_sc_hd__a21oi_1 U89874 ( .A1(n74801), .A2(n71533), .B1(n71532), .Y(
+        n71534) );
+  sky130_fd_sc_hd__a21oi_1 U89876 ( .A1(n74807), .A2(n71537), .B1(n71536), .Y(
+        n71538) );
+  sky130_fd_sc_hd__a21oi_1 U89878 ( .A1(n74813), .A2(n71541), .B1(n71540), .Y(
+        n71542) );
+  sky130_fd_sc_hd__a21oi_1 U89880 ( .A1(n74819), .A2(n71545), .B1(n71544), .Y(
+        n71550) );
+  sky130_fd_sc_hd__ha_1 U89881 ( .A(n71546), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[26]), .COUT(n71547), .SUM(n71452) );
+  sky130_fd_sc_hd__nand2_1 U89882 ( .A(n71547), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[27]), .Y(n74822) );
+  sky130_fd_sc_hd__xor2_1 U89883 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[28]), .X(n71548) );
+  sky130_fd_sc_hd__nand2_1 U89884 ( .A(n74822), .B(n71548), .Y(n71549) );
+  sky130_fd_sc_hd__a22oi_1 U89885 ( .A1(n71552), .A2(n71551), .B1(n71550), 
+        .B2(n71549), .Y(n72119) );
+  sky130_fd_sc_hd__mux2i_1 U89886 ( .A0(n71554), .A1(n71553), .S(n72119), .Y(
+        n71555) );
+  sky130_fd_sc_hd__nor3_1 U89887 ( .A(n78268), .B(n71556), .C(n71555), .Y(
+        n72122) );
+  sky130_fd_sc_hd__a21oi_1 U89888 ( .A1(n71557), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_1_status_prv[1]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_cfg_x), .Y(n72114) );
+  sky130_fd_sc_hd__nor2_1 U89889 ( .A(n71568), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[23]), .Y(n71566) );
+  sky130_fd_sc_hd__a21oi_1 U89890 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .A2(n72027), .B1(n71566), .Y(n71570) );
+  sky130_fd_sc_hd__nand2_1 U89891 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .B(n71565), .Y(n71563) );
+  sky130_fd_sc_hd__o211ai_1 U89892 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[20]), .A2(n70816), .B1(n71570), .C1(n71563), .Y(n71613) );
+  sky130_fd_sc_hd__nor2_1 U89893 ( .A(n71920), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[19]), .Y(n71559) );
+  sky130_fd_sc_hd__a21oi_1 U89894 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .A2(n71560), .B1(n71559), .Y(n71616) );
+  sky130_fd_sc_hd__nand2_1 U89895 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .B(n75069), .Y(n71573) );
+  sky130_fd_sc_hd__nand2_1 U89896 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[16]), .B(n71573), .Y(n71558) );
+  sky130_fd_sc_hd__o22ai_1 U89897 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .A2(n75069), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[18]), .B2(n71558), .Y(n71562) );
+  sky130_fd_sc_hd__nor3_1 U89898 ( .A(n71560), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .C(n71559), .Y(n71561) );
+  sky130_fd_sc_hd__a221oi_1 U89899 ( .A1(n71616), .A2(n71562), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[19]), .B2(n71920), .C1(n71561), .Y(n71572) );
+  sky130_fd_sc_hd__nand2_1 U89900 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[20]), .B(n71563), .Y(n71564) );
+  sky130_fd_sc_hd__o22ai_1 U89901 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .A2(n71565), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[22]), .B2(n71564), .Y(n71569) );
+  sky130_fd_sc_hd__nor3_1 U89902 ( .A(n72027), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .C(n71566), .Y(n71567) );
+  sky130_fd_sc_hd__a221oi_1 U89903 ( .A1(n71570), .A2(n71569), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[23]), .B2(n71568), .C1(n71567), .Y(n71571) );
+  sky130_fd_sc_hd__o21ai_1 U89905 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[16]), .A2(n70629), .B1(n71573), .Y(n71615) );
+  sky130_fd_sc_hd__nor2b_1 U89906 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[13]), .Y(n71604) );
+  sky130_fd_sc_hd__nand2_1 U89907 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .B(n75104), .Y(n71601) );
+  sky130_fd_sc_hd__a211oi_1 U89909 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .A2(n71603), .B1(n71604), .C1(n71605), .Y(n71612) );
+  sky130_fd_sc_hd__nor2_1 U89910 ( .A(n71575), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[9]), .Y(n71598) );
+  sky130_fd_sc_hd__nor3b_1 U89911 ( .C_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[8]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .B(n71598), .Y(n71574) );
+  sky130_fd_sc_hd__a21oi_1 U89912 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[9]), .A2(n71575), .B1(n71574), .Y(n71578) );
+  sky130_fd_sc_hd__nand2_1 U89913 ( .A(n85637), .B(n75087), .Y(n71576) );
+  sky130_fd_sc_hd__o21ai_1 U89914 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[10]), .A2(n71652), .B1(n71576), .Y(n71597) );
+  sky130_fd_sc_hd__nand3_1 U89915 ( .A(n71652), .B(n71576), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[10]), .Y(n71577) );
+  sky130_fd_sc_hd__o221ai_1 U89916 ( .A1(n85637), .A2(n75087), .B1(n71578), 
+        .B2(n71597), .C1(n71577), .Y(n71611) );
+  sky130_fd_sc_hd__nor2_1 U89917 ( .A(n71585), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[3]), .Y(n71580) );
+  sky130_fd_sc_hd__a21oi_1 U89918 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .A2(n71581), .B1(n71580), .Y(n71584) );
+  sky130_fd_sc_hd__o2bb2ai_1 U89920 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .B2(n71579), .A1_N(n41845), .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[1]), .Y(n71583) );
+  sky130_fd_sc_hd__nor3_1 U89921 ( .A(n71581), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .C(n71580), .Y(n71582) );
+  sky130_fd_sc_hd__a221o_1 U89922 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[3]), .A2(n71585), .B1(n71584), .B2(n71583), .C1(n71582), .X(n71590) );
+  sky130_fd_sc_hd__nand2_1 U89923 ( .A(n72059), .B(n75076), .Y(n71589) );
+  sky130_fd_sc_hd__nand2b_1 U89924 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[4]), .B(n72064), .Y(n71588) );
+  sky130_fd_sc_hd__nand2_1 U89925 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[4]), .B(n71589), .Y(n71586) );
+  sky130_fd_sc_hd__o22ai_1 U89926 ( .A1(n72064), .A2(n71586), .B1(n72059), 
+        .B2(n75076), .Y(n71587) );
+  sky130_fd_sc_hd__a31oi_1 U89927 ( .A1(n71590), .A2(n71589), .A3(n71588), 
+        .B1(n71587), .Y(n71595) );
+  sky130_fd_sc_hd__nor2_1 U89928 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[7]), .B(n58336), .Y(n71594) );
+  sky130_fd_sc_hd__nor2b_1 U89929 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[6]), .Y(n71593) );
+  sky130_fd_sc_hd__nor2_1 U89930 ( .A(n71594), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n71591) );
+  sky130_fd_sc_hd__a22oi_1 U89931 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[7]), .A2(n58336), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[6]), .B2(n71591), .Y(n71592) );
+  sky130_fd_sc_hd__o31ai_1 U89932 ( .A1(n71595), .A2(n71594), .A3(n71593), 
+        .B1(n71592), .Y(n71596) );
+  sky130_fd_sc_hd__nand2_1 U89933 ( .A(n71612), .B(n71596), .Y(n71600) );
+  sky130_fd_sc_hd__nor2b_1 U89934 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[8]), .Y(n71599) );
+  sky130_fd_sc_hd__nor4_1 U89935 ( .A(n71600), .B(n71599), .C(n71598), .D(
+        n71597), .Y(n71610) );
+  sky130_fd_sc_hd__nand2_1 U89936 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[14]), .B(n71601), .Y(n71602) );
+  sky130_fd_sc_hd__o22ai_1 U89937 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .A2(n75104), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[16]), .B2(n71602), .Y(n71609) );
+  sky130_fd_sc_hd__nand2b_1 U89938 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[13]), .Y(n71607) );
+  sky130_fd_sc_hd__or3_1 U89939 ( .A(n71604), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .C(n71603), .X(n71606) );
+  sky130_fd_sc_hd__a21oi_1 U89940 ( .A1(n71607), .A2(n71606), .B1(n71605), .Y(
+        n71608) );
+  sky130_fd_sc_hd__a2111oi_0 U89941 ( .A1(n71612), .A2(n71611), .B1(n71610), 
+        .C1(n71609), .D1(n71608), .Y(n71614) );
+  sky130_fd_sc_hd__nor4b_1 U89942 ( .D_N(n71616), .A(n71615), .B(n71614), .C(
+        n71613), .Y(n71617) );
+  sky130_fd_sc_hd__nor2_1 U89943 ( .A(n71618), .B(n71617), .Y(n71635) );
+  sky130_fd_sc_hd__nor2_1 U89944 ( .A(n71702), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[27]), .Y(n71624) );
+  sky130_fd_sc_hd__a21oi_1 U89945 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .A2(n71625), .B1(n71624), .Y(n71628) );
+  sky130_fd_sc_hd__nand2_1 U89946 ( .A(n71629), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .Y(n71620) );
+  sky130_fd_sc_hd__nand2_1 U89947 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .B(n71622), .Y(n71621) );
+  sky130_fd_sc_hd__nand2b_1 U89948 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .Y(n71619) );
+  sky130_fd_sc_hd__nand4_1 U89949 ( .A(n71628), .B(n71620), .C(n71621), .D(
+        n71619), .Y(n71634) );
+  sky130_fd_sc_hd__nand2_1 U89950 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[24]), .B(n71621), .Y(n71623) );
+  sky130_fd_sc_hd__o22ai_1 U89951 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .A2(n71623), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .B2(n71622), .Y(n71627) );
+  sky130_fd_sc_hd__nor3_1 U89952 ( .A(n71625), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .C(n71624), .Y(n71626) );
+  sky130_fd_sc_hd__a221oi_1 U89953 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[27]), .A2(n71702), .B1(n71628), .B2(n71627), .C1(n71626), .Y(n71630) );
+  sky130_fd_sc_hd__o21ai_1 U89954 ( .A1(n71630), .A2(n71629), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .Y(n71631) );
+  sky130_fd_sc_hd__o21ai_1 U89955 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[28]), .A2(n71632), .B1(n71631), .Y(n71633) );
+  sky130_fd_sc_hd__nor2_1 U89957 ( .A(n71568), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[23]), .Y(n71642) );
+  sky130_fd_sc_hd__a21oi_1 U89958 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .A2(n71643), .B1(n71642), .Y(n71646) );
+  sky130_fd_sc_hd__nand2_1 U89959 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .B(n71711), .Y(n71640) );
+  sky130_fd_sc_hd__o211ai_1 U89960 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[20]), .A2(n70816), .B1(n71646), .C1(n71640), .Y(n71688) );
+  sky130_fd_sc_hd__nor2_1 U89961 ( .A(n71920), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[19]), .Y(n71637) );
+  sky130_fd_sc_hd__a21oi_1 U89962 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .A2(n75273), .B1(n71637), .Y(n71691) );
+  sky130_fd_sc_hd__nand2_1 U89963 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .B(n75274), .Y(n71649) );
+  sky130_fd_sc_hd__nand2_1 U89964 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[16]), .B(n71649), .Y(n71636) );
+  sky130_fd_sc_hd__o22ai_1 U89965 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .A2(n75274), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[18]), .B2(n71636), .Y(n71639) );
+  sky130_fd_sc_hd__nor3_1 U89966 ( .A(n75273), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .C(n71637), .Y(n71638) );
+  sky130_fd_sc_hd__a221oi_1 U89967 ( .A1(n71691), .A2(n71639), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[19]), .B2(n71920), .C1(n71638), .Y(n71648) );
+  sky130_fd_sc_hd__nand2_1 U89968 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[20]), .B(n71640), .Y(n71641) );
+  sky130_fd_sc_hd__o22ai_1 U89969 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .A2(n71711), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[22]), .B2(n71641), .Y(n71645) );
+  sky130_fd_sc_hd__nor3_1 U89970 ( .A(n71643), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .C(n71642), .Y(n71644) );
+  sky130_fd_sc_hd__a221oi_1 U89971 ( .A1(n71646), .A2(n71645), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[23]), .B2(n71568), .C1(n71644), .Y(n71647) );
+  sky130_fd_sc_hd__o21ai_1 U89972 ( .A1(n71688), .A2(n71648), .B1(n71647), .Y(
+        n71693) );
+  sky130_fd_sc_hd__nor2b_1 U89974 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[13]), .Y(n71679) );
+  sky130_fd_sc_hd__nand2_1 U89975 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .B(n75270), .Y(n71677) );
+  sky130_fd_sc_hd__o21ai_1 U89976 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[14]), .A2(n72276), .B1(n71677), .Y(n71680) );
+  sky130_fd_sc_hd__a211oi_1 U89977 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .A2(n75265), .B1(n71679), .C1(n71680), .Y(n71687) );
+  sky130_fd_sc_hd__nor2_1 U89978 ( .A(n71575), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[9]), .Y(n71674) );
+  sky130_fd_sc_hd__nor3b_1 U89979 ( .C_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[8]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .B(n71674), .Y(n71650) );
+  sky130_fd_sc_hd__a21oi_1 U89980 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[9]), .A2(n71575), .B1(n71650), .Y(n71654) );
+  sky130_fd_sc_hd__nand2_1 U89981 ( .A(n85637), .B(n75262), .Y(n71651) );
+  sky130_fd_sc_hd__nand3_1 U89983 ( .A(n71652), .B(n71651), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[10]), .Y(n71653) );
+  sky130_fd_sc_hd__o221ai_1 U89984 ( .A1(n85637), .A2(n75262), .B1(n71654), 
+        .B2(n71673), .C1(n71653), .Y(n71686) );
+  sky130_fd_sc_hd__nor2_1 U89985 ( .A(n71585), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[3]), .Y(n71656) );
+  sky130_fd_sc_hd__a21oi_1 U89986 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .A2(n71657), .B1(n71656), .Y(n71660) );
+  sky130_fd_sc_hd__o2bb2ai_1 U89988 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .B2(n71655), .A1_N(n41845), .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[1]), .Y(n71659) );
+  sky130_fd_sc_hd__nor3_1 U89989 ( .A(n71657), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .C(n71656), .Y(n71658) );
+  sky130_fd_sc_hd__a221o_1 U89990 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[3]), .A2(n71585), .B1(n71660), .B2(n71659), .C1(n71658), .X(n71666) );
+  sky130_fd_sc_hd__nand2_1 U89991 ( .A(n72059), .B(n71661), .Y(n71665) );
+  sky130_fd_sc_hd__nand2b_1 U89992 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[4]), .B(n72064), .Y(n71664) );
+  sky130_fd_sc_hd__nand2_1 U89993 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[4]), .B(n71665), .Y(n71662) );
+  sky130_fd_sc_hd__o22ai_1 U89994 ( .A1(n72064), .A2(n71662), .B1(n72059), 
+        .B2(n71661), .Y(n71663) );
+  sky130_fd_sc_hd__a31oi_1 U89995 ( .A1(n71666), .A2(n71665), .A3(n71664), 
+        .B1(n71663), .Y(n71671) );
+  sky130_fd_sc_hd__nor2_1 U89996 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[7]), .B(n58336), .Y(n71670) );
+  sky130_fd_sc_hd__nor2b_1 U89997 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[6]), .Y(n71669) );
+  sky130_fd_sc_hd__nor2_1 U89998 ( .A(n71670), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n71667) );
+  sky130_fd_sc_hd__a22oi_1 U89999 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[7]), .A2(n58336), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[6]), .B2(n71667), .Y(n71668) );
+  sky130_fd_sc_hd__o31ai_1 U90000 ( .A1(n71671), .A2(n71670), .A3(n71669), 
+        .B1(n71668), .Y(n71672) );
+  sky130_fd_sc_hd__nand2_1 U90001 ( .A(n71687), .B(n71672), .Y(n71676) );
+  sky130_fd_sc_hd__nor2b_1 U90002 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[8]), .Y(n71675) );
+  sky130_fd_sc_hd__nor4_1 U90003 ( .A(n71676), .B(n71675), .C(n71674), .D(
+        n71673), .Y(n71685) );
+  sky130_fd_sc_hd__nand2_1 U90004 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[14]), .B(n71677), .Y(n71678) );
+  sky130_fd_sc_hd__o22ai_1 U90005 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .A2(n75270), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[16]), .B2(n71678), .Y(n71684) );
+  sky130_fd_sc_hd__nand2b_1 U90006 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[13]), .Y(n71682) );
+  sky130_fd_sc_hd__or3_1 U90007 ( .A(n71679), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .C(n75265), .X(n71681) );
+  sky130_fd_sc_hd__a21oi_1 U90008 ( .A1(n71682), .A2(n71681), .B1(n71680), .Y(
+        n71683) );
+  sky130_fd_sc_hd__a2111oi_0 U90009 ( .A1(n71687), .A2(n71686), .B1(n71685), 
+        .C1(n71684), .D1(n71683), .Y(n71689) );
+  sky130_fd_sc_hd__nor4b_1 U90010 ( .D_N(n71691), .A(n71690), .B(n71689), .C(
+        n71688), .Y(n71692) );
+  sky130_fd_sc_hd__nor2_1 U90011 ( .A(n71693), .B(n71692), .Y(n71708) );
+  sky130_fd_sc_hd__nor2_1 U90012 ( .A(n71702), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[27]), .Y(n71698) );
+  sky130_fd_sc_hd__a21oi_1 U90013 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .A2(n75293), .B1(n71698), .Y(n71701) );
+  sky130_fd_sc_hd__nand2_1 U90014 ( .A(n75413), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .Y(n71695) );
+  sky130_fd_sc_hd__nand2_1 U90015 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .B(n75292), .Y(n71696) );
+  sky130_fd_sc_hd__nand2b_1 U90016 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .Y(n71694) );
+  sky130_fd_sc_hd__nand4_1 U90017 ( .A(n71701), .B(n71695), .C(n71696), .D(
+        n71694), .Y(n71707) );
+  sky130_fd_sc_hd__nand2_1 U90018 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[24]), .B(n71696), .Y(n71697) );
+  sky130_fd_sc_hd__o22ai_1 U90019 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .A2(n71697), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .B2(n75292), .Y(n71700) );
+  sky130_fd_sc_hd__nor3_1 U90020 ( .A(n75293), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .C(n71698), .Y(n71699) );
+  sky130_fd_sc_hd__a221oi_1 U90021 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[27]), .A2(n71702), .B1(n71701), .B2(n71700), .C1(n71699), .Y(n71703) );
+  sky130_fd_sc_hd__o21ai_1 U90024 ( .A1(n71708), .A2(n71707), .B1(n71706), .Y(
+        n71991) );
+  sky130_fd_sc_hd__nand4b_1 U90025 ( .A_N(n72111), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_a[0]), .C(n71991), .D(n75416), .Y(n71813) );
+  sky130_fd_sc_hd__xnor2_1 U90026 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[28]), .Y(n71811) );
+  sky130_fd_sc_hd__nand2_1 U90027 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[17]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[18]), .Y(n71709) );
+  sky130_fd_sc_hd__nand2_1 U90028 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[16]), .Y(n71754) );
+  sky130_fd_sc_hd__nor2_1 U90029 ( .A(n71709), .B(n71754), .Y(n71745) );
+  sky130_fd_sc_hd__nor2_1 U90030 ( .A(n75240), .B(n75276), .Y(n71710) );
+  sky130_fd_sc_hd__nand2_1 U90031 ( .A(n71745), .B(n71710), .Y(n71748) );
+  sky130_fd_sc_hd__nor2_1 U90032 ( .A(n71711), .B(n71748), .Y(n71719) );
+  sky130_fd_sc_hd__nand2_1 U90033 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[5]), .Y(n71712) );
+  sky130_fd_sc_hd__nand2_1 U90034 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[4]), .Y(n71764) );
+  sky130_fd_sc_hd__nor2_1 U90035 ( .A(n71712), .B(n71764), .Y(n71714) );
+  sky130_fd_sc_hd__nand2_1 U90036 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_a[0]), .Y(n71768) );
+  sky130_fd_sc_hd__nand2_1 U90037 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[2]), .Y(n71713) );
+  sky130_fd_sc_hd__nor2_1 U90038 ( .A(n71768), .B(n71713), .Y(n75371) );
+  sky130_fd_sc_hd__nand2_1 U90039 ( .A(n71714), .B(n75371), .Y(n75307) );
+  sky130_fd_sc_hd__nand2_1 U90040 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[10]), .Y(n71715) );
+  sky130_fd_sc_hd__nand2_1 U90041 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[8]), .Y(n71731) );
+  sky130_fd_sc_hd__nor2_1 U90042 ( .A(n71715), .B(n71731), .Y(n71728) );
+  sky130_fd_sc_hd__nand2_1 U90043 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[12]), .Y(n71729) );
+  sky130_fd_sc_hd__nand2_1 U90044 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[13]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[14]), .Y(n71716) );
+  sky130_fd_sc_hd__nor2_1 U90045 ( .A(n71729), .B(n71716), .Y(n71717) );
+  sky130_fd_sc_hd__nand2_1 U90046 ( .A(n71728), .B(n71717), .Y(n71718) );
+  sky130_fd_sc_hd__nor2_1 U90047 ( .A(n75307), .B(n71718), .Y(n71743) );
+  sky130_fd_sc_hd__clkinv_1 U90048 ( .A(n71720), .Y(n71721) );
+  sky130_fd_sc_hd__nor2_1 U90049 ( .A(n71722), .B(n71721), .Y(n75410) );
+  sky130_fd_sc_hd__ha_1 U90050 ( .A(n71723), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[26]), .COUT(n71720), .SUM(n71724) );
+  sky130_fd_sc_hd__nand2_1 U90051 ( .A(n71725), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[26]), .Y(n75408) );
+  sky130_fd_sc_hd__xor2_1 U90052 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[29]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[27]), .X(n71809) );
+  sky130_fd_sc_hd__ha_1 U90053 ( .A(n71726), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[24]), .COUT(n71801), .SUM(n71727) );
+  sky130_fd_sc_hd__nor2_1 U90054 ( .A(n75290), .B(n71727), .Y(n75405) );
+  sky130_fd_sc_hd__xnor2_1 U90055 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[25]), .Y(n71807) );
+  sky130_fd_sc_hd__clkinv_1 U90056 ( .A(n75307), .Y(n71765) );
+  sky130_fd_sc_hd__nand2_1 U90057 ( .A(n71765), .B(n71728), .Y(n71737) );
+  sky130_fd_sc_hd__clkinv_1 U90058 ( .A(n71737), .Y(n75384) );
+  sky130_fd_sc_hd__clkinv_1 U90059 ( .A(n71729), .Y(n71730) );
+  sky130_fd_sc_hd__nand2_1 U90060 ( .A(n75384), .B(n71730), .Y(n75386) );
+  sky130_fd_sc_hd__xor2_1 U90061 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[14]), .X(n71742) );
+  sky130_fd_sc_hd__xnor2_1 U90062 ( .A(n85633), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[9]), .Y(n71735) );
+  sky130_fd_sc_hd__clkinv_1 U90063 ( .A(n71731), .Y(n71732) );
+  sky130_fd_sc_hd__nand2_1 U90064 ( .A(n71765), .B(n71732), .Y(n71736) );
+  sky130_fd_sc_hd__clkinv_1 U90065 ( .A(n71736), .Y(n75311) );
+  sky130_fd_sc_hd__xor2_1 U90066 ( .A(n85634), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[7]), .X(n71733) );
+  sky130_fd_sc_hd__nand2_1 U90067 ( .A(n75307), .B(n71733), .Y(n71734) );
+  sky130_fd_sc_hd__xnor2_1 U90069 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[10]), .Y(n71739) );
+  sky130_fd_sc_hd__nor2_1 U90070 ( .A(n75256), .B(n71736), .Y(n75315) );
+  sky130_fd_sc_hd__xnor2_1 U90071 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[12]), .Y(n71738) );
+  sky130_fd_sc_hd__nor2_1 U90072 ( .A(n75262), .B(n71737), .Y(n75313) );
+  sky130_fd_sc_hd__o22ai_1 U90073 ( .A1(n71739), .A2(n75315), .B1(n71738), 
+        .B2(n75313), .Y(n71740) );
+  sky130_fd_sc_hd__a211oi_1 U90074 ( .A1(n75320), .A2(n71742), .B1(n71741), 
+        .C1(n71740), .Y(n71753) );
+  sky130_fd_sc_hd__xor2_1 U90075 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[15]), .X(n71744) );
+  sky130_fd_sc_hd__nand2_1 U90076 ( .A(n75328), .B(n71744), .Y(n71752) );
+  sky130_fd_sc_hd__clkinv_1 U90077 ( .A(n71745), .Y(n71746) );
+  sky130_fd_sc_hd__nor2_1 U90078 ( .A(n71746), .B(n75328), .Y(n71762) );
+  sky130_fd_sc_hd__clkinv_1 U90079 ( .A(n71762), .Y(n75332) );
+  sky130_fd_sc_hd__xor2_1 U90080 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[21]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[19]), .X(n71747) );
+  sky130_fd_sc_hd__nand2_1 U90081 ( .A(n75332), .B(n71747), .Y(n71751) );
+  sky130_fd_sc_hd__xor2_1 U90082 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[21]), .X(n71749) );
+  sky130_fd_sc_hd__nand2_1 U90083 ( .A(n75322), .B(n71749), .Y(n71750) );
+  sky130_fd_sc_hd__nand4_1 U90084 ( .A(n71753), .B(n71752), .C(n71751), .D(
+        n71750), .Y(n71800) );
+  sky130_fd_sc_hd__xor2_1 U90085 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[18]), .X(n71758) );
+  sky130_fd_sc_hd__nor2_1 U90086 ( .A(n71754), .B(n75328), .Y(n71759) );
+  sky130_fd_sc_hd__nand2_1 U90087 ( .A(n71759), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[17]), .Y(n75381) );
+  sky130_fd_sc_hd__xnor2_1 U90088 ( .A(n85637), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[11]), .Y(n71756) );
+  sky130_fd_sc_hd__xor2_1 U90089 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[13]), .X(n71755) );
+  sky130_fd_sc_hd__o2bb2ai_1 U90090 ( .B1(n71756), .B2(n75384), .A1_N(n71755), 
+        .A2_N(n75386), .Y(n71757) );
+  sky130_fd_sc_hd__a21oi_1 U90091 ( .A1(n71758), .A2(n75381), .B1(n71757), .Y(
+        n71794) );
+  sky130_fd_sc_hd__xor2_1 U90092 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[17]), .X(n71761) );
+  sky130_fd_sc_hd__clkinv_1 U90093 ( .A(n71759), .Y(n75340) );
+  sky130_fd_sc_hd__xnor2_1 U90094 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[22]), .Y(n71760) );
+  sky130_fd_sc_hd__a2bb2oi_1 U90095 ( .B1(n71761), .B2(n75340), .A1_N(n71760), 
+        .A2_N(n75344), .Y(n71793) );
+  sky130_fd_sc_hd__nand2_1 U90096 ( .A(n71762), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[19]), .Y(n75388) );
+  sky130_fd_sc_hd__xor2_1 U90097 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[22]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[20]), .X(n71787) );
+  sky130_fd_sc_hd__or2_0 U90098 ( .A(n75270), .B(n75328), .X(n75352) );
+  sky130_fd_sc_hd__xor2_1 U90099 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[18]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[16]), .X(n71763) );
+  sky130_fd_sc_hd__nand2_1 U90100 ( .A(n75352), .B(n71763), .Y(n71785) );
+  sky130_fd_sc_hd__xnor2_1 U90101 ( .A(n72059), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[5]), .Y(n71767) );
+  sky130_fd_sc_hd__clkinv_1 U90102 ( .A(n75371), .Y(n71775) );
+  sky130_fd_sc_hd__nor2_1 U90103 ( .A(n71764), .B(n71775), .Y(n75357) );
+  sky130_fd_sc_hd__xnor2_1 U90104 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[8]), .Y(n71766) );
+  sky130_fd_sc_hd__o22a_1 U90105 ( .A1(n71767), .A2(n75357), .B1(n75356), .B2(
+        n71766), .X(n71784) );
+  sky130_fd_sc_hd__nand2_1 U90106 ( .A(n75357), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[5]), .Y(n75375) );
+  sky130_fd_sc_hd__xor2_1 U90107 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[6]), .X(n71782) );
+  sky130_fd_sc_hd__xnor2_1 U90108 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[3]), .Y(n71780) );
+  sky130_fd_sc_hd__clkinv_1 U90109 ( .A(n71768), .Y(n75361) );
+  sky130_fd_sc_hd__nand2_1 U90110 ( .A(n75361), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[1]), .Y(n75366) );
+  sky130_fd_sc_hd__xor2_1 U90111 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[2]), .X(n71774) );
+  sky130_fd_sc_hd__xnor2_1 U90112 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[1]), .Y(n71772) );
+  sky130_fd_sc_hd__xor2_1 U90113 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[0]), .X(n71770) );
+  sky130_fd_sc_hd__a21oi_1 U90114 ( .A1(n71770), .A2(n71769), .B1(n75416), .Y(
+        n71771) );
+  sky130_fd_sc_hd__o21ai_0 U90115 ( .A1(n71772), .A2(n75361), .B1(n71771), .Y(
+        n71773) );
+  sky130_fd_sc_hd__a21oi_1 U90116 ( .A1(n75366), .A2(n71774), .B1(n71773), .Y(
+        n71779) );
+  sky130_fd_sc_hd__or2_0 U90117 ( .A(n71776), .B(n71775), .X(n75368) );
+  sky130_fd_sc_hd__xor2_1 U90118 ( .A(n72064), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[4]), .X(n71777) );
+  sky130_fd_sc_hd__nand2_1 U90119 ( .A(n75368), .B(n71777), .Y(n71778) );
+  sky130_fd_sc_hd__o211ai_1 U90120 ( .A1(n71780), .A2(n75371), .B1(n71779), 
+        .C1(n71778), .Y(n71781) );
+  sky130_fd_sc_hd__a21oi_1 U90121 ( .A1(n75375), .A2(n71782), .B1(n71781), .Y(
+        n71783) );
+  sky130_fd_sc_hd__nand3_1 U90122 ( .A(n71785), .B(n71784), .C(n71783), .Y(
+        n71786) );
+  sky130_fd_sc_hd__a21oi_1 U90123 ( .A1(n75388), .A2(n71787), .B1(n71786), .Y(
+        n71792) );
+  sky130_fd_sc_hd__ha_1 U90124 ( .A(n75344), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[22]), .COUT(n71795), .SUM(n71788) );
+  sky130_fd_sc_hd__clkinv_1 U90125 ( .A(n71788), .Y(n71789) );
+  sky130_fd_sc_hd__nand2_1 U90126 ( .A(n71789), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[22]), .Y(n75348) );
+  sky130_fd_sc_hd__xor2_1 U90127 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[25]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[23]), .X(n71790) );
+  sky130_fd_sc_hd__nand2_1 U90128 ( .A(n75348), .B(n71790), .Y(n71791) );
+  sky130_fd_sc_hd__nand4_1 U90129 ( .A(n71794), .B(n71793), .C(n71792), .D(
+        n71791), .Y(n71799) );
+  sky130_fd_sc_hd__xnor2_1 U90130 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[24]), .Y(n71797) );
+  sky130_fd_sc_hd__ha_1 U90131 ( .A(n71795), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[23]), .COUT(n71726), .SUM(n71796) );
+  sky130_fd_sc_hd__nor2_1 U90132 ( .A(n75285), .B(n71796), .Y(n75395) );
+  sky130_fd_sc_hd__nor2_1 U90133 ( .A(n71797), .B(n75395), .Y(n71798) );
+  sky130_fd_sc_hd__nor3_1 U90134 ( .A(n71800), .B(n71799), .C(n71798), .Y(
+        n71806) );
+  sky130_fd_sc_hd__ha_1 U90135 ( .A(n71801), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[25]), .COUT(n71723), .SUM(n71802) );
+  sky130_fd_sc_hd__clkinv_1 U90136 ( .A(n71802), .Y(n71803) );
+  sky130_fd_sc_hd__nand2_1 U90137 ( .A(n71803), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[25]), .Y(n75401) );
+  sky130_fd_sc_hd__xor2_1 U90138 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[26]), .X(n71804) );
+  sky130_fd_sc_hd__nand2_1 U90139 ( .A(n75401), .B(n71804), .Y(n71805) );
+  sky130_fd_sc_hd__o211ai_1 U90140 ( .A1(n75405), .A2(n71807), .B1(n71806), 
+        .C1(n71805), .Y(n71808) );
+  sky130_fd_sc_hd__a21oi_1 U90141 ( .A1(n75408), .A2(n71809), .B1(n71808), .Y(
+        n71810) );
+  sky130_fd_sc_hd__o21ai_1 U90142 ( .A1(n71811), .A2(n75410), .B1(n71810), .Y(
+        n71812) );
+  sky130_fd_sc_hd__nand2_1 U90143 ( .A(n71813), .B(n71812), .Y(n71998) );
+  sky130_fd_sc_hd__a21oi_1 U90144 ( .A1(n71998), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_l), .B1(n78268), .Y(n72001) );
+  sky130_fd_sc_hd__xnor2_1 U90145 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[29]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[27]), .Y(n71915) );
+  sky130_fd_sc_hd__nand2_1 U90146 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[15]), .Y(n71838) );
+  sky130_fd_sc_hd__nor2_1 U90147 ( .A(n75478), .B(n71838), .Y(n71832) );
+  sky130_fd_sc_hd__nand2_1 U90148 ( .A(n71832), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[18]), .Y(n71837) );
+  sky130_fd_sc_hd__nor2_1 U90149 ( .A(n71814), .B(n71837), .Y(n71822) );
+  sky130_fd_sc_hd__nand2_1 U90150 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[5]), .Y(n71815) );
+  sky130_fd_sc_hd__nand2_1 U90151 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[4]), .Y(n71844) );
+  sky130_fd_sc_hd__nor2_1 U90152 ( .A(n71815), .B(n71844), .Y(n71817) );
+  sky130_fd_sc_hd__nand2_1 U90153 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_a[0]), .Y(n75518) );
+  sky130_fd_sc_hd__nand2_1 U90154 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[2]), .Y(n71816) );
+  sky130_fd_sc_hd__nor2_1 U90155 ( .A(n75518), .B(n71816), .Y(n75527) );
+  sky130_fd_sc_hd__nand2_1 U90156 ( .A(n71817), .B(n75527), .Y(n71856) );
+  sky130_fd_sc_hd__nand2_1 U90157 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[8]), .Y(n71875) );
+  sky130_fd_sc_hd__nand2_1 U90158 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[9]), .Y(n71818) );
+  sky130_fd_sc_hd__nor2_1 U90159 ( .A(n71875), .B(n71818), .Y(n71864) );
+  sky130_fd_sc_hd__nand2_1 U90160 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[12]), .Y(n71865) );
+  sky130_fd_sc_hd__nand2_1 U90161 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[13]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[14]), .Y(n71819) );
+  sky130_fd_sc_hd__nor2_1 U90162 ( .A(n71865), .B(n71819), .Y(n71820) );
+  sky130_fd_sc_hd__nand2_1 U90163 ( .A(n71864), .B(n71820), .Y(n71821) );
+  sky130_fd_sc_hd__nor2_1 U90164 ( .A(n71856), .B(n71821), .Y(n71833) );
+  sky130_fd_sc_hd__nor2_1 U90165 ( .A(n75491), .B(n71823), .Y(n75605) );
+  sky130_fd_sc_hd__ha_1 U90166 ( .A(n71824), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[25]), .COUT(n71910), .SUM(n71825) );
+  sky130_fd_sc_hd__nand2_1 U90167 ( .A(n71826), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[25]), .Y(n75598) );
+  sky130_fd_sc_hd__xor2_1 U90168 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[26]), .X(n71909) );
+  sky130_fd_sc_hd__ha_1 U90169 ( .A(n71827), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[23]), .COUT(n71901), .SUM(n71828) );
+  sky130_fd_sc_hd__nor2_1 U90170 ( .A(n75426), .B(n71828), .Y(n75595) );
+  sky130_fd_sc_hd__xnor2_1 U90171 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[24]), .Y(n71907) );
+  sky130_fd_sc_hd__ha_1 U90172 ( .A(n71829), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[22]), .COUT(n71827), .SUM(n71830) );
+  sky130_fd_sc_hd__clkinv_1 U90173 ( .A(n71830), .Y(n71831) );
+  sky130_fd_sc_hd__nand2_1 U90174 ( .A(n71831), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[22]), .Y(n75587) );
+  sky130_fd_sc_hd__xor2_1 U90175 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[25]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[23]), .X(n71900) );
+  sky130_fd_sc_hd__xnor2_1 U90176 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[22]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[20]), .Y(n71836) );
+  sky130_fd_sc_hd__clkinv_1 U90177 ( .A(n71832), .Y(n71834) );
+  sky130_fd_sc_hd__nor2_1 U90178 ( .A(n71834), .B(n75578), .Y(n75507) );
+  sky130_fd_sc_hd__xnor2_1 U90179 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[18]), .Y(n71835) );
+  sky130_fd_sc_hd__o22ai_1 U90180 ( .A1(n71836), .A2(n75512), .B1(n75507), 
+        .B2(n71835), .Y(n71874) );
+  sky130_fd_sc_hd__xnor2_1 U90181 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[21]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[19]), .Y(n71840) );
+  sky130_fd_sc_hd__nor2_1 U90182 ( .A(n71837), .B(n75578), .Y(n75511) );
+  sky130_fd_sc_hd__nor2_1 U90183 ( .A(n71838), .B(n75578), .Y(n75508) );
+  sky130_fd_sc_hd__xnor2_1 U90184 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[17]), .Y(n71839) );
+  sky130_fd_sc_hd__o22ai_1 U90185 ( .A1(n71840), .A2(n75511), .B1(n75508), 
+        .B2(n71839), .Y(n71873) );
+  sky130_fd_sc_hd__ha_1 U90186 ( .A(n75512), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[20]), .COUT(n71891), .SUM(n71841) );
+  sky130_fd_sc_hd__clkinv_1 U90187 ( .A(n71841), .Y(n71842) );
+  sky130_fd_sc_hd__nand2_1 U90188 ( .A(n71842), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[20]), .Y(n75515) );
+  sky130_fd_sc_hd__xor2_1 U90189 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[21]), .X(n71843) );
+  sky130_fd_sc_hd__nand2_1 U90190 ( .A(n75515), .B(n71843), .Y(n71871) );
+  sky130_fd_sc_hd__xor2_1 U90191 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[18]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[16]), .X(n71863) );
+  sky130_fd_sc_hd__or2_0 U90192 ( .A(n75455), .B(n75578), .X(n75543) );
+  sky130_fd_sc_hd__clkinv_1 U90193 ( .A(n75527), .Y(n71845) );
+  sky130_fd_sc_hd__nor2_1 U90194 ( .A(n71844), .B(n71845), .Y(n75534) );
+  sky130_fd_sc_hd__xnor2_1 U90195 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[6]), .Y(n71861) );
+  sky130_fd_sc_hd__xor2_1 U90196 ( .A(n72064), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[4]), .X(n71855) );
+  sky130_fd_sc_hd__or2_0 U90197 ( .A(n75428), .B(n71845), .X(n75530) );
+  sky130_fd_sc_hd__xnor2_1 U90198 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[3]), .Y(n71853) );
+  sky130_fd_sc_hd__clkinv_1 U90199 ( .A(n75518), .Y(n71846) );
+  sky130_fd_sc_hd__nand2_1 U90200 ( .A(n71846), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[1]), .Y(n75525) );
+  sky130_fd_sc_hd__xor2_1 U90201 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[2]), .X(n71851) );
+  sky130_fd_sc_hd__xnor2_1 U90202 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[0]), .Y(n71849) );
+  sky130_fd_sc_hd__xor2_1 U90203 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[1]), .X(n71847) );
+  sky130_fd_sc_hd__nand2_1 U90204 ( .A(n75518), .B(n71847), .Y(n71848) );
+  sky130_fd_sc_hd__o21ai_0 U90205 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_a[0]), .A2(n71849), .B1(n71848), .Y(n71850) );
+  sky130_fd_sc_hd__a21oi_1 U90206 ( .A1(n75525), .A2(n71851), .B1(n71850), .Y(
+        n71852) );
+  sky130_fd_sc_hd__o21ai_0 U90207 ( .A1(n71853), .A2(n75527), .B1(n71852), .Y(
+        n71854) );
+  sky130_fd_sc_hd__a21oi_1 U90208 ( .A1(n71855), .A2(n75530), .B1(n71854), .Y(
+        n71860) );
+  sky130_fd_sc_hd__xor2_1 U90209 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[8]), .X(n71858) );
+  sky130_fd_sc_hd__clkinv_1 U90210 ( .A(n71856), .Y(n75559) );
+  sky130_fd_sc_hd__nand2_1 U90211 ( .A(n75559), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[7]), .Y(n75536) );
+  sky130_fd_sc_hd__xnor2_1 U90212 ( .A(n72059), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[5]), .Y(n71857) );
+  sky130_fd_sc_hd__a2bb2oi_1 U90213 ( .B1(n71858), .B2(n75536), .A1_N(n71857), 
+        .A2_N(n75534), .Y(n71859) );
+  sky130_fd_sc_hd__o211ai_1 U90214 ( .A1(n75541), .A2(n71861), .B1(n71860), 
+        .C1(n71859), .Y(n71862) );
+  sky130_fd_sc_hd__a21oi_1 U90215 ( .A1(n71863), .A2(n75543), .B1(n71862), .Y(
+        n71870) );
+  sky130_fd_sc_hd__xnor2_1 U90216 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[13]), .Y(n71868) );
+  sky130_fd_sc_hd__nand2_1 U90217 ( .A(n75559), .B(n71864), .Y(n71881) );
+  sky130_fd_sc_hd__clkinv_1 U90218 ( .A(n71881), .Y(n75549) );
+  sky130_fd_sc_hd__clkinv_1 U90219 ( .A(n71865), .Y(n71866) );
+  sky130_fd_sc_hd__nand2_1 U90220 ( .A(n75549), .B(n71866), .Y(n71884) );
+  sky130_fd_sc_hd__clkinv_1 U90221 ( .A(n71884), .Y(n75550) );
+  sky130_fd_sc_hd__xnor2_1 U90222 ( .A(n85637), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[11]), .Y(n71867) );
+  sky130_fd_sc_hd__o22a_1 U90223 ( .A1(n71868), .A2(n75550), .B1(n75549), .B2(
+        n71867), .X(n71869) );
+  sky130_fd_sc_hd__nand3_1 U90224 ( .A(n71871), .B(n71870), .C(n71869), .Y(
+        n71872) );
+  sky130_fd_sc_hd__nor3_1 U90225 ( .A(n71874), .B(n71873), .C(n71872), .Y(
+        n71898) );
+  sky130_fd_sc_hd__xnor2_1 U90226 ( .A(n85633), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[9]), .Y(n71878) );
+  sky130_fd_sc_hd__clkinv_1 U90227 ( .A(n71875), .Y(n71876) );
+  sky130_fd_sc_hd__nand2_1 U90228 ( .A(n75559), .B(n71876), .Y(n71879) );
+  sky130_fd_sc_hd__clkinv_1 U90229 ( .A(n71879), .Y(n75560) );
+  sky130_fd_sc_hd__xnor2_1 U90230 ( .A(n85634), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[7]), .Y(n71877) );
+  sky130_fd_sc_hd__o22ai_1 U90231 ( .A1(n71878), .A2(n75560), .B1(n75559), 
+        .B2(n71877), .Y(n71889) );
+  sky130_fd_sc_hd__xnor2_1 U90232 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[10]), .Y(n71883) );
+  sky130_fd_sc_hd__nor2_1 U90233 ( .A(n71880), .B(n71879), .Y(n75567) );
+  sky130_fd_sc_hd__xnor2_1 U90234 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[12]), .Y(n71882) );
+  sky130_fd_sc_hd__nor2_1 U90235 ( .A(n75460), .B(n71881), .Y(n75565) );
+  sky130_fd_sc_hd__o22ai_1 U90236 ( .A1(n71883), .A2(n75567), .B1(n71882), 
+        .B2(n75565), .Y(n71888) );
+  sky130_fd_sc_hd__xnor2_1 U90237 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[14]), .Y(n71886) );
+  sky130_fd_sc_hd__nor2_1 U90238 ( .A(n71885), .B(n71884), .Y(n75569) );
+  sky130_fd_sc_hd__nor2_1 U90239 ( .A(n71886), .B(n75569), .Y(n71887) );
+  sky130_fd_sc_hd__nor3_1 U90240 ( .A(n71889), .B(n71888), .C(n71887), .Y(
+        n71897) );
+  sky130_fd_sc_hd__xor2_1 U90241 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[15]), .X(n71890) );
+  sky130_fd_sc_hd__nand2_1 U90242 ( .A(n75578), .B(n71890), .Y(n71896) );
+  sky130_fd_sc_hd__ha_1 U90243 ( .A(n71891), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[21]), .COUT(n71829), .SUM(n71892) );
+  sky130_fd_sc_hd__clkinv_1 U90244 ( .A(n71892), .Y(n71893) );
+  sky130_fd_sc_hd__nand2_1 U90245 ( .A(n71893), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[21]), .Y(n75580) );
+  sky130_fd_sc_hd__xor2_1 U90246 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[22]), .X(n71894) );
+  sky130_fd_sc_hd__nand2_1 U90247 ( .A(n75580), .B(n71894), .Y(n71895) );
+  sky130_fd_sc_hd__nand4_1 U90248 ( .A(n71898), .B(n71897), .C(n71896), .D(
+        n71895), .Y(n71899) );
+  sky130_fd_sc_hd__a21oi_1 U90249 ( .A1(n75587), .A2(n71900), .B1(n71899), .Y(
+        n71906) );
+  sky130_fd_sc_hd__ha_1 U90250 ( .A(n71901), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[24]), .COUT(n71824), .SUM(n71902) );
+  sky130_fd_sc_hd__clkinv_1 U90251 ( .A(n71902), .Y(n71903) );
+  sky130_fd_sc_hd__nand2_1 U90252 ( .A(n71903), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[24]), .Y(n75591) );
+  sky130_fd_sc_hd__xor2_1 U90253 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[25]), .X(n71904) );
+  sky130_fd_sc_hd__nand2_1 U90254 ( .A(n75591), .B(n71904), .Y(n71905) );
+  sky130_fd_sc_hd__o211ai_1 U90255 ( .A1(n75595), .A2(n71907), .B1(n71906), 
+        .C1(n71905), .Y(n71908) );
+  sky130_fd_sc_hd__a21oi_1 U90256 ( .A1(n75598), .A2(n71909), .B1(n71908), .Y(
+        n71914) );
+  sky130_fd_sc_hd__ha_1 U90257 ( .A(n71910), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[26]), .COUT(n71911), .SUM(n71823) );
+  sky130_fd_sc_hd__nand2_1 U90258 ( .A(n71911), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[27]), .Y(n75602) );
+  sky130_fd_sc_hd__xor2_1 U90259 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[28]), .X(n71912) );
+  sky130_fd_sc_hd__nand2_1 U90260 ( .A(n75602), .B(n71912), .Y(n71913) );
+  sky130_fd_sc_hd__o211ai_1 U90261 ( .A1(n71915), .A2(n75605), .B1(n71914), 
+        .C1(n71913), .Y(n71996) );
+  sky130_fd_sc_hd__nor2_1 U90262 ( .A(n71568), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[23]), .Y(n71925) );
+  sky130_fd_sc_hd__a21oi_1 U90263 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .A2(n71926), .B1(n71925), .Y(n71929) );
+  sky130_fd_sc_hd__nand2_1 U90264 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .B(n71924), .Y(n71922) );
+  sky130_fd_sc_hd__o211ai_1 U90265 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[20]), .A2(n70816), .B1(n71929), .C1(n71922), .Y(n71970) );
+  sky130_fd_sc_hd__nor2_1 U90266 ( .A(n71920), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[19]), .Y(n71917) );
+  sky130_fd_sc_hd__a21oi_1 U90267 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .A2(n71918), .B1(n71917), .Y(n71973) );
+  sky130_fd_sc_hd__nand2_1 U90268 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .B(n75478), .Y(n71932) );
+  sky130_fd_sc_hd__nand2_1 U90269 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[16]), .B(n71932), .Y(n71916) );
+  sky130_fd_sc_hd__o22ai_1 U90270 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .A2(n75478), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[18]), .B2(n71916), .Y(n71921) );
+  sky130_fd_sc_hd__nor3_1 U90271 ( .A(n71918), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .C(n71917), .Y(n71919) );
+  sky130_fd_sc_hd__a221oi_1 U90272 ( .A1(n71973), .A2(n71921), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[19]), .B2(n71920), .C1(n71919), .Y(n71931) );
+  sky130_fd_sc_hd__nand2_1 U90273 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[20]), .B(n71922), .Y(n71923) );
+  sky130_fd_sc_hd__o22ai_1 U90274 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .A2(n71924), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[22]), .B2(n71923), .Y(n71928) );
+  sky130_fd_sc_hd__nor3_1 U90275 ( .A(n71926), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .C(n71925), .Y(n71927) );
+  sky130_fd_sc_hd__a221oi_1 U90276 ( .A1(n71929), .A2(n71928), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[23]), .B2(n71568), .C1(n71927), .Y(n71930) );
+  sky130_fd_sc_hd__nor2b_1 U90279 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[13]), .Y(n71961) );
+  sky130_fd_sc_hd__nand2_1 U90280 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .B(n75455), .Y(n71958) );
+  sky130_fd_sc_hd__o21ai_1 U90281 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[14]), .A2(n72276), .B1(n71958), .Y(n71962) );
+  sky130_fd_sc_hd__a211oi_1 U90282 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .A2(n71960), .B1(n71961), .C1(n71962), .Y(n71969) );
+  sky130_fd_sc_hd__nor2_1 U90283 ( .A(n71575), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[9]), .Y(n71955) );
+  sky130_fd_sc_hd__nor3b_1 U90284 ( .C_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[8]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .B(n71955), .Y(n71933) );
+  sky130_fd_sc_hd__a21oi_1 U90285 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[9]), .A2(n71575), .B1(n71933), .Y(n71936) );
+  sky130_fd_sc_hd__nand2_1 U90286 ( .A(n85637), .B(n75460), .Y(n71934) );
+  sky130_fd_sc_hd__o21ai_1 U90287 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[10]), .A2(n71652), .B1(n71934), .Y(n71954) );
+  sky130_fd_sc_hd__nand3_1 U90288 ( .A(n71652), .B(n71934), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[10]), .Y(n71935) );
+  sky130_fd_sc_hd__o221ai_1 U90289 ( .A1(n85637), .A2(n75460), .B1(n71936), 
+        .B2(n71954), .C1(n71935), .Y(n71968) );
+  sky130_fd_sc_hd__nor2_1 U90290 ( .A(n71585), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[3]), .Y(n71938) );
+  sky130_fd_sc_hd__a21oi_1 U90291 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .A2(n71939), .B1(n71938), .Y(n71942) );
+  sky130_fd_sc_hd__o21ai_0 U90292 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[1]), .A2(n41845), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[0]), .Y(n71937) );
+  sky130_fd_sc_hd__o2bb2ai_1 U90293 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .B2(n71937), .A1_N(n41845), .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[1]), .Y(n71941) );
+  sky130_fd_sc_hd__nor3_1 U90294 ( .A(n71939), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .C(n71938), .Y(n71940) );
+  sky130_fd_sc_hd__a221o_1 U90295 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[3]), .A2(n71585), .B1(n71942), .B2(n71941), .C1(n71940), .X(n71947) );
+  sky130_fd_sc_hd__nand2_1 U90296 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[7]), .B(n75427), .Y(n71946) );
+  sky130_fd_sc_hd__nand2b_1 U90297 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[4]), .B(n72064), .Y(n71945) );
+  sky130_fd_sc_hd__nand2_1 U90298 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[4]), .B(n71946), .Y(n71943) );
+  sky130_fd_sc_hd__o22ai_1 U90299 ( .A1(n72064), .A2(n71943), .B1(n72059), 
+        .B2(n75427), .Y(n71944) );
+  sky130_fd_sc_hd__a31oi_1 U90300 ( .A1(n71947), .A2(n71946), .A3(n71945), 
+        .B1(n71944), .Y(n71952) );
+  sky130_fd_sc_hd__nor2_1 U90301 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[7]), .B(n58336), .Y(n71951) );
+  sky130_fd_sc_hd__nor2b_1 U90302 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[6]), .Y(n71950) );
+  sky130_fd_sc_hd__nor2_1 U90303 ( .A(n71951), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .Y(n71948) );
+  sky130_fd_sc_hd__a22oi_1 U90304 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[7]), .A2(n58336), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[6]), .B2(n71948), .Y(n71949) );
+  sky130_fd_sc_hd__o31ai_1 U90305 ( .A1(n71952), .A2(n71951), .A3(n71950), 
+        .B1(n71949), .Y(n71953) );
+  sky130_fd_sc_hd__nand2_1 U90306 ( .A(n71969), .B(n71953), .Y(n71957) );
+  sky130_fd_sc_hd__nor2b_1 U90307 ( .B_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[8]), .Y(n71956) );
+  sky130_fd_sc_hd__nor4_1 U90308 ( .A(n71957), .B(n71956), .C(n71955), .D(
+        n71954), .Y(n71967) );
+  sky130_fd_sc_hd__nand2_1 U90309 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[14]), .B(n71958), .Y(n71959) );
+  sky130_fd_sc_hd__o22ai_1 U90310 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .A2(n75455), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[16]), .B2(n71959), .Y(n71966) );
+  sky130_fd_sc_hd__nand2b_1 U90311 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[13]), .Y(n71964) );
+  sky130_fd_sc_hd__or3_1 U90312 ( .A(n71961), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .C(n71960), .X(n71963) );
+  sky130_fd_sc_hd__a21oi_1 U90313 ( .A1(n71964), .A2(n71963), .B1(n71962), .Y(
+        n71965) );
+  sky130_fd_sc_hd__a2111oi_0 U90314 ( .A1(n71969), .A2(n71968), .B1(n71967), 
+        .C1(n71966), .D1(n71965), .Y(n71971) );
+  sky130_fd_sc_hd__nor4b_1 U90315 ( .D_N(n71973), .A(n71972), .B(n71971), .C(
+        n71970), .Y(n71974) );
+  sky130_fd_sc_hd__nor2_1 U90316 ( .A(n71975), .B(n71974), .Y(n71989) );
+  sky130_fd_sc_hd__nor2_1 U90317 ( .A(n71702), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[27]), .Y(n71980) );
+  sky130_fd_sc_hd__a21oi_1 U90318 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .A2(n75491), .B1(n71980), .Y(n71983) );
+  sky130_fd_sc_hd__nand2_1 U90319 ( .A(n75495), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .Y(n71977) );
+  sky130_fd_sc_hd__nand2_1 U90320 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .B(n75492), .Y(n71978) );
+  sky130_fd_sc_hd__nand2b_1 U90321 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .Y(n71976) );
+  sky130_fd_sc_hd__nand4_1 U90322 ( .A(n71983), .B(n71977), .C(n71978), .D(
+        n71976), .Y(n71988) );
+  sky130_fd_sc_hd__nand2_1 U90323 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[24]), .B(n71978), .Y(n71979) );
+  sky130_fd_sc_hd__o22ai_1 U90324 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .A2(n71979), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .B2(n75492), .Y(n71982) );
+  sky130_fd_sc_hd__nor3_1 U90325 ( .A(n75491), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .C(n71980), .Y(n71981) );
+  sky130_fd_sc_hd__a221oi_1 U90326 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[27]), .A2(n71702), .B1(n71983), .B2(n71982), .C1(n71981), .Y(n71984) );
+  sky130_fd_sc_hd__clkinv_1 U90327 ( .A(n71984), .Y(n71986) );
+  sky130_fd_sc_hd__o21ai_1 U90330 ( .A1(n71989), .A2(n71988), .B1(n71987), .Y(
+        n71990) );
+  sky130_fd_sc_hd__nand2_1 U90331 ( .A(n71990), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_a[0]), .Y(n71992) );
+  sky130_fd_sc_hd__nand2_1 U90333 ( .A(n71994), .B(n71993), .Y(n71995) );
+  sky130_fd_sc_hd__a21oi_1 U90334 ( .A1(n71996), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_a[1]), .B1(n71995), .Y(n71997) );
+  sky130_fd_sc_hd__nand2_1 U90335 ( .A(n71997), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_l), .Y(n72000) );
+  sky130_fd_sc_hd__a22o_1 U90336 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_x), .A2(n71998), .B1(n71997), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_x), .X(n71999) );
+  sky130_fd_sc_hd__a21oi_1 U90337 ( .A1(n72001), .A2(n72000), .B1(n71999), .Y(
+        n72113) );
+  sky130_fd_sc_hd__nor2_1 U90338 ( .A(n75236), .B(n72002), .Y(n72110) );
+  sky130_fd_sc_hd__xor2_1 U90339 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[28]), .X(n72108) );
+  sky130_fd_sc_hd__nand2_1 U90340 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[5]), .Y(n72003) );
+  sky130_fd_sc_hd__nand2_1 U90341 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[4]), .Y(n72060) );
+  sky130_fd_sc_hd__nor2_1 U90342 ( .A(n72003), .B(n72060), .Y(n72005) );
+  sky130_fd_sc_hd__nand2_1 U90343 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_cfg_a[0]), .Y(n75167) );
+  sky130_fd_sc_hd__nand2_1 U90344 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[2]), .Y(n72004) );
+  sky130_fd_sc_hd__nor2_1 U90345 ( .A(n75167), .B(n72004), .Y(n75176) );
+  sky130_fd_sc_hd__nand2_1 U90346 ( .A(n72005), .B(n75176), .Y(n72035) );
+  sky130_fd_sc_hd__nand2_1 U90347 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[10]), .Y(n72006) );
+  sky130_fd_sc_hd__nand2_1 U90348 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[8]), .Y(n72036) );
+  sky130_fd_sc_hd__nor2_1 U90349 ( .A(n72006), .B(n72036), .Y(n72041) );
+  sky130_fd_sc_hd__nand2_1 U90350 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[12]), .Y(n72044) );
+  sky130_fd_sc_hd__nand2_1 U90351 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[13]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[14]), .Y(n72007) );
+  sky130_fd_sc_hd__nor2_1 U90352 ( .A(n72044), .B(n72007), .Y(n72008) );
+  sky130_fd_sc_hd__nand2_1 U90353 ( .A(n72041), .B(n72008), .Y(n72009) );
+  sky130_fd_sc_hd__nor2_1 U90354 ( .A(n72035), .B(n72009), .Y(n72053) );
+  sky130_fd_sc_hd__nand2_1 U90355 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[15]), .Y(n72054) );
+  sky130_fd_sc_hd__nor2_1 U90356 ( .A(n75069), .B(n72054), .Y(n72010) );
+  sky130_fd_sc_hd__nand2_1 U90357 ( .A(n72011), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[19]), .Y(n75207) );
+  sky130_fd_sc_hd__nand2_1 U90358 ( .A(n72012), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[27]), .Y(n75137) );
+  sky130_fd_sc_hd__xnor2_1 U90359 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[29]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[27]), .Y(n72106) );
+  sky130_fd_sc_hd__ha_1 U90360 ( .A(n72013), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[26]), .COUT(n72012), .SUM(n72014) );
+  sky130_fd_sc_hd__nand2_1 U90361 ( .A(n72015), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[26]), .Y(n75231) );
+  sky130_fd_sc_hd__ha_1 U90362 ( .A(n72016), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[25]), .COUT(n72013), .SUM(n72017) );
+  sky130_fd_sc_hd__nand2_1 U90363 ( .A(n72018), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[25]), .Y(n75227) );
+  sky130_fd_sc_hd__xor2_1 U90364 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[26]), .X(n72103) );
+  sky130_fd_sc_hd__xnor2_1 U90365 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[27]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[25]), .Y(n72101) );
+  sky130_fd_sc_hd__ha_1 U90366 ( .A(n72019), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[24]), .COUT(n72016), .SUM(n72020) );
+  sky130_fd_sc_hd__nor2_1 U90367 ( .A(n72021), .B(n72020), .Y(n75223) );
+  sky130_fd_sc_hd__ha_1 U90368 ( .A(n72022), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[23]), .COUT(n72019), .SUM(n72023) );
+  sky130_fd_sc_hd__nand2_1 U90369 ( .A(n72024), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[23]), .Y(n75221) );
+  sky130_fd_sc_hd__xor2_1 U90370 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[26]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[24]), .X(n72099) );
+  sky130_fd_sc_hd__xnor2_1 U90371 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[25]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[23]), .Y(n72097) );
+  sky130_fd_sc_hd__ha_1 U90372 ( .A(n72025), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[22]), .COUT(n72022), .SUM(n72026) );
+  sky130_fd_sc_hd__nor2_1 U90373 ( .A(n72027), .B(n72026), .Y(n75217) );
+  sky130_fd_sc_hd__ha_1 U90374 ( .A(n72028), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[21]), .COUT(n72025), .SUM(n72029) );
+  sky130_fd_sc_hd__clkinv_1 U90375 ( .A(n72029), .Y(n72030) );
+  sky130_fd_sc_hd__nand2_1 U90376 ( .A(n72030), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[21]), .Y(n75215) );
+  sky130_fd_sc_hd__xor2_1 U90377 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[22]), .X(n72095) );
+  sky130_fd_sc_hd__ha_1 U90378 ( .A(n72031), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[20]), .COUT(n72028), .SUM(n72032) );
+  sky130_fd_sc_hd__clkinv_1 U90379 ( .A(n72032), .Y(n72033) );
+  sky130_fd_sc_hd__nand2_1 U90380 ( .A(n72033), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[20]), .Y(n75139) );
+  sky130_fd_sc_hd__xor2_1 U90381 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[23]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[21]), .X(n72034) );
+  sky130_fd_sc_hd__nand2_1 U90382 ( .A(n75139), .B(n72034), .Y(n72093) );
+  sky130_fd_sc_hd__xnor2_1 U90383 ( .A(n85633), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[9]), .Y(n72039) );
+  sky130_fd_sc_hd__clkinv_1 U90384 ( .A(n72036), .Y(n72037) );
+  sky130_fd_sc_hd__nand2_1 U90385 ( .A(n75141), .B(n72037), .Y(n72040) );
+  sky130_fd_sc_hd__clkinv_1 U90386 ( .A(n72040), .Y(n75142) );
+  sky130_fd_sc_hd__xnor2_1 U90387 ( .A(n85634), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[7]), .Y(n72038) );
+  sky130_fd_sc_hd__o22ai_1 U90388 ( .A1(n72039), .A2(n75142), .B1(n75141), 
+        .B2(n72038), .Y(n72050) );
+  sky130_fd_sc_hd__xnor2_1 U90389 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[10]), .Y(n72043) );
+  sky130_fd_sc_hd__nor2_1 U90390 ( .A(n75084), .B(n72040), .Y(n75146) );
+  sky130_fd_sc_hd__xnor2_1 U90391 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[12]), .Y(n72042) );
+  sky130_fd_sc_hd__nand2_1 U90392 ( .A(n75141), .B(n72041), .Y(n75197) );
+  sky130_fd_sc_hd__nor2_1 U90393 ( .A(n75087), .B(n75197), .Y(n75144) );
+  sky130_fd_sc_hd__o22ai_1 U90394 ( .A1(n72043), .A2(n75146), .B1(n72042), 
+        .B2(n75144), .Y(n72049) );
+  sky130_fd_sc_hd__xnor2_1 U90395 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[14]), .Y(n72047) );
+  sky130_fd_sc_hd__clkinv_1 U90396 ( .A(n75197), .Y(n72046) );
+  sky130_fd_sc_hd__clkinv_1 U90397 ( .A(n72044), .Y(n72045) );
+  sky130_fd_sc_hd__nand2_1 U90398 ( .A(n72046), .B(n72045), .Y(n75201) );
+  sky130_fd_sc_hd__nor2_1 U90399 ( .A(n75103), .B(n75201), .Y(n75148) );
+  sky130_fd_sc_hd__nor2_1 U90400 ( .A(n72047), .B(n75148), .Y(n72048) );
+  sky130_fd_sc_hd__nor3_1 U90401 ( .A(n72050), .B(n72049), .C(n72048), .Y(
+        n72092) );
+  sky130_fd_sc_hd__xor2_1 U90402 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[21]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[19]), .X(n72058) );
+  sky130_fd_sc_hd__ha_1 U90403 ( .A(n75155), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[18]), .COUT(n72011), .SUM(n72051) );
+  sky130_fd_sc_hd__clkinv_1 U90404 ( .A(n72051), .Y(n72052) );
+  sky130_fd_sc_hd__nand2_1 U90405 ( .A(n72052), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[18]), .Y(n75158) );
+  sky130_fd_sc_hd__xnor2_1 U90406 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[20]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[18]), .Y(n72056) );
+  sky130_fd_sc_hd__nor2_1 U90407 ( .A(n72054), .B(n75162), .Y(n75154) );
+  sky130_fd_sc_hd__xnor2_1 U90408 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[17]), .Y(n72055) );
+  sky130_fd_sc_hd__o22ai_1 U90409 ( .A1(n72056), .A2(n75155), .B1(n75154), 
+        .B2(n72055), .Y(n72057) );
+  sky130_fd_sc_hd__a21oi_1 U90410 ( .A1(n72058), .A2(n75158), .B1(n72057), .Y(
+        n72091) );
+  sky130_fd_sc_hd__xor2_1 U90411 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[22]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[20]), .X(n72089) );
+  sky130_fd_sc_hd__xor2_1 U90412 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[18]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[16]), .X(n72081) );
+  sky130_fd_sc_hd__xnor2_1 U90413 ( .A(n72059), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[5]), .Y(n72063) );
+  sky130_fd_sc_hd__nor2_1 U90414 ( .A(n72060), .B(n72065), .Y(n75184) );
+  sky130_fd_sc_hd__xnor2_1 U90415 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[8]), .Y(n72062) );
+  sky130_fd_sc_hd__nand2_1 U90416 ( .A(n75141), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[7]), .Y(n75186) );
+  sky130_fd_sc_hd__clkinv_1 U90417 ( .A(n75186), .Y(n72061) );
+  sky130_fd_sc_hd__o22ai_1 U90418 ( .A1(n72063), .A2(n75184), .B1(n72062), 
+        .B2(n72061), .Y(n72080) );
+  sky130_fd_sc_hd__xnor2_1 U90419 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[6]), .Y(n72078) );
+  sky130_fd_sc_hd__xor2_1 U90420 ( .A(n72064), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[4]), .X(n72076) );
+  sky130_fd_sc_hd__or2_0 U90421 ( .A(n72066), .B(n72065), .X(n75179) );
+  sky130_fd_sc_hd__xnor2_1 U90422 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[3]), .Y(n72074) );
+  sky130_fd_sc_hd__clkinv_1 U90423 ( .A(n75167), .Y(n72067) );
+  sky130_fd_sc_hd__nand2_1 U90424 ( .A(n72067), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[1]), .Y(n75174) );
+  sky130_fd_sc_hd__xor2_1 U90425 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[2]), .X(n72072) );
+  sky130_fd_sc_hd__xnor2_1 U90426 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[0]), .Y(n72070) );
+  sky130_fd_sc_hd__xor2_1 U90427 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[1]), .X(n72068) );
+  sky130_fd_sc_hd__nand2_1 U90428 ( .A(n75167), .B(n72068), .Y(n72069) );
+  sky130_fd_sc_hd__o211ai_1 U90429 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_cfg_a[0]), .A2(n72070), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_cfg_a[1]), .C1(n72069), .Y(n72071) );
+  sky130_fd_sc_hd__a21oi_1 U90430 ( .A1(n75174), .A2(n72072), .B1(n72071), .Y(
+        n72073) );
+  sky130_fd_sc_hd__o21ai_0 U90431 ( .A1(n72074), .A2(n75176), .B1(n72073), .Y(
+        n72075) );
+  sky130_fd_sc_hd__a21oi_1 U90432 ( .A1(n72076), .A2(n75179), .B1(n72075), .Y(
+        n72077) );
+  sky130_fd_sc_hd__a211oi_1 U90434 ( .A1(n75193), .A2(n72081), .B1(n72080), 
+        .C1(n72079), .Y(n72087) );
+  sky130_fd_sc_hd__xor2_1 U90435 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[17]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[15]), .X(n72082) );
+  sky130_fd_sc_hd__nand2_1 U90436 ( .A(n75162), .B(n72082), .Y(n72086) );
+  sky130_fd_sc_hd__xor2_1 U90437 ( .A(n85637), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[11]), .X(n72084) );
+  sky130_fd_sc_hd__xor2_1 U90438 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[13]), .X(n72083) );
+  sky130_fd_sc_hd__a22oi_1 U90439 ( .A1(n75197), .A2(n72084), .B1(n72083), 
+        .B2(n75201), .Y(n72085) );
+  sky130_fd_sc_hd__nand3_1 U90440 ( .A(n72087), .B(n72086), .C(n72085), .Y(
+        n72088) );
+  sky130_fd_sc_hd__a21oi_1 U90441 ( .A1(n72089), .A2(n75207), .B1(n72088), .Y(
+        n72090) );
+  sky130_fd_sc_hd__nand4_1 U90442 ( .A(n72093), .B(n72092), .C(n72091), .D(
+        n72090), .Y(n72094) );
+  sky130_fd_sc_hd__a21oi_1 U90443 ( .A1(n75215), .A2(n72095), .B1(n72094), .Y(
+        n72096) );
+  sky130_fd_sc_hd__a21oi_1 U90445 ( .A1(n75221), .A2(n72099), .B1(n72098), .Y(
+        n72100) );
+  sky130_fd_sc_hd__a21oi_1 U90447 ( .A1(n75227), .A2(n72103), .B1(n72102), .Y(
+        n72104) );
+  sky130_fd_sc_hd__a21oi_1 U90449 ( .A1(n72108), .A2(n75137), .B1(n72107), .Y(
+        n72109) );
+  sky130_fd_sc_hd__a21oi_1 U90450 ( .A1(n72111), .A2(n72110), .B1(n72109), .Y(
+        n72112) );
+  sky130_fd_sc_hd__mux2i_1 U90451 ( .A0(n72114), .A1(n72113), .S(n72112), .Y(
+        n72116) );
+  sky130_fd_sc_hd__mux2i_1 U90452 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_x), .A1(n72116), .S(n72115), .Y(n72120) );
+  sky130_fd_sc_hd__o21ai_1 U90453 ( .A1(n72119), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_cfg_x), .B1(n72117), .Y(n72118) );
+  sky130_fd_sc_hd__a21oi_1 U90454 ( .A1(n72120), .A2(n72119), .B1(n72118), .Y(
+        n72121) );
+  sky130_fd_sc_hd__nor4_1 U90455 ( .A(n72124), .B(n72123), .C(n72122), .D(
+        n72121), .Y(n72125) );
+  sky130_fd_sc_hd__or4_1 U90456 ( .A(n72127), .B(n73165), .C(n72126), .D(
+        n72125), .X(n36481) );
+  sky130_fd_sc_hd__nand2_1 U90457 ( .A(n72128), .B(n73258), .Y(n73131) );
+  sky130_fd_sc_hd__nand3_1 U90458 ( .A(n72712), .B(n72129), .C(n73131), .Y(
+        n73255) );
+  sky130_fd_sc_hd__nor2_1 U90459 ( .A(n72789), .B(n73255), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N590) );
+  sky130_fd_sc_hd__a22o_1 U90460 ( .A1(n85638), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_array_RW0_rdata_1_18_), .B1(n72131), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_tag_array_RW0_rdata_0_18_), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__s2_tl_error_T_1) );
+  sky130_fd_sc_hd__nand2_1 U90461 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_xcpt_ae_inst), .B(n37259), .Y(n72132) );
+  sky130_fd_sc_hd__o21ai_1 U90462 ( .A1(n37259), .A2(n72136), .B1(n72132), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N344) );
+  sky130_fd_sc_hd__nand2_1 U90463 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_xcpt_ae_inst), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .Y(n72133) );
+  sky130_fd_sc_hd__o21ai_1 U90464 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n72136), .B1(n72133), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N263) );
+  sky130_fd_sc_hd__nand2_1 U90465 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_xcpt_ae_inst), .B(n76241), .Y(n72134) );
+  sky130_fd_sc_hd__o21ai_1 U90466 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n72136), .B1(n72134), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N182) );
+  sky130_fd_sc_hd__nand2_1 U90467 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_xcpt_ae_inst), .B(n73340), .Y(n72135) );
+  sky130_fd_sc_hd__nand2_1 U90469 ( .A(n64910), .B(n72137), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N387) );
+  sky130_fd_sc_hd__xor2_1 U90470 ( .A(n72139), .B(n72138), .X(n72544) );
+  sky130_fd_sc_hd__nor3_1 U90471 ( .A(n72142), .B(n72141), .C(n72140), .Y(
+        n72143) );
+  sky130_fd_sc_hd__a21oi_1 U90472 ( .A1(n72544), .A2(n72144), .B1(n72143), .Y(
+        n72145) );
+  sky130_fd_sc_hd__o21ai_0 U90473 ( .A1(n72147), .A2(n72146), .B1(n72145), .Y(
+        n72148) );
+  sky130_fd_sc_hd__a21oi_1 U90474 ( .A1(n72150), .A2(n72149), .B1(n72148), .Y(
+        n72151) );
+  sky130_fd_sc_hd__o21ai_1 U90475 ( .A1(n72153), .A2(n72152), .B1(n72151), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[1]) );
+  sky130_fd_sc_hd__a222oi_1 U90476 ( .A1(n73185), .A2(n85823), .B1(n78500), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[3]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[3]), .C2(n78499), .Y(n72154) );
+  sky130_fd_sc_hd__a22oi_1 U90477 ( .A1(n85823), .A2(n75874), .B1(n38422), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[3]), .Y(n72155) );
+  sky130_fd_sc_hd__o21ai_1 U90478 ( .A1(n72157), .A2(n72156), .B1(n72155), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1146) );
+  sky130_fd_sc_hd__a222oi_1 U90479 ( .A1(n85823), .A2(n64978), .B1(n73183), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[3]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[3]), .C2(n73182), .Y(n72158) );
+  sky130_fd_sc_hd__a22oi_1 U90480 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[3]), .A2(n78526), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[3]), .B2(n78527), .Y(n72159) );
+  sky130_fd_sc_hd__o21ai_1 U90481 ( .A1(n78529), .A2(n72160), .B1(n72159), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N420) );
+  sky130_fd_sc_hd__o22ai_1 U90482 ( .A1(n72162), .A2(n72161), .B1(n76400), 
+        .B2(n72160), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N718) );
+  sky130_fd_sc_hd__nand2_1 U90483 ( .A(n85823), .B(n36801), .Y(n78290) );
+  sky130_fd_sc_hd__a222oi_1 U90484 ( .A1(n85751), .A2(n73185), .B1(n78500), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[4]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[4]), .C2(n78499), .Y(n72163) );
+  sky130_fd_sc_hd__a222oi_1 U90485 ( .A1(n85641), .A2(n73185), .B1(n78500), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[24]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[24]), .C2(n78499), .Y(n72165) );
+  sky130_fd_sc_hd__o22ai_1 U90486 ( .A1(n72167), .A2(n73073), .B1(n72166), 
+        .B2(n58802), .Y(n72201) );
+  sky130_fd_sc_hd__a21oi_1 U90487 ( .A1(n72220), .A2(n72171), .B1(n72170), .Y(
+        n72176) );
+  sky130_fd_sc_hd__nand2_1 U90488 ( .A(n72174), .B(n72173), .Y(n72175) );
+  sky130_fd_sc_hd__xor2_1 U90489 ( .A(n72176), .B(n72175), .X(n72185) );
+  sky130_fd_sc_hd__nand2_1 U90490 ( .A(n72180), .B(n72179), .Y(n72181) );
+  sky130_fd_sc_hd__xor2_1 U90491 ( .A(n72182), .B(n72181), .X(n72183) );
+  sky130_fd_sc_hd__a222oi_1 U90492 ( .A1(n73319), .A2(n72185), .B1(n73229), 
+        .B2(n72184), .C1(n72183), .C2(n73312), .Y(n72196) );
+  sky130_fd_sc_hd__nand2_1 U90493 ( .A(n73192), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[24]), .Y(n72190) );
+  sky130_fd_sc_hd__a22oi_1 U90494 ( .A1(n78262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[24]), .B1(n73261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[24]), .Y(n72189) );
+  sky130_fd_sc_hd__nand2_1 U90495 ( .A(n73187), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[24]), .Y(n72188) );
+  sky130_fd_sc_hd__nand2_1 U90496 ( .A(n78265), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[24]), .Y(n72187) );
+  sky130_fd_sc_hd__nand4_1 U90497 ( .A(n72190), .B(n72189), .C(n72188), .D(
+        n72187), .Y(n72191) );
+  sky130_fd_sc_hd__a21oi_1 U90498 ( .A1(n73097), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[24]), .B1(n72191), .Y(n72192) );
+  sky130_fd_sc_hd__o21ai_0 U90499 ( .A1(n72213), .A2(n73154), .B1(n72192), .Y(
+        n72193) );
+  sky130_fd_sc_hd__a21oi_1 U90500 ( .A1(n72194), .A2(n72458), .B1(n72193), .Y(
+        n72195) );
+  sky130_fd_sc_hd__a21oi_1 U90502 ( .A1(n72504), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[24]), .B1(n72197), .Y(n72198) );
+  sky130_fd_sc_hd__o21ai_0 U90503 ( .A1(n72199), .A2(n73106), .B1(n72198), .Y(
+        n72200) );
+  sky130_fd_sc_hd__a211oi_1 U90504 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[10]), .A2(n73110), .B1(n72201), .C1(n72200), .Y(n72208) );
+  sky130_fd_sc_hd__a22oi_1 U90505 ( .A1(n72203), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[24]), .B1(n72202), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[24]), .Y(n72207) );
+  sky130_fd_sc_hd__a22oi_1 U90506 ( .A1(n72252), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[24]), .B1(n36816), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[24]), .Y(n72206) );
+  sky130_fd_sc_hd__a22o_1 U90507 ( .A1(n72419), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[24]), .B1(n73111), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[10]), .X(n72204) );
+  sky130_fd_sc_hd__a21oi_1 U90508 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[10]), .A2(n73113), .B1(n72204), .Y(n72205) );
+  sky130_fd_sc_hd__nand4_1 U90509 ( .A(n72208), .B(n72207), .C(n72206), .D(
+        n72205), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[24]) );
+  sky130_fd_sc_hd__nor2_1 U90510 ( .A(n72209), .B(n73338), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N106) );
+  sky130_fd_sc_hd__ha_1 U90511 ( .A(n72210), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[24]), .COUT(n69210), .SUM(n72211) );
+  sky130_fd_sc_hd__nand2_1 U90512 ( .A(n73179), .B(n72211), .Y(n72212) );
+  sky130_fd_sc_hd__o21ai_0 U90513 ( .A1(n72213), .A2(n73179), .B1(n72212), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[24]) );
+  sky130_fd_sc_hd__a22oi_1 U90514 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[19]), .A2(n78527), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[19]), .B2(n78526), .Y(n72214) );
+  sky130_fd_sc_hd__o22ai_1 U90516 ( .A1(n72217), .A2(n73073), .B1(n72216), 
+        .B2(n58802), .Y(n72251) );
+  sky130_fd_sc_hd__nand2_1 U90517 ( .A(n72219), .B(n72218), .Y(n72221) );
+  sky130_fd_sc_hd__xnor2_1 U90518 ( .A(n72221), .B(n72220), .Y(n72234) );
+  sky130_fd_sc_hd__ha_1 U90519 ( .A(n72222), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[19]), .COUT(n69006), .SUM(n72233) );
+  sky130_fd_sc_hd__clkinv_1 U90520 ( .A(n72223), .Y(n72224) );
+  sky130_fd_sc_hd__a21oi_1 U90521 ( .A1(n72226), .A2(n72225), .B1(n72224), .Y(
+        n72231) );
+  sky130_fd_sc_hd__clkinv_1 U90522 ( .A(n72227), .Y(n72229) );
+  sky130_fd_sc_hd__nand2_1 U90523 ( .A(n72229), .B(n72228), .Y(n72230) );
+  sky130_fd_sc_hd__xor2_1 U90524 ( .A(n72231), .B(n72230), .X(n72232) );
+  sky130_fd_sc_hd__a222oi_1 U90525 ( .A1(n73319), .A2(n72234), .B1(n73229), 
+        .B2(n72233), .C1(n72232), .C2(n73312), .Y(n72246) );
+  sky130_fd_sc_hd__nand2_1 U90526 ( .A(n73192), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[19]), .Y(n72239) );
+  sky130_fd_sc_hd__a22oi_1 U90527 ( .A1(n78262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[19]), .B1(n73261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[19]), .Y(n72238) );
+  sky130_fd_sc_hd__nand2_1 U90528 ( .A(n73187), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[19]), .Y(n72237) );
+  sky130_fd_sc_hd__nand2_1 U90529 ( .A(n78265), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[19]), .Y(n72236) );
+  sky130_fd_sc_hd__nand4_1 U90530 ( .A(n72239), .B(n72238), .C(n72237), .D(
+        n72236), .Y(n72240) );
+  sky130_fd_sc_hd__a21oi_1 U90531 ( .A1(n73097), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[19]), .B1(n72240), .Y(n72241) );
+  sky130_fd_sc_hd__o21ai_0 U90532 ( .A1(n72242), .A2(n73154), .B1(n72241), .Y(
+        n72243) );
+  sky130_fd_sc_hd__a21oi_1 U90533 ( .A1(n72244), .A2(n72458), .B1(n72243), .Y(
+        n72245) );
+  sky130_fd_sc_hd__o21ai_1 U90534 ( .A1(n73105), .A2(n72246), .B1(n72245), .Y(
+        n72247) );
+  sky130_fd_sc_hd__a21oi_1 U90535 ( .A1(n72504), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[19]), .B1(n72247), .Y(n72248) );
+  sky130_fd_sc_hd__o21ai_0 U90536 ( .A1(n72249), .A2(n73106), .B1(n72248), .Y(
+        n72250) );
+  sky130_fd_sc_hd__a211oi_1 U90537 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[5]), .A2(n73110), .B1(n72251), .C1(n72250), .Y(n72257) );
+  sky130_fd_sc_hd__a22oi_1 U90538 ( .A1(n73327), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[19]), .B1(n72509), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[19]), .Y(n72256) );
+  sky130_fd_sc_hd__a22oi_1 U90539 ( .A1(n72252), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[19]), .B1(n36816), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[19]), .Y(n72255) );
+  sky130_fd_sc_hd__a22o_1 U90540 ( .A1(n72419), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[19]), .B1(n73111), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[5]), .X(n72253) );
+  sky130_fd_sc_hd__a21oi_1 U90541 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[5]), .A2(n73113), .B1(n72253), .Y(n72254) );
+  sky130_fd_sc_hd__nand4_1 U90542 ( .A(n72257), .B(n72256), .C(n72255), .D(
+        n72254), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[19]) );
+  sky130_fd_sc_hd__a22oi_1 U90543 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[16]), .A2(n78527), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[16]), .B2(n78526), .Y(n72258) );
+  sky130_fd_sc_hd__o21ai_1 U90544 ( .A1(n78529), .A2(n72259), .B1(n72258), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N433) );
+  sky130_fd_sc_hd__a222oi_1 U90545 ( .A1(n85741), .A2(n64978), .B1(n73183), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[16]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[16]), .C2(n73182), .Y(n72260) );
+  sky130_fd_sc_hd__a222oi_1 U90546 ( .A1(n73185), .A2(n85741), .B1(n78500), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[16]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[16]), .C2(n78499), .Y(n72261) );
+  sky130_fd_sc_hd__o22ai_1 U90547 ( .A1(n72263), .A2(n73073), .B1(n72262), 
+        .B2(n58802), .Y(n72300) );
+  sky130_fd_sc_hd__clkinv_1 U90548 ( .A(n72321), .Y(n72265) );
+  sky130_fd_sc_hd__a21oi_1 U90549 ( .A1(n72266), .A2(n72322), .B1(n72265), .Y(
+        n72271) );
+  sky130_fd_sc_hd__nand2_1 U90550 ( .A(n72269), .B(n72268), .Y(n72270) );
+  sky130_fd_sc_hd__xor2_1 U90551 ( .A(n72271), .B(n72270), .X(n72284) );
+  sky130_fd_sc_hd__nand2_1 U90552 ( .A(n72273), .B(n72272), .Y(n72325) );
+  sky130_fd_sc_hd__clkinv_1 U90553 ( .A(n72325), .Y(n72394) );
+  sky130_fd_sc_hd__clkinv_1 U90554 ( .A(n72274), .Y(n72275) );
+  sky130_fd_sc_hd__nand2_1 U90555 ( .A(n72394), .B(n72275), .Y(n72277) );
+  sky130_fd_sc_hd__xor2_1 U90556 ( .A(n72277), .B(n72276), .X(n72283) );
+  sky130_fd_sc_hd__nand2_1 U90557 ( .A(n72279), .B(n72278), .Y(n72280) );
+  sky130_fd_sc_hd__xor2_1 U90558 ( .A(n72281), .B(n72280), .X(n72282) );
+  sky130_fd_sc_hd__a222oi_1 U90559 ( .A1(n73319), .A2(n72284), .B1(n73229), 
+        .B2(n72283), .C1(n72282), .C2(n73312), .Y(n72295) );
+  sky130_fd_sc_hd__nand2_1 U90560 ( .A(n73192), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[16]), .Y(n72289) );
+  sky130_fd_sc_hd__a22oi_1 U90561 ( .A1(n78262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[16]), .B1(n73261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[16]), .Y(n72288) );
+  sky130_fd_sc_hd__nand2_1 U90562 ( .A(n73187), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[16]), .Y(n72287) );
+  sky130_fd_sc_hd__nand2_1 U90563 ( .A(n78265), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[16]), .Y(n72286) );
+  sky130_fd_sc_hd__nand4_1 U90564 ( .A(n72289), .B(n72288), .C(n72287), .D(
+        n72286), .Y(n72290) );
+  sky130_fd_sc_hd__a21oi_1 U90565 ( .A1(n73097), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[16]), .B1(n72290), .Y(n72291) );
+  sky130_fd_sc_hd__o21ai_0 U90566 ( .A1(n72309), .A2(n73154), .B1(n72291), .Y(
+        n72292) );
+  sky130_fd_sc_hd__a21oi_1 U90567 ( .A1(n72293), .A2(n72458), .B1(n72292), .Y(
+        n72294) );
+  sky130_fd_sc_hd__o21ai_1 U90568 ( .A1(n73105), .A2(n72295), .B1(n72294), .Y(
+        n72296) );
+  sky130_fd_sc_hd__a21oi_1 U90569 ( .A1(n72504), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[16]), .B1(n72296), .Y(n72297) );
+  sky130_fd_sc_hd__o21ai_0 U90570 ( .A1(n72298), .A2(n73106), .B1(n72297), .Y(
+        n72299) );
+  sky130_fd_sc_hd__a211oi_1 U90571 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[2]), .A2(n73110), .B1(n72300), .C1(n72299), .Y(n72305) );
+  sky130_fd_sc_hd__a22oi_1 U90572 ( .A1(n73327), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[16]), .B1(n72357), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[16]), .Y(n72304) );
+  sky130_fd_sc_hd__a22oi_1 U90573 ( .A1(n72509), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[16]), .B1(n72419), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[16]), .Y(n72303) );
+  sky130_fd_sc_hd__a22o_1 U90574 ( .A1(n69413), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[16]), .B1(n73111), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[2]), .X(n72301) );
+  sky130_fd_sc_hd__a21oi_1 U90575 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[2]), .A2(n73113), .B1(n72301), .Y(n72302) );
+  sky130_fd_sc_hd__nand4_1 U90576 ( .A(n72305), .B(n72304), .C(n72303), .D(
+        n72302), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[16]) );
+  sky130_fd_sc_hd__o21ai_1 U90577 ( .A1(n72276), .A2(n73156), .B1(n79910), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N98) );
+  sky130_fd_sc_hd__ha_1 U90578 ( .A(n72306), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[16]), .COUT(n66420), .SUM(n72307) );
+  sky130_fd_sc_hd__nand2_1 U90579 ( .A(n73179), .B(n72307), .Y(n72308) );
+  sky130_fd_sc_hd__o21ai_0 U90580 ( .A1(n72309), .A2(n73179), .B1(n72308), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[16]) );
+  sky130_fd_sc_hd__a31oi_1 U90581 ( .A1(n72312), .A2(n72311), .A3(n72310), 
+        .B1(n74033), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1496) );
+  sky130_fd_sc_hd__nand2_1 U90582 ( .A(n72374), .B(n36801), .Y(n36556) );
+  sky130_fd_sc_hd__a31oi_1 U90583 ( .A1(n72373), .A2(n72375), .A3(n72313), 
+        .B1(n83631), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1497) );
+  sky130_fd_sc_hd__a22oi_1 U90584 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[15]), .A2(n78527), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[15]), .B2(n78526), .Y(n72315) );
+  sky130_fd_sc_hd__a222oi_1 U90586 ( .A1(n85747), .A2(n64978), .B1(n73183), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[15]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[15]), .C2(n73182), .Y(n72317) );
+  sky130_fd_sc_hd__a222oi_1 U90587 ( .A1(n73185), .A2(n85747), .B1(n78500), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[15]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[15]), .C2(n78499), .Y(n72318) );
+  sky130_fd_sc_hd__o22ai_1 U90588 ( .A1(n72320), .A2(n73073), .B1(n72319), 
+        .B2(n58802), .Y(n72356) );
+  sky130_fd_sc_hd__nand2_1 U90589 ( .A(n72322), .B(n72321), .Y(n72323) );
+  sky130_fd_sc_hd__xor2_1 U90590 ( .A(n72324), .B(n72323), .X(n72340) );
+  sky130_fd_sc_hd__nor2_1 U90591 ( .A(n70804), .B(n72325), .Y(n72326) );
+  sky130_fd_sc_hd__xnor2_1 U90592 ( .A(n72363), .B(n72326), .Y(n72339) );
+  sky130_fd_sc_hd__clkinv_1 U90593 ( .A(n72327), .Y(n72330) );
+  sky130_fd_sc_hd__clkinv_1 U90594 ( .A(n72328), .Y(n72329) );
+  sky130_fd_sc_hd__clkinv_1 U90596 ( .A(n72331), .Y(n72396) );
+  sky130_fd_sc_hd__clkinv_1 U90597 ( .A(n72395), .Y(n72332) );
+  sky130_fd_sc_hd__a21oi_1 U90598 ( .A1(n72397), .A2(n72396), .B1(n72332), .Y(
+        n72337) );
+  sky130_fd_sc_hd__clkinv_1 U90599 ( .A(n72333), .Y(n72335) );
+  sky130_fd_sc_hd__nand2_1 U90600 ( .A(n72335), .B(n72334), .Y(n72336) );
+  sky130_fd_sc_hd__xor2_1 U90601 ( .A(n72337), .B(n72336), .X(n72338) );
+  sky130_fd_sc_hd__a222oi_1 U90602 ( .A1(n73319), .A2(n72340), .B1(n73229), 
+        .B2(n72339), .C1(n72338), .C2(n73312), .Y(n72351) );
+  sky130_fd_sc_hd__nand2_1 U90603 ( .A(n73192), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[15]), .Y(n72345) );
+  sky130_fd_sc_hd__a22oi_1 U90604 ( .A1(n78262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[15]), .B1(n73261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[15]), .Y(n72344) );
+  sky130_fd_sc_hd__nand2_1 U90605 ( .A(n73187), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[15]), .Y(n72343) );
+  sky130_fd_sc_hd__nand2_1 U90606 ( .A(n78265), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[15]), .Y(n72342) );
+  sky130_fd_sc_hd__nand4_1 U90607 ( .A(n72345), .B(n72344), .C(n72343), .D(
+        n72342), .Y(n72346) );
+  sky130_fd_sc_hd__a21oi_1 U90608 ( .A1(n73097), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[15]), .B1(n72346), .Y(n72347) );
+  sky130_fd_sc_hd__o21ai_0 U90609 ( .A1(n72368), .A2(n73154), .B1(n72347), .Y(
+        n72348) );
+  sky130_fd_sc_hd__a21oi_1 U90610 ( .A1(n72349), .A2(n72458), .B1(n72348), .Y(
+        n72350) );
+  sky130_fd_sc_hd__o21ai_1 U90611 ( .A1(n73105), .A2(n72351), .B1(n72350), .Y(
+        n72352) );
+  sky130_fd_sc_hd__a21oi_1 U90612 ( .A1(n61937), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[15]), .B1(n72352), .Y(n72353) );
+  sky130_fd_sc_hd__o21ai_0 U90613 ( .A1(n72354), .A2(n73106), .B1(n72353), .Y(
+        n72355) );
+  sky130_fd_sc_hd__a211oi_1 U90614 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[1]), .A2(n73110), .B1(n72356), .C1(n72355), .Y(n72362) );
+  sky130_fd_sc_hd__a22oi_1 U90615 ( .A1(n73327), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[15]), .B1(n72357), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[15]), .Y(n72361) );
+  sky130_fd_sc_hd__a22oi_1 U90616 ( .A1(n72509), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[15]), .B1(n72419), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[15]), .Y(n72360) );
+  sky130_fd_sc_hd__a22o_1 U90617 ( .A1(n69413), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[15]), .B1(n73111), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[1]), .X(n72358) );
+  sky130_fd_sc_hd__a21oi_1 U90618 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[1]), .A2(n73113), .B1(n72358), .Y(n72359) );
+  sky130_fd_sc_hd__nand4_1 U90619 ( .A(n72362), .B(n72361), .C(n72360), .D(
+        n72359), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[15]) );
+  sky130_fd_sc_hd__nor2_1 U90620 ( .A(n72363), .B(n73338), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N97) );
+  sky130_fd_sc_hd__xor2_1 U90621 ( .A(n72365), .B(n72364), .X(n72366) );
+  sky130_fd_sc_hd__nand2_1 U90622 ( .A(n73179), .B(n72366), .Y(n72367) );
+  sky130_fd_sc_hd__o21ai_0 U90623 ( .A1(n72368), .A2(n73179), .B1(n72367), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_pc[15]) );
+  sky130_fd_sc_hd__a31oi_1 U90624 ( .A1(n72371), .A2(n72370), .A3(n72369), 
+        .B1(n37041), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1494) );
+  sky130_fd_sc_hd__o22ai_1 U90625 ( .A1(n72375), .A2(n72374), .B1(n72373), 
+        .B2(n72372), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1455) );
+  sky130_fd_sc_hd__a22oi_1 U90626 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[14]), .A2(n78527), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[14]), .B2(n78526), .Y(n72377) );
+  sky130_fd_sc_hd__a222oi_1 U90628 ( .A1(n85642), .A2(n64978), .B1(n73183), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[14]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[14]), .C2(n73182), .Y(n72379) );
+  sky130_fd_sc_hd__o22ai_1 U90629 ( .A1(n72381), .A2(n73073), .B1(n72380), 
+        .B2(n58802), .Y(n72418) );
+  sky130_fd_sc_hd__o21ai_1 U90630 ( .A1(n72386), .A2(n72385), .B1(n72384), .Y(
+        n73215) );
+  sky130_fd_sc_hd__clkinv_1 U90631 ( .A(n73213), .Y(n72388) );
+  sky130_fd_sc_hd__a21oi_1 U90632 ( .A1(n73215), .A2(n73214), .B1(n72388), .Y(
+        n72393) );
+  sky130_fd_sc_hd__nand2_1 U90633 ( .A(n72391), .B(n72390), .Y(n72392) );
+  sky130_fd_sc_hd__xor2_1 U90634 ( .A(n72393), .B(n72392), .X(n72401) );
+  sky130_fd_sc_hd__xnor2_1 U90635 ( .A(n70804), .B(n72394), .Y(n72400) );
+  sky130_fd_sc_hd__nand2_1 U90636 ( .A(n72396), .B(n72395), .Y(n72398) );
+  sky130_fd_sc_hd__xnor2_1 U90637 ( .A(n72398), .B(n72397), .Y(n72399) );
+  sky130_fd_sc_hd__a222oi_1 U90638 ( .A1(n73319), .A2(n72401), .B1(n73229), 
+        .B2(n72400), .C1(n72399), .C2(n73312), .Y(n72413) );
+  sky130_fd_sc_hd__nand2_1 U90639 ( .A(n73192), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[14]), .Y(n72406) );
+  sky130_fd_sc_hd__a22oi_1 U90640 ( .A1(n78262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[14]), .B1(n73261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[14]), .Y(n72405) );
+  sky130_fd_sc_hd__nand2_1 U90641 ( .A(n73187), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[14]), .Y(n72404) );
+  sky130_fd_sc_hd__nand2_1 U90642 ( .A(n78265), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[14]), .Y(n72403) );
+  sky130_fd_sc_hd__nand4_1 U90643 ( .A(n72406), .B(n72405), .C(n72404), .D(
+        n72403), .Y(n72407) );
+  sky130_fd_sc_hd__a21oi_1 U90644 ( .A1(n73097), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[14]), .B1(n72407), .Y(n72408) );
+  sky130_fd_sc_hd__o21ai_0 U90645 ( .A1(n72409), .A2(n73154), .B1(n72408), .Y(
+        n72410) );
+  sky130_fd_sc_hd__a21oi_1 U90646 ( .A1(n72411), .A2(n72458), .B1(n72410), .Y(
+        n72412) );
+  sky130_fd_sc_hd__o21ai_1 U90647 ( .A1(n73105), .A2(n72413), .B1(n72412), .Y(
+        n72414) );
+  sky130_fd_sc_hd__a21oi_1 U90648 ( .A1(n72504), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[14]), .B1(n72414), .Y(n72415) );
+  sky130_fd_sc_hd__o21ai_0 U90649 ( .A1(n72416), .A2(n73106), .B1(n72415), .Y(
+        n72417) );
+  sky130_fd_sc_hd__a211oi_1 U90650 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[0]), .A2(n73110), .B1(n72418), .C1(n72417), .Y(n72424) );
+  sky130_fd_sc_hd__a22oi_1 U90651 ( .A1(n73327), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[14]), .B1(n72357), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[14]), .Y(n72423) );
+  sky130_fd_sc_hd__a22oi_1 U90652 ( .A1(n72509), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[14]), .B1(n72419), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[14]), .Y(n72422) );
+  sky130_fd_sc_hd__a22o_1 U90653 ( .A1(n69413), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[14]), .B1(n73111), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[0]), .X(n72420) );
+  sky130_fd_sc_hd__a21oi_1 U90654 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[0]), .A2(n73113), .B1(n72420), .Y(n72421) );
+  sky130_fd_sc_hd__nand4_1 U90655 ( .A(n72424), .B(n72423), .C(n72422), .D(
+        n72421), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[14]) );
+  sky130_fd_sc_hd__a31oi_1 U90656 ( .A1(n72427), .A2(n72426), .A3(n72425), 
+        .B1(n36848), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1499) );
+  sky130_fd_sc_hd__a31oi_1 U90657 ( .A1(n72430), .A2(n72429), .A3(n72428), 
+        .B1(n36802), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1498) );
+  sky130_fd_sc_hd__a22oi_1 U90658 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[28]), .A2(n78526), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[28]), .B2(n78527), .Y(n72431) );
+  sky130_fd_sc_hd__o21ai_1 U90659 ( .A1(n78529), .A2(n78515), .B1(n72431), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N445) );
+  sky130_fd_sc_hd__a22oi_1 U90660 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[28]), .A2(n73183), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[28]), .B2(n73182), .Y(n72432) );
+  sky130_fd_sc_hd__o21ai_1 U90661 ( .A1(n73123), .A2(n78515), .B1(n72432), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N815) );
+  sky130_fd_sc_hd__a22oi_1 U90662 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[28]), .A2(n78500), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[28]), .B2(n78499), .Y(n72433) );
+  sky130_fd_sc_hd__o21ai_1 U90663 ( .A1(n78502), .A2(n78515), .B1(n72433), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1043) );
+  sky130_fd_sc_hd__o22ai_1 U90664 ( .A1(n72435), .A2(n73073), .B1(n72434), 
+        .B2(n58802), .Y(n72466) );
+  sky130_fd_sc_hd__nand2_1 U90665 ( .A(n72437), .B(n72436), .Y(n72439) );
+  sky130_fd_sc_hd__xnor2_1 U90666 ( .A(n72439), .B(n72438), .Y(n72448) );
+  sky130_fd_sc_hd__ha_1 U90667 ( .A(n72440), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[28]), .COUT(n72478), .SUM(n72447) );
+  sky130_fd_sc_hd__nand2_1 U90668 ( .A(n72443), .B(n72442), .Y(n72444) );
+  sky130_fd_sc_hd__xor2_1 U90669 ( .A(n72445), .B(n72444), .X(n72446) );
+  sky130_fd_sc_hd__a222oi_1 U90670 ( .A1(n73319), .A2(n72448), .B1(n73229), 
+        .B2(n72447), .C1(n72446), .C2(n73312), .Y(n72461) );
+  sky130_fd_sc_hd__nand2_1 U90671 ( .A(n73192), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[28]), .Y(n72453) );
+  sky130_fd_sc_hd__a22oi_1 U90672 ( .A1(n78262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[28]), .B1(n73261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[28]), .Y(n72452) );
+  sky130_fd_sc_hd__nand2_1 U90673 ( .A(n73187), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[28]), .Y(n72451) );
+  sky130_fd_sc_hd__nand2_1 U90674 ( .A(n78265), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[28]), .Y(n72450) );
+  sky130_fd_sc_hd__nand4_1 U90675 ( .A(n72453), .B(n72452), .C(n72451), .D(
+        n72450), .Y(n72454) );
+  sky130_fd_sc_hd__a21oi_1 U90676 ( .A1(n73097), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[28]), .B1(n72454), .Y(n72455) );
+  sky130_fd_sc_hd__o21ai_0 U90677 ( .A1(n72456), .A2(n73154), .B1(n72455), .Y(
+        n72457) );
+  sky130_fd_sc_hd__a21oi_1 U90678 ( .A1(n72459), .A2(n72458), .B1(n72457), .Y(
+        n72460) );
+  sky130_fd_sc_hd__o21ai_1 U90679 ( .A1(n73322), .A2(n72461), .B1(n72460), .Y(
+        n72462) );
+  sky130_fd_sc_hd__a21oi_1 U90680 ( .A1(n72504), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[28]), .B1(n72462), .Y(n72463) );
+  sky130_fd_sc_hd__o21ai_0 U90681 ( .A1(n72464), .A2(n73106), .B1(n72463), .Y(
+        n72465) );
+  sky130_fd_sc_hd__a211oi_1 U90682 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[14]), .A2(n73110), .B1(n72466), .C1(n72465), .Y(n72471) );
+  sky130_fd_sc_hd__a22oi_1 U90683 ( .A1(n73327), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[28]), .B1(n72509), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[28]), .Y(n72470) );
+  sky130_fd_sc_hd__a22oi_1 U90684 ( .A1(n72357), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[28]), .B1(n36816), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[28]), .Y(n72469) );
+  sky130_fd_sc_hd__a22o_1 U90685 ( .A1(n72510), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[28]), .B1(n73111), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[14]), .X(n72467) );
+  sky130_fd_sc_hd__a21oi_1 U90686 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[14]), .A2(n73113), .B1(n72467), .Y(n72468) );
+  sky130_fd_sc_hd__nand4_1 U90687 ( .A(n72471), .B(n72470), .C(n72469), .D(
+        n72468), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[28]) );
+  sky130_fd_sc_hd__nor2_1 U90688 ( .A(n72472), .B(n73338), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N110) );
+  sky130_fd_sc_hd__a22oi_1 U90689 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[29]), .A2(n73183), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[29]), .B2(n73182), .Y(n72474) );
+  sky130_fd_sc_hd__o21ai_1 U90690 ( .A1(n73123), .A2(n78517), .B1(n72474), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N816) );
+  sky130_fd_sc_hd__a22oi_1 U90691 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[29]), .A2(n78500), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[29]), .B2(n78499), .Y(n72475) );
+  sky130_fd_sc_hd__o21ai_1 U90692 ( .A1(n78502), .A2(n78517), .B1(n72475), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1044) );
+  sky130_fd_sc_hd__o22ai_1 U90693 ( .A1(n72477), .A2(n73073), .B1(n72476), 
+        .B2(n58802), .Y(n72508) );
+  sky130_fd_sc_hd__nand2_1 U90694 ( .A(n72481), .B(n72480), .Y(n72482) );
+  sky130_fd_sc_hd__xor2_1 U90695 ( .A(n72483), .B(n72482), .X(n72489) );
+  sky130_fd_sc_hd__nand2_1 U90696 ( .A(n72485), .B(n72484), .Y(n72487) );
+  sky130_fd_sc_hd__xnor2_1 U90697 ( .A(n72487), .B(n72486), .Y(n72488) );
+  sky130_fd_sc_hd__a222oi_1 U90698 ( .A1(n73229), .A2(n72490), .B1(n73319), 
+        .B2(n72489), .C1(n72488), .C2(n73312), .Y(n72502) );
+  sky130_fd_sc_hd__nand2_1 U90699 ( .A(n73192), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[29]), .Y(n72495) );
+  sky130_fd_sc_hd__a22oi_1 U90700 ( .A1(n78262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[29]), .B1(n73261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[29]), .Y(n72494) );
+  sky130_fd_sc_hd__nand2_1 U90701 ( .A(n73187), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[29]), .Y(n72493) );
+  sky130_fd_sc_hd__nand2_1 U90702 ( .A(n78265), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[29]), .Y(n72492) );
+  sky130_fd_sc_hd__nand4_1 U90703 ( .A(n72495), .B(n72494), .C(n72493), .D(
+        n72492), .Y(n72496) );
+  sky130_fd_sc_hd__a21oi_1 U90704 ( .A1(n73097), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[29]), .B1(n72496), .Y(n72497) );
+  sky130_fd_sc_hd__o21ai_0 U90705 ( .A1(n72520), .A2(n73154), .B1(n72497), .Y(
+        n72498) );
+  sky130_fd_sc_hd__a21oi_1 U90706 ( .A1(n72500), .A2(n72499), .B1(n72498), .Y(
+        n72501) );
+  sky130_fd_sc_hd__o21ai_1 U90707 ( .A1(n73105), .A2(n72502), .B1(n72501), .Y(
+        n72503) );
+  sky130_fd_sc_hd__a21oi_1 U90708 ( .A1(n72504), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[29]), .B1(n72503), .Y(n72505) );
+  sky130_fd_sc_hd__o21ai_1 U90709 ( .A1(n72506), .A2(n73106), .B1(n72505), .Y(
+        n72507) );
+  sky130_fd_sc_hd__a22oi_1 U90710 ( .A1(n73327), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[29]), .B1(n72509), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[29]), .Y(n72514) );
+  sky130_fd_sc_hd__a22oi_1 U90711 ( .A1(n72357), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[29]), .B1(n69413), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[29]), .Y(n72513) );
+  sky130_fd_sc_hd__a22o_1 U90712 ( .A1(n72510), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[29]), .B1(n73111), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[15]), .X(n72511) );
+  sky130_fd_sc_hd__a21oi_1 U90713 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[15]), .A2(n73113), .B1(n72511), .Y(n72512) );
+  sky130_fd_sc_hd__nand4_1 U90714 ( .A(n72515), .B(n72514), .C(n72513), .D(
+        n72512), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[29]) );
+  sky130_fd_sc_hd__nor2_1 U90715 ( .A(n71702), .B(n73338), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N111) );
+  sky130_fd_sc_hd__nand2_1 U90716 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[29]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .Y(n72516) );
+  sky130_fd_sc_hd__o21ai_1 U90717 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .A2(n72520), .B1(n72516), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N309) );
+  sky130_fd_sc_hd__nand2_1 U90718 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[29]), .B(n76239), .Y(n72517) );
+  sky130_fd_sc_hd__o21ai_1 U90719 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n72520), .B1(n72517), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N228) );
+  sky130_fd_sc_hd__nand2_1 U90720 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[29]), .B(n76241), .Y(n72518) );
+  sky130_fd_sc_hd__o21ai_1 U90721 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n72520), .B1(n72518), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N147) );
+  sky130_fd_sc_hd__nand2_1 U90722 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[29]), .B(n73340), .Y(n72519) );
+  sky130_fd_sc_hd__o21ai_1 U90723 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .A2(n72520), .B1(n72519), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N66) );
+  sky130_fd_sc_hd__nand4_1 U90724 ( .A(n72524), .B(n72523), .C(n72522), .D(
+        n72521), .Y(n72525) );
+  sky130_fd_sc_hd__nor4_1 U90725 ( .A(n72528), .B(n72527), .C(n72526), .D(
+        n72525), .Y(n72564) );
+  sky130_fd_sc_hd__nand4_1 U90726 ( .A(n72532), .B(n72531), .C(n72530), .D(
+        n72529), .Y(n72543) );
+  sky130_fd_sc_hd__nand4_1 U90727 ( .A(n72536), .B(n72535), .C(n72534), .D(
+        n72533), .Y(n72542) );
+  sky130_fd_sc_hd__nand4_1 U90728 ( .A(n72540), .B(n72539), .C(n72538), .D(
+        n72537), .Y(n72541) );
+  sky130_fd_sc_hd__nor4_1 U90729 ( .A(n72544), .B(n72543), .C(n72542), .D(
+        n72541), .Y(n72563) );
+  sky130_fd_sc_hd__nand4_1 U90730 ( .A(n72548), .B(n72547), .C(n72546), .D(
+        n72545), .Y(n72559) );
+  sky130_fd_sc_hd__nand4_1 U90731 ( .A(n72552), .B(n72551), .C(n72550), .D(
+        n72549), .Y(n72558) );
+  sky130_fd_sc_hd__nand4_1 U90732 ( .A(n72556), .B(n72555), .C(n72554), .D(
+        n72553), .Y(n72557) );
+  sky130_fd_sc_hd__nor4_1 U90733 ( .A(n72560), .B(n72559), .C(n72558), .D(
+        n72557), .Y(n72562) );
+  sky130_fd_sc_hd__xnor2_1 U90734 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[0]), .B(n72565), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_cmp_out) );
+  sky130_fd_sc_hd__a21oi_1 U90735 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_chain), .A2(n70399), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[11]), .Y(n72570) );
+  sky130_fd_sc_hd__a21oi_1 U90736 ( .A1(n72568), .A2(n72567), .B1(n85643), .Y(
+        n72569) );
+  sky130_fd_sc_hd__nor3_1 U90737 ( .A(n72571), .B(n72570), .C(n72569), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N471) );
+  sky130_fd_sc_hd__nand3_1 U90738 ( .A(n86393), .B(n83351), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[2]), .Y(n72572) );
+  sky130_fd_sc_hd__nor2_1 U90740 ( .A(n37955), .B(n72575), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N442) );
+  sky130_fd_sc_hd__nand2_1 U90741 ( .A(n78251), .B(n72576), .Y(n72577) );
+  sky130_fd_sc_hd__a211oi_1 U90742 ( .A1(n72577), .A2(n74165), .B1(n73261), 
+        .C1(n83631), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N371) );
+  sky130_fd_sc_hd__a31oi_1 U90743 ( .A1(n72690), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[9]), .A3(n72578), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_cease), .Y(n72579) );
+  sky130_fd_sc_hd__nor2_1 U90744 ( .A(n36802), .B(n72579), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1377) );
+  sky130_fd_sc_hd__nand2_1 U90745 ( .A(n72602), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_waddr[1]), .Y(n72659) );
+  sky130_fd_sc_hd__and3_1 U90746 ( .A(n72592), .B(n37037), .C(n72580), .X(
+        n72654) );
+  sky130_fd_sc_hd__nor2_1 U90747 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_waddr[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_waddr[3]), .Y(n72622) );
+  sky130_fd_sc_hd__nand2_1 U90748 ( .A(n72654), .B(n72622), .Y(n72591) );
+  sky130_fd_sc_hd__nand3_1 U90749 ( .A(n72640), .B(n72621), .C(n72650), .Y(
+        n72588) );
+  sky130_fd_sc_hd__nand3_1 U90750 ( .A(n72598), .B(n72597), .C(n72584), .Y(
+        n72658) );
+  sky130_fd_sc_hd__o22ai_1 U90752 ( .A1(n72659), .A2(n72591), .B1(n72583), 
+        .B2(n72582), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N595) );
+  sky130_fd_sc_hd__nand2_1 U90753 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_waddr[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_waddr[1]), .Y(n72662) );
+  sky130_fd_sc_hd__nand2_1 U90754 ( .A(n72587), .B(n72584), .Y(n72661) );
+  sky130_fd_sc_hd__o21ai_1 U90755 ( .A1(n72588), .A2(n72661), .B1(n72651), .Y(
+        n72586) );
+  sky130_fd_sc_hd__o22ai_1 U90756 ( .A1(n72662), .A2(n72591), .B1(n72586), 
+        .B2(n72585), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N596) );
+  sky130_fd_sc_hd__nand2_1 U90757 ( .A(n72601), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_waddr[0]), .Y(n72667) );
+  sky130_fd_sc_hd__nand2_1 U90758 ( .A(n72599), .B(n72587), .Y(n72665) );
+  sky130_fd_sc_hd__o21ai_1 U90759 ( .A1(n72665), .A2(n72588), .B1(n72651), .Y(
+        n72590) );
+  sky130_fd_sc_hd__o22ai_1 U90760 ( .A1(n72667), .A2(n72591), .B1(n72590), 
+        .B2(n72589), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N594) );
+  sky130_fd_sc_hd__nand3_1 U90761 ( .A(n72649), .B(n72648), .C(n72629), .Y(
+        n72600) );
+  sky130_fd_sc_hd__o21ai_1 U90762 ( .A1(n72661), .A2(n72600), .B1(n72651), .Y(
+        n72594) );
+  sky130_fd_sc_hd__and3_1 U90763 ( .A(n72592), .B(n79910), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_waddr[2]), .X(n72631) );
+  sky130_fd_sc_hd__nand2_1 U90764 ( .A(n72631), .B(n72653), .Y(n72603) );
+  sky130_fd_sc_hd__o22ai_1 U90765 ( .A1(n72594), .A2(n72593), .B1(n72662), 
+        .B2(n72603), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N624) );
+  sky130_fd_sc_hd__o22ai_1 U90767 ( .A1(n72669), .A2(n72595), .B1(n72667), 
+        .B2(n72603), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N622) );
+  sky130_fd_sc_hd__o21ai_1 U90768 ( .A1(n72600), .A2(n72658), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[30]), .Y(n72596) );
+  sky130_fd_sc_hd__o22ai_1 U90769 ( .A1(n72669), .A2(n72596), .B1(n72659), 
+        .B2(n72603), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N623) );
+  sky130_fd_sc_hd__nand3_1 U90770 ( .A(n72599), .B(n72598), .C(n72597), .Y(
+        n72652) );
+  sky130_fd_sc_hd__o21ai_1 U90771 ( .A1(n72600), .A2(n72652), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[28]), .Y(n72604) );
+  sky130_fd_sc_hd__nand2_1 U90772 ( .A(n72602), .B(n72601), .Y(n72655) );
+  sky130_fd_sc_hd__o22ai_1 U90773 ( .A1(n72669), .A2(n72604), .B1(n72655), 
+        .B2(n72603), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N621) );
+  sky130_fd_sc_hd__nand3_1 U90774 ( .A(n72621), .B(n72650), .C(n72649), .Y(
+        n72610) );
+  sky130_fd_sc_hd__o21ai_1 U90775 ( .A1(n72661), .A2(n72610), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[11]), .Y(n72606) );
+  sky130_fd_sc_hd__nor2_1 U90776 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_waddr[4]), .B(n72605), .Y(n72613) );
+  sky130_fd_sc_hd__nand2_1 U90777 ( .A(n72654), .B(n72613), .Y(n72611) );
+  sky130_fd_sc_hd__o22ai_1 U90778 ( .A1(n72669), .A2(n72606), .B1(n72662), 
+        .B2(n72611), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N604) );
+  sky130_fd_sc_hd__o22ai_1 U90780 ( .A1(n72608), .A2(n72607), .B1(n72667), 
+        .B2(n72611), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N602) );
+  sky130_fd_sc_hd__o21ai_1 U90781 ( .A1(n72658), .A2(n72610), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[10]), .Y(n72609) );
+  sky130_fd_sc_hd__o22ai_1 U90782 ( .A1(n72669), .A2(n72609), .B1(n72659), 
+        .B2(n72611), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N603) );
+  sky130_fd_sc_hd__o21ai_1 U90783 ( .A1(n72652), .A2(n72610), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[8]), .Y(n72612) );
+  sky130_fd_sc_hd__o22ai_1 U90784 ( .A1(n72669), .A2(n72612), .B1(n72655), 
+        .B2(n72611), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N601) );
+  sky130_fd_sc_hd__nand3_1 U90785 ( .A(n72621), .B(n72649), .C(n72629), .Y(
+        n72618) );
+  sky130_fd_sc_hd__o21ai_1 U90786 ( .A1(n72661), .A2(n72618), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[15]), .Y(n72614) );
+  sky130_fd_sc_hd__nand2_1 U90787 ( .A(n72631), .B(n72613), .Y(n72619) );
+  sky130_fd_sc_hd__o22ai_1 U90788 ( .A1(n72669), .A2(n72614), .B1(n72662), 
+        .B2(n72619), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N608) );
+  sky130_fd_sc_hd__o21ai_1 U90789 ( .A1(n72665), .A2(n72618), .B1(n72651), .Y(
+        n72616) );
+  sky130_fd_sc_hd__o22ai_1 U90790 ( .A1(n72616), .A2(n72615), .B1(n72667), 
+        .B2(n72619), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N606) );
+  sky130_fd_sc_hd__o21ai_1 U90791 ( .A1(n72658), .A2(n72618), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[14]), .Y(n72617) );
+  sky130_fd_sc_hd__o22ai_1 U90792 ( .A1(n72669), .A2(n72617), .B1(n72659), 
+        .B2(n72619), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N607) );
+  sky130_fd_sc_hd__o21ai_1 U90793 ( .A1(n72652), .A2(n72618), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[12]), .Y(n72620) );
+  sky130_fd_sc_hd__o22ai_1 U90794 ( .A1(n72669), .A2(n72620), .B1(n72655), 
+        .B2(n72619), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N605) );
+  sky130_fd_sc_hd__nand3_1 U90795 ( .A(n72621), .B(n72640), .C(n72629), .Y(
+        n72626) );
+  sky130_fd_sc_hd__o21ai_1 U90796 ( .A1(n72665), .A2(n72626), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[5]), .Y(n72623) );
+  sky130_fd_sc_hd__nand2_1 U90797 ( .A(n72631), .B(n72622), .Y(n72627) );
+  sky130_fd_sc_hd__o22ai_1 U90798 ( .A1(n72669), .A2(n72623), .B1(n72667), 
+        .B2(n72627), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N598) );
+  sky130_fd_sc_hd__o21ai_1 U90799 ( .A1(n72658), .A2(n72626), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[6]), .Y(n72624) );
+  sky130_fd_sc_hd__o22ai_1 U90800 ( .A1(n72669), .A2(n72624), .B1(n72659), 
+        .B2(n72627), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N599) );
+  sky130_fd_sc_hd__o21ai_1 U90801 ( .A1(n72652), .A2(n72626), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[4]), .Y(n72625) );
+  sky130_fd_sc_hd__o22ai_1 U90802 ( .A1(n72669), .A2(n72625), .B1(n72655), 
+        .B2(n72627), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N597) );
+  sky130_fd_sc_hd__o21ai_1 U90803 ( .A1(n72661), .A2(n72626), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[7]), .Y(n72628) );
+  sky130_fd_sc_hd__o22ai_1 U90804 ( .A1(n72669), .A2(n72628), .B1(n72662), 
+        .B2(n72627), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N600) );
+  sky130_fd_sc_hd__nand3_1 U90805 ( .A(n72640), .B(n72648), .C(n72629), .Y(
+        n72637) );
+  sky130_fd_sc_hd__o21ai_1 U90806 ( .A1(n72658), .A2(n72637), .B1(n72651), .Y(
+        n72633) );
+  sky130_fd_sc_hd__nor2_1 U90807 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_waddr[3]), .B(n72630), .Y(n72641) );
+  sky130_fd_sc_hd__nand2_1 U90808 ( .A(n72631), .B(n72641), .Y(n72638) );
+  sky130_fd_sc_hd__o22ai_1 U90809 ( .A1(n72633), .A2(n72632), .B1(n72659), 
+        .B2(n72638), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N615) );
+  sky130_fd_sc_hd__o22ai_1 U90811 ( .A1(n72635), .A2(n72634), .B1(n72655), 
+        .B2(n72638), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N613) );
+  sky130_fd_sc_hd__o21ai_1 U90812 ( .A1(n72661), .A2(n72637), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[23]), .Y(n72636) );
+  sky130_fd_sc_hd__o22ai_1 U90813 ( .A1(n72669), .A2(n72636), .B1(n72662), 
+        .B2(n72638), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N616) );
+  sky130_fd_sc_hd__o21ai_1 U90814 ( .A1(n72665), .A2(n72637), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[21]), .Y(n72639) );
+  sky130_fd_sc_hd__o22ai_1 U90815 ( .A1(n72669), .A2(n72639), .B1(n72667), 
+        .B2(n72638), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N614) );
+  sky130_fd_sc_hd__nand3_1 U90816 ( .A(n72640), .B(n72650), .C(n72648), .Y(
+        n72645) );
+  sky130_fd_sc_hd__o21ai_1 U90817 ( .A1(n72658), .A2(n72645), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[18]), .Y(n72642) );
+  sky130_fd_sc_hd__nand2_1 U90818 ( .A(n72654), .B(n72641), .Y(n72646) );
+  sky130_fd_sc_hd__o22ai_1 U90819 ( .A1(n72669), .A2(n72642), .B1(n72659), 
+        .B2(n72646), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N611) );
+  sky130_fd_sc_hd__o21ai_1 U90820 ( .A1(n72652), .A2(n72645), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[16]), .Y(n72643) );
+  sky130_fd_sc_hd__o22ai_1 U90821 ( .A1(n72669), .A2(n72643), .B1(n72655), 
+        .B2(n72646), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N609) );
+  sky130_fd_sc_hd__o21ai_1 U90822 ( .A1(n72661), .A2(n72645), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[19]), .Y(n72644) );
+  sky130_fd_sc_hd__o22ai_1 U90823 ( .A1(n72669), .A2(n72644), .B1(n72662), 
+        .B2(n72646), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N612) );
+  sky130_fd_sc_hd__o21ai_1 U90824 ( .A1(n72665), .A2(n72645), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[17]), .Y(n72647) );
+  sky130_fd_sc_hd__o22ai_1 U90825 ( .A1(n72669), .A2(n72647), .B1(n72667), 
+        .B2(n72646), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N610) );
+  sky130_fd_sc_hd__nand3_1 U90826 ( .A(n72650), .B(n72649), .C(n72648), .Y(
+        n72664) );
+  sky130_fd_sc_hd__o21ai_1 U90827 ( .A1(n72652), .A2(n72664), .B1(n72651), .Y(
+        n72657) );
+  sky130_fd_sc_hd__nand2_1 U90828 ( .A(n72654), .B(n72653), .Y(n72666) );
+  sky130_fd_sc_hd__o22ai_1 U90829 ( .A1(n72657), .A2(n72656), .B1(n72655), 
+        .B2(n72666), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N617) );
+  sky130_fd_sc_hd__o21ai_1 U90830 ( .A1(n72658), .A2(n72664), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[26]), .Y(n72660) );
+  sky130_fd_sc_hd__o22ai_1 U90831 ( .A1(n72669), .A2(n72660), .B1(n72659), 
+        .B2(n72666), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N619) );
+  sky130_fd_sc_hd__o21ai_1 U90832 ( .A1(n72661), .A2(n72664), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[27]), .Y(n72663) );
+  sky130_fd_sc_hd__o22ai_1 U90833 ( .A1(n72669), .A2(n72663), .B1(n72662), 
+        .B2(n72666), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N620) );
+  sky130_fd_sc_hd__o21ai_1 U90834 ( .A1(n72665), .A2(n72664), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[25]), .Y(n72668) );
+  sky130_fd_sc_hd__o22ai_1 U90835 ( .A1(n72669), .A2(n72668), .B1(n72667), 
+        .B2(n72666), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N618) );
+  sky130_fd_sc_hd__nand2_1 U90836 ( .A(n72671), .B(n72670), .Y(n72672) );
+  sky130_fd_sc_hd__a31oi_1 U90837 ( .A1(n77601), .A2(n72674), .A3(n72673), 
+        .B1(n72672), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N625) );
+  sky130_fd_sc_hd__nor2_1 U90838 ( .A(n72676), .B(n72675), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N626) );
+  sky130_fd_sc_hd__a21oi_1 U90839 ( .A1(n72678), .A2(n72677), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N7), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N610) );
+  sky130_fd_sc_hd__nor2_1 U90840 ( .A(n72680), .B(n72679), .Y(n72681) );
+  sky130_fd_sc_hd__a211oi_1 U90841 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_reg_fence), .A2(n72683), .B1(n72681), .C1(n72708), .Y(n72682) );
+  sky130_fd_sc_hd__nor3_1 U90842 ( .A(n72682), .B(n36848), .C(n72713), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N537) );
+  sky130_fd_sc_hd__nand3_1 U90843 ( .A(n72713), .B(n37037), .C(n72683), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N536) );
+  sky130_fd_sc_hd__o31ai_1 U90844 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_cmd[3]), .A2(n72684), .A3(n76510), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_size[1]), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_slow_bypass) );
+  sky130_fd_sc_hd__a211oi_1 U90845 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie[11]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_intXbar_auto_int_out_3), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_intXbar_auto_int_out_0), .C1(n60015), .Y(n72688) );
+  sky130_fd_sc_hd__nand4_1 U90846 ( .A(n72689), .B(n72688), .C(n72687), .D(
+        n72686), .Y(n72694) );
+  sky130_fd_sc_hd__nor4_1 U90847 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_status_debug), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_lo_6_2), .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[1]), .Y(n72691) );
+  sky130_fd_sc_hd__a21oi_1 U90848 ( .A1(n72691), .A2(n72690), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_wfi), .Y(n72692) );
+  sky130_fd_sc_hd__nor4_1 U90849 ( .A(n72694), .B(n72693), .C(n72692), .D(
+        n78251), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1441) );
+  sky130_fd_sc_hd__nand3_1 U90850 ( .A(n77429), .B(n72696), .C(n72695), .Y(
+        n72697) );
+  sky130_fd_sc_hd__nor3b_1 U90851 ( .C_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter[0]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter[1]), .B(n72697), .Y(n72698) );
+  sky130_fd_sc_hd__nor2_1 U90852 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter[2]), .Y(n77417) );
+  sky130_fd_sc_hd__nand3_1 U90854 ( .A(n72698), .B(n77417), .C(n77418), .Y(
+        n72699) );
+  sky130_fd_sc_hd__nand2_1 U90855 ( .A(n82985), .B(n72699), .Y(n72700) );
+  sky130_fd_sc_hd__nand2_1 U90856 ( .A(n82457), .B(n72700), .Y(n72705) );
+  sky130_fd_sc_hd__nor2_1 U90857 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[4]), .Y(n72702) );
+  sky130_fd_sc_hd__nor2_1 U90858 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[0]), .Y(n72701) );
+  sky130_fd_sc_hd__nand3_1 U90859 ( .A(n72702), .B(n72701), .C(n78536), .Y(
+        n72703) );
+  sky130_fd_sc_hd__and3_1 U90860 ( .A(n72705), .B(n72704), .C(n72703), .X(
+        n72711) );
+  sky130_fd_sc_hd__nand4_1 U90861 ( .A(n72708), .B(n72707), .C(n72706), .D(
+        n72711), .Y(n72709) );
+  sky130_fd_sc_hd__nor3_1 U90862 ( .A(n72710), .B(n72709), .C(n72713), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N341) );
+  sky130_fd_sc_hd__nand3_1 U90863 ( .A(n72713), .B(n72712), .C(n72711), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N340) );
+  sky130_fd_sc_hd__nand2_1 U90864 ( .A(n73179), .B(n72717), .Y(n72718) );
+  sky130_fd_sc_hd__o21ai_0 U90865 ( .A1(n85644), .A2(n73179), .B1(n72718), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_br_pc_1_) );
+  sky130_fd_sc_hd__a22oi_1 U90866 ( .A1(n73275), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_3), .B1(n73273), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_1), .Y(n72720) );
+  sky130_fd_sc_hd__a22oi_1 U90867 ( .A1(n73277), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_2), .B1(n73276), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_0), .Y(n72719) );
+  sky130_fd_sc_hd__nand2_1 U90868 ( .A(n72720), .B(n72719), .Y(n72740) );
+  sky130_fd_sc_hd__a22oi_1 U90869 ( .A1(n73283), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_13), .B1(n73282), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_10), .Y(n72726) );
+  sky130_fd_sc_hd__a22oi_1 U90870 ( .A1(n73287), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_22), .B1(n72721), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_26), .Y(n72725) );
+  sky130_fd_sc_hd__a22oi_1 U90871 ( .A1(n72722), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_25), .B1(n73285), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_12), .Y(n72724) );
+  sky130_fd_sc_hd__a22oi_1 U90872 ( .A1(n73286), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_21), .B1(n73284), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_23), .Y(n72723) );
+  sky130_fd_sc_hd__nand4_1 U90873 ( .A(n72726), .B(n72725), .C(n72724), .D(
+        n72723), .Y(n72739) );
+  sky130_fd_sc_hd__a22oi_1 U90874 ( .A1(n73295), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_18), .B1(n73289), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_17), .Y(n72731) );
+  sky130_fd_sc_hd__a22oi_1 U90875 ( .A1(n72727), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_24), .B1(n73288), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_19), .Y(n72730) );
+  sky130_fd_sc_hd__a22oi_1 U90876 ( .A1(n73297), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_5), .B1(n73294), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_4), .Y(n72729) );
+  sky130_fd_sc_hd__a22oi_1 U90877 ( .A1(n73296), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_6), .B1(n73301), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_15), .Y(n72728) );
+  sky130_fd_sc_hd__nand4_1 U90878 ( .A(n72731), .B(n72730), .C(n72729), .D(
+        n72728), .Y(n72738) );
+  sky130_fd_sc_hd__a22oi_1 U90879 ( .A1(n73299), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_14), .B1(n73300), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_9), .Y(n72736) );
+  sky130_fd_sc_hd__a22oi_1 U90880 ( .A1(n73298), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_7), .B1(n73271), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_8), .Y(n72735) );
+  sky130_fd_sc_hd__a22oi_1 U90881 ( .A1(n73270), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_11), .B1(n72732), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_27), .Y(n72734) );
+  sky130_fd_sc_hd__a22oi_1 U90882 ( .A1(n73274), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_16), .B1(n73272), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_brIdx_20), .Y(n72733) );
+  sky130_fd_sc_hd__nand4_1 U90883 ( .A(n72736), .B(n72735), .C(n72734), .D(
+        n72733), .Y(n72737) );
+  sky130_fd_sc_hd__or4_1 U90884 ( .A(n72740), .B(n72739), .C(n72738), .D(
+        n72737), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bridx) );
+  sky130_fd_sc_hd__nand2_1 U90885 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_taken), .B(n37259), .Y(n72741) );
+  sky130_fd_sc_hd__o21ai_1 U90886 ( .A1(n37259), .A2(n72745), .B1(n72741), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N265) );
+  sky130_fd_sc_hd__nand2_1 U90887 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_taken), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .Y(n72742) );
+  sky130_fd_sc_hd__o21ai_1 U90888 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n72745), .B1(n72742), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N184) );
+  sky130_fd_sc_hd__nand2_1 U90889 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_taken), .B(n76241), .Y(n72743) );
+  sky130_fd_sc_hd__o21ai_1 U90890 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n72745), .B1(n72743), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N103) );
+  sky130_fd_sc_hd__nand2_1 U90891 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_taken), .B(n73340), .Y(n72744) );
+  sky130_fd_sc_hd__mux2_2 U90893 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_bridx), .A1(n85645), .S(n72746), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N266) );
+  sky130_fd_sc_hd__mux2_2 U90894 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_bridx), .A1(n85645), .S(n72747), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N185) );
+  sky130_fd_sc_hd__mux2_2 U90895 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_bridx), .A1(n85645), .S(n72748), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N104) );
+  sky130_fd_sc_hd__mux2_2 U90896 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_bridx), .A1(n85645), .S(n73152), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N23) );
+  sky130_fd_sc_hd__mux2i_1 U90897 ( .A0(n72750), .A1(n72749), .S(n73341), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1[6]) );
+  sky130_fd_sc_hd__a211oi_1 U90898 ( .A1(n72753), .A2(n72752), .B1(n73058), 
+        .C1(n72775), .Y(n72754) );
+  sky130_fd_sc_hd__o22ai_1 U90899 ( .A1(n73061), .A2(n72778), .B1(n72755), 
+        .B2(n72754), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1523) );
+  sky130_fd_sc_hd__nand2_1 U90900 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_entry[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .Y(n72756) );
+  sky130_fd_sc_hd__o21ai_1 U90901 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .A2(n72760), .B1(n72756), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N269) );
+  sky130_fd_sc_hd__nand2_1 U90902 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_entry[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .Y(n72757) );
+  sky130_fd_sc_hd__o21ai_1 U90903 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n72760), .B1(n72757), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N188) );
+  sky130_fd_sc_hd__nand2_1 U90904 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_entry[2]), .B(n76241), .Y(n72758) );
+  sky130_fd_sc_hd__o21ai_1 U90905 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n72760), .B1(n72758), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N107) );
+  sky130_fd_sc_hd__nand2_1 U90906 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_entry[2]), .B(n73340), .Y(n72759) );
+  sky130_fd_sc_hd__o21ai_1 U90907 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .A2(n72760), .B1(n72759), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N26) );
+  sky130_fd_sc_hd__nand2_1 U90908 ( .A(n76247), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_entry[2]), .Y(n72762) );
+  sky130_fd_sc_hd__o21ai_1 U90909 ( .A1(n76247), .A2(n72763), .B1(n72762), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_io_btb_resp_entry[2]) );
+  sky130_fd_sc_hd__nand2b_1 U90910 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_btb_update_bits_prediction_entry[2]), .B(n73179), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_prediction_entry[2]) );
+  sky130_fd_sc_hd__o21ai_1 U90911 ( .A1(n73275), .A2(n73063), .B1(n73062), .Y(
+        n72765) );
+  sky130_fd_sc_hd__o21ai_1 U90912 ( .A1(n72765), .A2(n72764), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[3]), .Y(n72766) );
+  sky130_fd_sc_hd__o21ai_1 U90913 ( .A1(n73068), .A2(n72767), .B1(n72766), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1504) );
+  sky130_fd_sc_hd__nand2_1 U90914 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_entry[0]), .B(n37259), .Y(n72768) );
+  sky130_fd_sc_hd__o21ai_1 U90915 ( .A1(n37259), .A2(n72772), .B1(n72768), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N267) );
+  sky130_fd_sc_hd__nand2_1 U90916 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_entry[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .Y(n72769) );
+  sky130_fd_sc_hd__o21ai_1 U90917 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n72772), .B1(n72769), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N186) );
+  sky130_fd_sc_hd__nand2_1 U90918 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_entry[0]), .B(n76241), .Y(n72770) );
+  sky130_fd_sc_hd__o21ai_1 U90919 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n72772), .B1(n72770), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N105) );
+  sky130_fd_sc_hd__nand2_1 U90920 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_entry[0]), .B(n73340), .Y(n72771) );
+  sky130_fd_sc_hd__o21ai_1 U90921 ( .A1(n73340), .A2(n72772), .B1(n72771), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N24) );
+  sky130_fd_sc_hd__nand2_1 U90922 ( .A(n76247), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_entry[0]), .Y(n72773) );
+  sky130_fd_sc_hd__o21ai_1 U90923 ( .A1(n76247), .A2(n72774), .B1(n72773), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_io_btb_resp_entry[0]) );
+  sky130_fd_sc_hd__o21ai_1 U90924 ( .A1(n73277), .A2(n73063), .B1(n73062), .Y(
+        n72776) );
+  sky130_fd_sc_hd__o21ai_1 U90925 ( .A1(n72776), .A2(n72775), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[2]), .Y(n72777) );
+  sky130_fd_sc_hd__nand2_1 U90927 ( .A(n72781), .B(n72780), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[10]) );
+  sky130_fd_sc_hd__a22oi_1 U90928 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[142]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[206]), .Y(n72783) );
+  sky130_fd_sc_hd__a22oi_1 U90929 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[14]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[78]), .Y(n72782) );
+  sky130_fd_sc_hd__nand2_1 U90930 ( .A(n72783), .B(n72782), .Y(n36549) );
+  sky130_fd_sc_hd__nand2_1 U90931 ( .A(n73341), .B(n72784), .Y(n72785) );
+  sky130_fd_sc_hd__a222oi_1 U90933 ( .A1(n85794), .A2(n64978), .B1(n73183), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[11]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[11]), .C2(n73182), .Y(n72787) );
+  sky130_fd_sc_hd__nand2_1 U90934 ( .A(n72788), .B(n78199), .Y(n76479) );
+  sky130_fd_sc_hd__nor2_1 U90935 ( .A(n72789), .B(n76479), .Y(n86485) );
+  sky130_fd_sc_hd__inv_2 U90936 ( .A(n85650), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_csb0[1]) );
+  sky130_fd_sc_hd__a22oi_1 U90937 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[164]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[228]), .Y(n72791) );
+  sky130_fd_sc_hd__a22oi_1 U90938 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[36]), .B1(n73142), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[100]), .Y(n72790) );
+  sky130_fd_sc_hd__nand2_1 U90939 ( .A(n72791), .B(n72790), .Y(n36496) );
+  sky130_fd_sc_hd__a22oi_1 U90940 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[132]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[196]), .Y(n72793) );
+  sky130_fd_sc_hd__a22oi_1 U90941 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[4]), .B1(n73142), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[68]), .Y(n72792) );
+  sky130_fd_sc_hd__nand2_1 U90942 ( .A(n72793), .B(n72792), .Y(n36528) );
+  sky130_fd_sc_hd__nand2_1 U90943 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_bht_history[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .Y(n72794) );
+  sky130_fd_sc_hd__o21ai_1 U90944 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .A2(n72798), .B1(n72794), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N279) );
+  sky130_fd_sc_hd__nand2_1 U90945 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_bht_history[7]), .B(n76239), .Y(n72795) );
+  sky130_fd_sc_hd__o21ai_1 U90946 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n72798), .B1(n72795), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N198) );
+  sky130_fd_sc_hd__nand2_1 U90947 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_bht_history[7]), .B(n76241), .Y(n72796) );
+  sky130_fd_sc_hd__o21ai_1 U90948 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n72798), .B1(n72796), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N117) );
+  sky130_fd_sc_hd__nand2_1 U90949 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_bht_history[7]), .B(n73340), .Y(n72797) );
+  sky130_fd_sc_hd__o21ai_1 U90950 ( .A1(n73340), .A2(n72798), .B1(n72797), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N36) );
+  sky130_fd_sc_hd__nand2_1 U90951 ( .A(n76247), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_bht_history[7]), .Y(n72799) );
+  sky130_fd_sc_hd__o21ai_1 U90952 ( .A1(n72800), .A2(n76247), .B1(n72799), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_io_btb_resp_bht_history[7]) );
+  sky130_fd_sc_hd__nand2_1 U90953 ( .A(n72802), .B(n72801), .Y(n72804) );
+  sky130_fd_sc_hd__nand2_1 U90954 ( .A(n72804), .B(n72803), .Y(n72807) );
+  sky130_fd_sc_hd__nand2_1 U90955 ( .A(n72807), .B(n72806), .Y(n72809) );
+  sky130_fd_sc_hd__nand3_1 U90956 ( .A(n73163), .B(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .C(
+        n72808), .Y(n72821) );
+  sky130_fd_sc_hd__nand2_1 U90957 ( .A(n72879), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[7]), .Y(n72812) );
+  sky130_fd_sc_hd__nor3_1 U90958 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_branch), .B(n36802), .C(n73163), .Y(n72877) );
+  sky130_fd_sc_hd__nor3_1 U90959 ( .A(n74033), .B(n72810), .C(n73163), .Y(
+        n72876) );
+  sky130_fd_sc_hd__a22oi_1 U90960 ( .A1(n72877), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[7]), .B1(n72876), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_taken), .Y(n72811) );
+  sky130_fd_sc_hd__o211ai_1 U90961 ( .A1(n72813), .A2(n72821), .B1(n72812), 
+        .C1(n72811), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1658) );
+  sky130_fd_sc_hd__nand2_1 U90962 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_bht_history[6]), .B(n37259), .Y(n72814) );
+  sky130_fd_sc_hd__o21ai_1 U90963 ( .A1(n37259), .A2(n72818), .B1(n72814), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N278) );
+  sky130_fd_sc_hd__nand2_1 U90964 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_bht_history[6]), .B(n76239), .Y(n72815) );
+  sky130_fd_sc_hd__o21ai_1 U90965 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n72818), .B1(n72815), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N197) );
+  sky130_fd_sc_hd__nand2_1 U90966 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_bht_history[6]), .B(n76241), .Y(n72816) );
+  sky130_fd_sc_hd__o21ai_1 U90967 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n72818), .B1(n72816), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N116) );
+  sky130_fd_sc_hd__nand2_1 U90968 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_bht_history[6]), .B(n73340), .Y(n72817) );
+  sky130_fd_sc_hd__o21ai_1 U90969 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .A2(n72818), .B1(n72817), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N35) );
+  sky130_fd_sc_hd__nand2_1 U90970 ( .A(n76247), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_bht_history[6]), .Y(n72819) );
+  sky130_fd_sc_hd__o21ai_1 U90971 ( .A1(n72820), .A2(n76247), .B1(n72819), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_io_btb_resp_bht_history[6]) );
+  sky130_fd_sc_hd__a22oi_1 U90972 ( .A1(n72877), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[6]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[7]), .B2(n72876), .Y(n72823) );
+  sky130_fd_sc_hd__a22oi_1 U90973 ( .A1(n72879), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[6]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[7]), .B2(n72878), .Y(n72822) );
+  sky130_fd_sc_hd__nand2_1 U90974 ( .A(n72823), .B(n72822), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1657) );
+  sky130_fd_sc_hd__nand2_1 U90975 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_bht_history[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .Y(n72824) );
+  sky130_fd_sc_hd__nand2_1 U90977 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_bht_history[5]), .B(n76239), .Y(n72825) );
+  sky130_fd_sc_hd__o21ai_1 U90978 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n72828), .B1(n72825), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N196) );
+  sky130_fd_sc_hd__nand2_1 U90979 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_bht_history[5]), .B(n76241), .Y(n72826) );
+  sky130_fd_sc_hd__o21ai_1 U90980 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n72828), .B1(n72826), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N115) );
+  sky130_fd_sc_hd__nand2_1 U90981 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_bht_history[5]), .B(n73340), .Y(n72827) );
+  sky130_fd_sc_hd__o21ai_1 U90982 ( .A1(n73340), .A2(n72828), .B1(n72827), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N34) );
+  sky130_fd_sc_hd__nand2_1 U90983 ( .A(n76247), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_bht_history[5]), .Y(n72829) );
+  sky130_fd_sc_hd__o21ai_1 U90984 ( .A1(n72830), .A2(n76247), .B1(n72829), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_io_btb_resp_bht_history[5]) );
+  sky130_fd_sc_hd__a22oi_1 U90985 ( .A1(n72877), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[5]), .B1(n72876), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[6]), .Y(n72832) );
+  sky130_fd_sc_hd__a22oi_1 U90986 ( .A1(n72879), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[5]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[6]), .B2(n72878), .Y(n72831) );
+  sky130_fd_sc_hd__nand2_1 U90987 ( .A(n72832), .B(n72831), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1656) );
+  sky130_fd_sc_hd__nand2_1 U90988 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_bht_history[4]), .B(n37259), .Y(n72833) );
+  sky130_fd_sc_hd__o21ai_1 U90989 ( .A1(n37259), .A2(n72837), .B1(n72833), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N276) );
+  sky130_fd_sc_hd__nand2_1 U90990 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_bht_history[4]), .B(n76239), .Y(n72834) );
+  sky130_fd_sc_hd__o21ai_1 U90991 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n72837), .B1(n72834), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N195) );
+  sky130_fd_sc_hd__nand2_1 U90992 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_bht_history[4]), .B(n76241), .Y(n72835) );
+  sky130_fd_sc_hd__o21ai_1 U90993 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n72837), .B1(n72835), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N114) );
+  sky130_fd_sc_hd__nand2_1 U90994 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_bht_history[4]), .B(n73340), .Y(n72836) );
+  sky130_fd_sc_hd__o21ai_1 U90995 ( .A1(n73340), .A2(n72837), .B1(n72836), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N33) );
+  sky130_fd_sc_hd__nand2_1 U90996 ( .A(n76247), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_bht_history[4]), .Y(n72838) );
+  sky130_fd_sc_hd__a22oi_1 U90998 ( .A1(n72877), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[4]), .B1(n72876), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[5]), .Y(n72841) );
+  sky130_fd_sc_hd__a22oi_1 U90999 ( .A1(n72879), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[4]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[5]), .B2(n72878), .Y(n72840) );
+  sky130_fd_sc_hd__nand2_1 U91000 ( .A(n72841), .B(n72840), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1655) );
+  sky130_fd_sc_hd__nand2_1 U91001 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_bht_history[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .Y(n72842) );
+  sky130_fd_sc_hd__o21ai_1 U91002 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .A2(n72846), .B1(n72842), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N275) );
+  sky130_fd_sc_hd__nand2_1 U91003 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_bht_history[3]), .B(n76239), .Y(n72843) );
+  sky130_fd_sc_hd__o21ai_1 U91004 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n72846), .B1(n72843), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N194) );
+  sky130_fd_sc_hd__nand2_1 U91005 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_bht_history[3]), .B(n76241), .Y(n72844) );
+  sky130_fd_sc_hd__o21ai_1 U91006 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n72846), .B1(n72844), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N113) );
+  sky130_fd_sc_hd__nand2_1 U91007 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_bht_history[3]), .B(n73340), .Y(n72845) );
+  sky130_fd_sc_hd__o21ai_1 U91008 ( .A1(n73340), .A2(n72846), .B1(n72845), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N32) );
+  sky130_fd_sc_hd__nand2_1 U91009 ( .A(n76247), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_bht_history[3]), .Y(n72847) );
+  sky130_fd_sc_hd__o21ai_1 U91010 ( .A1(n72848), .A2(n76247), .B1(n72847), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_io_btb_resp_bht_history[3]) );
+  sky130_fd_sc_hd__a22oi_1 U91011 ( .A1(n72877), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[3]), .B1(n72876), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[4]), .Y(n72850) );
+  sky130_fd_sc_hd__a22oi_1 U91012 ( .A1(n72879), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[3]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[4]), .B2(n72878), .Y(n72849) );
+  sky130_fd_sc_hd__nand2_1 U91013 ( .A(n72850), .B(n72849), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1654) );
+  sky130_fd_sc_hd__nand2_1 U91014 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_bht_history[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .Y(n72851) );
+  sky130_fd_sc_hd__o21ai_1 U91015 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .A2(n72855), .B1(n72851), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N274) );
+  sky130_fd_sc_hd__nand2_1 U91016 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_bht_history[2]), .B(n76239), .Y(n72852) );
+  sky130_fd_sc_hd__o21ai_1 U91017 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n72855), .B1(n72852), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N193) );
+  sky130_fd_sc_hd__nand2_1 U91018 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_bht_history[2]), .B(n76241), .Y(n72853) );
+  sky130_fd_sc_hd__o21ai_1 U91019 ( .A1(n76241), .A2(n72855), .B1(n72853), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N112) );
+  sky130_fd_sc_hd__nand2_1 U91020 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_bht_history[2]), .B(n73340), .Y(n72854) );
+  sky130_fd_sc_hd__o21ai_1 U91021 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .A2(n72855), .B1(n72854), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N31) );
+  sky130_fd_sc_hd__nand2_1 U91022 ( .A(n76247), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_bht_history[2]), .Y(n72856) );
+  sky130_fd_sc_hd__a22oi_1 U91024 ( .A1(n72877), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[2]), .B1(n72876), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[3]), .Y(n72859) );
+  sky130_fd_sc_hd__a22oi_1 U91025 ( .A1(n72879), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[2]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[3]), .B2(n72878), .Y(n72858) );
+  sky130_fd_sc_hd__nand2_1 U91026 ( .A(n72859), .B(n72858), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1653) );
+  sky130_fd_sc_hd__nand2_1 U91027 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_bht_history[1]), .B(n37259), .Y(n72860) );
+  sky130_fd_sc_hd__o21ai_1 U91028 ( .A1(n37259), .A2(n72864), .B1(n72860), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N273) );
+  sky130_fd_sc_hd__nand2_1 U91029 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_bht_history[1]), .B(n76239), .Y(n72861) );
+  sky130_fd_sc_hd__o21ai_1 U91030 ( .A1(n76239), .A2(n72864), .B1(n72861), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N192) );
+  sky130_fd_sc_hd__nand2_1 U91031 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_bht_history[1]), .B(n76241), .Y(n72862) );
+  sky130_fd_sc_hd__o21ai_1 U91032 ( .A1(n76241), .A2(n72864), .B1(n72862), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N111) );
+  sky130_fd_sc_hd__nand2_1 U91033 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_bht_history[1]), .B(n73340), .Y(n72863) );
+  sky130_fd_sc_hd__o21ai_1 U91034 ( .A1(n73340), .A2(n72864), .B1(n72863), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N30) );
+  sky130_fd_sc_hd__nand2_1 U91035 ( .A(n76247), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_bht_history[1]), .Y(n72865) );
+  sky130_fd_sc_hd__o21ai_1 U91036 ( .A1(n72866), .A2(n76247), .B1(n72865), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_io_btb_resp_bht_history[1]) );
+  sky130_fd_sc_hd__a22oi_1 U91037 ( .A1(n72877), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[1]), .B1(n72876), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[2]), .Y(n72868) );
+  sky130_fd_sc_hd__a22oi_1 U91038 ( .A1(n72879), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[1]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[2]), .B2(n72878), .Y(n72867) );
+  sky130_fd_sc_hd__nand2_1 U91039 ( .A(n72868), .B(n72867), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1652) );
+  sky130_fd_sc_hd__nand2_1 U91040 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_bht_history[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .Y(n72869) );
+  sky130_fd_sc_hd__o21ai_1 U91041 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .A2(n72873), .B1(n72869), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N272) );
+  sky130_fd_sc_hd__nand2_1 U91042 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_bht_history[0]), .B(n76239), .Y(n72870) );
+  sky130_fd_sc_hd__o21ai_1 U91043 ( .A1(n76239), .A2(n72873), .B1(n72870), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N191) );
+  sky130_fd_sc_hd__nand2_1 U91044 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_bht_history[0]), .B(n76241), .Y(n72871) );
+  sky130_fd_sc_hd__o21ai_1 U91045 ( .A1(n76241), .A2(n72873), .B1(n72871), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N110) );
+  sky130_fd_sc_hd__nand2_1 U91046 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_bht_history[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .Y(n72872) );
+  sky130_fd_sc_hd__o21ai_1 U91047 ( .A1(n73340), .A2(n72873), .B1(n72872), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N29) );
+  sky130_fd_sc_hd__nand2_1 U91048 ( .A(n76247), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_bht_history[0]), .Y(n72874) );
+  sky130_fd_sc_hd__a22oi_1 U91050 ( .A1(n72877), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[0]), .B1(n72876), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[1]), .Y(n72881) );
+  sky130_fd_sc_hd__a22oi_1 U91051 ( .A1(n72879), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[0]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_history[1]), .B2(n72878), .Y(n72880) );
+  sky130_fd_sc_hd__nand2_1 U91052 ( .A(n72881), .B(n72880), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1651) );
+  sky130_fd_sc_hd__nand2_1 U91053 ( .A(n72945), .B(n72882), .Y(n72884) );
+  sky130_fd_sc_hd__nand2_1 U91054 ( .A(n72994), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[2]), .Y(n72883) );
+  sky130_fd_sc_hd__xnor2_1 U91055 ( .A(n72886), .B(n72885), .Y(n72888) );
+  sky130_fd_sc_hd__nor2_1 U91056 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[0]), .B(n72945), .Y(n72887) );
+  sky130_fd_sc_hd__a21oi_1 U91057 ( .A1(n72945), .A2(n72888), .B1(n72887), .Y(
+        n72960) );
+  sky130_fd_sc_hd__xnor2_1 U91058 ( .A(n72890), .B(n72889), .Y(n72891) );
+  sky130_fd_sc_hd__nand2_1 U91059 ( .A(n72945), .B(n72891), .Y(n72894) );
+  sky130_fd_sc_hd__nand2_1 U91060 ( .A(n72994), .B(n72892), .Y(n72893) );
+  sky130_fd_sc_hd__nand2_1 U91061 ( .A(n72960), .B(n72972), .Y(n72954) );
+  sky130_fd_sc_hd__nand2_1 U91062 ( .A(n72945), .B(n72895), .Y(n72897) );
+  sky130_fd_sc_hd__nand2_1 U91063 ( .A(n72994), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[3]), .Y(n72896) );
+  sky130_fd_sc_hd__nand2_1 U91064 ( .A(n72945), .B(n72898), .Y(n72900) );
+  sky130_fd_sc_hd__nand2_1 U91065 ( .A(n72994), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[4]), .Y(n72899) );
+  sky130_fd_sc_hd__nand2_1 U91066 ( .A(n72953), .B(n72901), .Y(n72902) );
+  sky130_fd_sc_hd__xnor2_1 U91067 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[6]), .Y(n72903) );
+  sky130_fd_sc_hd__xor2_1 U91068 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[1]), .B(n72903), .X(n72936) );
+  sky130_fd_sc_hd__nand2_1 U91069 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[3]), .Y(n72939) );
+  sky130_fd_sc_hd__nor2_1 U91071 ( .A(n72904), .B(n72934), .Y(n72908) );
+  sky130_fd_sc_hd__o22ai_1 U91073 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[2]), .A2(n72906), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[3]), .B2(n72929), .Y(n72907) );
+  sky130_fd_sc_hd__a222oi_1 U91074 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[2]), .A2(n72930), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[2]), .B2(n72907), .C1(n72930), .C2(n72907), .Y(n72923) );
+  sky130_fd_sc_hd__o22ai_1 U91075 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[5]), .A2(n72919), .B1(n72908), .B2(n72923), .Y(n72935) );
+  sky130_fd_sc_hd__xor2_1 U91076 ( .A(n72936), .B(n72935), .X(n72909) );
+  sky130_fd_sc_hd__xnor2_1 U91077 ( .A(n72909), .B(n72939), .Y(n72911) );
+  sky130_fd_sc_hd__xnor2_1 U91078 ( .A(n72911), .B(n72910), .Y(n72912) );
+  sky130_fd_sc_hd__nand2_1 U91079 ( .A(n72945), .B(n72912), .Y(n72914) );
+  sky130_fd_sc_hd__nand2_1 U91080 ( .A(n72994), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[7]), .Y(n72913) );
+  sky130_fd_sc_hd__a21oi_1 U91081 ( .A1(n72916), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_branch), .B1(n72915), .Y(n72991) );
+  sky130_fd_sc_hd__a21oi_1 U91082 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[5]), .A2(n72994), .B1(n72991), .Y(n72917) );
+  sky130_fd_sc_hd__xnor2_1 U91084 ( .A(n72919), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[5]), .Y(n72921) );
+  sky130_fd_sc_hd__xnor2_1 U91085 ( .A(n72921), .B(n72920), .Y(n72922) );
+  sky130_fd_sc_hd__xnor2_1 U91086 ( .A(n72923), .B(n72922), .Y(n72924) );
+  sky130_fd_sc_hd__nand2_1 U91087 ( .A(n72945), .B(n72924), .Y(n72925) );
+  sky130_fd_sc_hd__o21ai_1 U91088 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[6]), .A2(n72945), .B1(n72925), .Y(n72986) );
+  sky130_fd_sc_hd__nand2_1 U91089 ( .A(n72926), .B(n72996), .Y(n72976) );
+  sky130_fd_sc_hd__nor2_1 U91090 ( .A(n73010), .B(n72976), .Y(n72981) );
+  sky130_fd_sc_hd__nor2_1 U91091 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[4]), .B(n72927), .Y(n72928) );
+  sky130_fd_sc_hd__o22ai_1 U91092 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[6]), .A2(n72930), .B1(n72929), .B2(n72928), .Y(n72931) );
+  sky130_fd_sc_hd__xor2_1 U91093 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[2]), .B(n72931), .X(n72933) );
+  sky130_fd_sc_hd__xnor2_1 U91094 ( .A(n72933), .B(n72932), .Y(n72943) );
+  sky130_fd_sc_hd__o2bb2ai_1 U91095 ( .B1(n72934), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[7]), .A1_N(n72934), .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[7]), .Y(n72941) );
+  sky130_fd_sc_hd__nand2_1 U91096 ( .A(n72936), .B(n72935), .Y(n72938) );
+  sky130_fd_sc_hd__nor2_1 U91097 ( .A(n72936), .B(n72935), .Y(n72937) );
+  sky130_fd_sc_hd__a21oi_1 U91098 ( .A1(n72939), .A2(n72938), .B1(n72937), .Y(
+        n72940) );
+  sky130_fd_sc_hd__xor2_1 U91099 ( .A(n72941), .B(n72940), .X(n72942) );
+  sky130_fd_sc_hd__xnor2_1 U91100 ( .A(n72943), .B(n72942), .Y(n72944) );
+  sky130_fd_sc_hd__nand2_1 U91101 ( .A(n72945), .B(n72944), .Y(n72947) );
+  sky130_fd_sc_hd__nand2_1 U91102 ( .A(n72994), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[8]), .Y(n72946) );
+  sky130_fd_sc_hd__nor2_1 U91104 ( .A(n72902), .B(n72968), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1172) );
+  sky130_fd_sc_hd__nand2_1 U91105 ( .A(n72953), .B(n72973), .Y(n73017) );
+  sky130_fd_sc_hd__nor2_1 U91106 ( .A(n73017), .B(n72968), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1188) );
+  sky130_fd_sc_hd__nor2_1 U91107 ( .A(n73018), .B(n72968), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1180) );
+  sky130_fd_sc_hd__nor2_1 U91108 ( .A(n73019), .B(n72970), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1196) );
+  sky130_fd_sc_hd__nand2_1 U91109 ( .A(n72956), .B(n72901), .Y(n72955) );
+  sky130_fd_sc_hd__nor2_1 U91110 ( .A(n72955), .B(n72970), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1176) );
+  sky130_fd_sc_hd__nor2_1 U91111 ( .A(n73020), .B(n72970), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1192) );
+  sky130_fd_sc_hd__nor2_1 U91112 ( .A(n73021), .B(n72968), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1184) );
+  sky130_fd_sc_hd__nor2_1 U91113 ( .A(n73022), .B(n72970), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1200) );
+  sky130_fd_sc_hd__nand2_1 U91114 ( .A(n72960), .B(n72965), .Y(n72958) );
+  sky130_fd_sc_hd__nor2_1 U91115 ( .A(n73023), .B(n72970), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1174) );
+  sky130_fd_sc_hd__nor2_1 U91116 ( .A(n73024), .B(n72970), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1190) );
+  sky130_fd_sc_hd__nand2_1 U91117 ( .A(n72957), .B(n72950), .Y(n73025) );
+  sky130_fd_sc_hd__nor2_1 U91118 ( .A(n73025), .B(n72970), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1182) );
+  sky130_fd_sc_hd__nor2_1 U91119 ( .A(n73026), .B(n72968), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1198) );
+  sky130_fd_sc_hd__nor2_1 U91120 ( .A(n73027), .B(n72970), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1178) );
+  sky130_fd_sc_hd__nor2_1 U91121 ( .A(n73028), .B(n72968), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1194) );
+  sky130_fd_sc_hd__nor2_1 U91122 ( .A(n73029), .B(n72970), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1186) );
+  sky130_fd_sc_hd__nor2_1 U91123 ( .A(n73030), .B(n72968), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1202) );
+  sky130_fd_sc_hd__nand2_1 U91124 ( .A(n72964), .B(n72961), .Y(n72967) );
+  sky130_fd_sc_hd__nor2_1 U91125 ( .A(n73031), .B(n72970), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1173) );
+  sky130_fd_sc_hd__nor2_1 U91126 ( .A(n73032), .B(n72968), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1189) );
+  sky130_fd_sc_hd__nor2_1 U91127 ( .A(n73033), .B(n72970), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1181) );
+  sky130_fd_sc_hd__nor2_1 U91128 ( .A(n73034), .B(n72968), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1197) );
+  sky130_fd_sc_hd__nand2_1 U91129 ( .A(n72964), .B(n72963), .Y(n72971) );
+  sky130_fd_sc_hd__nor2_1 U91130 ( .A(n73035), .B(n72970), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1177) );
+  sky130_fd_sc_hd__nor2_1 U91131 ( .A(n73036), .B(n72968), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1193) );
+  sky130_fd_sc_hd__nand2_1 U91132 ( .A(n72966), .B(n72950), .Y(n73037) );
+  sky130_fd_sc_hd__nor2_1 U91133 ( .A(n73037), .B(n72968), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1185) );
+  sky130_fd_sc_hd__nor2_1 U91134 ( .A(n73038), .B(n72968), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1201) );
+  sky130_fd_sc_hd__nor2_1 U91135 ( .A(n73039), .B(n72968), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1175) );
+  sky130_fd_sc_hd__nand2_1 U91136 ( .A(n72969), .B(n72973), .Y(n73040) );
+  sky130_fd_sc_hd__nor2_1 U91137 ( .A(n73040), .B(n72970), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1191) );
+  sky130_fd_sc_hd__nor2_1 U91138 ( .A(n73041), .B(n72970), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1183) );
+  sky130_fd_sc_hd__nor2_1 U91139 ( .A(n73042), .B(n72970), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1199) );
+  sky130_fd_sc_hd__nor2_1 U91140 ( .A(n73043), .B(n72968), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1179) );
+  sky130_fd_sc_hd__nor2_1 U91141 ( .A(n73044), .B(n72970), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1195) );
+  sky130_fd_sc_hd__nor2_1 U91142 ( .A(n73045), .B(n72968), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1187) );
+  sky130_fd_sc_hd__nor2_1 U91143 ( .A(n73047), .B(n72968), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1203) );
+  sky130_fd_sc_hd__nor2_1 U91144 ( .A(n73013), .B(n73008), .Y(n73000) );
+  sky130_fd_sc_hd__nor2_1 U91145 ( .A(n72902), .B(n72977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1044) );
+  sky130_fd_sc_hd__nor2_1 U91146 ( .A(n73017), .B(n72977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1060) );
+  sky130_fd_sc_hd__nor2_1 U91147 ( .A(n73018), .B(n72977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1052) );
+  sky130_fd_sc_hd__nor2_1 U91148 ( .A(n73019), .B(n72977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1068) );
+  sky130_fd_sc_hd__nor2_1 U91149 ( .A(n72955), .B(n72977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1048) );
+  sky130_fd_sc_hd__nor2_1 U91150 ( .A(n73020), .B(n72977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1064) );
+  sky130_fd_sc_hd__nor2_1 U91151 ( .A(n73021), .B(n72977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1056) );
+  sky130_fd_sc_hd__nor2_1 U91152 ( .A(n73022), .B(n72977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1072) );
+  sky130_fd_sc_hd__nor2_1 U91153 ( .A(n73023), .B(n72977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1046) );
+  sky130_fd_sc_hd__nor2_1 U91154 ( .A(n73024), .B(n72977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1062) );
+  sky130_fd_sc_hd__nor2_1 U91155 ( .A(n73025), .B(n72977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1054) );
+  sky130_fd_sc_hd__nor2_1 U91156 ( .A(n73026), .B(n72977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1070) );
+  sky130_fd_sc_hd__nor2_1 U91157 ( .A(n73027), .B(n72977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1050) );
+  sky130_fd_sc_hd__nor2_1 U91158 ( .A(n73028), .B(n72977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1066) );
+  sky130_fd_sc_hd__nor2_1 U91159 ( .A(n73029), .B(n72977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1058) );
+  sky130_fd_sc_hd__nor2_1 U91160 ( .A(n73030), .B(n72977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1074) );
+  sky130_fd_sc_hd__nor2_1 U91161 ( .A(n73031), .B(n72977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1045) );
+  sky130_fd_sc_hd__nor2_1 U91162 ( .A(n73032), .B(n72977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1061) );
+  sky130_fd_sc_hd__nor2_1 U91163 ( .A(n73033), .B(n72977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1053) );
+  sky130_fd_sc_hd__nor2_1 U91164 ( .A(n73034), .B(n72977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1069) );
+  sky130_fd_sc_hd__nor2_1 U91165 ( .A(n73035), .B(n72977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1049) );
+  sky130_fd_sc_hd__nor2_1 U91166 ( .A(n73036), .B(n72977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1065) );
+  sky130_fd_sc_hd__nor2_1 U91167 ( .A(n73037), .B(n72977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1057) );
+  sky130_fd_sc_hd__nor2_1 U91168 ( .A(n73038), .B(n72977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1073) );
+  sky130_fd_sc_hd__nor2_1 U91169 ( .A(n73039), .B(n72977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1047) );
+  sky130_fd_sc_hd__nor2_1 U91170 ( .A(n73040), .B(n72977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1063) );
+  sky130_fd_sc_hd__nor2_1 U91171 ( .A(n73041), .B(n72977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1055) );
+  sky130_fd_sc_hd__nor2_1 U91172 ( .A(n73042), .B(n72977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1071) );
+  sky130_fd_sc_hd__nor2_1 U91173 ( .A(n73043), .B(n72977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1051) );
+  sky130_fd_sc_hd__nor2_1 U91174 ( .A(n73044), .B(n72977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1067) );
+  sky130_fd_sc_hd__nor2_1 U91175 ( .A(n73045), .B(n72977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1059) );
+  sky130_fd_sc_hd__nor2_1 U91176 ( .A(n73047), .B(n72977), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1075) );
+  sky130_fd_sc_hd__nand2_1 U91177 ( .A(n72998), .B(n72986), .Y(n73002) );
+  sky130_fd_sc_hd__nor2_1 U91178 ( .A(n73002), .B(n72987), .Y(n72979) );
+  sky130_fd_sc_hd__nor2_1 U91179 ( .A(n72902), .B(n72978), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1108) );
+  sky130_fd_sc_hd__nor2_1 U91180 ( .A(n73017), .B(n72978), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1124) );
+  sky130_fd_sc_hd__nor2_1 U91181 ( .A(n73018), .B(n72978), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1116) );
+  sky130_fd_sc_hd__nor2_1 U91182 ( .A(n73019), .B(n72978), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1132) );
+  sky130_fd_sc_hd__nor2_1 U91183 ( .A(n72955), .B(n72978), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1112) );
+  sky130_fd_sc_hd__nor2_1 U91184 ( .A(n73020), .B(n72978), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1128) );
+  sky130_fd_sc_hd__nor2_1 U91185 ( .A(n73021), .B(n72978), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1120) );
+  sky130_fd_sc_hd__nor2_1 U91186 ( .A(n73022), .B(n72978), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1136) );
+  sky130_fd_sc_hd__nor2_1 U91187 ( .A(n73023), .B(n72978), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1110) );
+  sky130_fd_sc_hd__nor2_1 U91188 ( .A(n73024), .B(n72978), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1126) );
+  sky130_fd_sc_hd__nor2_1 U91189 ( .A(n73025), .B(n72978), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1118) );
+  sky130_fd_sc_hd__nor2_1 U91190 ( .A(n73026), .B(n72978), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1134) );
+  sky130_fd_sc_hd__nor2_1 U91191 ( .A(n73027), .B(n72978), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1114) );
+  sky130_fd_sc_hd__nor2_1 U91192 ( .A(n73028), .B(n72978), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1130) );
+  sky130_fd_sc_hd__nor2_1 U91193 ( .A(n73029), .B(n72978), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1122) );
+  sky130_fd_sc_hd__nor2_1 U91194 ( .A(n73030), .B(n72978), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1138) );
+  sky130_fd_sc_hd__nor2_1 U91195 ( .A(n72978), .B(n73031), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1109) );
+  sky130_fd_sc_hd__nor2_1 U91196 ( .A(n72978), .B(n73032), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1125) );
+  sky130_fd_sc_hd__nor2_1 U91197 ( .A(n72978), .B(n73033), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1117) );
+  sky130_fd_sc_hd__nor2_1 U91198 ( .A(n72978), .B(n73034), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1133) );
+  sky130_fd_sc_hd__nor2_1 U91199 ( .A(n72978), .B(n73035), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1113) );
+  sky130_fd_sc_hd__nor2_1 U91200 ( .A(n72978), .B(n73036), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1129) );
+  sky130_fd_sc_hd__nor2_1 U91201 ( .A(n72978), .B(n73037), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1121) );
+  sky130_fd_sc_hd__nor2_1 U91202 ( .A(n72978), .B(n73038), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1137) );
+  sky130_fd_sc_hd__nor2_1 U91203 ( .A(n72978), .B(n73039), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1111) );
+  sky130_fd_sc_hd__nor2_1 U91204 ( .A(n72978), .B(n73040), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1127) );
+  sky130_fd_sc_hd__nor2_1 U91205 ( .A(n72978), .B(n73041), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1119) );
+  sky130_fd_sc_hd__nor2_1 U91206 ( .A(n72978), .B(n73042), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1135) );
+  sky130_fd_sc_hd__nor2_1 U91207 ( .A(n72978), .B(n73043), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1115) );
+  sky130_fd_sc_hd__nor2_1 U91208 ( .A(n72978), .B(n73044), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1131) );
+  sky130_fd_sc_hd__nor2_1 U91209 ( .A(n72978), .B(n73045), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1123) );
+  sky130_fd_sc_hd__nor2_1 U91210 ( .A(n72978), .B(n73047), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1139) );
+  sky130_fd_sc_hd__nor2_1 U91211 ( .A(n72902), .B(n72980), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1236) );
+  sky130_fd_sc_hd__nor2_1 U91212 ( .A(n73017), .B(n72980), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1252) );
+  sky130_fd_sc_hd__nor2_1 U91213 ( .A(n73018), .B(n72980), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1244) );
+  sky130_fd_sc_hd__nor2_1 U91214 ( .A(n73019), .B(n72980), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1260) );
+  sky130_fd_sc_hd__nor2_1 U91215 ( .A(n72955), .B(n72980), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1240) );
+  sky130_fd_sc_hd__nor2_1 U91216 ( .A(n73020), .B(n72980), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1256) );
+  sky130_fd_sc_hd__nor2_1 U91217 ( .A(n73021), .B(n72980), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1248) );
+  sky130_fd_sc_hd__nor2_1 U91218 ( .A(n73022), .B(n72980), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1264) );
+  sky130_fd_sc_hd__nor2_1 U91219 ( .A(n73023), .B(n72980), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1238) );
+  sky130_fd_sc_hd__nor2_1 U91220 ( .A(n73024), .B(n72980), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1254) );
+  sky130_fd_sc_hd__nor2_1 U91221 ( .A(n73025), .B(n72980), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1246) );
+  sky130_fd_sc_hd__nor2_1 U91222 ( .A(n73026), .B(n72980), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1262) );
+  sky130_fd_sc_hd__nor2_1 U91223 ( .A(n73027), .B(n72980), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1242) );
+  sky130_fd_sc_hd__nor2_1 U91224 ( .A(n73028), .B(n72980), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1258) );
+  sky130_fd_sc_hd__nor2_1 U91225 ( .A(n73029), .B(n72980), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1250) );
+  sky130_fd_sc_hd__nor2_1 U91226 ( .A(n73030), .B(n72980), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1266) );
+  sky130_fd_sc_hd__nor2_1 U91227 ( .A(n72980), .B(n73031), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1237) );
+  sky130_fd_sc_hd__nor2_1 U91228 ( .A(n72980), .B(n73032), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1253) );
+  sky130_fd_sc_hd__nor2_1 U91229 ( .A(n72980), .B(n73033), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1245) );
+  sky130_fd_sc_hd__nor2_1 U91230 ( .A(n72980), .B(n73034), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1261) );
+  sky130_fd_sc_hd__nor2_1 U91231 ( .A(n72980), .B(n73035), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1241) );
+  sky130_fd_sc_hd__nor2_1 U91232 ( .A(n72980), .B(n73036), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1257) );
+  sky130_fd_sc_hd__nor2_1 U91233 ( .A(n72980), .B(n73037), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1249) );
+  sky130_fd_sc_hd__nor2_1 U91234 ( .A(n72980), .B(n73038), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1265) );
+  sky130_fd_sc_hd__nor2_1 U91235 ( .A(n72980), .B(n73039), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1239) );
+  sky130_fd_sc_hd__nor2_1 U91236 ( .A(n72980), .B(n73040), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1255) );
+  sky130_fd_sc_hd__nor2_1 U91237 ( .A(n72980), .B(n73041), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1247) );
+  sky130_fd_sc_hd__nor2_1 U91238 ( .A(n72980), .B(n73042), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1263) );
+  sky130_fd_sc_hd__nor2_1 U91239 ( .A(n72980), .B(n73043), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1243) );
+  sky130_fd_sc_hd__nor2_1 U91240 ( .A(n72980), .B(n73044), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1259) );
+  sky130_fd_sc_hd__nor2_1 U91241 ( .A(n72980), .B(n73045), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1251) );
+  sky130_fd_sc_hd__nor2_1 U91242 ( .A(n72980), .B(n73047), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1267) );
+  sky130_fd_sc_hd__nor2_1 U91243 ( .A(n72902), .B(n72982), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N916) );
+  sky130_fd_sc_hd__nor2_1 U91244 ( .A(n73017), .B(n72982), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N932) );
+  sky130_fd_sc_hd__nor2_1 U91245 ( .A(n73018), .B(n72982), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N924) );
+  sky130_fd_sc_hd__nor2_1 U91246 ( .A(n73019), .B(n72983), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N940) );
+  sky130_fd_sc_hd__nor2_1 U91247 ( .A(n72955), .B(n72983), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N920) );
+  sky130_fd_sc_hd__nor2_1 U91248 ( .A(n73020), .B(n72983), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N936) );
+  sky130_fd_sc_hd__nor2_1 U91249 ( .A(n73021), .B(n72982), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N928) );
+  sky130_fd_sc_hd__nor2_1 U91250 ( .A(n73022), .B(n72983), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N944) );
+  sky130_fd_sc_hd__nor2_1 U91251 ( .A(n73023), .B(n72982), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N918) );
+  sky130_fd_sc_hd__nor2_1 U91252 ( .A(n73024), .B(n72983), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N934) );
+  sky130_fd_sc_hd__nor2_1 U91253 ( .A(n73025), .B(n72983), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N926) );
+  sky130_fd_sc_hd__nor2_1 U91254 ( .A(n73026), .B(n72982), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N942) );
+  sky130_fd_sc_hd__nor2_1 U91255 ( .A(n73027), .B(n72982), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N922) );
+  sky130_fd_sc_hd__nor2_1 U91256 ( .A(n73028), .B(n72983), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N938) );
+  sky130_fd_sc_hd__nor2_1 U91257 ( .A(n73029), .B(n72983), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N930) );
+  sky130_fd_sc_hd__nor2_1 U91258 ( .A(n73030), .B(n72982), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N946) );
+  sky130_fd_sc_hd__nor2_1 U91259 ( .A(n73031), .B(n72982), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N917) );
+  sky130_fd_sc_hd__nor2_1 U91260 ( .A(n73032), .B(n72983), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N933) );
+  sky130_fd_sc_hd__nor2_1 U91261 ( .A(n73033), .B(n72982), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N925) );
+  sky130_fd_sc_hd__nor2_1 U91262 ( .A(n73034), .B(n72982), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N941) );
+  sky130_fd_sc_hd__nor2_1 U91263 ( .A(n73035), .B(n72983), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N921) );
+  sky130_fd_sc_hd__nor2_1 U91264 ( .A(n73036), .B(n72983), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N937) );
+  sky130_fd_sc_hd__nor2_1 U91265 ( .A(n73037), .B(n72982), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N929) );
+  sky130_fd_sc_hd__nor2_1 U91266 ( .A(n73038), .B(n72982), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N945) );
+  sky130_fd_sc_hd__nor2_1 U91267 ( .A(n73039), .B(n72982), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N919) );
+  sky130_fd_sc_hd__nor2_1 U91268 ( .A(n73040), .B(n72983), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N935) );
+  sky130_fd_sc_hd__nor2_1 U91269 ( .A(n73041), .B(n72983), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N927) );
+  sky130_fd_sc_hd__nor2_1 U91270 ( .A(n73042), .B(n72983), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N943) );
+  sky130_fd_sc_hd__nor2_1 U91271 ( .A(n73043), .B(n72983), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N923) );
+  sky130_fd_sc_hd__nor2_1 U91272 ( .A(n73044), .B(n72982), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N939) );
+  sky130_fd_sc_hd__nor2_1 U91273 ( .A(n73045), .B(n72983), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N931) );
+  sky130_fd_sc_hd__nor2_1 U91274 ( .A(n73047), .B(n72982), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N947) );
+  sky130_fd_sc_hd__nor2_1 U91275 ( .A(n72998), .B(n73008), .Y(n73015) );
+  sky130_fd_sc_hd__nor2_1 U91276 ( .A(n72902), .B(n72985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N788) );
+  sky130_fd_sc_hd__nor2_1 U91277 ( .A(n73017), .B(n72985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N804) );
+  sky130_fd_sc_hd__nor2_1 U91278 ( .A(n73018), .B(n72985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N796) );
+  sky130_fd_sc_hd__nor2_1 U91279 ( .A(n73019), .B(n72985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N812) );
+  sky130_fd_sc_hd__nor2_1 U91280 ( .A(n72955), .B(n72985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N792) );
+  sky130_fd_sc_hd__nor2_1 U91281 ( .A(n73020), .B(n72985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N808) );
+  sky130_fd_sc_hd__nor2_1 U91282 ( .A(n73021), .B(n72985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N800) );
+  sky130_fd_sc_hd__nor2_1 U91283 ( .A(n73022), .B(n72985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N816) );
+  sky130_fd_sc_hd__nor2_1 U91284 ( .A(n73023), .B(n72985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N790) );
+  sky130_fd_sc_hd__nor2_1 U91285 ( .A(n73024), .B(n72985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N806) );
+  sky130_fd_sc_hd__nor2_1 U91286 ( .A(n73025), .B(n72985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N798) );
+  sky130_fd_sc_hd__nor2_1 U91287 ( .A(n73026), .B(n72985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N814) );
+  sky130_fd_sc_hd__nor2_1 U91288 ( .A(n73027), .B(n72985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N794) );
+  sky130_fd_sc_hd__nor2_1 U91289 ( .A(n73028), .B(n72985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N810) );
+  sky130_fd_sc_hd__nor2_1 U91290 ( .A(n73029), .B(n72985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N802) );
+  sky130_fd_sc_hd__nor2_1 U91291 ( .A(n73030), .B(n72985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N818) );
+  sky130_fd_sc_hd__nor2_1 U91292 ( .A(n73031), .B(n72985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N789) );
+  sky130_fd_sc_hd__nor2_1 U91293 ( .A(n73032), .B(n72985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N805) );
+  sky130_fd_sc_hd__nor2_1 U91294 ( .A(n73033), .B(n72985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N797) );
+  sky130_fd_sc_hd__nor2_1 U91295 ( .A(n73034), .B(n72985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N813) );
+  sky130_fd_sc_hd__nor2_1 U91296 ( .A(n73035), .B(n72985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N793) );
+  sky130_fd_sc_hd__nor2_1 U91297 ( .A(n73036), .B(n72985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N809) );
+  sky130_fd_sc_hd__nor2_1 U91298 ( .A(n73037), .B(n72985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N801) );
+  sky130_fd_sc_hd__nor2_1 U91299 ( .A(n73038), .B(n72985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N817) );
+  sky130_fd_sc_hd__nor2_1 U91300 ( .A(n73039), .B(n72985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N791) );
+  sky130_fd_sc_hd__nor2_1 U91301 ( .A(n73040), .B(n72985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N807) );
+  sky130_fd_sc_hd__nor2_1 U91302 ( .A(n73041), .B(n72985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N799) );
+  sky130_fd_sc_hd__nor2_1 U91303 ( .A(n73042), .B(n72985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N815) );
+  sky130_fd_sc_hd__nor2_1 U91304 ( .A(n73043), .B(n72985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N795) );
+  sky130_fd_sc_hd__nor2_1 U91305 ( .A(n73044), .B(n72985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N811) );
+  sky130_fd_sc_hd__nor2_1 U91306 ( .A(n73045), .B(n72985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N803) );
+  sky130_fd_sc_hd__nor2_1 U91307 ( .A(n73047), .B(n72985), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N819) );
+  sky130_fd_sc_hd__nand2_1 U91308 ( .A(n72986), .B(n73013), .Y(n73007) );
+  sky130_fd_sc_hd__nor2_1 U91309 ( .A(n73007), .B(n72987), .Y(n72989) );
+  sky130_fd_sc_hd__nor2_1 U91310 ( .A(n72902), .B(n72988), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N980) );
+  sky130_fd_sc_hd__nor2_1 U91311 ( .A(n73017), .B(n72988), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N996) );
+  sky130_fd_sc_hd__nor2_1 U91312 ( .A(n73018), .B(n72988), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N988) );
+  sky130_fd_sc_hd__nor2_1 U91313 ( .A(n73019), .B(n72988), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1004) );
+  sky130_fd_sc_hd__nor2_1 U91314 ( .A(n72955), .B(n72988), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N984) );
+  sky130_fd_sc_hd__nor2_1 U91315 ( .A(n73020), .B(n72988), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1000) );
+  sky130_fd_sc_hd__nor2_1 U91316 ( .A(n73021), .B(n72988), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N992) );
+  sky130_fd_sc_hd__nor2_1 U91317 ( .A(n73022), .B(n72988), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1008) );
+  sky130_fd_sc_hd__nor2_1 U91318 ( .A(n73023), .B(n72988), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N982) );
+  sky130_fd_sc_hd__nor2_1 U91319 ( .A(n73024), .B(n72988), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N998) );
+  sky130_fd_sc_hd__nor2_1 U91320 ( .A(n73025), .B(n72988), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N990) );
+  sky130_fd_sc_hd__nor2_1 U91321 ( .A(n73026), .B(n72988), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1006) );
+  sky130_fd_sc_hd__nor2_1 U91322 ( .A(n73027), .B(n72988), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N986) );
+  sky130_fd_sc_hd__nor2_1 U91323 ( .A(n73028), .B(n72988), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1002) );
+  sky130_fd_sc_hd__nor2_1 U91324 ( .A(n73029), .B(n72988), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N994) );
+  sky130_fd_sc_hd__nor2_1 U91325 ( .A(n73030), .B(n72988), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1010) );
+  sky130_fd_sc_hd__nor2_1 U91326 ( .A(n72988), .B(n73031), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N981) );
+  sky130_fd_sc_hd__nor2_1 U91327 ( .A(n72988), .B(n73032), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N997) );
+  sky130_fd_sc_hd__nor2_1 U91328 ( .A(n72988), .B(n73033), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N989) );
+  sky130_fd_sc_hd__nor2_1 U91329 ( .A(n72988), .B(n73034), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1005) );
+  sky130_fd_sc_hd__nor2_1 U91330 ( .A(n72988), .B(n73035), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N985) );
+  sky130_fd_sc_hd__nor2_1 U91331 ( .A(n72988), .B(n73036), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1001) );
+  sky130_fd_sc_hd__nor2_1 U91332 ( .A(n72988), .B(n73037), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N993) );
+  sky130_fd_sc_hd__nor2_1 U91333 ( .A(n72988), .B(n73038), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1009) );
+  sky130_fd_sc_hd__nor2_1 U91334 ( .A(n72988), .B(n73039), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N983) );
+  sky130_fd_sc_hd__nor2_1 U91335 ( .A(n72988), .B(n73040), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N999) );
+  sky130_fd_sc_hd__nor2_1 U91336 ( .A(n72988), .B(n73041), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N991) );
+  sky130_fd_sc_hd__nor2_1 U91337 ( .A(n72988), .B(n73042), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1007) );
+  sky130_fd_sc_hd__nor2_1 U91338 ( .A(n72988), .B(n73043), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N987) );
+  sky130_fd_sc_hd__nor2_1 U91339 ( .A(n72988), .B(n73044), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1003) );
+  sky130_fd_sc_hd__nor2_1 U91340 ( .A(n72988), .B(n73045), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N995) );
+  sky130_fd_sc_hd__nor2_1 U91341 ( .A(n72988), .B(n73047), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1011) );
+  sky130_fd_sc_hd__nor2_1 U91342 ( .A(n72902), .B(n72990), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N852) );
+  sky130_fd_sc_hd__nor2_1 U91343 ( .A(n73017), .B(n72990), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N868) );
+  sky130_fd_sc_hd__nor2_1 U91344 ( .A(n73018), .B(n72990), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N860) );
+  sky130_fd_sc_hd__nor2_1 U91345 ( .A(n73019), .B(n72990), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N876) );
+  sky130_fd_sc_hd__nor2_1 U91346 ( .A(n72955), .B(n72990), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N856) );
+  sky130_fd_sc_hd__nor2_1 U91347 ( .A(n73020), .B(n72990), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N872) );
+  sky130_fd_sc_hd__nor2_1 U91348 ( .A(n73021), .B(n72990), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N864) );
+  sky130_fd_sc_hd__nor2_1 U91349 ( .A(n73022), .B(n72990), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N880) );
+  sky130_fd_sc_hd__nor2_1 U91350 ( .A(n73023), .B(n72990), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N854) );
+  sky130_fd_sc_hd__nor2_1 U91351 ( .A(n73024), .B(n72990), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N870) );
+  sky130_fd_sc_hd__nor2_1 U91352 ( .A(n73025), .B(n72990), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N862) );
+  sky130_fd_sc_hd__nor2_1 U91353 ( .A(n73026), .B(n72990), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N878) );
+  sky130_fd_sc_hd__nor2_1 U91354 ( .A(n73027), .B(n72990), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N858) );
+  sky130_fd_sc_hd__nor2_1 U91355 ( .A(n73028), .B(n72990), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N874) );
+  sky130_fd_sc_hd__nor2_1 U91356 ( .A(n73029), .B(n72990), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N866) );
+  sky130_fd_sc_hd__nor2_1 U91357 ( .A(n73030), .B(n72990), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N882) );
+  sky130_fd_sc_hd__nor2_1 U91358 ( .A(n72990), .B(n73031), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N853) );
+  sky130_fd_sc_hd__nor2_1 U91359 ( .A(n72990), .B(n73032), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N869) );
+  sky130_fd_sc_hd__nor2_1 U91360 ( .A(n72990), .B(n73033), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N861) );
+  sky130_fd_sc_hd__nor2_1 U91361 ( .A(n72990), .B(n73034), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N877) );
+  sky130_fd_sc_hd__nor2_1 U91362 ( .A(n72990), .B(n73035), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N857) );
+  sky130_fd_sc_hd__nor2_1 U91363 ( .A(n72990), .B(n73036), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N873) );
+  sky130_fd_sc_hd__nor2_1 U91364 ( .A(n72990), .B(n73037), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N865) );
+  sky130_fd_sc_hd__nor2_1 U91365 ( .A(n72990), .B(n73038), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N881) );
+  sky130_fd_sc_hd__nor2_1 U91366 ( .A(n72990), .B(n73039), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N855) );
+  sky130_fd_sc_hd__nor2_1 U91367 ( .A(n72990), .B(n73040), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N871) );
+  sky130_fd_sc_hd__nor2_1 U91368 ( .A(n72990), .B(n73041), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N863) );
+  sky130_fd_sc_hd__nor2_1 U91369 ( .A(n72990), .B(n73042), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N879) );
+  sky130_fd_sc_hd__nor2_1 U91370 ( .A(n72990), .B(n73043), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N859) );
+  sky130_fd_sc_hd__nor2_1 U91371 ( .A(n72990), .B(n73044), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N875) );
+  sky130_fd_sc_hd__nor2_1 U91372 ( .A(n72990), .B(n73045), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N867) );
+  sky130_fd_sc_hd__nor2_1 U91373 ( .A(n72990), .B(n73047), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N883) );
+  sky130_fd_sc_hd__a21oi_1 U91374 ( .A1(n72994), .A2(n72992), .B1(n72991), .Y(
+        n72993) );
+  sky130_fd_sc_hd__o21ai_1 U91375 ( .A1(n72995), .A2(n72994), .B1(n72993), .Y(
+        n73006) );
+  sky130_fd_sc_hd__nand2_1 U91376 ( .A(n72997), .B(n72996), .Y(n72999) );
+  sky130_fd_sc_hd__nor2_1 U91377 ( .A(n73010), .B(n72999), .Y(n73014) );
+  sky130_fd_sc_hd__nor2_1 U91378 ( .A(n72902), .B(n37079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1140) );
+  sky130_fd_sc_hd__nor2_1 U91379 ( .A(n73017), .B(n37079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1156) );
+  sky130_fd_sc_hd__nor2_1 U91380 ( .A(n73018), .B(n37079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1148) );
+  sky130_fd_sc_hd__nor2_1 U91381 ( .A(n73019), .B(n37079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1164) );
+  sky130_fd_sc_hd__nor2_1 U91382 ( .A(n72955), .B(n37079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1144) );
+  sky130_fd_sc_hd__nor2_1 U91383 ( .A(n73020), .B(n37079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1160) );
+  sky130_fd_sc_hd__nor2_1 U91384 ( .A(n73021), .B(n37079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1152) );
+  sky130_fd_sc_hd__nor2_1 U91385 ( .A(n73022), .B(n37079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1168) );
+  sky130_fd_sc_hd__nor2_1 U91386 ( .A(n73023), .B(n37079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1142) );
+  sky130_fd_sc_hd__nor2_1 U91387 ( .A(n73024), .B(n37079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1158) );
+  sky130_fd_sc_hd__nor2_1 U91388 ( .A(n73025), .B(n37079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1150) );
+  sky130_fd_sc_hd__nor2_1 U91389 ( .A(n73026), .B(n37079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1166) );
+  sky130_fd_sc_hd__nor2_1 U91390 ( .A(n73027), .B(n37079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1146) );
+  sky130_fd_sc_hd__nor2_1 U91391 ( .A(n73028), .B(n37079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1162) );
+  sky130_fd_sc_hd__nor2_1 U91392 ( .A(n73029), .B(n37079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1154) );
+  sky130_fd_sc_hd__nor2_1 U91393 ( .A(n73030), .B(n37079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1170) );
+  sky130_fd_sc_hd__nor2_1 U91394 ( .A(n73031), .B(n37079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1141) );
+  sky130_fd_sc_hd__nor2_1 U91395 ( .A(n73032), .B(n37079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1157) );
+  sky130_fd_sc_hd__nor2_1 U91396 ( .A(n73033), .B(n37079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1149) );
+  sky130_fd_sc_hd__nor2_1 U91397 ( .A(n73034), .B(n37079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1165) );
+  sky130_fd_sc_hd__nor2_1 U91398 ( .A(n73035), .B(n37079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1145) );
+  sky130_fd_sc_hd__nor2_1 U91399 ( .A(n73036), .B(n37079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1161) );
+  sky130_fd_sc_hd__nor2_1 U91400 ( .A(n73037), .B(n37079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1153) );
+  sky130_fd_sc_hd__nor2_1 U91401 ( .A(n73038), .B(n37079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1169) );
+  sky130_fd_sc_hd__nor2_1 U91402 ( .A(n73039), .B(n37079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1143) );
+  sky130_fd_sc_hd__nor2_1 U91403 ( .A(n73040), .B(n37079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1159) );
+  sky130_fd_sc_hd__nor2_1 U91404 ( .A(n73041), .B(n37079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1151) );
+  sky130_fd_sc_hd__nor2_1 U91405 ( .A(n73042), .B(n37079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1167) );
+  sky130_fd_sc_hd__nor2_1 U91406 ( .A(n73043), .B(n37079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1147) );
+  sky130_fd_sc_hd__nor2_1 U91407 ( .A(n73044), .B(n37079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1163) );
+  sky130_fd_sc_hd__nor2_1 U91408 ( .A(n73045), .B(n37079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1155) );
+  sky130_fd_sc_hd__nor2_1 U91409 ( .A(n73047), .B(n37079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1171) );
+  sky130_fd_sc_hd__nor2_1 U91410 ( .A(n72902), .B(n73001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1012) );
+  sky130_fd_sc_hd__nor2_1 U91411 ( .A(n73017), .B(n73001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1028) );
+  sky130_fd_sc_hd__nor2_1 U91412 ( .A(n73018), .B(n73001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1020) );
+  sky130_fd_sc_hd__nor2_1 U91413 ( .A(n73019), .B(n73001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1036) );
+  sky130_fd_sc_hd__nor2_1 U91414 ( .A(n72955), .B(n73001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1016) );
+  sky130_fd_sc_hd__nor2_1 U91415 ( .A(n73020), .B(n73001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1032) );
+  sky130_fd_sc_hd__nor2_1 U91416 ( .A(n73021), .B(n73001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1024) );
+  sky130_fd_sc_hd__nor2_1 U91417 ( .A(n73022), .B(n73001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1040) );
+  sky130_fd_sc_hd__nor2_1 U91418 ( .A(n73023), .B(n73001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1014) );
+  sky130_fd_sc_hd__nor2_1 U91419 ( .A(n73024), .B(n73001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1030) );
+  sky130_fd_sc_hd__nor2_1 U91420 ( .A(n73025), .B(n73001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1022) );
+  sky130_fd_sc_hd__nor2_1 U91421 ( .A(n73026), .B(n73001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1038) );
+  sky130_fd_sc_hd__nor2_1 U91422 ( .A(n73027), .B(n73001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1018) );
+  sky130_fd_sc_hd__nor2_1 U91423 ( .A(n73028), .B(n73001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1034) );
+  sky130_fd_sc_hd__nor2_1 U91424 ( .A(n73029), .B(n73001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1026) );
+  sky130_fd_sc_hd__nor2_1 U91425 ( .A(n73030), .B(n73001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1042) );
+  sky130_fd_sc_hd__nor2_1 U91426 ( .A(n73031), .B(n73001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1013) );
+  sky130_fd_sc_hd__nor2_1 U91427 ( .A(n73032), .B(n73001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1029) );
+  sky130_fd_sc_hd__nor2_1 U91428 ( .A(n73033), .B(n73001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1021) );
+  sky130_fd_sc_hd__nor2_1 U91429 ( .A(n73034), .B(n73001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1037) );
+  sky130_fd_sc_hd__nor2_1 U91430 ( .A(n73035), .B(n73001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1017) );
+  sky130_fd_sc_hd__nor2_1 U91431 ( .A(n73036), .B(n73001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1033) );
+  sky130_fd_sc_hd__nor2_1 U91432 ( .A(n73037), .B(n73001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1025) );
+  sky130_fd_sc_hd__nor2_1 U91433 ( .A(n73038), .B(n73001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1041) );
+  sky130_fd_sc_hd__nor2_1 U91434 ( .A(n73039), .B(n73001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1015) );
+  sky130_fd_sc_hd__nor2_1 U91435 ( .A(n73040), .B(n73001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1031) );
+  sky130_fd_sc_hd__nor2_1 U91436 ( .A(n73041), .B(n73001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1023) );
+  sky130_fd_sc_hd__nor2_1 U91437 ( .A(n73042), .B(n73001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1039) );
+  sky130_fd_sc_hd__nor2_1 U91438 ( .A(n73043), .B(n73001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1019) );
+  sky130_fd_sc_hd__nor2_1 U91439 ( .A(n73044), .B(n73001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1035) );
+  sky130_fd_sc_hd__nor2_1 U91440 ( .A(n73045), .B(n73001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1027) );
+  sky130_fd_sc_hd__nor2_1 U91441 ( .A(n73047), .B(n73001), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1043) );
+  sky130_fd_sc_hd__nor2_1 U91442 ( .A(n73002), .B(n73006), .Y(n73004) );
+  sky130_fd_sc_hd__nor2_1 U91443 ( .A(n72902), .B(n73003), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1204) );
+  sky130_fd_sc_hd__nor2_1 U91444 ( .A(n73017), .B(n73003), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1220) );
+  sky130_fd_sc_hd__nor2_1 U91445 ( .A(n73018), .B(n73003), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1212) );
+  sky130_fd_sc_hd__nor2_1 U91446 ( .A(n73019), .B(n73003), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1228) );
+  sky130_fd_sc_hd__nor2_1 U91447 ( .A(n72955), .B(n73003), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1208) );
+  sky130_fd_sc_hd__nor2_1 U91448 ( .A(n73020), .B(n73003), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1224) );
+  sky130_fd_sc_hd__nor2_1 U91449 ( .A(n73021), .B(n73003), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1216) );
+  sky130_fd_sc_hd__nor2_1 U91450 ( .A(n73022), .B(n73003), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1232) );
+  sky130_fd_sc_hd__nor2_1 U91451 ( .A(n73023), .B(n73003), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1206) );
+  sky130_fd_sc_hd__nor2_1 U91452 ( .A(n73024), .B(n73003), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1222) );
+  sky130_fd_sc_hd__nor2_1 U91453 ( .A(n73025), .B(n73003), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1214) );
+  sky130_fd_sc_hd__nor2_1 U91454 ( .A(n73026), .B(n73003), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1230) );
+  sky130_fd_sc_hd__nor2_1 U91455 ( .A(n73027), .B(n73003), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1210) );
+  sky130_fd_sc_hd__nor2_1 U91456 ( .A(n73028), .B(n73003), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1226) );
+  sky130_fd_sc_hd__nor2_1 U91457 ( .A(n73029), .B(n73003), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1218) );
+  sky130_fd_sc_hd__nor2_1 U91458 ( .A(n73030), .B(n73003), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1234) );
+  sky130_fd_sc_hd__nor2_1 U91459 ( .A(n73003), .B(n73031), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1205) );
+  sky130_fd_sc_hd__nor2_1 U91460 ( .A(n73003), .B(n73032), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1221) );
+  sky130_fd_sc_hd__nor2_1 U91461 ( .A(n73003), .B(n73033), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1213) );
+  sky130_fd_sc_hd__nor2_1 U91462 ( .A(n73003), .B(n73034), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1229) );
+  sky130_fd_sc_hd__nor2_1 U91463 ( .A(n73003), .B(n73035), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1209) );
+  sky130_fd_sc_hd__nor2_1 U91464 ( .A(n73003), .B(n73036), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1225) );
+  sky130_fd_sc_hd__nor2_1 U91465 ( .A(n73003), .B(n73037), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1217) );
+  sky130_fd_sc_hd__nor2_1 U91466 ( .A(n73003), .B(n73038), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1233) );
+  sky130_fd_sc_hd__nor2_1 U91467 ( .A(n73003), .B(n73039), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1207) );
+  sky130_fd_sc_hd__nor2_1 U91468 ( .A(n73003), .B(n73040), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1223) );
+  sky130_fd_sc_hd__nor2_1 U91469 ( .A(n73003), .B(n73041), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1215) );
+  sky130_fd_sc_hd__nor2_1 U91470 ( .A(n73003), .B(n73042), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1231) );
+  sky130_fd_sc_hd__nor2_1 U91471 ( .A(n73003), .B(n73043), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1211) );
+  sky130_fd_sc_hd__nor2_1 U91472 ( .A(n73003), .B(n73044), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1227) );
+  sky130_fd_sc_hd__nor2_1 U91473 ( .A(n73003), .B(n73045), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1219) );
+  sky130_fd_sc_hd__nor2_1 U91474 ( .A(n73003), .B(n73047), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1235) );
+  sky130_fd_sc_hd__nor2_1 U91475 ( .A(n72902), .B(n73005), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1076) );
+  sky130_fd_sc_hd__nor2_1 U91476 ( .A(n73017), .B(n73005), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1092) );
+  sky130_fd_sc_hd__nor2_1 U91477 ( .A(n73018), .B(n73005), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1084) );
+  sky130_fd_sc_hd__nor2_1 U91478 ( .A(n73019), .B(n73005), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1100) );
+  sky130_fd_sc_hd__nor2_1 U91479 ( .A(n72955), .B(n73005), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1080) );
+  sky130_fd_sc_hd__nor2_1 U91480 ( .A(n73020), .B(n73005), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1096) );
+  sky130_fd_sc_hd__nor2_1 U91481 ( .A(n73021), .B(n73005), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1088) );
+  sky130_fd_sc_hd__nor2_1 U91482 ( .A(n73022), .B(n73005), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1104) );
+  sky130_fd_sc_hd__nor2_1 U91483 ( .A(n73023), .B(n73005), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1078) );
+  sky130_fd_sc_hd__nor2_1 U91484 ( .A(n73024), .B(n73005), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1094) );
+  sky130_fd_sc_hd__nor2_1 U91485 ( .A(n73025), .B(n73005), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1086) );
+  sky130_fd_sc_hd__nor2_1 U91486 ( .A(n73026), .B(n73005), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1102) );
+  sky130_fd_sc_hd__nor2_1 U91487 ( .A(n73027), .B(n73005), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1082) );
+  sky130_fd_sc_hd__nor2_1 U91488 ( .A(n73028), .B(n73005), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1098) );
+  sky130_fd_sc_hd__nor2_1 U91489 ( .A(n73029), .B(n73005), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1090) );
+  sky130_fd_sc_hd__nor2_1 U91490 ( .A(n73030), .B(n73005), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1106) );
+  sky130_fd_sc_hd__nor2_1 U91491 ( .A(n73005), .B(n73031), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1077) );
+  sky130_fd_sc_hd__nor2_1 U91492 ( .A(n73005), .B(n73032), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1093) );
+  sky130_fd_sc_hd__nor2_1 U91493 ( .A(n73005), .B(n73033), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1085) );
+  sky130_fd_sc_hd__nor2_1 U91494 ( .A(n73005), .B(n73034), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1101) );
+  sky130_fd_sc_hd__nor2_1 U91495 ( .A(n73005), .B(n73035), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1081) );
+  sky130_fd_sc_hd__nor2_1 U91496 ( .A(n73005), .B(n73036), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1097) );
+  sky130_fd_sc_hd__nor2_1 U91497 ( .A(n73005), .B(n73037), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1089) );
+  sky130_fd_sc_hd__nor2_1 U91498 ( .A(n73005), .B(n73038), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1105) );
+  sky130_fd_sc_hd__nor2_1 U91499 ( .A(n73005), .B(n73039), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1079) );
+  sky130_fd_sc_hd__nor2_1 U91500 ( .A(n73005), .B(n73040), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1095) );
+  sky130_fd_sc_hd__nor2_1 U91501 ( .A(n73005), .B(n73041), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1087) );
+  sky130_fd_sc_hd__nor2_1 U91502 ( .A(n73005), .B(n73042), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1103) );
+  sky130_fd_sc_hd__nor2_1 U91503 ( .A(n73005), .B(n73043), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1083) );
+  sky130_fd_sc_hd__nor2_1 U91504 ( .A(n73005), .B(n73044), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1099) );
+  sky130_fd_sc_hd__nor2_1 U91505 ( .A(n73005), .B(n73045), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1091) );
+  sky130_fd_sc_hd__nor2_1 U91506 ( .A(n73005), .B(n73047), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1107) );
+  sky130_fd_sc_hd__nor2_1 U91507 ( .A(n73007), .B(n73006), .Y(n73011) );
+  sky130_fd_sc_hd__nor2_1 U91508 ( .A(n72902), .B(n73009), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N948) );
+  sky130_fd_sc_hd__nor2_1 U91509 ( .A(n73017), .B(n73009), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N964) );
+  sky130_fd_sc_hd__nor2_1 U91510 ( .A(n73018), .B(n73009), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N956) );
+  sky130_fd_sc_hd__nor2_1 U91511 ( .A(n73019), .B(n73009), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N972) );
+  sky130_fd_sc_hd__nor2_1 U91512 ( .A(n72955), .B(n73009), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N952) );
+  sky130_fd_sc_hd__nor2_1 U91513 ( .A(n73020), .B(n73009), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N968) );
+  sky130_fd_sc_hd__nor2_1 U91514 ( .A(n73021), .B(n73009), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N960) );
+  sky130_fd_sc_hd__nor2_1 U91515 ( .A(n73022), .B(n73009), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N976) );
+  sky130_fd_sc_hd__nor2_1 U91516 ( .A(n73023), .B(n73009), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N950) );
+  sky130_fd_sc_hd__nor2_1 U91517 ( .A(n73024), .B(n73009), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N966) );
+  sky130_fd_sc_hd__nor2_1 U91518 ( .A(n73025), .B(n73009), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N958) );
+  sky130_fd_sc_hd__nor2_1 U91519 ( .A(n73026), .B(n73009), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N974) );
+  sky130_fd_sc_hd__nor2_1 U91520 ( .A(n73027), .B(n73009), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N954) );
+  sky130_fd_sc_hd__nor2_1 U91521 ( .A(n73028), .B(n73009), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N970) );
+  sky130_fd_sc_hd__nor2_1 U91522 ( .A(n73029), .B(n73009), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N962) );
+  sky130_fd_sc_hd__nor2_1 U91523 ( .A(n73030), .B(n73009), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N978) );
+  sky130_fd_sc_hd__nor2_1 U91524 ( .A(n73009), .B(n73031), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N949) );
+  sky130_fd_sc_hd__nor2_1 U91525 ( .A(n73009), .B(n73032), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N965) );
+  sky130_fd_sc_hd__nor2_1 U91526 ( .A(n73009), .B(n73033), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N957) );
+  sky130_fd_sc_hd__nor2_1 U91527 ( .A(n73009), .B(n73034), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N973) );
+  sky130_fd_sc_hd__nor2_1 U91528 ( .A(n73009), .B(n73035), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N953) );
+  sky130_fd_sc_hd__nor2_1 U91529 ( .A(n73009), .B(n73036), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N969) );
+  sky130_fd_sc_hd__nor2_1 U91530 ( .A(n73009), .B(n73037), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N961) );
+  sky130_fd_sc_hd__nor2_1 U91531 ( .A(n73009), .B(n73038), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N977) );
+  sky130_fd_sc_hd__nor2_1 U91532 ( .A(n73009), .B(n73039), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N951) );
+  sky130_fd_sc_hd__nor2_1 U91533 ( .A(n73009), .B(n73040), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N967) );
+  sky130_fd_sc_hd__nor2_1 U91534 ( .A(n73009), .B(n73041), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N959) );
+  sky130_fd_sc_hd__nor2_1 U91535 ( .A(n73009), .B(n73042), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N975) );
+  sky130_fd_sc_hd__nor2_1 U91536 ( .A(n73009), .B(n73043), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N955) );
+  sky130_fd_sc_hd__nor2_1 U91537 ( .A(n73009), .B(n73044), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N971) );
+  sky130_fd_sc_hd__nor2_1 U91538 ( .A(n73009), .B(n73045), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N963) );
+  sky130_fd_sc_hd__nor2_1 U91539 ( .A(n73009), .B(n73047), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N979) );
+  sky130_fd_sc_hd__nor2_1 U91540 ( .A(n72902), .B(n73012), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N820) );
+  sky130_fd_sc_hd__nor2_1 U91541 ( .A(n73017), .B(n73012), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N836) );
+  sky130_fd_sc_hd__nor2_1 U91542 ( .A(n73018), .B(n73012), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N828) );
+  sky130_fd_sc_hd__nor2_1 U91543 ( .A(n73019), .B(n73012), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N844) );
+  sky130_fd_sc_hd__nor2_1 U91544 ( .A(n72955), .B(n73012), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N824) );
+  sky130_fd_sc_hd__nor2_1 U91545 ( .A(n73020), .B(n73012), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N840) );
+  sky130_fd_sc_hd__nor2_1 U91546 ( .A(n73021), .B(n73012), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N832) );
+  sky130_fd_sc_hd__nor2_1 U91547 ( .A(n73022), .B(n73012), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N848) );
+  sky130_fd_sc_hd__nor2_1 U91548 ( .A(n73023), .B(n73012), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N822) );
+  sky130_fd_sc_hd__nor2_1 U91549 ( .A(n73024), .B(n73012), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N838) );
+  sky130_fd_sc_hd__nor2_1 U91550 ( .A(n73025), .B(n73012), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N830) );
+  sky130_fd_sc_hd__nor2_1 U91551 ( .A(n73026), .B(n73012), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N846) );
+  sky130_fd_sc_hd__nor2_1 U91552 ( .A(n73027), .B(n73012), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N826) );
+  sky130_fd_sc_hd__nor2_1 U91553 ( .A(n73028), .B(n73012), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N842) );
+  sky130_fd_sc_hd__nor2_1 U91554 ( .A(n73029), .B(n73012), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N834) );
+  sky130_fd_sc_hd__nor2_1 U91555 ( .A(n73030), .B(n73012), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N850) );
+  sky130_fd_sc_hd__nor2_1 U91556 ( .A(n73012), .B(n73031), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N821) );
+  sky130_fd_sc_hd__nor2_1 U91557 ( .A(n73012), .B(n73032), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N837) );
+  sky130_fd_sc_hd__nor2_1 U91558 ( .A(n73012), .B(n73033), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N829) );
+  sky130_fd_sc_hd__nor2_1 U91559 ( .A(n73012), .B(n73034), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N845) );
+  sky130_fd_sc_hd__nor2_1 U91560 ( .A(n73012), .B(n73035), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N825) );
+  sky130_fd_sc_hd__nor2_1 U91561 ( .A(n73012), .B(n73036), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N841) );
+  sky130_fd_sc_hd__nor2_1 U91562 ( .A(n73012), .B(n73037), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N833) );
+  sky130_fd_sc_hd__nor2_1 U91563 ( .A(n73012), .B(n73038), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N849) );
+  sky130_fd_sc_hd__nor2_1 U91564 ( .A(n73012), .B(n73039), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N823) );
+  sky130_fd_sc_hd__nor2_1 U91565 ( .A(n73012), .B(n73040), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N839) );
+  sky130_fd_sc_hd__nor2_1 U91566 ( .A(n73012), .B(n73041), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N831) );
+  sky130_fd_sc_hd__nor2_1 U91567 ( .A(n73012), .B(n73042), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N847) );
+  sky130_fd_sc_hd__nor2_1 U91568 ( .A(n73012), .B(n73043), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N827) );
+  sky130_fd_sc_hd__nor2_1 U91569 ( .A(n73012), .B(n73044), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N843) );
+  sky130_fd_sc_hd__nor2_1 U91570 ( .A(n73012), .B(n73045), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N835) );
+  sky130_fd_sc_hd__nor2_1 U91571 ( .A(n73012), .B(n73047), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N851) );
+  sky130_fd_sc_hd__nor2_1 U91572 ( .A(n72902), .B(n38495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N884) );
+  sky130_fd_sc_hd__nor2_1 U91573 ( .A(n73017), .B(n38495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N900) );
+  sky130_fd_sc_hd__nor2_1 U91574 ( .A(n73018), .B(n38495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N892) );
+  sky130_fd_sc_hd__nor2_1 U91575 ( .A(n73019), .B(n38495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N908) );
+  sky130_fd_sc_hd__nor2_1 U91576 ( .A(n72955), .B(n38495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N888) );
+  sky130_fd_sc_hd__nor2_1 U91577 ( .A(n73020), .B(n38495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N904) );
+  sky130_fd_sc_hd__nor2_1 U91578 ( .A(n73021), .B(n38495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N896) );
+  sky130_fd_sc_hd__nor2_1 U91579 ( .A(n73022), .B(n38495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N912) );
+  sky130_fd_sc_hd__nor2_1 U91580 ( .A(n73023), .B(n38495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N886) );
+  sky130_fd_sc_hd__nor2_1 U91581 ( .A(n73024), .B(n38495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N902) );
+  sky130_fd_sc_hd__nor2_1 U91582 ( .A(n73025), .B(n38495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N894) );
+  sky130_fd_sc_hd__nor2_1 U91583 ( .A(n73026), .B(n38495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N910) );
+  sky130_fd_sc_hd__nor2_1 U91584 ( .A(n73027), .B(n38495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N890) );
+  sky130_fd_sc_hd__nor2_1 U91585 ( .A(n73028), .B(n38495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N906) );
+  sky130_fd_sc_hd__nor2_1 U91586 ( .A(n73029), .B(n38495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N898) );
+  sky130_fd_sc_hd__nor2_1 U91587 ( .A(n73030), .B(n38495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N914) );
+  sky130_fd_sc_hd__nor2_1 U91588 ( .A(n73031), .B(n38495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N885) );
+  sky130_fd_sc_hd__nor2_1 U91589 ( .A(n73032), .B(n38495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N901) );
+  sky130_fd_sc_hd__nor2_1 U91590 ( .A(n73033), .B(n38495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N893) );
+  sky130_fd_sc_hd__nor2_1 U91591 ( .A(n73034), .B(n38495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N909) );
+  sky130_fd_sc_hd__nor2_1 U91592 ( .A(n73035), .B(n38495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N889) );
+  sky130_fd_sc_hd__nor2_1 U91593 ( .A(n73036), .B(n38495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N905) );
+  sky130_fd_sc_hd__nor2_1 U91594 ( .A(n73037), .B(n38495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N897) );
+  sky130_fd_sc_hd__nor2_1 U91595 ( .A(n73038), .B(n38495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N913) );
+  sky130_fd_sc_hd__nor2_1 U91596 ( .A(n73039), .B(n38495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N887) );
+  sky130_fd_sc_hd__nor2_1 U91597 ( .A(n73040), .B(n38495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N903) );
+  sky130_fd_sc_hd__nor2_1 U91598 ( .A(n73041), .B(n38495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N895) );
+  sky130_fd_sc_hd__nor2_1 U91599 ( .A(n73042), .B(n38495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N911) );
+  sky130_fd_sc_hd__nor2_1 U91600 ( .A(n73043), .B(n38495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N891) );
+  sky130_fd_sc_hd__nor2_1 U91601 ( .A(n73044), .B(n38495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N907) );
+  sky130_fd_sc_hd__nor2_1 U91602 ( .A(n73045), .B(n38495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N899) );
+  sky130_fd_sc_hd__nor2_1 U91603 ( .A(n73047), .B(n38495), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N915) );
+  sky130_fd_sc_hd__nor2_1 U91604 ( .A(n72902), .B(n73046), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N756) );
+  sky130_fd_sc_hd__nor2_1 U91605 ( .A(n73017), .B(n73046), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N772) );
+  sky130_fd_sc_hd__nor2_1 U91606 ( .A(n73018), .B(n73046), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N764) );
+  sky130_fd_sc_hd__nor2_1 U91607 ( .A(n73019), .B(n73046), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N780) );
+  sky130_fd_sc_hd__nor2_1 U91608 ( .A(n72955), .B(n73046), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N760) );
+  sky130_fd_sc_hd__nor2_1 U91609 ( .A(n73020), .B(n73046), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N776) );
+  sky130_fd_sc_hd__nor2_1 U91610 ( .A(n73021), .B(n73046), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N768) );
+  sky130_fd_sc_hd__nor2_1 U91611 ( .A(n73022), .B(n73046), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N784) );
+  sky130_fd_sc_hd__nor2_1 U91612 ( .A(n73023), .B(n73046), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N758) );
+  sky130_fd_sc_hd__nor2_1 U91613 ( .A(n73024), .B(n73046), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N774) );
+  sky130_fd_sc_hd__nor2_1 U91614 ( .A(n73025), .B(n73046), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N766) );
+  sky130_fd_sc_hd__nor2_1 U91615 ( .A(n73026), .B(n73046), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N782) );
+  sky130_fd_sc_hd__nor2_1 U91616 ( .A(n73027), .B(n73046), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N762) );
+  sky130_fd_sc_hd__nor2_1 U91617 ( .A(n73028), .B(n73046), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N778) );
+  sky130_fd_sc_hd__nor2_1 U91618 ( .A(n73029), .B(n73046), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N770) );
+  sky130_fd_sc_hd__nor2_1 U91619 ( .A(n73030), .B(n73046), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N786) );
+  sky130_fd_sc_hd__nor2_1 U91620 ( .A(n73031), .B(n73046), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N757) );
+  sky130_fd_sc_hd__nor2_1 U91621 ( .A(n73032), .B(n73046), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N773) );
+  sky130_fd_sc_hd__nor2_1 U91622 ( .A(n73033), .B(n73046), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N765) );
+  sky130_fd_sc_hd__nor2_1 U91623 ( .A(n73034), .B(n73046), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N781) );
+  sky130_fd_sc_hd__nor2_1 U91624 ( .A(n73035), .B(n73046), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N761) );
+  sky130_fd_sc_hd__nor2_1 U91625 ( .A(n73036), .B(n73046), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N777) );
+  sky130_fd_sc_hd__nor2_1 U91626 ( .A(n73037), .B(n73046), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N769) );
+  sky130_fd_sc_hd__nor2_1 U91627 ( .A(n73038), .B(n73046), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N785) );
+  sky130_fd_sc_hd__nor2_1 U91628 ( .A(n73039), .B(n73046), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N759) );
+  sky130_fd_sc_hd__nor2_1 U91629 ( .A(n73040), .B(n73046), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N775) );
+  sky130_fd_sc_hd__nor2_1 U91630 ( .A(n73041), .B(n73046), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N767) );
+  sky130_fd_sc_hd__nor2_1 U91631 ( .A(n73042), .B(n73046), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N783) );
+  sky130_fd_sc_hd__nor2_1 U91632 ( .A(n73043), .B(n73046), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N763) );
+  sky130_fd_sc_hd__nor2_1 U91633 ( .A(n73044), .B(n73046), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N779) );
+  sky130_fd_sc_hd__nor2_1 U91634 ( .A(n73045), .B(n73046), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N771) );
+  sky130_fd_sc_hd__nor2_1 U91635 ( .A(n73047), .B(n73046), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N787) );
+  sky130_fd_sc_hd__nand2_1 U91637 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_entry[3]), .B(n37259), .Y(n73049) );
+  sky130_fd_sc_hd__o21ai_1 U91638 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .A2(n73053), .B1(n73049), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N270) );
+  sky130_fd_sc_hd__nand2_1 U91639 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_entry[3]), .B(n76239), .Y(n73050) );
+  sky130_fd_sc_hd__o21ai_1 U91640 ( .A1(n76239), .A2(n73053), .B1(n73050), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N189) );
+  sky130_fd_sc_hd__nand2_1 U91641 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_entry[3]), .B(n76241), .Y(n73051) );
+  sky130_fd_sc_hd__o21ai_1 U91642 ( .A1(n76241), .A2(n73053), .B1(n73051), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N108) );
+  sky130_fd_sc_hd__nand2_1 U91643 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_entry[3]), .B(n73340), .Y(n73052) );
+  sky130_fd_sc_hd__o21ai_1 U91644 ( .A1(n73340), .A2(n73053), .B1(n73052), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N27) );
+  sky130_fd_sc_hd__nand2_1 U91645 ( .A(n76247), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_entry[3]), .Y(n73054) );
+  sky130_fd_sc_hd__nand2b_1 U91647 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_btb_update_bits_prediction_entry[3]), .B(n73179), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_prediction_entry[3]) );
+  sky130_fd_sc_hd__o21ai_1 U91648 ( .A1(n73286), .A2(n73063), .B1(n73056), .Y(
+        n73057) );
+  sky130_fd_sc_hd__o21ai_1 U91650 ( .A1(n73061), .A2(n73060), .B1(n73059), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1522) );
+  sky130_fd_sc_hd__o21ai_1 U91653 ( .A1(n73068), .A2(n73067), .B1(n73066), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1501) );
+  sky130_fd_sc_hd__a22oi_1 U91654 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[30]), .A2(n78526), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[30]), .B2(n78527), .Y(n73069) );
+  sky130_fd_sc_hd__o21ai_1 U91655 ( .A1(n78529), .A2(n78519), .B1(n73069), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N447) );
+  sky130_fd_sc_hd__a22oi_1 U91656 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[30]), .A2(n73183), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[30]), .B2(n73182), .Y(n73070) );
+  sky130_fd_sc_hd__o21ai_1 U91657 ( .A1(n73123), .A2(n78519), .B1(n73070), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N817) );
+  sky130_fd_sc_hd__a22oi_1 U91658 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[30]), .A2(n78500), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[30]), .B2(n78499), .Y(n73071) );
+  sky130_fd_sc_hd__o21ai_1 U91659 ( .A1(n78502), .A2(n78519), .B1(n73071), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1045) );
+  sky130_fd_sc_hd__o22ai_1 U91660 ( .A1(n73074), .A2(n73073), .B1(n73072), 
+        .B2(n58802), .Y(n73109) );
+  sky130_fd_sc_hd__nand2_1 U91661 ( .A(n73078), .B(n73077), .Y(n73079) );
+  sky130_fd_sc_hd__xor2_1 U91662 ( .A(n73080), .B(n73079), .X(n73081) );
+  sky130_fd_sc_hd__nand2_1 U91663 ( .A(n73081), .B(n73312), .Y(n73088) );
+  sky130_fd_sc_hd__nand2_1 U91664 ( .A(n73083), .B(n73082), .Y(n73085) );
+  sky130_fd_sc_hd__xnor2_1 U91665 ( .A(n73085), .B(n73084), .Y(n73086) );
+  sky130_fd_sc_hd__nand2_1 U91666 ( .A(n73086), .B(n73319), .Y(n73087) );
+  sky130_fd_sc_hd__nand2_1 U91667 ( .A(n73088), .B(n73087), .Y(n73089) );
+  sky130_fd_sc_hd__nand2_1 U91668 ( .A(n73192), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[30]), .Y(n73095) );
+  sky130_fd_sc_hd__a22oi_1 U91669 ( .A1(n78262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[30]), .B1(n73261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[30]), .Y(n73094) );
+  sky130_fd_sc_hd__nand2_1 U91670 ( .A(n73187), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[30]), .Y(n73093) );
+  sky130_fd_sc_hd__nand2_1 U91671 ( .A(n78265), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[30]), .Y(n73092) );
+  sky130_fd_sc_hd__nand4_1 U91672 ( .A(n73095), .B(n73094), .C(n73093), .D(
+        n73092), .Y(n73096) );
+  sky130_fd_sc_hd__a21oi_1 U91673 ( .A1(n73097), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[30]), .B1(n73096), .Y(n73098) );
+  sky130_fd_sc_hd__o21ai_0 U91674 ( .A1(n73099), .A2(n73154), .B1(n73098), .Y(
+        n73100) );
+  sky130_fd_sc_hd__a21oi_1 U91675 ( .A1(n73101), .A2(n72458), .B1(n73100), .Y(
+        n73102) );
+  sky130_fd_sc_hd__o21ai_0 U91676 ( .A1(n73107), .A2(n73106), .B1(n38483), .Y(
+        n73108) );
+  sky130_fd_sc_hd__a211oi_1 U91677 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_0[16]), .A2(n73110), .B1(n73109), .C1(n73108), .Y(n73117) );
+  sky130_fd_sc_hd__a22oi_1 U91678 ( .A1(n73327), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[30]), .B1(n72509), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[30]), .Y(n73116) );
+  sky130_fd_sc_hd__a22oi_1 U91679 ( .A1(n72357), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[30]), .B1(n69413), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[30]), .Y(n73115) );
+  sky130_fd_sc_hd__a22o_1 U91680 ( .A1(n72419), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[30]), .B1(n73111), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_5[16]), .X(n73112) );
+  sky130_fd_sc_hd__a21oi_1 U91681 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pages_2[16]), .A2(n73113), .B1(n73112), .Y(n73114) );
+  sky130_fd_sc_hd__nand4_1 U91682 ( .A(n73117), .B(n73116), .C(n73115), .D(
+        n73114), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[30]) );
+  sky130_fd_sc_hd__nor2_1 U91683 ( .A(n73166), .B(n73338), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N112) );
+  sky130_fd_sc_hd__a22oi_1 U91684 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[31]), .A2(n78526), .B1(n85661), .B2(n73118), .Y(n73119) );
+  sky130_fd_sc_hd__a22oi_1 U91686 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[31]), .A2(n73183), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[31]), .B2(n73182), .Y(n73122) );
+  sky130_fd_sc_hd__o21ai_1 U91687 ( .A1(n73123), .A2(n78523), .B1(n73122), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N818) );
+  sky130_fd_sc_hd__a22oi_1 U91688 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[31]), .A2(n78500), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[31]), .B2(n78499), .Y(n73124) );
+  sky130_fd_sc_hd__a22oi_1 U91690 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[176]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[240]), .Y(n73127) );
+  sky130_fd_sc_hd__a22oi_1 U91691 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[48]), .B1(n73142), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[112]), .Y(n73126) );
+  sky130_fd_sc_hd__nand2_1 U91692 ( .A(n73127), .B(n73126), .Y(n36515) );
+  sky130_fd_sc_hd__a22oi_1 U91693 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[144]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[208]), .Y(n73129) );
+  sky130_fd_sc_hd__a22oi_1 U91694 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[16]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[80]), .Y(n73128) );
+  sky130_fd_sc_hd__nand2_1 U91695 ( .A(n73129), .B(n73128), .Y(n36547) );
+  sky130_fd_sc_hd__a31oi_1 U91696 ( .A1(n73134), .A2(n73133), .A3(n73132), 
+        .B1(n73256), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N131) );
+  sky130_fd_sc_hd__nand2_1 U91698 ( .A(n82593), .B(n86752), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1594) );
+  sky130_fd_sc_hd__a31oi_1 U91699 ( .A1(n73139), .A2(n73138), .A3(n73137), 
+        .B1(n36802), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1495) );
+  sky130_fd_sc_hd__a22oi_1 U91700 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[161]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[225]), .Y(n73144) );
+  sky130_fd_sc_hd__a22oi_1 U91701 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[33]), .B1(n73142), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[97]), .Y(n73143) );
+  sky130_fd_sc_hd__nand2_1 U91702 ( .A(n73144), .B(n73143), .Y(n36511) );
+  sky130_fd_sc_hd__a22oi_1 U91703 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[129]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[193]), .Y(n73146) );
+  sky130_fd_sc_hd__a22oi_1 U91704 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[1]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[65]), .Y(n73145) );
+  sky130_fd_sc_hd__nand2_1 U91705 ( .A(n73146), .B(n73145), .Y(n36543) );
+  sky130_fd_sc_hd__nand2_1 U91706 ( .A(n73341), .B(n73147), .Y(n73148) );
+  sky130_fd_sc_hd__o21ai_1 U91707 ( .A1(n73149), .A2(n73341), .B1(n73148), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1[1]) );
+  sky130_fd_sc_hd__o21ai_0 U91708 ( .A1(n73256), .A2(n73150), .B1(n73151), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N17) );
+  sky130_fd_sc_hd__o22ai_1 U91709 ( .A1(n73256), .A2(n73153), .B1(n73152), 
+        .B2(n73151), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N19) );
+  sky130_fd_sc_hd__o21ai_1 U91710 ( .A1(n73155), .A2(n73154), .B1(n37040), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N132) );
+  sky130_fd_sc_hd__nand3_1 U91711 ( .A(n77637), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_speculative), .C(n73156), .Y(n73162) );
+  sky130_fd_sc_hd__nor2_1 U91712 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s2_speculative), .B(n73157), .Y(n73159) );
+  sky130_fd_sc_hd__o31ai_1 U91713 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_speculative), .A2(n73160), .A3(n73159), .B1(n73158), .Y(n73161) );
+  sky130_fd_sc_hd__o211ai_1 U91714 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_xcpt), .A2(n73163), .B1(n73162), .C1(n73161), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N78) );
+  sky130_fd_sc_hd__nor2_1 U91715 ( .A(n73164), .B(n73338), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N117) );
+  sky130_fd_sc_hd__nor2_1 U91716 ( .A(n73166), .B(n73165), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_cacheable) );
+  sky130_fd_sc_hd__nand2_1 U91717 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_replay), .B(n37259), .Y(n73167) );
+  sky130_fd_sc_hd__nand2_1 U91719 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_replay), .B(n76239), .Y(n73168) );
+  sky130_fd_sc_hd__nand2_1 U91721 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_replay), .B(n76241), .Y(n73169) );
+  sky130_fd_sc_hd__o21ai_1 U91722 ( .A1(n76241), .A2(n73171), .B1(n73169), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N183) );
+  sky130_fd_sc_hd__nand2_1 U91723 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_replay), .B(n73340), .Y(n73170) );
+  sky130_fd_sc_hd__nand2_1 U91725 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_entry[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .Y(n73172) );
+  sky130_fd_sc_hd__o21ai_1 U91726 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .A2(n73176), .B1(n73172), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N271) );
+  sky130_fd_sc_hd__nand2_1 U91727 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_entry[4]), .B(n76239), .Y(n73173) );
+  sky130_fd_sc_hd__o21ai_1 U91728 ( .A1(n76239), .A2(n73176), .B1(n73173), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N190) );
+  sky130_fd_sc_hd__nand2_1 U91729 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_entry[4]), .B(n76241), .Y(n73174) );
+  sky130_fd_sc_hd__o21ai_1 U91730 ( .A1(n76241), .A2(n73176), .B1(n73174), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N109) );
+  sky130_fd_sc_hd__nand2_1 U91731 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_entry[4]), .B(n73340), .Y(n73175) );
+  sky130_fd_sc_hd__nand2_1 U91733 ( .A(n76247), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_entry[4]), .Y(n73177) );
+  sky130_fd_sc_hd__o21ai_1 U91734 ( .A1(n76247), .A2(n73178), .B1(n73177), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_io_btb_resp_entry[4]) );
+  sky130_fd_sc_hd__nand2b_1 U91735 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_btb_update_bits_prediction_entry[4]), .B(n73179), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_prediction_entry[4]) );
+  sky130_fd_sc_hd__a22oi_1 U91736 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[13]), .A2(n78527), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[13]), .B2(n78526), .Y(n73180) );
+  sky130_fd_sc_hd__o21ai_1 U91737 ( .A1(n78529), .A2(n73181), .B1(n73180), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N430) );
+  sky130_fd_sc_hd__a222oi_1 U91738 ( .A1(n85746), .A2(n64978), .B1(n73183), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[13]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[13]), .C2(n73182), .Y(n73184) );
+  sky130_fd_sc_hd__a222oi_1 U91739 ( .A1(n73185), .A2(n85746), .B1(n78500), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[13]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[13]), .C2(n78499), .Y(n73186) );
+  sky130_fd_sc_hd__nand2_1 U91740 ( .A(n73187), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtvec[13]), .Y(n73190) );
+  sky130_fd_sc_hd__a22oi_1 U91741 ( .A1(n78262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[13]), .B1(n73261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[13]), .Y(n73189) );
+  sky130_fd_sc_hd__nand2_1 U91742 ( .A(n78265), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[13]), .Y(n73188) );
+  sky130_fd_sc_hd__nand3_1 U91743 ( .A(n73190), .B(n73189), .C(n73188), .Y(
+        n73191) );
+  sky130_fd_sc_hd__a21oi_1 U91744 ( .A1(n73192), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stvec[13]), .B1(n73191), .Y(n73193) );
+  sky130_fd_sc_hd__a21oi_1 U91746 ( .A1(n73267), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[13]), .B1(n73195), .Y(n73196) );
+  sky130_fd_sc_hd__a22oi_1 U91748 ( .A1(n73271), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8[12]), .B1(n73270), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11[12]), .Y(n73201) );
+  sky130_fd_sc_hd__a22oi_1 U91749 ( .A1(n73273), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1[12]), .B1(n73272), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20[12]), .Y(n73200) );
+  sky130_fd_sc_hd__a22oi_1 U91750 ( .A1(n73275), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3[12]), .B1(n73274), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16[12]), .Y(n73199) );
+  sky130_fd_sc_hd__a22oi_1 U91751 ( .A1(n73277), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2[12]), .B1(n73276), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0[12]), .Y(n73198) );
+  sky130_fd_sc_hd__nand4_1 U91752 ( .A(n73201), .B(n73200), .C(n73199), .D(
+        n73198), .Y(n73212) );
+  sky130_fd_sc_hd__a22oi_1 U91753 ( .A1(n73283), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13[12]), .B1(n73282), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10[12]), .Y(n73205) );
+  sky130_fd_sc_hd__a22oi_1 U91754 ( .A1(n73285), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12[12]), .B1(n73284), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23[12]), .Y(n73204) );
+  sky130_fd_sc_hd__a22oi_1 U91755 ( .A1(n73287), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22[12]), .B1(n73286), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21[12]), .Y(n73203) );
+  sky130_fd_sc_hd__a22oi_1 U91756 ( .A1(n73289), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17[12]), .B1(n73288), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19[12]), .Y(n73202) );
+  sky130_fd_sc_hd__nand4_1 U91757 ( .A(n73205), .B(n73204), .C(n73203), .D(
+        n73202), .Y(n73211) );
+  sky130_fd_sc_hd__a22oi_1 U91758 ( .A1(n73295), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18[12]), .B1(n73294), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4[12]), .Y(n73209) );
+  sky130_fd_sc_hd__a22oi_1 U91759 ( .A1(n73297), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5[12]), .B1(n73296), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6[12]), .Y(n73208) );
+  sky130_fd_sc_hd__a22oi_1 U91760 ( .A1(n73299), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14[12]), .B1(n73298), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7[12]), .Y(n73207) );
+  sky130_fd_sc_hd__a22oi_1 U91761 ( .A1(n73301), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15[12]), .B1(n73300), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9[12]), .Y(n73206) );
+  sky130_fd_sc_hd__nand4_1 U91762 ( .A(n73209), .B(n73208), .C(n73207), .D(
+        n73206), .Y(n73210) );
+  sky130_fd_sc_hd__nor3_1 U91763 ( .A(n73212), .B(n73211), .C(n73210), .Y(
+        n73232) );
+  sky130_fd_sc_hd__nand2_1 U91764 ( .A(n73214), .B(n73213), .Y(n73216) );
+  sky130_fd_sc_hd__xnor2_1 U91765 ( .A(n73216), .B(n73215), .Y(n73230) );
+  sky130_fd_sc_hd__nor2_1 U91766 ( .A(n71652), .B(n73217), .Y(n73218) );
+  sky130_fd_sc_hd__xnor2_1 U91767 ( .A(n53207), .B(n73218), .Y(n73228) );
+  sky130_fd_sc_hd__clkinv_1 U91768 ( .A(n73219), .Y(n73221) );
+  sky130_fd_sc_hd__nand2_1 U91769 ( .A(n73221), .B(n73220), .Y(n73226) );
+  sky130_fd_sc_hd__xnor2_1 U91771 ( .A(n73226), .B(n73225), .Y(n73227) );
+  sky130_fd_sc_hd__a222oi_1 U91772 ( .A1(n73319), .A2(n73230), .B1(n73229), 
+        .B2(n73228), .C1(n73227), .C2(n73312), .Y(n73231) );
+  sky130_fd_sc_hd__o22ai_1 U91773 ( .A1(n73232), .A2(n53246), .B1(n73231), 
+        .B2(n73322), .Y(n73237) );
+  sky130_fd_sc_hd__a22o_1 U91774 ( .A1(n73325), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24[12]), .B1(n72504), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[13]), .X(n73236) );
+  sky130_fd_sc_hd__a22oi_1 U91775 ( .A1(n73327), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[13]), .B1(n73326), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26[12]), .Y(n73234) );
+  sky130_fd_sc_hd__a22oi_1 U91776 ( .A1(n72357), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[13]), .B1(n42989), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25[12]), .Y(n73233) );
+  sky130_fd_sc_hd__nand2_1 U91777 ( .A(n73234), .B(n73233), .Y(n73235) );
+  sky130_fd_sc_hd__nor4_1 U91778 ( .A(n73238), .B(n73237), .C(n73236), .D(
+        n73235), .Y(n73241) );
+  sky130_fd_sc_hd__a22oi_1 U91779 ( .A1(n69413), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[13]), .B1(n72509), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[13]), .Y(n73240) );
+  sky130_fd_sc_hd__a22oi_1 U91780 ( .A1(n43127), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27[12]), .B1(n72510), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[13]), .Y(n73239) );
+  sky130_fd_sc_hd__nand3_1 U91781 ( .A(n73241), .B(n73240), .C(n73239), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[13]) );
+  sky130_fd_sc_hd__a22oi_1 U91782 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[160]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[224]), .Y(n73243) );
+  sky130_fd_sc_hd__a22oi_1 U91783 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[32]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[96]), .Y(n73242) );
+  sky130_fd_sc_hd__nand2_1 U91784 ( .A(n73243), .B(n73242), .Y(n36522) );
+  sky130_fd_sc_hd__a22oi_1 U91785 ( .A1(n73125), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[128]), .B1(n73244), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[192]), .Y(n73247) );
+  sky130_fd_sc_hd__a22oi_1 U91786 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0_sel[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[0]), .B1(n73245), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_dout0[64]), .Y(n73246) );
+  sky130_fd_sc_hd__nand2_1 U91787 ( .A(n73247), .B(n73246), .Y(n36554) );
+  sky130_fd_sc_hd__nand2_1 U91788 ( .A(n73250), .B(n73248), .Y(n73249) );
+  sky130_fd_sc_hd__a21oi_1 U91790 ( .A1(n73253), .A2(n73252), .B1(n73256), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_N50) );
+  sky130_fd_sc_hd__nand2_1 U91791 ( .A(n73260), .B(n73254), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_N72) );
+  sky130_fd_sc_hd__nor3_1 U91792 ( .A(n85665), .B(n73257), .C(n73256), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N124) );
+  sky130_fd_sc_hd__nand2_1 U91793 ( .A(n73260), .B(n73259), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N123) );
+  sky130_fd_sc_hd__a222oi_1 U91794 ( .A1(n78262), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[1]), .B1(n73261), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[1]), .C1(n78265), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mepc[1]), .Y(n73265) );
+  sky130_fd_sc_hd__o22ai_1 U91795 ( .A1(n73265), .A2(n73264), .B1(n73263), 
+        .B2(n73262), .Y(n73266) );
+  sky130_fd_sc_hd__a21oi_1 U91796 ( .A1(n73267), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[1]), .B1(n73266), .Y(n73268) );
+  sky130_fd_sc_hd__o21ai_1 U91797 ( .A1(n73269), .A2(n43065), .B1(n73268), .Y(
+        n73333) );
+  sky130_fd_sc_hd__a22oi_1 U91798 ( .A1(n73271), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_8[0]), .B1(n73270), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_11[0]), .Y(n73281) );
+  sky130_fd_sc_hd__a22oi_1 U91799 ( .A1(n73273), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_1[0]), .B1(n73272), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_20[0]), .Y(n73280) );
+  sky130_fd_sc_hd__a22oi_1 U91800 ( .A1(n73275), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_3[0]), .B1(n73274), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_16[0]), .Y(n73279) );
+  sky130_fd_sc_hd__a22oi_1 U91801 ( .A1(n73277), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_2[0]), .B1(n73276), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_0[0]), .Y(n73278) );
+  sky130_fd_sc_hd__nand4_1 U91802 ( .A(n73281), .B(n73280), .C(n73279), .D(
+        n73278), .Y(n73308) );
+  sky130_fd_sc_hd__a22oi_1 U91803 ( .A1(n73283), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_13[0]), .B1(n73282), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_10[0]), .Y(n73293) );
+  sky130_fd_sc_hd__a22oi_1 U91804 ( .A1(n73285), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_12[0]), .B1(n73284), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_23[0]), .Y(n73292) );
+  sky130_fd_sc_hd__a22oi_1 U91805 ( .A1(n73287), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_22[0]), .B1(n73286), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_21[0]), .Y(n73291) );
+  sky130_fd_sc_hd__a22oi_1 U91806 ( .A1(n73289), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_17[0]), .B1(n73288), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_19[0]), .Y(n73290) );
+  sky130_fd_sc_hd__nand4_1 U91807 ( .A(n73293), .B(n73292), .C(n73291), .D(
+        n73290), .Y(n73307) );
+  sky130_fd_sc_hd__a22oi_1 U91808 ( .A1(n73295), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_18[0]), .B1(n73294), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_4[0]), .Y(n73305) );
+  sky130_fd_sc_hd__a22oi_1 U91809 ( .A1(n73297), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_5[0]), .B1(n73296), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_6[0]), .Y(n73304) );
+  sky130_fd_sc_hd__a22oi_1 U91810 ( .A1(n73299), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_14[0]), .B1(n73298), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_7[0]), .Y(n73303) );
+  sky130_fd_sc_hd__a22oi_1 U91811 ( .A1(n73301), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_15[0]), .B1(n73300), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_9[0]), .Y(n73302) );
+  sky130_fd_sc_hd__nand4_1 U91812 ( .A(n73305), .B(n73304), .C(n73303), .D(
+        n73302), .Y(n73306) );
+  sky130_fd_sc_hd__nor3_1 U91813 ( .A(n73308), .B(n73307), .C(n73306), .Y(
+        n73324) );
+  sky130_fd_sc_hd__nand2_1 U91814 ( .A(n73313), .B(n73312), .Y(n73321) );
+  sky130_fd_sc_hd__nand2_1 U91815 ( .A(n73319), .B(n73318), .Y(n73320) );
+  sky130_fd_sc_hd__o22ai_1 U91816 ( .A1(n73324), .A2(n53246), .B1(n73323), 
+        .B2(n73322), .Y(n73332) );
+  sky130_fd_sc_hd__a22o_1 U91817 ( .A1(n73325), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_24[0]), .B1(n72504), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_5[1]), .X(n73331) );
+  sky130_fd_sc_hd__a22oi_1 U91818 ( .A1(n73327), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_0[1]), .B1(n73326), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_26[0]), .Y(n73329) );
+  sky130_fd_sc_hd__a22oi_1 U91819 ( .A1(n72357), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_4[1]), .B1(n42989), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_25[0]), .Y(n73328) );
+  sky130_fd_sc_hd__nand2_1 U91820 ( .A(n73329), .B(n73328), .Y(n73330) );
+  sky130_fd_sc_hd__nor4_1 U91821 ( .A(n73333), .B(n73332), .C(n73331), .D(
+        n73330), .Y(n73337) );
+  sky130_fd_sc_hd__a22oi_1 U91822 ( .A1(n69413), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_3[1]), .B1(n72509), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_2[1]), .Y(n73336) );
+  sky130_fd_sc_hd__a22oi_1 U91823 ( .A1(n43127), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_tgts_27[0]), .B1(n72419), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_stack_1[1]), .Y(n73335) );
+  sky130_fd_sc_hd__nand3_1 U91824 ( .A(n73337), .B(n73336), .C(n73335), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[1]) );
+  sky130_fd_sc_hd__nor2_1 U91825 ( .A(n73339), .B(n73338), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_N83) );
+  sky130_fd_sc_hd__mux2_2 U91826 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[1]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_pc[1]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N281) );
+  sky130_fd_sc_hd__mux2_2 U91827 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[1]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_pc[1]), .S(n76239), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N200) );
+  sky130_fd_sc_hd__mux2_2 U91828 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[1]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_pc[1]), .S(n76241), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N119) );
+  sky130_fd_sc_hd__mux2_2 U91829 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[1]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_pc[1]), .S(n73340), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N38) );
+  sky130_fd_sc_hd__mux2i_1 U91830 ( .A0(n73343), .A1(n73342), .S(n73341), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1[15]) );
+  sky130_fd_sc_hd__mux2i_1 U91831 ( .A0(n73346), .A1(n73345), .S(n73344), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N429) );
+  sky130_fd_sc_hd__nand2_1 U91832 ( .A(n73348), .B(n73347), .Y(n73349) );
+  sky130_fd_sc_hd__xor2_1 U91833 ( .A(n73349), .B(n76881), .X(n73351) );
+  sky130_fd_sc_hd__a222oi_1 U91834 ( .A1(n85666), .A2(n73353), .B1(n73352), 
+        .B2(n73351), .C1(MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[7]), 
+        .C2(n73350), .Y(n73354) );
+  sky130_fd_sc_hd__a222oi_1 U91835 ( .A1(n85835), .A2(n73470), .B1(n73469), 
+        .B2(n73355), .C1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[25]), 
+        .C2(n73467), .Y(n73356) );
+  sky130_fd_sc_hd__inv_1 U91836 ( .A(n73356), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N58) );
+  sky130_fd_sc_hd__nor2_1 U91837 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[4]), 
+        .B(n73358), .Y(n73360) );
+  sky130_fd_sc_hd__o22ai_1 U91838 ( .A1(n75859), .A2(n73361), .B1(n73360), 
+        .B2(n73359), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N29) );
+  sky130_fd_sc_hd__a222oi_1 U91839 ( .A1(n73367), .A2(n73470), .B1(n73469), 
+        .B2(n73362), .C1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[22]), 
+        .C2(n73467), .Y(n73363) );
+  sky130_fd_sc_hd__inv_1 U91840 ( .A(n73363), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N55) );
+  sky130_fd_sc_hd__nor2_1 U91841 ( .A(n76446), .B(n66798), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N284) );
+  sky130_fd_sc_hd__nand2_1 U91842 ( .A(n67122), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[22]), 
+        .Y(n73364) );
+  sky130_fd_sc_hd__o21ai_0 U91843 ( .A1(n76446), .A2(n67122), .B1(n73364), .Y(
+        n390) );
+  sky130_fd_sc_hd__nor2_1 U91844 ( .A(n76446), .B(n66802), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N391) );
+  sky130_fd_sc_hd__nor2_1 U91845 ( .A(n76446), .B(n66803), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N320) );
+  sky130_fd_sc_hd__nor2_1 U91846 ( .A(n76446), .B(n66804), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N593) );
+  sky130_fd_sc_hd__nor2_1 U91847 ( .A(n76446), .B(n66805), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N663) );
+  sky130_fd_sc_hd__nor2_1 U91848 ( .A(n76446), .B(n66806), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N558) );
+  sky130_fd_sc_hd__nor2_1 U91849 ( .A(n76446), .B(n66807), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N628) );
+  sky130_fd_sc_hd__nor2_1 U91850 ( .A(n76446), .B(n76435), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N219) );
+  sky130_fd_sc_hd__nor2_1 U91851 ( .A(n76446), .B(n76431), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N197) );
+  sky130_fd_sc_hd__nor2_1 U91852 ( .A(n76446), .B(n75754), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N274) );
+  sky130_fd_sc_hd__nor2_1 U91853 ( .A(n76432), .B(n76446), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N280) );
+  sky130_fd_sc_hd__nor2_1 U91854 ( .A(n76430), .B(n76446), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N348) );
+  sky130_fd_sc_hd__a22oi_1 U91855 ( .A1(n73367), .A2(n67196), .B1(n73491), 
+        .B2(n73366), .Y(n73368) );
+  sky130_fd_sc_hd__o21ai_1 U91856 ( .A1(n73369), .A2(n73494), .B1(n73368), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N55) );
+  sky130_fd_sc_hd__nand2_1 U91857 ( .A(n75701), .B(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_10_o_oe), .Y(n73370) );
+  sky130_fd_sc_hd__o21ai_0 U91858 ( .A1(n75742), .A2(n75701), .B1(n73370), .Y(
+        n306) );
+  sky130_fd_sc_hd__nor2_1 U91859 ( .A(n81359), .B(n73554), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N32) );
+  sky130_fd_sc_hd__nor2_1 U91860 ( .A(n81359), .B(n81024), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N242) );
+  sky130_fd_sc_hd__nor2_1 U91861 ( .A(n81359), .B(n81036), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N151) );
+  sky130_fd_sc_hd__nand2_1 U91862 ( .A(n67122), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[10]), 
+        .Y(n73371) );
+  sky130_fd_sc_hd__o21ai_0 U91863 ( .A1(n75742), .A2(n67122), .B1(n73371), .Y(
+        n309) );
+  sky130_fd_sc_hd__nor2_1 U91864 ( .A(n81359), .B(n76379), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N32) );
+  sky130_fd_sc_hd__nor2_1 U91865 ( .A(n81359), .B(n79881), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N581) );
+  sky130_fd_sc_hd__nor2_1 U91866 ( .A(n81359), .B(n81020), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N280) );
+  sky130_fd_sc_hd__nor2_1 U91867 ( .A(n75742), .B(n76441), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N297) );
+  sky130_fd_sc_hd__nor2_1 U91868 ( .A(n81359), .B(n81123), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N32) );
+  sky130_fd_sc_hd__nor2_1 U91869 ( .A(n80718), .B(n81359), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N333) );
+  sky130_fd_sc_hd__a21o_1 U91870 ( .A1(n73372), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_7[10]), .B1(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_7[8]), .X(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N64) );
+  sky130_fd_sc_hd__nand2_1 U91871 ( .A(n73373), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[18]), .Y(n73431) );
+  sky130_fd_sc_hd__nand3_1 U91872 ( .A(n73374), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_23[30]), .C(n73431), .Y(n73460) );
+  sky130_fd_sc_hd__nor2_1 U91873 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[18]), .B(n73375), .Y(n73455) );
+  sky130_fd_sc_hd__xor2_1 U91874 ( .A(n73431), .B(n73376), .X(n73451) );
+  sky130_fd_sc_hd__xor2_1 U91875 ( .A(n73431), .B(n73377), .X(n73446) );
+  sky130_fd_sc_hd__nor2_1 U91876 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[13]), .B(n73446), .Y(n73449) );
+  sky130_fd_sc_hd__xor2_1 U91877 ( .A(n73431), .B(n73378), .X(n73381) );
+  sky130_fd_sc_hd__xor2_1 U91878 ( .A(n73431), .B(n73379), .X(n73422) );
+  sky130_fd_sc_hd__o22ai_1 U91879 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[8]), 
+        .A2(n73381), .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[9]), 
+        .B2(n73380), .Y(n73427) );
+  sky130_fd_sc_hd__xor2_1 U91880 ( .A(n73431), .B(n73382), .X(n73419) );
+  sky130_fd_sc_hd__xor2_1 U91881 ( .A(n73431), .B(n73383), .X(n73413) );
+  sky130_fd_sc_hd__nor2_1 U91882 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[6]), 
+        .B(n73413), .Y(n73416) );
+  sky130_fd_sc_hd__xor2_1 U91883 ( .A(n73431), .B(n73384), .X(n73404) );
+  sky130_fd_sc_hd__nor2_1 U91884 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[4]), 
+        .B(n73404), .Y(n73407) );
+  sky130_fd_sc_hd__clkinv_1 U91885 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[0]), 
+        .Y(n73387) );
+  sky130_fd_sc_hd__xor2_1 U91886 ( .A(n73385), .B(n73431), .X(n73386) );
+  sky130_fd_sc_hd__xnor2_1 U91887 ( .A(n73431), .B(n73388), .Y(n73389) );
+  sky130_fd_sc_hd__nor2_1 U91888 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[1]), 
+        .B(n73389), .Y(n73391) );
+  sky130_fd_sc_hd__nand2_1 U91889 ( .A(n73389), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[1]), 
+        .Y(n73390) );
+  sky130_fd_sc_hd__o21ai_1 U91890 ( .A1(n73392), .A2(n73391), .B1(n73390), .Y(
+        n73403) );
+  sky130_fd_sc_hd__xnor2_1 U91891 ( .A(n73431), .B(n73393), .Y(n73396) );
+  sky130_fd_sc_hd__nor2_1 U91892 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[2]), 
+        .B(n73396), .Y(n73395) );
+  sky130_fd_sc_hd__xnor2_1 U91893 ( .A(n73431), .B(n73394), .Y(n73397) );
+  sky130_fd_sc_hd__nor2_1 U91894 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[3]), 
+        .B(n73397), .Y(n73399) );
+  sky130_fd_sc_hd__nor2_1 U91895 ( .A(n73395), .B(n73399), .Y(n73402) );
+  sky130_fd_sc_hd__nand2_1 U91896 ( .A(n73396), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[2]), 
+        .Y(n73400) );
+  sky130_fd_sc_hd__nand2_1 U91897 ( .A(n73397), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[3]), 
+        .Y(n73398) );
+  sky130_fd_sc_hd__o21ai_1 U91898 ( .A1(n73400), .A2(n73399), .B1(n73398), .Y(
+        n73401) );
+  sky130_fd_sc_hd__a21oi_1 U91899 ( .A1(n73403), .A2(n73402), .B1(n73401), .Y(
+        n73406) );
+  sky130_fd_sc_hd__nand2_1 U91900 ( .A(n73404), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[4]), 
+        .Y(n73405) );
+  sky130_fd_sc_hd__xor2_1 U91902 ( .A(n73408), .B(n73431), .X(n73409) );
+  sky130_fd_sc_hd__a21oi_1 U91903 ( .A1(n73412), .A2(n73411), .B1(n73410), .Y(
+        n73415) );
+  sky130_fd_sc_hd__nand2_1 U91904 ( .A(n73413), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[6]), 
+        .Y(n73414) );
+  sky130_fd_sc_hd__a21oi_1 U91907 ( .A1(n73420), .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[8]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[9]), 
+        .Y(n73421) );
+  sky130_fd_sc_hd__nor2_1 U91908 ( .A(n73422), .B(n73421), .Y(n73423) );
+  sky130_fd_sc_hd__a31oi_1 U91909 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[9]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[8]), 
+        .A3(n73424), .B1(n73423), .Y(n73425) );
+  sky130_fd_sc_hd__o21ai_1 U91910 ( .A1(n73427), .A2(n73426), .B1(n73425), .Y(
+        n73445) );
+  sky130_fd_sc_hd__xor2_1 U91911 ( .A(n73431), .B(n73428), .X(n73439) );
+  sky130_fd_sc_hd__nor2_1 U91912 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[12]), .B(n73439), .Y(n73442) );
+  sky130_fd_sc_hd__xor2_1 U91913 ( .A(n73431), .B(n73429), .X(n73435) );
+  sky130_fd_sc_hd__xor2_1 U91914 ( .A(n73431), .B(n73430), .X(n73434) );
+  sky130_fd_sc_hd__nand2_1 U91915 ( .A(n73438), .B(n73432), .Y(n73433) );
+  sky130_fd_sc_hd__nor2_1 U91916 ( .A(n73442), .B(n73433), .Y(n73444) );
+  sky130_fd_sc_hd__a21oi_1 U91917 ( .A1(n73438), .A2(n73437), .B1(n73436), .Y(
+        n73441) );
+  sky130_fd_sc_hd__nand2_1 U91918 ( .A(n73439), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[12]), .Y(n73440) );
+  sky130_fd_sc_hd__o21ai_1 U91919 ( .A1(n73442), .A2(n73441), .B1(n73440), .Y(
+        n73443) );
+  sky130_fd_sc_hd__a21oi_1 U91920 ( .A1(n73445), .A2(n73444), .B1(n73443), .Y(
+        n73448) );
+  sky130_fd_sc_hd__nand2_1 U91921 ( .A(n73446), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[13]), .Y(n73447) );
+  sky130_fd_sc_hd__o21ai_1 U91923 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[14]), .A2(n73451), .B1(n73450), .Y(n73453) );
+  sky130_fd_sc_hd__nand2_1 U91924 ( .A(n73451), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[14]), .Y(n73452) );
+  sky130_fd_sc_hd__a22oi_1 U91925 ( .A1(n73455), .A2(n73454), .B1(n73453), 
+        .B2(n73452), .Y(n73456) );
+  sky130_fd_sc_hd__a21oi_1 U91926 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[15]), .A2(n73457), .B1(n73456), .Y(n73458) );
+  sky130_fd_sc_hd__mux2i_1 U91927 ( .A0(n73458), .A1(n79915), .S(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__gang_T_3), 
+        .Y(n73459) );
+  sky130_fd_sc_hd__nand2_1 U91928 ( .A(n73460), .B(n73459), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N68) );
+  sky130_fd_sc_hd__nor2_1 U91929 ( .A(n76448), .B(n66798), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N292) );
+  sky130_fd_sc_hd__nand2_1 U91930 ( .A(n67122), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[30]), 
+        .Y(n73461) );
+  sky130_fd_sc_hd__o21ai_0 U91931 ( .A1(n76448), .A2(n67122), .B1(n73461), .Y(
+        n384) );
+  sky130_fd_sc_hd__nor2_1 U91932 ( .A(n76448), .B(n66802), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N399) );
+  sky130_fd_sc_hd__nor2_1 U91933 ( .A(n76448), .B(n66803), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N328) );
+  sky130_fd_sc_hd__nor2_1 U91934 ( .A(n76448), .B(n66804), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N601) );
+  sky130_fd_sc_hd__nor2_1 U91935 ( .A(n76448), .B(n66805), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N671) );
+  sky130_fd_sc_hd__nor2_1 U91936 ( .A(n76448), .B(n66806), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N566) );
+  sky130_fd_sc_hd__nor2_1 U91937 ( .A(n76448), .B(n66807), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N636) );
+  sky130_fd_sc_hd__nor2_1 U91938 ( .A(n73528), .B(n76448), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N297) );
+  sky130_fd_sc_hd__nor2_1 U91939 ( .A(n73529), .B(n76448), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N314) );
+  sky130_fd_sc_hd__a222oi_1 U91940 ( .A1(n79915), .A2(n73470), .B1(n73469), 
+        .B2(n73463), .C1(n73467), .C2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[30]), 
+        .Y(n73464) );
+  sky130_fd_sc_hd__xor2_1 U91941 ( .A(n73466), .B(n73465), .X(n73468) );
+  sky130_fd_sc_hd__a222oi_1 U91942 ( .A1(n85667), .A2(n73470), .B1(n73469), 
+        .B2(n73468), .C1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[17]), 
+        .C2(n73467), .Y(n73471) );
+  sky130_fd_sc_hd__inv_1 U91943 ( .A(n73471), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N50) );
+  sky130_fd_sc_hd__nor2_1 U91944 ( .A(n76433), .B(n66798), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N279) );
+  sky130_fd_sc_hd__nand2_1 U91945 ( .A(n67122), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[17]), 
+        .Y(n73472) );
+  sky130_fd_sc_hd__o21ai_0 U91946 ( .A1(n76433), .A2(n67122), .B1(n73472), .Y(
+        n392) );
+  sky130_fd_sc_hd__nor2_1 U91947 ( .A(n76433), .B(n66802), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N386) );
+  sky130_fd_sc_hd__nor2_1 U91948 ( .A(n76433), .B(n66803), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N315) );
+  sky130_fd_sc_hd__nor2_1 U91949 ( .A(n76433), .B(n66804), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N588) );
+  sky130_fd_sc_hd__nor2_1 U91950 ( .A(n76433), .B(n66805), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N658) );
+  sky130_fd_sc_hd__nor2_1 U91951 ( .A(n76433), .B(n66806), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N553) );
+  sky130_fd_sc_hd__nor2_1 U91952 ( .A(n76433), .B(n66807), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N623) );
+  sky130_fd_sc_hd__nor2_1 U91953 ( .A(n76365), .B(n76380), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N57) );
+  sky130_fd_sc_hd__nor2_1 U91954 ( .A(n38363), .B(n76380), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N57) );
+  sky130_fd_sc_hd__nand2_1 U91955 ( .A(n85668), .B(n37039), .Y(n73819) );
+  sky130_fd_sc_hd__nor2_1 U91956 ( .A(n38363), .B(n73819), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N59) );
+  sky130_fd_sc_hd__o21ai_1 U91957 ( .A1(n73542), .A2(n73548), .B1(n79910), .Y(
+        n36128) );
+  sky130_fd_sc_hd__nor2_1 U91958 ( .A(n38431), .B(n73819), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N59) );
+  sky130_fd_sc_hd__nand3_1 U91959 ( .A(n80420), .B(n73474), .C(n73473), .Y(
+        n80416) );
+  sky130_fd_sc_hd__nor2_1 U91960 ( .A(n81357), .B(n80416), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N221) );
+  sky130_fd_sc_hd__nand2_1 U91961 ( .A(n80416), .B(n86402), .Y(n36192) );
+  sky130_fd_sc_hd__nand3_1 U91962 ( .A(n80420), .B(n73475), .C(n73474), .Y(
+        n80417) );
+  sky130_fd_sc_hd__nand2_1 U91963 ( .A(n80417), .B(n36846), .Y(n36191) );
+  sky130_fd_sc_hd__nor2_1 U91964 ( .A(n73477), .B(n81350), .Y(n73478) );
+  sky130_fd_sc_hd__nand2_1 U91965 ( .A(n73478), .B(n81352), .Y(n81354) );
+  sky130_fd_sc_hd__nor2_1 U91966 ( .A(n81349), .B(n81354), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N63) );
+  sky130_fd_sc_hd__nand2_1 U91967 ( .A(n81354), .B(n37039), .Y(n36110) );
+  sky130_fd_sc_hd__nand2_1 U91968 ( .A(n75701), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146_1), 
+        .Y(n73479) );
+  sky130_fd_sc_hd__o21ai_0 U91969 ( .A1(n75705), .A2(n75701), .B1(n73479), .Y(
+        n432) );
+  sky130_fd_sc_hd__nor2_1 U91970 ( .A(n81349), .B(n73554), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N23) );
+  sky130_fd_sc_hd__nand2_1 U91971 ( .A(n86753), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[1]), 
+        .Y(n73481) );
+  sky130_fd_sc_hd__o21ai_0 U91972 ( .A1(n73517), .A2(n81035), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[1]), 
+        .Y(n73480) );
+  sky130_fd_sc_hd__o21ai_1 U91973 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_1), 
+        .A2(n73481), .B1(n73480), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N502) );
+  sky130_fd_sc_hd__a22o_1 U91974 ( .A1(n79512), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_transmitData[1]), .B1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__receivedData_T[1]), .B2(n79513), .X(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N276) );
+  sky130_fd_sc_hd__nor2_1 U91975 ( .A(n81349), .B(n76401), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N267) );
+  sky130_fd_sc_hd__a22o_1 U91976 ( .A1(n79483), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_transmitData[1]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__receivedData_T[1]), .B2(n79484), .X(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N276)
+         );
+  sky130_fd_sc_hd__o21ai_0 U91977 ( .A1(n80049), .A2(n81035), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[1]), 
+        .Y(n73482) );
+  sky130_fd_sc_hd__nand2_1 U91978 ( .A(n73482), .B(n73481), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N403) );
+  sky130_fd_sc_hd__nor2_1 U91979 ( .A(n81349), .B(n81269), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N23) );
+  sky130_fd_sc_hd__nor2_1 U91980 ( .A(n81349), .B(n80111), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N263) );
+  sky130_fd_sc_hd__nand2_1 U91981 ( .A(n67122), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[1]), 
+        .Y(n73483) );
+  sky130_fd_sc_hd__o21ai_0 U91982 ( .A1(n75705), .A2(n67122), .B1(n73483), .Y(
+        n407) );
+  sky130_fd_sc_hd__nor2_1 U91983 ( .A(n81349), .B(n80108), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N370) );
+  sky130_fd_sc_hd__nor2_1 U91984 ( .A(n81349), .B(n80110), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N299) );
+  sky130_fd_sc_hd__nor2_1 U91985 ( .A(n81349), .B(n81361), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N23) );
+  sky130_fd_sc_hd__nor2_1 U91986 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[4]), 
+        .B(n73484), .Y(n73485) );
+  sky130_fd_sc_hd__o22ai_1 U91987 ( .A1(n75859), .A2(n73486), .B1(n73485), 
+        .B2(n73494), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N29) );
+  sky130_fd_sc_hd__a222oi_1 U91988 ( .A1(n79915), .A2(n67196), .B1(n73491), 
+        .B2(n73488), .C1(n73487), .C2(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[30]), .Y(n73489) );
+  sky130_fd_sc_hd__a22oi_1 U91989 ( .A1(n73492), .A2(n67196), .B1(n73491), 
+        .B2(n73490), .Y(n73493) );
+  sky130_fd_sc_hd__nand2_1 U91991 ( .A(n75701), .B(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_9_o_oe), .Y(n73496) );
+  sky130_fd_sc_hd__o21ai_0 U91992 ( .A1(n76440), .A2(n75701), .B1(n73496), .Y(
+        n424) );
+  sky130_fd_sc_hd__nor2_1 U91993 ( .A(n81037), .B(n80423), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N142) );
+  sky130_fd_sc_hd__nor2_1 U91994 ( .A(n81037), .B(n80415), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N234) );
+  sky130_fd_sc_hd__nand2_1 U91995 ( .A(n86753), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[9]), 
+        .Y(n80054) );
+  sky130_fd_sc_hd__o21ai_0 U91996 ( .A1(n73517), .A2(n81438), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[9]), 
+        .Y(n73497) );
+  sky130_fd_sc_hd__o21ai_1 U91997 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_9), 
+        .A2(n80054), .B1(n73497), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N510) );
+  sky130_fd_sc_hd__nand2_1 U91998 ( .A(n67122), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[9]), 
+        .Y(n73498) );
+  sky130_fd_sc_hd__o21ai_0 U91999 ( .A1(n76440), .A2(n67122), .B1(n73498), .Y(
+        n399) );
+  sky130_fd_sc_hd__nor2_1 U92000 ( .A(n81037), .B(n80735), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N156) );
+  sky130_fd_sc_hd__nor2_1 U92001 ( .A(n81037), .B(n79881), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N580) );
+  sky130_fd_sc_hd__nor2_1 U92002 ( .A(n81037), .B(n79879), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N650) );
+  sky130_fd_sc_hd__nor2_1 U92003 ( .A(n81037), .B(n79882), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N545) );
+  sky130_fd_sc_hd__nor2_1 U92004 ( .A(n81037), .B(n79880), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N615) );
+  sky130_fd_sc_hd__nor2_1 U92005 ( .A(n81037), .B(n80725), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N247) );
+  sky130_fd_sc_hd__o21ai_1 U92006 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[4]), 
+        .A2(n73499), .B1(n73502), .Y(n73500) );
+  sky130_fd_sc_hd__o21ai_1 U92007 ( .A1(n73501), .A2(n75859), .B1(n73500), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N29) );
+  sky130_fd_sc_hd__a222oi_1 U92008 ( .A1(n79915), .A2(n73508), .B1(n68252), 
+        .B2(n73503), .C1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[30]), .C2(n73502), .Y(n73504) );
+  sky130_fd_sc_hd__xnor2_1 U92009 ( .A(n73511), .B(n73505), .Y(n73506) );
+  sky130_fd_sc_hd__a22oi_1 U92010 ( .A1(n85670), .A2(n73508), .B1(n73507), 
+        .B2(n73506), .Y(n73509) );
+  sky130_fd_sc_hd__o21ai_1 U92011 ( .A1(n73511), .A2(n73510), .B1(n73509), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm_N44) );
+  sky130_fd_sc_hd__nand2_1 U92012 ( .A(n75701), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146_3), 
+        .Y(n73512) );
+  sky130_fd_sc_hd__o21ai_0 U92013 ( .A1(n75709), .A2(n75701), .B1(n73512), .Y(
+        n430) );
+  sky130_fd_sc_hd__nor2_1 U92014 ( .A(n81357), .B(n80415), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N228) );
+  sky130_fd_sc_hd__nor2_1 U92015 ( .A(n81357), .B(n73554), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N25) );
+  sky130_fd_sc_hd__a22o_1 U92016 ( .A1(n79512), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_transmitData[2]), .B1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__receivedData_T[2]), .B2(n79513), .X(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N277) );
+  sky130_fd_sc_hd__a22o_1 U92017 ( .A1(n79512), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_transmitData[3]), .B1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__receivedData_T[3]), .B2(n79513), .X(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N278) );
+  sky130_fd_sc_hd__o21ai_0 U92018 ( .A1(n80049), .A2(n79995), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[3]), 
+        .Y(n73513) );
+  sky130_fd_sc_hd__nand2_1 U92019 ( .A(n49230), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[3]), 
+        .Y(n79885) );
+  sky130_fd_sc_hd__nand2_1 U92020 ( .A(n73513), .B(n79885), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N405) );
+  sky130_fd_sc_hd__nor2_1 U92021 ( .A(n81357), .B(n80111), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N265) );
+  sky130_fd_sc_hd__nand2_1 U92022 ( .A(n67122), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[3]), 
+        .Y(n73514) );
+  sky130_fd_sc_hd__o21ai_0 U92023 ( .A1(n75709), .A2(n67122), .B1(n73514), .Y(
+        n405) );
+  sky130_fd_sc_hd__nor2_1 U92024 ( .A(n81357), .B(n80110), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N301) );
+  sky130_fd_sc_hd__nor2_1 U92025 ( .A(n81357), .B(n80735), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N150) );
+  sky130_fd_sc_hd__nor2_1 U92026 ( .A(n81357), .B(n76379), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N25) );
+  sky130_fd_sc_hd__nor2_1 U92027 ( .A(n81357), .B(n79881), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N574) );
+  sky130_fd_sc_hd__nor2_1 U92028 ( .A(n81357), .B(n79879), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N644) );
+  sky130_fd_sc_hd__nor2_1 U92029 ( .A(n81357), .B(n79880), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N609) );
+  sky130_fd_sc_hd__nor2_1 U92030 ( .A(n81357), .B(n73515), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N287) );
+  sky130_fd_sc_hd__nor2_1 U92031 ( .A(n81357), .B(n81123), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N25) );
+  sky130_fd_sc_hd__nor2_1 U92032 ( .A(n81040), .B(n80417), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N213) );
+  sky130_fd_sc_hd__nor2_1 U92033 ( .A(n80418), .B(n81040), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N191) );
+  sky130_fd_sc_hd__nand2_1 U92034 ( .A(n75701), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146_2), 
+        .Y(n73516) );
+  sky130_fd_sc_hd__o21ai_0 U92035 ( .A1(n75707), .A2(n75701), .B1(n73516), .Y(
+        n431) );
+  sky130_fd_sc_hd__nor2_1 U92036 ( .A(n81040), .B(n80415), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N227) );
+  sky130_fd_sc_hd__nand2_1 U92037 ( .A(n37040), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[2]), 
+        .Y(n73519) );
+  sky130_fd_sc_hd__o21ai_0 U92038 ( .A1(n73517), .A2(n81356), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[2]), 
+        .Y(n73518) );
+  sky130_fd_sc_hd__o21ai_1 U92039 ( .A1(
+        MarmotCaravelChip_dut_sys_iof_0_iof_0_2_i_ival), .A2(n73519), .B1(
+        n73518), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N503) );
+  sky130_fd_sc_hd__nor2_1 U92040 ( .A(n81040), .B(n81028), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N176) );
+  sky130_fd_sc_hd__nor2_1 U92041 ( .A(n81040), .B(n81027), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N198) );
+  sky130_fd_sc_hd__nor2_1 U92042 ( .A(n81040), .B(n81036), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N143) );
+  sky130_fd_sc_hd__nor2_1 U92043 ( .A(n81040), .B(n76401), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N268) );
+  sky130_fd_sc_hd__o21ai_0 U92044 ( .A1(n80049), .A2(n81356), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[2]), 
+        .Y(n73520) );
+  sky130_fd_sc_hd__nand2_1 U92045 ( .A(n73520), .B(n73519), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N404) );
+  sky130_fd_sc_hd__nor2_1 U92046 ( .A(n81040), .B(n80111), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N264) );
+  sky130_fd_sc_hd__nand2_1 U92047 ( .A(n67122), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[2]), 
+        .Y(n73521) );
+  sky130_fd_sc_hd__o21ai_0 U92048 ( .A1(n75707), .A2(n67122), .B1(n73521), .Y(
+        n406) );
+  sky130_fd_sc_hd__nor2_1 U92049 ( .A(n81040), .B(n80108), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N371) );
+  sky130_fd_sc_hd__nor2_1 U92050 ( .A(n81040), .B(n80110), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N300) );
+  sky130_fd_sc_hd__nor2_1 U92051 ( .A(n81356), .B(n80719), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N303) );
+  sky130_fd_sc_hd__nor2_1 U92052 ( .A(n81040), .B(n80720), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N288) );
+  sky130_fd_sc_hd__nand2_1 U92053 ( .A(n75701), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[15]), .Y(n73522) );
+  sky130_fd_sc_hd__o21ai_0 U92054 ( .A1(n75752), .A2(n75701), .B1(n73522), .Y(
+        n310) );
+  sky130_fd_sc_hd__nand2_1 U92055 ( .A(n85671), .B(n86402), .Y(n73526) );
+  sky130_fd_sc_hd__nor2_1 U92056 ( .A(n73526), .B(n73554), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N37) );
+  sky130_fd_sc_hd__nand2_1 U92057 ( .A(n37039), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[15]), 
+        .Y(n80063) );
+  sky130_fd_sc_hd__nor2_1 U92058 ( .A(n74033), .B(n85671), .Y(n80062) );
+  sky130_fd_sc_hd__o21ai_0 U92059 ( .A1(n73517), .A2(n80062), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[15]), 
+        .Y(n73523) );
+  sky130_fd_sc_hd__o21ai_1 U92060 ( .A1(
+        MarmotCaravelChip_dut_sys_iof_0_iof_0_15_i_ival), .A2(n80063), .B1(
+        n73523), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N516) );
+  sky130_fd_sc_hd__nor2_1 U92061 ( .A(n73526), .B(n81269), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N37) );
+  sky130_fd_sc_hd__nor2_1 U92062 ( .A(n75752), .B(n66798), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N277) );
+  sky130_fd_sc_hd__nand2_1 U92063 ( .A(n67122), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[15]), 
+        .Y(n73524) );
+  sky130_fd_sc_hd__o21ai_0 U92064 ( .A1(n75752), .A2(n67122), .B1(n73524), .Y(
+        n394) );
+  sky130_fd_sc_hd__nor2_1 U92065 ( .A(n75752), .B(n66802), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N384) );
+  sky130_fd_sc_hd__o21ai_0 U92066 ( .A1(n80017), .A2(n80062), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[15]), 
+        .Y(n73525) );
+  sky130_fd_sc_hd__nand2_1 U92067 ( .A(n86753), .B(n78022), .Y(n79955) );
+  sky130_fd_sc_hd__nand2_1 U92068 ( .A(n73525), .B(n79955), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N450) );
+  sky130_fd_sc_hd__nor2_1 U92069 ( .A(n75752), .B(n66803), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N313) );
+  sky130_fd_sc_hd__nor2_1 U92070 ( .A(n73526), .B(n76379), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N37) );
+  sky130_fd_sc_hd__nor2_1 U92071 ( .A(n75752), .B(n66804), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N586) );
+  sky130_fd_sc_hd__nor2_1 U92072 ( .A(n75752), .B(n66805), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N656) );
+  sky130_fd_sc_hd__nor2_1 U92073 ( .A(n75752), .B(n66806), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N551) );
+  sky130_fd_sc_hd__nor2_1 U92074 ( .A(n75752), .B(n66807), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N621) );
+  sky130_fd_sc_hd__nor2_1 U92075 ( .A(n73526), .B(n81361), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N37) );
+  sky130_fd_sc_hd__nor2_1 U92076 ( .A(n73526), .B(n81123), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N37) );
+  sky130_fd_sc_hd__nor2_1 U92077 ( .A(n76449), .B(n66798), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N293) );
+  sky130_fd_sc_hd__nand2_1 U92078 ( .A(n67122), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[31]), 
+        .Y(n73527) );
+  sky130_fd_sc_hd__o21ai_0 U92079 ( .A1(n76449), .A2(n67122), .B1(n73527), .Y(
+        n383) );
+  sky130_fd_sc_hd__nor2_1 U92080 ( .A(n76449), .B(n66802), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N400) );
+  sky130_fd_sc_hd__nor2_1 U92081 ( .A(n76449), .B(n66803), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N329) );
+  sky130_fd_sc_hd__nor2_1 U92082 ( .A(n76449), .B(n66804), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N602) );
+  sky130_fd_sc_hd__nor2_1 U92083 ( .A(n76449), .B(n66805), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N672) );
+  sky130_fd_sc_hd__nor2_1 U92084 ( .A(n76449), .B(n66806), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N567) );
+  sky130_fd_sc_hd__nor2_1 U92085 ( .A(n76449), .B(n66807), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N637) );
+  sky130_fd_sc_hd__nor2_1 U92086 ( .A(n73528), .B(n76449), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N298) );
+  sky130_fd_sc_hd__nor2_1 U92087 ( .A(n73529), .B(n76449), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N315) );
+  sky130_fd_sc_hd__nand2_1 U92088 ( .A(n73531), .B(n86752), .Y(n36097) );
+  sky130_fd_sc_hd__nand3_1 U92089 ( .A(n73535), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_MPORT_addr[0]), .C(n76248), .Y(n76250) );
+  sky130_fd_sc_hd__o22ai_1 U92090 ( .A1(n37038), .A2(n76250), .B1(n76248), 
+        .B2(n73532), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_N40) );
+  sky130_fd_sc_hd__a31oi_1 U92091 ( .A1(n83116), .A2(n73535), .A3(n76248), 
+        .B1(n85672), .Y(n73533) );
+  sky130_fd_sc_hd__o22ai_1 U92092 ( .A1(n36802), .A2(n73534), .B1(n76252), 
+        .B2(n73533), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_N41) );
+  sky130_fd_sc_hd__xnor2_1 U92093 ( .A(n81386), .B(n73535), .Y(n73536) );
+  sky130_fd_sc_hd__nand2_1 U92094 ( .A(n73536), .B(n36801), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_N51) );
+  sky130_fd_sc_hd__nor2_1 U92095 ( .A(n76434), .B(n73537), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N52) );
+  sky130_fd_sc_hd__nand4_1 U92096 ( .A(n73540), .B(n73539), .C(n73538), .D(
+        n81352), .Y(n81348) );
+  sky130_fd_sc_hd__nor2_1 U92097 ( .A(n81355), .B(n81348), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N71) );
+  sky130_fd_sc_hd__nand2_1 U92098 ( .A(n81348), .B(n36801), .Y(n36112) );
+  sky130_fd_sc_hd__nor2_1 U92099 ( .A(n38364), .B(n73819), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N52) );
+  sky130_fd_sc_hd__nor2_1 U92100 ( .A(n76434), .B(n73541), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N52) );
+  sky130_fd_sc_hd__nor2_1 U92101 ( .A(n73542), .B(n73547), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N71) );
+  sky130_fd_sc_hd__nand2_1 U92102 ( .A(n81038), .B(n73543), .Y(n73545) );
+  sky130_fd_sc_hd__nand2_1 U92103 ( .A(n73545), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_24_0_), .Y(n73544) );
+  sky130_fd_sc_hd__o211ai_1 U92104 ( .A1(n76425), .A2(n73545), .B1(n49230), 
+        .C1(n73544), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N311) );
+  sky130_fd_sc_hd__nor2_1 U92105 ( .A(n38430), .B(n73819), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N52) );
+  sky130_fd_sc_hd__nand2_1 U92106 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[0]), 
+        .B(n37067), .Y(n73546) );
+  sky130_fd_sc_hd__o21ai_0 U92107 ( .A1(n37067), .A2(n76425), .B1(n73546), .Y(
+        n332) );
+  sky130_fd_sc_hd__nor2_1 U92108 ( .A(n73549), .B(n73547), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N45) );
+  sky130_fd_sc_hd__o21ai_1 U92109 ( .A1(n73549), .A2(n73548), .B1(n36846), .Y(
+        n36127) );
+  sky130_fd_sc_hd__nand2_1 U92110 ( .A(n76346), .B(n73550), .Y(n73551) );
+  sky130_fd_sc_hd__nor2_1 U92111 ( .A(n81355), .B(n73551), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N45) );
+  sky130_fd_sc_hd__nand2_1 U92112 ( .A(n73551), .B(n37037), .Y(n36103) );
+  sky130_fd_sc_hd__nor2_1 U92113 ( .A(n81267), .B(n81355), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N41) );
+  sky130_fd_sc_hd__nand2_1 U92114 ( .A(n37037), .B(n81267), .Y(n36118) );
+  sky130_fd_sc_hd__nand2_1 U92115 ( .A(n75701), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146_0), 
+        .Y(n73553) );
+  sky130_fd_sc_hd__o21ai_0 U92116 ( .A1(n76425), .A2(n75701), .B1(n73553), .Y(
+        n408) );
+  sky130_fd_sc_hd__nor2_1 U92117 ( .A(n81355), .B(n73554), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N22) );
+  sky130_fd_sc_hd__nor2_1 U92118 ( .A(n81355), .B(n80111), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N262) );
+  sky130_fd_sc_hd__nand2_1 U92119 ( .A(n67122), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[0]), 
+        .Y(n73555) );
+  sky130_fd_sc_hd__o21ai_0 U92120 ( .A1(n76425), .A2(n67122), .B1(n73555), .Y(
+        n382) );
+  sky130_fd_sc_hd__nor2_1 U92121 ( .A(n81355), .B(n80108), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N369) );
+  sky130_fd_sc_hd__nor2_1 U92122 ( .A(n81355), .B(n80110), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N298) );
+  sky130_fd_sc_hd__nor2_1 U92123 ( .A(n81355), .B(n76379), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N22) );
+  sky130_fd_sc_hd__nor2_1 U92124 ( .A(n81355), .B(n81361), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N22) );
+  sky130_fd_sc_hd__nor2_1 U92125 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N9), .Y(n73556) );
+  sky130_fd_sc_hd__a211oi_1 U92126 ( .A1(n86497), .A2(n73557), .B1(n83631), 
+        .C1(n73556), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_N6) );
+  sky130_fd_sc_hd__nand2_1 U92127 ( .A(n49230), .B(n80981), .Y(n80975) );
+  sky130_fd_sc_hd__a21oi_1 U92128 ( .A1(n80978), .A2(n73558), .B1(n80975), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_N66) );
+  sky130_fd_sc_hd__a31oi_1 U92129 ( .A1(n49230), .A2(n75813), .A3(n77824), 
+        .B1(n85673), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_arb_N11) );
+  sky130_fd_sc_hd__nand2_1 U92130 ( .A(n76487), .B(n37040), .Y(n76485) );
+  sky130_fd_sc_hd__o21ai_1 U92131 ( .A1(n73559), .A2(n80984), .B1(n75826), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_N65) );
+  sky130_fd_sc_hd__nand3_1 U92132 ( .A(n73561), .B(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .C(
+        n73560), .Y(n73718) );
+  sky130_fd_sc_hd__a21oi_1 U92133 ( .A1(n73564), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_state_6), .B1(n73563), .Y(n73565) );
+  sky130_fd_sc_hd__o21ai_1 U92134 ( .A1(n73567), .A2(n73566), .B1(n73565), .Y(
+        n73730) );
+  sky130_fd_sc_hd__nand2_1 U92135 ( .A(n73730), .B(n73568), .Y(n84170) );
+  sky130_fd_sc_hd__nor2_1 U92136 ( .A(n73704), .B(n85702), .Y(n73710) );
+  sky130_fd_sc_hd__xnor2_1 U92137 ( .A(n73703), .B(n85701), .Y(n73569) );
+  sky130_fd_sc_hd__xnor2_1 U92138 ( .A(n73570), .B(n73569), .Y(n73702) );
+  sky130_fd_sc_hd__xnor2_1 U92139 ( .A(n73695), .B(n85699), .Y(n73571) );
+  sky130_fd_sc_hd__xnor2_1 U92140 ( .A(n73572), .B(n73571), .Y(n73694) );
+  sky130_fd_sc_hd__xnor2_1 U92141 ( .A(n73575), .B(n85674), .Y(n73573) );
+  sky130_fd_sc_hd__xor2_1 U92142 ( .A(n73574), .B(n73573), .X(n73579) );
+  sky130_fd_sc_hd__xnor2_1 U92143 ( .A(n73600), .B(n85679), .Y(n73576) );
+  sky130_fd_sc_hd__xor2_1 U92144 ( .A(n73577), .B(n73576), .X(n73578) );
+  sky130_fd_sc_hd__nand2_1 U92145 ( .A(n73579), .B(n73578), .Y(n73589) );
+  sky130_fd_sc_hd__xnor2_1 U92146 ( .A(n73582), .B(n85675), .Y(n73580) );
+  sky130_fd_sc_hd__xor2_1 U92147 ( .A(n73581), .B(n73580), .X(n73587) );
+  sky130_fd_sc_hd__xnor2_1 U92148 ( .A(n73583), .B(n85676), .Y(n73584) );
+  sky130_fd_sc_hd__xor2_1 U92149 ( .A(n73585), .B(n73584), .X(n73586) );
+  sky130_fd_sc_hd__nand2_1 U92150 ( .A(n73587), .B(n73586), .Y(n73588) );
+  sky130_fd_sc_hd__nor2_1 U92151 ( .A(n73589), .B(n73588), .Y(n73609) );
+  sky130_fd_sc_hd__xnor2_1 U92152 ( .A(n73660), .B(n85692), .Y(n73590) );
+  sky130_fd_sc_hd__xor2_1 U92153 ( .A(n73591), .B(n73590), .X(n73596) );
+  sky130_fd_sc_hd__xnor2_1 U92154 ( .A(n73592), .B(n85677), .Y(n73593) );
+  sky130_fd_sc_hd__xor2_1 U92155 ( .A(n73594), .B(n73593), .X(n73595) );
+  sky130_fd_sc_hd__nand2_1 U92156 ( .A(n73596), .B(n73595), .Y(n73607) );
+  sky130_fd_sc_hd__xnor2_1 U92157 ( .A(n73597), .B(n85678), .Y(n73598) );
+  sky130_fd_sc_hd__xor2_1 U92158 ( .A(n73599), .B(n73598), .X(n73605) );
+  sky130_fd_sc_hd__xnor2_1 U92159 ( .A(n73601), .B(n85680), .Y(n73602) );
+  sky130_fd_sc_hd__xor2_1 U92160 ( .A(n73603), .B(n73602), .X(n73604) );
+  sky130_fd_sc_hd__nand2_1 U92161 ( .A(n73605), .B(n73604), .Y(n73606) );
+  sky130_fd_sc_hd__nor2_1 U92162 ( .A(n73607), .B(n73606), .Y(n73608) );
+  sky130_fd_sc_hd__nand2_1 U92163 ( .A(n73609), .B(n73608), .Y(n73636) );
+  sky130_fd_sc_hd__xnor2_1 U92164 ( .A(n73611), .B(n73610), .Y(n73612) );
+  sky130_fd_sc_hd__xnor2_1 U92165 ( .A(n73612), .B(n85681), .Y(n73617) );
+  sky130_fd_sc_hd__xnor2_1 U92166 ( .A(n73614), .B(n73613), .Y(n73615) );
+  sky130_fd_sc_hd__xnor2_1 U92167 ( .A(n73615), .B(n85682), .Y(n73616) );
+  sky130_fd_sc_hd__nor2_1 U92168 ( .A(n73617), .B(n73616), .Y(n73634) );
+  sky130_fd_sc_hd__xnor2_1 U92169 ( .A(n73619), .B(n73618), .Y(n73620) );
+  sky130_fd_sc_hd__xor2_1 U92170 ( .A(n73620), .B(n85683), .X(n73625) );
+  sky130_fd_sc_hd__xnor2_1 U92171 ( .A(n73622), .B(n73621), .Y(n73623) );
+  sky130_fd_sc_hd__xor2_1 U92172 ( .A(n73623), .B(n85684), .X(n73624) );
+  sky130_fd_sc_hd__nand2_1 U92173 ( .A(n73625), .B(n73624), .Y(n73632) );
+  sky130_fd_sc_hd__xor2_1 U92174 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[0]), .B(n85685), .X(n73630) );
+  sky130_fd_sc_hd__xnor2_1 U92175 ( .A(n73627), .B(n73626), .Y(n73628) );
+  sky130_fd_sc_hd__xor2_1 U92176 ( .A(n73628), .B(n85686), .X(n73629) );
+  sky130_fd_sc_hd__nand2_1 U92177 ( .A(n73630), .B(n73629), .Y(n73631) );
+  sky130_fd_sc_hd__nor2_1 U92178 ( .A(n73632), .B(n73631), .Y(n73633) );
+  sky130_fd_sc_hd__nand2_1 U92179 ( .A(n73634), .B(n73633), .Y(n73635) );
+  sky130_fd_sc_hd__nor2_1 U92180 ( .A(n73636), .B(n73635), .Y(n73692) );
+  sky130_fd_sc_hd__xnor2_1 U92181 ( .A(n73639), .B(n85687), .Y(n73637) );
+  sky130_fd_sc_hd__xnor2_1 U92182 ( .A(n73638), .B(n73637), .Y(n73643) );
+  sky130_fd_sc_hd__xnor2_1 U92183 ( .A(n73644), .B(n85688), .Y(n73640) );
+  sky130_fd_sc_hd__xnor2_1 U92184 ( .A(n73641), .B(n73640), .Y(n73642) );
+  sky130_fd_sc_hd__nor2_1 U92185 ( .A(n73643), .B(n73642), .Y(n73649) );
+  sky130_fd_sc_hd__xnor2_1 U92186 ( .A(n73645), .B(n85689), .Y(n73646) );
+  sky130_fd_sc_hd__xor2_1 U92187 ( .A(n73647), .B(n73646), .X(n73648) );
+  sky130_fd_sc_hd__nand2_1 U92188 ( .A(n73649), .B(n73648), .Y(n73690) );
+  sky130_fd_sc_hd__xnor2_1 U92189 ( .A(n73679), .B(n85697), .Y(n73650) );
+  sky130_fd_sc_hd__xor2_1 U92190 ( .A(n73651), .B(n73650), .X(n73656) );
+  sky130_fd_sc_hd__xnor2_1 U92191 ( .A(n73652), .B(n85690), .Y(n73653) );
+  sky130_fd_sc_hd__xor2_1 U92192 ( .A(n73654), .B(n73653), .X(n73655) );
+  sky130_fd_sc_hd__nand2_1 U92193 ( .A(n73656), .B(n73655), .Y(n73667) );
+  sky130_fd_sc_hd__xnor2_1 U92194 ( .A(n73657), .B(n85691), .Y(n73658) );
+  sky130_fd_sc_hd__xor2_1 U92195 ( .A(n73659), .B(n73658), .X(n73665) );
+  sky130_fd_sc_hd__xnor2_1 U92196 ( .A(n73661), .B(n85693), .Y(n73662) );
+  sky130_fd_sc_hd__xor2_1 U92197 ( .A(n73663), .B(n73662), .X(n73664) );
+  sky130_fd_sc_hd__nand2_1 U92198 ( .A(n73665), .B(n73664), .Y(n73666) );
+  sky130_fd_sc_hd__nor2_1 U92199 ( .A(n73667), .B(n73666), .Y(n73688) );
+  sky130_fd_sc_hd__xnor2_1 U92200 ( .A(n73668), .B(n85694), .Y(n73669) );
+  sky130_fd_sc_hd__xor2_1 U92201 ( .A(n73670), .B(n73669), .X(n73675) );
+  sky130_fd_sc_hd__xnor2_1 U92202 ( .A(n73671), .B(n85695), .Y(n73672) );
+  sky130_fd_sc_hd__xor2_1 U92203 ( .A(n73673), .B(n73672), .X(n73674) );
+  sky130_fd_sc_hd__nand2_1 U92204 ( .A(n73675), .B(n73674), .Y(n73686) );
+  sky130_fd_sc_hd__xnor2_1 U92205 ( .A(n73676), .B(n85696), .Y(n73677) );
+  sky130_fd_sc_hd__xor2_1 U92206 ( .A(n73678), .B(n73677), .X(n73684) );
+  sky130_fd_sc_hd__xnor2_1 U92207 ( .A(n73680), .B(n85698), .Y(n73681) );
+  sky130_fd_sc_hd__xor2_1 U92208 ( .A(n73682), .B(n73681), .X(n73683) );
+  sky130_fd_sc_hd__nand2_1 U92209 ( .A(n73684), .B(n73683), .Y(n73685) );
+  sky130_fd_sc_hd__nor2_1 U92210 ( .A(n73686), .B(n73685), .Y(n73687) );
+  sky130_fd_sc_hd__nand2_1 U92211 ( .A(n73688), .B(n73687), .Y(n73689) );
+  sky130_fd_sc_hd__nor2_1 U92212 ( .A(n73690), .B(n73689), .Y(n73691) );
+  sky130_fd_sc_hd__nand2_1 U92213 ( .A(n73692), .B(n73691), .Y(n73693) );
+  sky130_fd_sc_hd__nor2_1 U92214 ( .A(n73694), .B(n73693), .Y(n73700) );
+  sky130_fd_sc_hd__xnor2_1 U92215 ( .A(n73696), .B(n85700), .Y(n73697) );
+  sky130_fd_sc_hd__xor2_1 U92216 ( .A(n73698), .B(n73697), .X(n73699) );
+  sky130_fd_sc_hd__nand2_1 U92217 ( .A(n73700), .B(n73699), .Y(n73701) );
+  sky130_fd_sc_hd__nor2_1 U92218 ( .A(n73702), .B(n73701), .Y(n73708) );
+  sky130_fd_sc_hd__xnor2_1 U92219 ( .A(n73704), .B(n85702), .Y(n73705) );
+  sky130_fd_sc_hd__xor2_1 U92220 ( .A(n73706), .B(n73705), .X(n73707) );
+  sky130_fd_sc_hd__nand2_1 U92221 ( .A(n73708), .B(n73707), .Y(n73709) );
+  sky130_fd_sc_hd__nor2_1 U92222 ( .A(n73710), .B(n73709), .Y(n73711) );
+  sky130_fd_sc_hd__and3_1 U92223 ( .A(n73711), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_arb_io_outer_cs_hold), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_io_link_active), .X(n75823) );
+  sky130_fd_sc_hd__nand2_1 U92224 ( .A(n49230), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_24_0_), .Y(n73713) );
+  sky130_fd_sc_hd__nor2_1 U92225 ( .A(n73713), .B(n73712), .Y(n73738) );
+  sky130_fd_sc_hd__a21oi_1 U92226 ( .A1(n73714), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_state[2]), .B1(n73718), .Y(n73722) );
+  sky130_fd_sc_hd__nor2_1 U92227 ( .A(n73715), .B(n75824), .Y(n73739) );
+  sky130_fd_sc_hd__a211oi_1 U92228 ( .A1(n75823), .A2(n73738), .B1(n73722), 
+        .C1(n73739), .Y(n73716) );
+  sky130_fd_sc_hd__o31ai_1 U92229 ( .A1(n73719), .A2(n73718), .A3(n73717), 
+        .B1(n73716), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_N124) );
+  sky130_fd_sc_hd__nand2_1 U92230 ( .A(n37040), .B(n75824), .Y(n36374) );
+  sky130_fd_sc_hd__nand2_1 U92231 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_arb_io_outer_cs_hold), .B(n80738), .Y(n80745) );
+  sky130_fd_sc_hd__a21oi_1 U92232 ( .A1(n73740), .A2(n73720), .B1(n80745), .Y(
+        n73727) );
+  sky130_fd_sc_hd__nand2_1 U92233 ( .A(n80740), .B(n73721), .Y(n73723) );
+  sky130_fd_sc_hd__a21oi_1 U92234 ( .A1(n73740), .A2(n73723), .B1(n73722), .Y(
+        n73726) );
+  sky130_fd_sc_hd__nand2_1 U92235 ( .A(n80738), .B(n80806), .Y(n80750) );
+  sky130_fd_sc_hd__nand2_1 U92236 ( .A(n73724), .B(n80750), .Y(n73725) );
+  sky130_fd_sc_hd__o22ai_1 U92237 ( .A1(n73727), .A2(n73726), .B1(n36374), 
+        .B2(n73725), .Y(n73732) );
+  sky130_fd_sc_hd__nand3_1 U92238 ( .A(n37039), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_state[1]), .C(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_state[2]), 
+        .Y(n73728) );
+  sky130_fd_sc_hd__a21oi_1 U92239 ( .A1(n73730), .A2(n73729), .B1(n73728), .Y(
+        n73731) );
+  sky130_fd_sc_hd__nor2_1 U92240 ( .A(n73732), .B(n73731), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_N149) );
+  sky130_fd_sc_hd__a21oi_1 U92241 ( .A1(n84170), .A2(n73734), .B1(n73733), .Y(
+        n73737) );
+  sky130_fd_sc_hd__a31oi_1 U92242 ( .A1(n73735), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[0]), .A3(n73738), .B1(n73740), .Y(n73736) );
+  sky130_fd_sc_hd__o21ai_0 U92243 ( .A1(n37038), .A2(n73737), .B1(n73736), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_N122) );
+  sky130_fd_sc_hd__a211oi_1 U92244 ( .A1(n36846), .A2(n73741), .B1(n73740), 
+        .C1(n73739), .Y(n73742) );
+  sky130_fd_sc_hd__o31ai_1 U92245 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[0]), .A2(n73743), .A3(n75823), .B1(n73742), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_N123) );
+  sky130_fd_sc_hd__nor2_1 U92246 ( .A(n78313), .B(n73749), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N175) );
+  sky130_fd_sc_hd__a21oi_1 U92248 ( .A1(n73746), .A2(n73745), .B1(n73744), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_N6) );
+  sky130_fd_sc_hd__nand4_1 U92249 ( .A(n73751), .B(n73750), .C(n73749), .D(
+        n73748), .Y(n73752) );
+  sky130_fd_sc_hd__nor2_1 U92250 ( .A(n78313), .B(n73754), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N169) );
+  sky130_fd_sc_hd__o21ai_0 U92251 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_io_full), .A2(n85916), .B1(n83116), .Y(n73755) );
+  sky130_fd_sc_hd__a21oi_1 U92252 ( .A1(n73757), .A2(n73756), .B1(n73755), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_repeater_N6) );
+  sky130_fd_sc_hd__o21ai_0 U92253 ( .A1(n73758), .A2(n83631), .B1(n36223), .Y(
+        n73759) );
+  sky130_fd_sc_hd__a22o_1 U92254 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_io_full), .A2(n73759), .B1(n60011), .B2(n49230), .X(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_N6) );
+  sky130_fd_sc_hd__nand2_1 U92255 ( .A(n37609), .B(n73760), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N160) );
+  sky130_fd_sc_hd__o31ai_1 U92256 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_arbLost), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cmdAck), .A3(
+        n76264), .B1(n86753), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N447) );
+  sky130_fd_sc_hd__nor2_1 U92257 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_io_full), .B(n61032), .Y(n73761) );
+  sky130_fd_sc_hd__a211oi_1 U92258 ( .A1(n73763), .A2(n73762), .B1(n74033), 
+        .C1(n73761), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_repeater_N6) );
+  sky130_fd_sc_hd__nor2_1 U92259 ( .A(n73765), .B(n73764), .Y(n76402) );
+  sky130_fd_sc_hd__o31ai_1 U92260 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cmdAck), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_arbLost), .A3(
+        n76402), .B1(n83351), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N447) );
+  sky130_fd_sc_hd__nand2_1 U92261 ( .A(n73767), .B(n86752), .Y(n36218) );
+  sky130_fd_sc_hd__nor2_1 U92262 ( .A(n83550), .B(n83553), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_N35) );
+  sky130_fd_sc_hd__o21ai_1 U92263 ( .A1(n73768), .A2(n73767), .B1(n49230), .Y(
+        n73769) );
+  sky130_fd_sc_hd__a21oi_1 U92264 ( .A1(n73771), .A2(n73770), .B1(n73769), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_repeater_N6) );
+  sky130_fd_sc_hd__nor2_1 U92265 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_io_full), .B(n73772), .Y(n73784) );
+  sky130_fd_sc_hd__nor3_1 U92266 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_io_full), .B(n73800), .C(n73774), .Y(n73775) );
+  sky130_fd_sc_hd__a31oi_1 U92267 ( .A1(n73778), .A2(n73777), .A3(n73776), 
+        .B1(n73775), .Y(n73781) );
+  sky130_fd_sc_hd__o21ai_1 U92268 ( .A1(n73781), .A2(n73780), .B1(n73779), .Y(
+        n73782) );
+  sky130_fd_sc_hd__a211oi_1 U92269 ( .A1(n73785), .A2(n73784), .B1(n73783), 
+        .C1(n73782), .Y(n73810) );
+  sky130_fd_sc_hd__a31oi_1 U92270 ( .A1(n73790), .A2(n73789), .A3(n73788), 
+        .B1(n73787), .Y(n73793) );
+  sky130_fd_sc_hd__and3_1 U92271 ( .A(n73793), .B(n73792), .C(n73791), .X(
+        n73798) );
+  sky130_fd_sc_hd__nor2_1 U92272 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_repeater_io_full), .B(n73794), .Y(n73795) );
+  sky130_fd_sc_hd__nand2_1 U92273 ( .A(n73796), .B(n73795), .Y(n73797) );
+  sky130_fd_sc_hd__o211ai_1 U92274 ( .A1(n73800), .A2(n73799), .B1(n73798), 
+        .C1(n73797), .Y(n73801) );
+  sky130_fd_sc_hd__a21oi_1 U92275 ( .A1(n73803), .A2(n73802), .B1(n73801), .Y(
+        n73809) );
+  sky130_fd_sc_hd__nor2_1 U92276 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_io_full), .B(n73804), .Y(n73805) );
+  sky130_fd_sc_hd__nand2_1 U92277 ( .A(n73806), .B(n73805), .Y(n73807) );
+  sky130_fd_sc_hd__nand4_1 U92278 ( .A(n73810), .B(n73809), .C(n73808), .D(
+        n73807), .Y(n73813) );
+  sky130_fd_sc_hd__a21oi_1 U92279 ( .A1(n73813), .A2(n73812), .B1(n73811), .Y(
+        n83812) );
+  sky130_fd_sc_hd__nor3_1 U92280 ( .A(n73814), .B(n36802), .C(n83812), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_N55)
+         );
+  sky130_fd_sc_hd__nand2_1 U92281 ( .A(n83812), .B(n86752), .Y(n36224) );
+  sky130_fd_sc_hd__nor3_1 U92282 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .B(n83631), .C(n83785), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N55)
+         );
+  sky130_fd_sc_hd__nand2_1 U92283 ( .A(n83785), .B(n36801), .Y(n36307) );
+  sky130_fd_sc_hd__nand2_1 U92284 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[19]), .B(n37067), .Y(n73815) );
+  sky130_fd_sc_hd__o21ai_0 U92285 ( .A1(n37067), .A2(n76434), .B1(n73815), .Y(
+        n329) );
+  sky130_fd_sc_hd__nor2_1 U92286 ( .A(n76434), .B(n73816), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N59) );
+  sky130_fd_sc_hd__nand2_1 U92287 ( .A(n75701), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[19]), .Y(n73817) );
+  sky130_fd_sc_hd__o21ai_0 U92288 ( .A1(n76434), .A2(n75701), .B1(n73817), .Y(
+        n328) );
+  sky130_fd_sc_hd__nor2_1 U92289 ( .A(n76434), .B(n66798), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N281) );
+  sky130_fd_sc_hd__nand2_1 U92290 ( .A(n67122), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[19]), 
+        .Y(n73818) );
+  sky130_fd_sc_hd__o21ai_0 U92291 ( .A1(n76434), .A2(n67122), .B1(n73818), .Y(
+        n331) );
+  sky130_fd_sc_hd__nor2_1 U92292 ( .A(n76434), .B(n66802), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N388) );
+  sky130_fd_sc_hd__nor2_1 U92293 ( .A(n76434), .B(n66803), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N317) );
+  sky130_fd_sc_hd__nor2_1 U92294 ( .A(n76434), .B(n66804), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N590) );
+  sky130_fd_sc_hd__nor2_1 U92295 ( .A(n76434), .B(n66805), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N660) );
+  sky130_fd_sc_hd__nor2_1 U92296 ( .A(n76434), .B(n66806), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N555) );
+  sky130_fd_sc_hd__nor2_1 U92297 ( .A(n76434), .B(n66807), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N625) );
+  sky130_fd_sc_hd__nor2_1 U92298 ( .A(n76365), .B(n73819), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N59) );
+  sky130_fd_sc_hd__nor2_1 U92299 ( .A(n76434), .B(n75754), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N271) );
+  sky130_fd_sc_hd__nor2_1 U92300 ( .A(n76381), .B(n73819), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N52) );
+  sky130_fd_sc_hd__nand2_1 U92301 ( .A(n73820), .B(n80736), .Y(n73822) );
+  sky130_fd_sc_hd__nand2_1 U92302 ( .A(n73822), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_24_0_), .Y(n73821) );
+  sky130_fd_sc_hd__o211ai_1 U92303 ( .A1(n76425), .A2(n73822), .B1(n36801), 
+        .C1(n73821), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N350) );
+  sky130_fd_sc_hd__nor2_1 U92304 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_io_link_active), .B(n80669), .Y(n73823) );
+  sky130_fd_sc_hd__nor3_1 U92305 ( .A(n36802), .B(n73824), .C(n73823), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_N66)
+         );
+  sky130_fd_sc_hd__nand2_1 U92306 ( .A(n78144), .B(n86402), .Y(n80666) );
+  sky130_fd_sc_hd__nand2_1 U92307 ( .A(n73825), .B(n75842), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_N65)
+         );
+  sky130_fd_sc_hd__nand2_1 U92308 ( .A(n73827), .B(n73826), .Y(n73828) );
+  sky130_fd_sc_hd__nand2_1 U92309 ( .A(n73828), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb__T_1_1_), .Y(n73831) );
+  sky130_fd_sc_hd__nand2_1 U92310 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_24_0_), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb_io_outer_cs_hold), .Y(n73829) );
+  sky130_fd_sc_hd__o22a_1 U92311 ( .A1(n74012), .A2(n80498), .B1(n73868), .B2(
+        n73829), .X(n73830) );
+  sky130_fd_sc_hd__nand2_1 U92312 ( .A(n73831), .B(n73830), .Y(n75836) );
+  sky130_fd_sc_hd__nor3_1 U92313 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_24_0_), .B(n36848), .C(n75836), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb_N15)
+         );
+  sky130_fd_sc_hd__nand2_1 U92314 ( .A(n75836), .B(n86752), .Y(n36276) );
+  sky130_fd_sc_hd__a21oi_1 U92315 ( .A1(n75836), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb_io_outer_cs_hold), .B1(n83631), .Y(n73832) );
+  sky130_fd_sc_hd__o21ai_1 U92316 ( .A1(n74036), .A2(n75836), .B1(n73832), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb_N11)
+         );
+  sky130_fd_sc_hd__nand2_1 U92317 ( .A(n78347), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_opcode[0]), .Y(n73833) );
+  sky130_fd_sc_hd__nor2_1 U92318 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[11]), .B(n73863), .Y(n73866) );
+  sky130_fd_sc_hd__o2bb2ai_1 U92319 ( .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[8]), .B2(n73853), .A1_N(n73834), .A2_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[19]), .Y(n73855) );
+  sky130_fd_sc_hd__nor2_1 U92320 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[19]), .B(n73834), .Y(n73852) );
+  sky130_fd_sc_hd__o21ai_1 U92321 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[13]), .A2(n73835), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[12]), .Y(n73836) );
+  sky130_fd_sc_hd__o2bb2ai_1 U92322 ( .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[0]), .B2(n73836), .A1_N(n73835), .A2_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[13]), .Y(n73837) );
+  sky130_fd_sc_hd__a222oi_1 U92323 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[14]), .A2(n73838), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[14]), .B2(n73837), .C1(n73838), .C2(n73837), .Y(n73839) );
+  sky130_fd_sc_hd__maj3_1 U92324 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[3]), .B(n73840), .C(n73839), .X(n73841) );
+  sky130_fd_sc_hd__o21bai_1 U92325 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[4]), .A2(n73841), .B1_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[16]), .Y(n73844) );
+  sky130_fd_sc_hd__nand2_1 U92326 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[4]), .B(n73841), .Y(n73843) );
+  sky130_fd_sc_hd__nor2_1 U92327 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[5]), .B(n73846), .Y(n73842) );
+  sky130_fd_sc_hd__a21oi_1 U92328 ( .A1(n73844), .A2(n73843), .B1(n73842), .Y(
+        n73845) );
+  sky130_fd_sc_hd__a21oi_1 U92329 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[6]), .A2(n73847), .B1(n73845), .Y(n73850) );
+  sky130_fd_sc_hd__nand2_1 U92330 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[5]), .B(n73846), .Y(n73849) );
+  sky130_fd_sc_hd__nor2_1 U92331 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[6]), .B(n73847), .Y(n73848) );
+  sky130_fd_sc_hd__a21oi_1 U92332 ( .A1(n73850), .A2(n73849), .B1(n73848), .Y(
+        n73851) );
+  sky130_fd_sc_hd__nor2_1 U92333 ( .A(n73852), .B(n73851), .Y(n73854) );
+  sky130_fd_sc_hd__o2bb2ai_1 U92334 ( .B1(n73855), .B2(n73854), .A1_N(n73853), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[8]), .Y(n73856) );
+  sky130_fd_sc_hd__maj3_1 U92335 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[9]), .B(n73857), .C(n73856), .X(n73860) );
+  sky130_fd_sc_hd__nand2_1 U92336 ( .A(n73858), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[22]), .Y(n73859) );
+  sky130_fd_sc_hd__a22oi_1 U92337 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[10]), .A2(n73861), .B1(n73860), .B2(n73859), .Y(n73865) );
+  sky130_fd_sc_hd__or4_1 U92338 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[13]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[12]), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[15]), .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[14]), .X(n73862) );
+  sky130_fd_sc_hd__a21oi_1 U92339 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[11]), .A2(n73863), .B1(n73862), .Y(n73864) );
+  sky130_fd_sc_hd__a21oi_1 U92341 ( .A1(n73875), .A2(n75831), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_no_merge), .Y(n73867) );
+  sky130_fd_sc_hd__nand2b_1 U92342 ( .A_N(n73867), .B(n74034), .Y(n73871) );
+  sky130_fd_sc_hd__nand2_1 U92343 ( .A(n73868), .B(n74012), .Y(n75845) );
+  sky130_fd_sc_hd__o21ai_1 U92344 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_no_merge), .A2(n75831), .B1(n73869), .Y(n73870) );
+  sky130_fd_sc_hd__a21oi_1 U92345 ( .A1(n73871), .A2(n73870), .B1(n60015), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N212) );
+  sky130_fd_sc_hd__a22oi_1 U92346 ( .A1(n73873), .A2(n76425), .B1(n80719), 
+        .B2(n73872), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N282) );
+  sky130_fd_sc_hd__a21oi_1 U92347 ( .A1(n84067), .A2(n73875), .B1(n73874), .Y(
+        n74016) );
+  sky130_fd_sc_hd__nand2_1 U92348 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_state[2]), .B(n49230), .Y(n74021) );
+  sky130_fd_sc_hd__nor2_1 U92349 ( .A(n36848), .B(n74035), .Y(n74027) );
+  sky130_fd_sc_hd__xnor2_1 U92350 ( .A(n73876), .B(n85705), .Y(n73964) );
+  sky130_fd_sc_hd__xnor2_1 U92351 ( .A(n73877), .B(n85706), .Y(n73960) );
+  sky130_fd_sc_hd__nand2_1 U92352 ( .A(n38391), .B(n38390), .Y(n73891) );
+  sky130_fd_sc_hd__xnor2_1 U92353 ( .A(n73880), .B(n85709), .Y(n73883) );
+  sky130_fd_sc_hd__xnor2_1 U92354 ( .A(n73881), .B(n85710), .Y(n73882) );
+  sky130_fd_sc_hd__nor2_1 U92355 ( .A(n73883), .B(n73882), .Y(n73889) );
+  sky130_fd_sc_hd__xnor2_1 U92356 ( .A(n73884), .B(n85711), .Y(n73887) );
+  sky130_fd_sc_hd__xnor2_1 U92357 ( .A(n73885), .B(n85712), .Y(n73886) );
+  sky130_fd_sc_hd__nor2_1 U92358 ( .A(n73887), .B(n73886), .Y(n73888) );
+  sky130_fd_sc_hd__nand2_1 U92359 ( .A(n73889), .B(n73888), .Y(n73890) );
+  sky130_fd_sc_hd__nor2_1 U92360 ( .A(n73891), .B(n73890), .Y(n73893) );
+  sky130_fd_sc_hd__nand2_1 U92361 ( .A(n73893), .B(n38392), .Y(n73956) );
+  sky130_fd_sc_hd__nand2_1 U92362 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[4]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[5]), .Y(n73895) );
+  sky130_fd_sc_hd__nand2_1 U92363 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[0]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[1]), .Y(n73914) );
+  sky130_fd_sc_hd__nand2_1 U92364 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[2]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[3]), .Y(n73894) );
+  sky130_fd_sc_hd__xnor2_1 U92365 ( .A(n73979), .B(n85714), .Y(n73896) );
+  sky130_fd_sc_hd__xnor2_1 U92366 ( .A(n38373), .B(n73896), .Y(n73900) );
+  sky130_fd_sc_hd__xnor2_1 U92367 ( .A(n73975), .B(n85715), .Y(n73897) );
+  sky130_fd_sc_hd__xnor2_1 U92368 ( .A(n73898), .B(n73897), .Y(n73899) );
+  sky130_fd_sc_hd__nor2_1 U92369 ( .A(n73900), .B(n73899), .Y(n73908) );
+  sky130_fd_sc_hd__xnor2_1 U92370 ( .A(n73967), .B(n85727), .Y(n73901) );
+  sky130_fd_sc_hd__xnor2_1 U92371 ( .A(n73902), .B(n73901), .Y(n73906) );
+  sky130_fd_sc_hd__xnor2_1 U92372 ( .A(n73974), .B(n85716), .Y(n73903) );
+  sky130_fd_sc_hd__xnor2_1 U92373 ( .A(n73904), .B(n73903), .Y(n73905) );
+  sky130_fd_sc_hd__nor2_1 U92374 ( .A(n73906), .B(n73905), .Y(n73907) );
+  sky130_fd_sc_hd__nand2_1 U92375 ( .A(n73908), .B(n73907), .Y(n73928) );
+  sky130_fd_sc_hd__xnor2_1 U92376 ( .A(n73984), .B(n38470), .Y(n73909) );
+  sky130_fd_sc_hd__xnor2_1 U92377 ( .A(n73909), .B(n85717), .Y(n73913) );
+  sky130_fd_sc_hd__xnor2_1 U92378 ( .A(n73980), .B(n73910), .Y(n73911) );
+  sky130_fd_sc_hd__xnor2_1 U92379 ( .A(n73911), .B(n85718), .Y(n73912) );
+  sky130_fd_sc_hd__nor2_1 U92380 ( .A(n73913), .B(n73912), .Y(n73926) );
+  sky130_fd_sc_hd__xnor2_1 U92381 ( .A(n73915), .B(n73914), .Y(n73989) );
+  sky130_fd_sc_hd__xor2_1 U92382 ( .A(n73989), .B(n85719), .X(n73919) );
+  sky130_fd_sc_hd__xnor2_1 U92383 ( .A(n73992), .B(n73916), .Y(n73917) );
+  sky130_fd_sc_hd__xor2_1 U92384 ( .A(n73917), .B(n85720), .X(n73918) );
+  sky130_fd_sc_hd__nand2_1 U92385 ( .A(n73919), .B(n73918), .Y(n73924) );
+  sky130_fd_sc_hd__xor2_1 U92386 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[0]), .B(n85721), .X(n73922) );
+  sky130_fd_sc_hd__xnor2_1 U92387 ( .A(n73986), .B(n73987), .Y(n73920) );
+  sky130_fd_sc_hd__xor2_1 U92388 ( .A(n73920), .B(n85722), .X(n73921) );
+  sky130_fd_sc_hd__nand2_1 U92389 ( .A(n73922), .B(n73921), .Y(n73923) );
+  sky130_fd_sc_hd__nor2_1 U92390 ( .A(n73924), .B(n73923), .Y(n73925) );
+  sky130_fd_sc_hd__nand2_1 U92391 ( .A(n73926), .B(n73925), .Y(n73927) );
+  sky130_fd_sc_hd__nor2_1 U92392 ( .A(n73928), .B(n73927), .Y(n73954) );
+  sky130_fd_sc_hd__xnor2_1 U92393 ( .A(n73929), .B(n85723), .Y(n73932) );
+  sky130_fd_sc_hd__xnor2_1 U92394 ( .A(n73930), .B(n85724), .Y(n73931) );
+  sky130_fd_sc_hd__nor2_1 U92395 ( .A(n73932), .B(n73931), .Y(n73938) );
+  sky130_fd_sc_hd__xnor2_1 U92396 ( .A(n73933), .B(n85725), .Y(n73936) );
+  sky130_fd_sc_hd__xnor2_1 U92397 ( .A(n73934), .B(n85726), .Y(n73935) );
+  sky130_fd_sc_hd__nor2_1 U92398 ( .A(n73936), .B(n73935), .Y(n73937) );
+  sky130_fd_sc_hd__nand2_1 U92399 ( .A(n73938), .B(n73937), .Y(n73952) );
+  sky130_fd_sc_hd__xnor2_1 U92400 ( .A(n73968), .B(n85728), .Y(n73939) );
+  sky130_fd_sc_hd__xnor2_1 U92401 ( .A(n73940), .B(n73939), .Y(n73944) );
+  sky130_fd_sc_hd__xnor2_1 U92402 ( .A(n73969), .B(n85729), .Y(n73941) );
+  sky130_fd_sc_hd__xnor2_1 U92403 ( .A(n73942), .B(n73941), .Y(n73943) );
+  sky130_fd_sc_hd__nor2_1 U92404 ( .A(n73944), .B(n73943), .Y(n73950) );
+  sky130_fd_sc_hd__xnor2_1 U92405 ( .A(n73945), .B(n85730), .Y(n73948) );
+  sky130_fd_sc_hd__xnor2_1 U92406 ( .A(n73946), .B(n85731), .Y(n73947) );
+  sky130_fd_sc_hd__nor2_1 U92407 ( .A(n73948), .B(n73947), .Y(n73949) );
+  sky130_fd_sc_hd__nand2_1 U92408 ( .A(n73950), .B(n73949), .Y(n73951) );
+  sky130_fd_sc_hd__nor2_1 U92409 ( .A(n73952), .B(n73951), .Y(n73953) );
+  sky130_fd_sc_hd__nand2_1 U92410 ( .A(n73954), .B(n73953), .Y(n73955) );
+  sky130_fd_sc_hd__nor2_1 U92411 ( .A(n73956), .B(n73955), .Y(n73958) );
+  sky130_fd_sc_hd__nand2_1 U92412 ( .A(n73958), .B(n38393), .Y(n73959) );
+  sky130_fd_sc_hd__nor2_1 U92413 ( .A(n73960), .B(n73959), .Y(n73962) );
+  sky130_fd_sc_hd__nand2_1 U92414 ( .A(n73962), .B(n38394), .Y(n73963) );
+  sky130_fd_sc_hd__nor2_1 U92415 ( .A(n73964), .B(n73963), .Y(n74011) );
+  sky130_fd_sc_hd__nor2_1 U92416 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[9]), .B(n73998), .Y(n73970) );
+  sky130_fd_sc_hd__nand2_1 U92418 ( .A(n73998), .B(n73966), .Y(n73985) );
+  sky130_fd_sc_hd__o31ai_1 U92419 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[9]), .A2(n73985), .A3(n73975), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[11]), .Y(n73977) );
+  sky130_fd_sc_hd__a21oi_1 U92420 ( .A1(n73968), .A2(n73985), .B1(n73967), .Y(
+        n73972) );
+  sky130_fd_sc_hd__nand2_1 U92421 ( .A(n73969), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[10]), .Y(n73971) );
+  sky130_fd_sc_hd__a21oi_1 U92422 ( .A1(n73972), .A2(n73971), .B1(n73970), .Y(
+        n73973) );
+  sky130_fd_sc_hd__nor3_1 U92423 ( .A(n73975), .B(n73974), .C(n73973), .Y(
+        n73976) );
+  sky130_fd_sc_hd__nor2_1 U92424 ( .A(n73977), .B(n73976), .Y(n73978) );
+  sky130_fd_sc_hd__nor3_1 U92425 ( .A(n73980), .B(n73979), .C(n73978), .Y(
+        n73981) );
+  sky130_fd_sc_hd__a21oi_1 U92426 ( .A1(n74001), .A2(n73982), .B1(n73981), .Y(
+        n73983) );
+  sky130_fd_sc_hd__nor3_1 U92427 ( .A(n73984), .B(n38470), .C(n73983), .Y(
+        n74010) );
+  sky130_fd_sc_hd__xor2_1 U92428 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_opcode_hold[2]), .B(n85734), .X(n74009) );
+  sky130_fd_sc_hd__o21a_1 U92429 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[8]), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[9]), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[10]), .X(n73993) );
+  sky130_fd_sc_hd__nor4_1 U92430 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[11]), .B(n73987), .C(n73993), .D(n73986), .Y(n73988) );
+  sky130_fd_sc_hd__o21ai_1 U92431 ( .A1(n73990), .A2(n73989), .B1(n73988), .Y(
+        n73991) );
+  sky130_fd_sc_hd__a21oi_1 U92432 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[10]), .A2(n73992), .B1(n73991), .Y(n74004) );
+  sky130_fd_sc_hd__o22ai_1 U92433 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_opcode_hold[0]), .A2(n74005), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_opcode_hold[1]), .B2(n73994), .Y(n73996) );
+  sky130_fd_sc_hd__nor3_1 U92434 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_no_merge), .B(n73996), .C(n73995), .Y(n74000) );
+  sky130_fd_sc_hd__nor3_1 U92435 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[10]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[9]), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[11]), .Y(n73997) );
+  sky130_fd_sc_hd__o211ai_1 U92437 ( .A1(n74002), .A2(n74001), .B1(n74000), 
+        .C1(n73999), .Y(n74003) );
+  sky130_fd_sc_hd__a211oi_1 U92438 ( .A1(n74005), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_opcode_hold[0]), .B1(n74004), .C1(n74003), .Y(n74006) );
+  sky130_fd_sc_hd__nand3_1 U92440 ( .A(n74012), .B(n36846), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_24_0_), .Y(n74041) );
+  sky130_fd_sc_hd__nand3_1 U92441 ( .A(n74032), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[0]), .C(n74013), .Y(n74014) );
+  sky130_fd_sc_hd__o211ai_1 U92442 ( .A1(n74016), .A2(n74021), .B1(n74015), 
+        .C1(n74014), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N186) );
+  sky130_fd_sc_hd__nand2b_1 U92443 ( .A_N(n86388), .B(n49230), .Y(n36418) );
+  sky130_fd_sc_hd__nor3_1 U92444 ( .A(n80432), .B(n74034), .C(n36418), .Y(
+        n74025) );
+  sky130_fd_sc_hd__a21oi_1 U92445 ( .A1(n77901), .A2(n77927), .B1(n37038), .Y(
+        n74030) );
+  sky130_fd_sc_hd__a21oi_1 U92446 ( .A1(n74027), .A2(n74017), .B1(n74030), .Y(
+        n74022) );
+  sky130_fd_sc_hd__nand2_1 U92448 ( .A(n74019), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_state[1]), .Y(n74020) );
+  sky130_fd_sc_hd__o22ai_1 U92449 ( .A1(n74023), .A2(n74022), .B1(n74021), 
+        .B2(n74020), .Y(n74024) );
+  sky130_fd_sc_hd__a211oi_1 U92450 ( .A1(n74027), .A2(n74026), .B1(n74025), 
+        .C1(n74024), .Y(n86482) );
+  sky130_fd_sc_hd__nor2_1 U92451 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_24_0_), .B(n83326), .Y(n74037) );
+  sky130_fd_sc_hd__nor3_1 U92452 ( .A(n75832), .B(n60015), .C(n74028), .Y(
+        n74029) );
+  sky130_fd_sc_hd__nor3_1 U92453 ( .A(n74037), .B(n74030), .C(n74029), .Y(
+        n74031) );
+  sky130_fd_sc_hd__o21ai_1 U92454 ( .A1(n74041), .A2(n74032), .B1(n74031), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N188) );
+  sky130_fd_sc_hd__a21oi_1 U92455 ( .A1(n74035), .A2(n74034), .B1(n37041), .Y(
+        n74038) );
+  sky130_fd_sc_hd__a21oi_1 U92457 ( .A1(n74039), .A2(n74038), .B1(n74037), .Y(
+        n74040) );
+  sky130_fd_sc_hd__o31ai_1 U92458 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[0]), .A2(n74041), .A3(n75843), .B1(n74040), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N187) );
+  sky130_fd_sc_hd__o21ai_0 U92459 ( .A1(n78347), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N7), .B1(n79910), .Y(n74042) );
+  sky130_fd_sc_hd__a21oi_1 U92460 ( .A1(n86388), .A2(n74043), .B1(n74042), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_N6) );
+  sky130_fd_sc_hd__nand3_1 U92461 ( .A(n77439), .B(n77438), .C(n74044), .Y(
+        n74046) );
+  sky130_fd_sc_hd__nor2_1 U92462 ( .A(n36802), .B(n82462), .Y(n82467) );
+  sky130_fd_sc_hd__nand2_1 U92463 ( .A(n74046), .B(n82467), .Y(n82612) );
+  sky130_fd_sc_hd__nand3_1 U92464 ( .A(n36801), .B(n86595), .C(n74047), .Y(
+        n82611) );
+  sky130_fd_sc_hd__o22ai_1 U92465 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter[0]), .A2(n82612), .B1(n83002), .B2(n82611), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N621) );
+  sky130_fd_sc_hd__nor2_1 U92466 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_victim_way_r), .B(n74048), .Y(n74155) );
+  sky130_fd_sc_hd__a22o_1 U92467 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[3]), .A2(n78206), .B1(n74157), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[3]), .X(n74050) );
+  sky130_fd_sc_hd__a21oi_1 U92468 ( .A1(n74155), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[3]), .B1(n74050), .Y(n74051) );
+  sky130_fd_sc_hd__o21ai_0 U92469 ( .A1(n74054), .A2(n83033), .B1(n74051), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N530) );
+  sky130_fd_sc_hd__a22oi_1 U92470 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[15]), .A2(n74161), .B1(n74159), .B2(n74052), .Y(n74053) );
+  sky130_fd_sc_hd__o21ai_0 U92471 ( .A1(n74054), .A2(n74163), .B1(n74053), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[15]) );
+  sky130_fd_sc_hd__nand2_1 U92472 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[19]), .Y(n74055) );
+  sky130_fd_sc_hd__a22o_1 U92473 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[13]), .A2(n74114), .B1(n74157), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[13]), .X(n74056) );
+  sky130_fd_sc_hd__a21oi_1 U92474 ( .A1(n74155), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[13]), .B1(n74056), .Y(n74057) );
+  sky130_fd_sc_hd__o21ai_0 U92475 ( .A1(n74060), .A2(n83033), .B1(n74057), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N540) );
+  sky130_fd_sc_hd__a22oi_1 U92476 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[25]), .A2(n74161), .B1(n74159), .B2(n74058), .Y(n74059) );
+  sky130_fd_sc_hd__o21ai_0 U92477 ( .A1(n74060), .A2(n74163), .B1(n74059), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[25]) );
+  sky130_fd_sc_hd__a22o_1 U92478 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[6]), .A2(n78206), .B1(n74155), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[6]), .X(n74061) );
+  sky130_fd_sc_hd__a21oi_1 U92479 ( .A1(n74157), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[6]), .B1(n74061), .Y(n74062) );
+  sky130_fd_sc_hd__o21ai_0 U92480 ( .A1(n74065), .A2(n83033), .B1(n74062), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N533) );
+  sky130_fd_sc_hd__a22oi_1 U92481 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[18]), .A2(n74161), .B1(n74159), .B2(n74063), .Y(n74064) );
+  sky130_fd_sc_hd__o21ai_0 U92482 ( .A1(n74065), .A2(n74163), .B1(n74064), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[18]) );
+  sky130_fd_sc_hd__a22o_1 U92483 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[5]), .A2(n74114), .B1(n74157), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[5]), .X(n74066) );
+  sky130_fd_sc_hd__a21oi_1 U92484 ( .A1(n74155), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[5]), .B1(n74066), .Y(n74067) );
+  sky130_fd_sc_hd__o21ai_0 U92485 ( .A1(n74070), .A2(n83033), .B1(n74067), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N532) );
+  sky130_fd_sc_hd__a22oi_1 U92486 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[17]), .A2(n74161), .B1(n74159), .B2(n74068), .Y(n74069) );
+  sky130_fd_sc_hd__o21ai_0 U92487 ( .A1(n74070), .A2(n74163), .B1(n74069), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[17]) );
+  sky130_fd_sc_hd__a22o_1 U92488 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[2]), .A2(n78206), .B1(n74157), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[2]), .X(n74071) );
+  sky130_fd_sc_hd__a21oi_1 U92489 ( .A1(n74155), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[2]), .B1(n74071), .Y(n74072) );
+  sky130_fd_sc_hd__o21ai_0 U92490 ( .A1(n74075), .A2(n83033), .B1(n74072), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N529) );
+  sky130_fd_sc_hd__a22oi_1 U92491 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[14]), .A2(n74161), .B1(n74159), .B2(n74073), .Y(n74074) );
+  sky130_fd_sc_hd__o21ai_0 U92492 ( .A1(n74075), .A2(n74163), .B1(n74074), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[14]) );
+  sky130_fd_sc_hd__a22o_1 U92493 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[1]), .A2(n74114), .B1(n74155), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[1]), .X(n74076) );
+  sky130_fd_sc_hd__a21oi_1 U92494 ( .A1(n74157), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[1]), .B1(n74076), .Y(n74077) );
+  sky130_fd_sc_hd__o21ai_0 U92495 ( .A1(n74080), .A2(n83033), .B1(n74077), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N528) );
+  sky130_fd_sc_hd__a22oi_1 U92496 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[13]), .A2(n74161), .B1(n74159), .B2(n74078), .Y(n74079) );
+  sky130_fd_sc_hd__o21ai_0 U92497 ( .A1(n74080), .A2(n74163), .B1(n74079), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[13]) );
+  sky130_fd_sc_hd__a22o_1 U92498 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[4]), .A2(n74114), .B1(n74157), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[4]), .X(n74081) );
+  sky130_fd_sc_hd__a21oi_1 U92499 ( .A1(n74155), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[4]), .B1(n74081), .Y(n74082) );
+  sky130_fd_sc_hd__o21ai_0 U92500 ( .A1(n74085), .A2(n83033), .B1(n74082), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N531) );
+  sky130_fd_sc_hd__a22oi_1 U92501 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[16]), .A2(n74161), .B1(n74159), .B2(n74083), .Y(n74084) );
+  sky130_fd_sc_hd__o21ai_0 U92502 ( .A1(n74085), .A2(n74163), .B1(n74084), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[16]) );
+  sky130_fd_sc_hd__a22o_1 U92503 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[0]), .A2(n74114), .B1(n74155), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[0]), .X(n74086) );
+  sky130_fd_sc_hd__a21oi_1 U92504 ( .A1(n74157), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[0]), .B1(n74086), .Y(n74087) );
+  sky130_fd_sc_hd__o21ai_0 U92505 ( .A1(n74090), .A2(n83033), .B1(n74087), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N527) );
+  sky130_fd_sc_hd__a22oi_1 U92506 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[12]), .A2(n74161), .B1(n74159), .B2(n74088), .Y(n74089) );
+  sky130_fd_sc_hd__o21ai_0 U92507 ( .A1(n74090), .A2(n74163), .B1(n74089), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[12]) );
+  sky130_fd_sc_hd__nand2_1 U92508 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[20]), .Y(n74091) );
+  sky130_fd_sc_hd__a22o_1 U92509 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[14]), .A2(n78206), .B1(n74155), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[14]), .X(n74092) );
+  sky130_fd_sc_hd__a21oi_1 U92510 ( .A1(n74157), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[14]), .B1(n74092), .Y(n74093) );
+  sky130_fd_sc_hd__o21ai_0 U92511 ( .A1(n74096), .A2(n83033), .B1(n74093), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N541) );
+  sky130_fd_sc_hd__a22oi_1 U92512 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[26]), .A2(n74161), .B1(n74159), .B2(n74094), .Y(n74095) );
+  sky130_fd_sc_hd__o21ai_0 U92513 ( .A1(n74096), .A2(n74163), .B1(n74095), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[26]) );
+  sky130_fd_sc_hd__nand2_1 U92514 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[21]), .Y(n74097) );
+  sky130_fd_sc_hd__a22o_1 U92515 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[15]), .A2(n78206), .B1(n74155), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[15]), .X(n74098) );
+  sky130_fd_sc_hd__a21oi_1 U92516 ( .A1(n74157), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[15]), .B1(n74098), .Y(n74099) );
+  sky130_fd_sc_hd__o21ai_0 U92517 ( .A1(n74102), .A2(n83033), .B1(n74099), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N542) );
+  sky130_fd_sc_hd__a22oi_1 U92518 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[27]), .A2(n74161), .B1(n74159), .B2(n74100), .Y(n74101) );
+  sky130_fd_sc_hd__o21ai_0 U92519 ( .A1(n74102), .A2(n74163), .B1(n74101), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[27]) );
+  sky130_fd_sc_hd__a22o_1 U92520 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[8]), .A2(n74114), .B1(n74157), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[8]), .X(n74103) );
+  sky130_fd_sc_hd__a21oi_1 U92521 ( .A1(n74155), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[8]), .B1(n74103), .Y(n74104) );
+  sky130_fd_sc_hd__o21ai_0 U92522 ( .A1(n74107), .A2(n83033), .B1(n74104), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N535) );
+  sky130_fd_sc_hd__a22oi_1 U92523 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[20]), .A2(n74161), .B1(n74159), .B2(n74105), .Y(n74106) );
+  sky130_fd_sc_hd__o21ai_0 U92524 ( .A1(n74107), .A2(n74163), .B1(n74106), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[20]) );
+  sky130_fd_sc_hd__nand2_1 U92525 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[18]), .Y(n74108) );
+  sky130_fd_sc_hd__a22o_1 U92526 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[12]), .A2(n74114), .B1(n74155), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[12]), .X(n74109) );
+  sky130_fd_sc_hd__a21oi_1 U92527 ( .A1(n74157), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[12]), .B1(n74109), .Y(n74110) );
+  sky130_fd_sc_hd__o21ai_0 U92528 ( .A1(n74113), .A2(n83033), .B1(n74110), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N539) );
+  sky130_fd_sc_hd__a22oi_1 U92529 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[24]), .A2(n74161), .B1(n74159), .B2(n74111), .Y(n74112) );
+  sky130_fd_sc_hd__o21ai_0 U92530 ( .A1(n74113), .A2(n74163), .B1(n74112), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[24]) );
+  sky130_fd_sc_hd__a22o_1 U92531 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[9]), .A2(n74114), .B1(n74157), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[9]), .X(n74115) );
+  sky130_fd_sc_hd__a21oi_1 U92532 ( .A1(n74155), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[9]), .B1(n74115), .Y(n74116) );
+  sky130_fd_sc_hd__o21ai_0 U92533 ( .A1(n74119), .A2(n83033), .B1(n74116), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N536) );
+  sky130_fd_sc_hd__a22oi_1 U92534 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[21]), .A2(n74161), .B1(n74159), .B2(n74117), .Y(n74118) );
+  sky130_fd_sc_hd__o21ai_0 U92535 ( .A1(n74119), .A2(n74163), .B1(n74118), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[21]) );
+  sky130_fd_sc_hd__nand2_1 U92536 ( .A(n83029), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[48]), .Y(n74121) );
+  sky130_fd_sc_hd__nand2_1 U92537 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[23]), .Y(n74120) );
+  sky130_fd_sc_hd__nand2_1 U92538 ( .A(n74121), .B(n74120), .Y(n74126) );
+  sky130_fd_sc_hd__a22o_1 U92539 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[17]), .A2(n78206), .B1(n74157), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[17]), .X(n74122) );
+  sky130_fd_sc_hd__a21oi_1 U92540 ( .A1(n74155), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[17]), .B1(n74122), .Y(n74123) );
+  sky130_fd_sc_hd__a222oi_1 U92542 ( .A1(n74126), .A2(n74151), .B1(n74161), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[29]), .C1(n74159), .C2(n74125), .Y(n74127) );
+  sky130_fd_sc_hd__nand2_1 U92543 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[16]), .Y(n74128) );
+  sky130_fd_sc_hd__a22o_1 U92544 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[10]), .A2(n78206), .B1(n74157), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[10]), .X(n74129) );
+  sky130_fd_sc_hd__a21oi_1 U92545 ( .A1(n74155), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[10]), .B1(n74129), .Y(n74130) );
+  sky130_fd_sc_hd__o21ai_0 U92546 ( .A1(n74133), .A2(n83033), .B1(n74130), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N537) );
+  sky130_fd_sc_hd__a22oi_1 U92547 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[22]), .A2(n74161), .B1(n74159), .B2(n74131), .Y(n74132) );
+  sky130_fd_sc_hd__o21ai_0 U92548 ( .A1(n74133), .A2(n74163), .B1(n74132), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[22]) );
+  sky130_fd_sc_hd__nand2_1 U92549 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[17]), .Y(n74134) );
+  sky130_fd_sc_hd__a22o_1 U92550 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[11]), .A2(n78206), .B1(n74157), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[11]), .X(n74135) );
+  sky130_fd_sc_hd__a21oi_1 U92551 ( .A1(n74155), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[11]), .B1(n74135), .Y(n74136) );
+  sky130_fd_sc_hd__o21ai_0 U92552 ( .A1(n74139), .A2(n83033), .B1(n74136), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N538) );
+  sky130_fd_sc_hd__a22oi_1 U92553 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[23]), .A2(n74161), .B1(n74159), .B2(n74137), .Y(n74138) );
+  sky130_fd_sc_hd__o21ai_0 U92554 ( .A1(n74139), .A2(n74163), .B1(n74138), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[23]) );
+  sky130_fd_sc_hd__a22o_1 U92555 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[7]), .A2(n78206), .B1(n74157), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[7]), .X(n74140) );
+  sky130_fd_sc_hd__a21oi_1 U92556 ( .A1(n74155), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[7]), .B1(n74140), .Y(n74141) );
+  sky130_fd_sc_hd__o21ai_0 U92557 ( .A1(n74144), .A2(n83033), .B1(n74141), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N534) );
+  sky130_fd_sc_hd__a22oi_1 U92558 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[19]), .A2(n74161), .B1(n74159), .B2(n74142), .Y(n74143) );
+  sky130_fd_sc_hd__o21ai_0 U92559 ( .A1(n74144), .A2(n74163), .B1(n74143), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[19]) );
+  sky130_fd_sc_hd__nand2_1 U92560 ( .A(n83029), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[49]), .Y(n74146) );
+  sky130_fd_sc_hd__nand2_1 U92561 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[24]), .Y(n74145) );
+  sky130_fd_sc_hd__nand2_1 U92562 ( .A(n74146), .B(n74145), .Y(n74150) );
+  sky130_fd_sc_hd__a22o_1 U92563 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[18]), .A2(n78206), .B1(n74157), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[18]), .X(n74147) );
+  sky130_fd_sc_hd__a21oi_1 U92564 ( .A1(n74155), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[18]), .B1(n74147), .Y(n74148) );
+  sky130_fd_sc_hd__a22oi_1 U92566 ( .A1(n74161), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[30]), .B1(n74151), .B2(n74150), .Y(n74152) );
+  sky130_fd_sc_hd__o21ai_1 U92567 ( .A1(n74153), .A2(n38492), .B1(n74152), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[30]) );
+  sky130_fd_sc_hd__nand2_1 U92568 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_opcode_io_deq_bits_MPORT_addr), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_ram_address[22]), .Y(n74154) );
+  sky130_fd_sc_hd__a22o_1 U92569 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[16]), .A2(n78206), .B1(n74155), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_0_tag[16]), .X(n74156) );
+  sky130_fd_sc_hd__a21oi_1 U92570 ( .A1(n74157), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_meta_corrected_1_tag[16]), .B1(n74156), .Y(n74158) );
+  sky130_fd_sc_hd__o21ai_0 U92571 ( .A1(n74164), .A2(n83033), .B1(n74158), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N543) );
+  sky130_fd_sc_hd__a22oi_1 U92572 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[28]), .A2(n74161), .B1(n74160), .B2(n74159), .Y(n74162) );
+  sky130_fd_sc_hd__o21ai_0 U92573 ( .A1(n74164), .A2(n74163), .B1(n74162), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s0_req_addr[28]) );
+  sky130_fd_sc_hd__nand2_1 U92574 ( .A(n74165), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_mprv), .Y(n74166) );
+  sky130_fd_sc_hd__mux2_2 U92575 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_mpp[1]), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_1_status_prv[1]), .S(n74166), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_dprv_1_) );
+  sky130_fd_sc_hd__nand2_1 U92576 ( .A(n78369), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[9]), .Y(n74167) );
+  sky130_fd_sc_hd__o21ai_0 U92577 ( .A1(n74168), .A2(n78369), .B1(n74167), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N716) );
+  sky130_fd_sc_hd__o22ai_1 U92578 ( .A1(n83027), .A2(n76611), .B1(n74169), 
+        .B2(n83033), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N524) );
+  sky130_fd_sc_hd__nand2_1 U92579 ( .A(n78369), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[8]), .Y(n74170) );
+  sky130_fd_sc_hd__o21ai_0 U92580 ( .A1(n74171), .A2(n78369), .B1(n74170), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N715) );
+  sky130_fd_sc_hd__o22ai_1 U92581 ( .A1(n83027), .A2(n76604), .B1(n74172), 
+        .B2(n83033), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N523) );
+  sky130_fd_sc_hd__nand2_1 U92582 ( .A(n78369), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[10]), .Y(n74173) );
+  sky130_fd_sc_hd__o21ai_0 U92583 ( .A1(n74174), .A2(n78369), .B1(n74173), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N717) );
+  sky130_fd_sc_hd__o22ai_1 U92584 ( .A1(n83027), .A2(n76619), .B1(n74175), 
+        .B2(n83033), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N525) );
+  sky130_fd_sc_hd__nor4_1 U92585 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[25]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[17]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[16]), .D(n75800), .Y(n74176) );
+  sky130_fd_sc_hd__a21oi_1 U92586 ( .A1(n74178), .A2(n74177), .B1(n74176), .Y(
+        n74179) );
+  sky130_fd_sc_hd__o21bai_1 U92587 ( .A1(n74180), .A2(n74179), .B1_N(n74190), 
+        .Y(n74186) );
+  sky130_fd_sc_hd__a21oi_1 U92588 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_size[0]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_size[1]), .Y(n74182) );
+  sky130_fd_sc_hd__a211oi_1 U92589 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[2]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_size[0]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[1]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[0]), .Y(n74181) );
+  sky130_fd_sc_hd__nor2_1 U92590 ( .A(n74182), .B(n74181), .Y(n77607) );
+  sky130_fd_sc_hd__nand2_1 U92591 ( .A(n77607), .B(n74183), .Y(n74184) );
+  sky130_fd_sc_hd__a21oi_1 U92592 ( .A1(n74186), .A2(n74185), .B1(n74184), .Y(
+        n74187) );
+  sky130_fd_sc_hd__nor2_1 U92593 ( .A(n74188), .B(n74187), .Y(n74196) );
+  sky130_fd_sc_hd__nor4_1 U92594 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[28]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[30]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_status_debug), .D(n74190), .Y(n74192) );
+  sky130_fd_sc_hd__nand2_1 U92595 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_cmd[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_cmd[1]), .Y(n77604) );
+  sky130_fd_sc_hd__nor3_1 U92596 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_cmd[3]), .B(n77604), .C(n85736), .Y(n74191) );
+  sky130_fd_sc_hd__a31oi_1 U92597 ( .A1(n74194), .A2(n74193), .A3(n74192), 
+        .B1(n74191), .Y(n74195) );
+  sky130_fd_sc_hd__nand2_1 U92598 ( .A(n74196), .B(n74195), .Y(n75646) );
+  sky130_fd_sc_hd__clkinv_1 U92599 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_cmd[0]), .Y(n74197) );
+  sky130_fd_sc_hd__nand2_1 U92600 ( .A(n74197), .B(n75642), .Y(n74199) );
+  sky130_fd_sc_hd__nand3_1 U92601 ( .A(n74199), .B(n77604), .C(n74198), .Y(
+        n75613) );
+  sky130_fd_sc_hd__nand2_1 U92602 ( .A(n75646), .B(n75613), .Y(n75641) );
+  sky130_fd_sc_hd__nand2_1 U92603 ( .A(n74200), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[30]), .Y(n74334) );
+  sky130_fd_sc_hd__o22ai_1 U92604 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[25]), .A2(n75901), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[26]), .B2(n75899), .Y(n74259) );
+  sky130_fd_sc_hd__o22ai_1 U92605 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[23]), .A2(n75905), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[24]), .B2(n75889), .Y(n74256) );
+  sky130_fd_sc_hd__nand2_1 U92606 ( .A(n74201), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[23]), .Y(n74338) );
+  sky130_fd_sc_hd__nand3_1 U92607 ( .A(n74338), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[20]), .C(n75891), .Y(n74251) );
+  sky130_fd_sc_hd__o22ai_1 U92608 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[17]), .A2(n75794), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[18]), .B2(n75792), .Y(n74244) );
+  sky130_fd_sc_hd__nor2_1 U92609 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[16]), .B(n75796), .Y(n74386) );
+  sky130_fd_sc_hd__nor2_1 U92610 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[15]), .B(n74202), .Y(n74380) );
+  sky130_fd_sc_hd__nand2_1 U92611 ( .A(n75784), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[14]), .Y(n74349) );
+  sky130_fd_sc_hd__nor2_1 U92612 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[14]), .B(n74203), .Y(n74394) );
+  sky130_fd_sc_hd__nor2_1 U92613 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[13]), .B(n74204), .Y(n74383) );
+  sky130_fd_sc_hd__nor2_1 U92614 ( .A(n74394), .B(n74383), .Y(n74207) );
+  sky130_fd_sc_hd__nor2_1 U92615 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[11]), .B(n75800), .Y(n74382) );
+  sky130_fd_sc_hd__nor3_1 U92616 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[12]), .B(n74382), .C(n74205), .Y(n74206) );
+  sky130_fd_sc_hd__nor2b_1 U92617 ( .B_N(n74207), .A(n74206), .Y(n74208) );
+  sky130_fd_sc_hd__o22a_1 U92618 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[7]), .A2(n75449), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[8]), .B2(n75456), .X(n74226) );
+  sky130_fd_sc_hd__nand2_1 U92619 ( .A(n74209), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[6]), .Y(n74367) );
+  sky130_fd_sc_hd__clkinv_1 U92620 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[2]), .Y(n75430) );
+  sky130_fd_sc_hd__clkinv_1 U92621 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[3]), .Y(n75431) );
+  sky130_fd_sc_hd__nor2_1 U92622 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[1]), .B(n75431), .Y(n74355) );
+  sky130_fd_sc_hd__clkinv_1 U92623 ( .A(n74355), .Y(n74211) );
+  sky130_fd_sc_hd__nor2_1 U92624 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[3]), .B(n74210), .Y(n74356) );
+  sky130_fd_sc_hd__a31oi_1 U92625 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[0]), .A2(n75430), .A3(n74211), .B1(n74356), .Y(n74217) );
+  sky130_fd_sc_hd__nand2_1 U92626 ( .A(n74212), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[5]), .Y(n74214) );
+  sky130_fd_sc_hd__nand2_1 U92628 ( .A(n75440), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[4]), .Y(n74366) );
+  sky130_fd_sc_hd__a31oi_1 U92629 ( .A1(n74214), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[2]), .A3(n75435), .B1(n74213), .Y(n74215) );
+  sky130_fd_sc_hd__a22oi_1 U92631 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[5]), .A2(n75441), .B1(n74367), .B2(n74218), .Y(n74221) );
+  sky130_fd_sc_hd__o22ai_1 U92632 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[5]), .A2(n75441), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[6]), .B2(n75445), .Y(n74220) );
+  sky130_fd_sc_hd__a22oi_1 U92633 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[6]), .A2(n75445), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[7]), .B2(n75449), .Y(n74219) );
+  sky130_fd_sc_hd__o22ai_1 U92635 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[10]), .A2(n74223), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[11]), .B2(n74222), .Y(n74224) );
+  sky130_fd_sc_hd__a21oi_1 U92636 ( .A1(n74226), .A2(n74225), .B1(n74224), .Y(
+        n74228) );
+  sky130_fd_sc_hd__o22ai_1 U92637 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[10]), .A2(n75782), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[9]), .B2(n75457), .Y(n74227) );
+  sky130_fd_sc_hd__nor3_1 U92638 ( .A(n74382), .B(n74228), .C(n74227), .Y(
+        n74230) );
+  sky130_fd_sc_hd__nor2_1 U92639 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[13]), .B(n75798), .Y(n74379) );
+  sky130_fd_sc_hd__nor2_1 U92640 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[12]), .B(n75786), .Y(n74395) );
+  sky130_fd_sc_hd__nor2_1 U92641 ( .A(n74379), .B(n74395), .Y(n74229) );
+  sky130_fd_sc_hd__o21ai_1 U92642 ( .A1(n74231), .A2(n74230), .B1(n74229), .Y(
+        n74234) );
+  sky130_fd_sc_hd__nand2_1 U92643 ( .A(n74232), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[16]), .Y(n74350) );
+  sky130_fd_sc_hd__o21ai_1 U92644 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[15]), .A2(n75788), .B1(n74350), .Y(n74233) );
+  sky130_fd_sc_hd__a31oi_1 U92645 ( .A1(n74235), .A2(n74349), .A3(n74234), 
+        .B1(n74233), .Y(n74237) );
+  sky130_fd_sc_hd__nor2_1 U92646 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[18]), .B(n74236), .Y(n74385) );
+  sky130_fd_sc_hd__a211oi_1 U92647 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[15]), .A2(n75788), .B1(n74237), .C1(n74385), .Y(n74239) );
+  sky130_fd_sc_hd__o22a_1 U92648 ( .A1(n74386), .A2(n74239), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[19]), .B2(n74238), .X(n74243) );
+  sky130_fd_sc_hd__nor2_1 U92649 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[21]), .B(n74245), .Y(n74406) );
+  sky130_fd_sc_hd__nor2_1 U92650 ( .A(n74241), .B(n74406), .Y(n74242) );
+  sky130_fd_sc_hd__o21ai_1 U92651 ( .A1(n74244), .A2(n74243), .B1(n74242), .Y(
+        n74246) );
+  sky130_fd_sc_hd__nand2_1 U92652 ( .A(n74245), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[21]), .Y(n74403) );
+  sky130_fd_sc_hd__nand2_1 U92653 ( .A(n74246), .B(n38415), .Y(n74247) );
+  sky130_fd_sc_hd__o21bai_1 U92654 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[20]), .A2(n75891), .B1_N(n74247), .Y(n74250) );
+  sky130_fd_sc_hd__nand2_1 U92655 ( .A(n75893), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[21]), .Y(n74337) );
+  sky130_fd_sc_hd__nor2_1 U92656 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[24]), .B(n74248), .Y(n74340) );
+  sky130_fd_sc_hd__nand4_1 U92657 ( .A(n74251), .B(n74250), .C(n74337), .D(
+        n74249), .Y(n74253) );
+  sky130_fd_sc_hd__nor2_1 U92658 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[22]), .B(n75895), .Y(n74341) );
+  sky130_fd_sc_hd__a22oi_1 U92659 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[23]), .A2(n75905), .B1(n74253), .B2(n74252), .Y(n74255) );
+  sky130_fd_sc_hd__a22oi_1 U92660 ( .A1(n75889), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[24]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[25]), .B2(n75901), .Y(n74254) );
+  sky130_fd_sc_hd__o21a_1 U92661 ( .A1(n74256), .A2(n74255), .B1(n74254), .X(
+        n74258) );
+  sky130_fd_sc_hd__a22oi_1 U92662 ( .A1(n75899), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[26]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[27]), .B2(n75903), .Y(n74257) );
+  sky130_fd_sc_hd__o211ai_1 U92664 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[27]), .A2(n75903), .B1(n74334), .C1(n74260), .Y(n74261) );
+  sky130_fd_sc_hd__nand2_1 U92665 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[28]), .B(n75897), .Y(n74335) );
+  sky130_fd_sc_hd__nand2_1 U92666 ( .A(n74261), .B(n74335), .Y(n74896) );
+  sky130_fd_sc_hd__nor2_1 U92667 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[27]), .B(n75903), .Y(n74606) );
+  sky130_fd_sc_hd__nand2_1 U92668 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[27]), .B(n75903), .Y(n74604) );
+  sky130_fd_sc_hd__nand2_1 U92669 ( .A(n75897), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[28]), .Y(n74263) );
+  sky130_fd_sc_hd__nand2_1 U92670 ( .A(n74604), .B(n74263), .Y(n74264) );
+  sky130_fd_sc_hd__a31oi_1 U92671 ( .A1(n74265), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[26]), .A3(n75899), .B1(n74264), .Y(n74332) );
+  sky130_fd_sc_hd__nor2_1 U92672 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[20]), .B(n75891), .Y(n74266) );
+  sky130_fd_sc_hd__nor2_1 U92673 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[19]), .B(n75790), .Y(n74574) );
+  sky130_fd_sc_hd__nor2_1 U92674 ( .A(n74266), .B(n74574), .Y(n74314) );
+  sky130_fd_sc_hd__nand2_1 U92675 ( .A(n74267), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[20]), .Y(n74558) );
+  sky130_fd_sc_hd__nor2_1 U92676 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[16]), .B(n75796), .Y(n74309) );
+  sky130_fd_sc_hd__nor2_1 U92677 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[17]), .B(n74268), .Y(n74506) );
+  sky130_fd_sc_hd__nor2_1 U92678 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[15]), .B(n74269), .Y(n74536) );
+  sky130_fd_sc_hd__nor2_1 U92679 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[14]), .B(n74270), .Y(n74559) );
+  sky130_fd_sc_hd__nor2_1 U92680 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[15]), .B(n75788), .Y(n74507) );
+  sky130_fd_sc_hd__nor2_1 U92681 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[13]), .B(n75798), .Y(n74537) );
+  sky130_fd_sc_hd__nor2_1 U92682 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[14]), .B(n75784), .Y(n74570) );
+  sky130_fd_sc_hd__nand3_1 U92683 ( .A(n74273), .B(n74272), .C(n74271), .Y(
+        n74302) );
+  sky130_fd_sc_hd__o21a_1 U92684 ( .A1(n74536), .A2(n74559), .B1(n74274), .X(
+        n74307) );
+  sky130_fd_sc_hd__nor2_1 U92685 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[16]), .B(n74275), .Y(n74569) );
+  sky130_fd_sc_hd__o22ai_1 U92686 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[18]), .A2(n74277), .B1(n74507), .B2(n74276), .Y(n74306) );
+  sky130_fd_sc_hd__nor2_1 U92687 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[9]), .B(n74278), .Y(n74550) );
+  sky130_fd_sc_hd__o22ai_1 U92688 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[5]), .A2(n75441), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[4]), .B2(n75440), .Y(n74290) );
+  sky130_fd_sc_hd__o22ai_1 U92689 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[5]), .A2(n74286), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[6]), .B2(n74279), .Y(n74288) );
+  sky130_fd_sc_hd__nor2_1 U92690 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[2]), .B(n75435), .Y(n74509) );
+  sky130_fd_sc_hd__clkinv_1 U92691 ( .A(n74509), .Y(n74284) );
+  sky130_fd_sc_hd__nand2_1 U92692 ( .A(n74280), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[3]), .Y(n74512) );
+  sky130_fd_sc_hd__nand2_1 U92693 ( .A(n75431), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[1]), .Y(n74511) );
+  sky130_fd_sc_hd__o21ai_1 U92694 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[2]), .A2(n74281), .B1(n74511), .Y(n74283) );
+  sky130_fd_sc_hd__nor2_1 U92695 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[4]), .B(n74282), .Y(n74510) );
+  sky130_fd_sc_hd__a31oi_1 U92696 ( .A1(n74284), .A2(n74512), .A3(n74283), 
+        .B1(n74510), .Y(n74285) );
+  sky130_fd_sc_hd__a21oi_1 U92697 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[5]), .A2(n74286), .B1(n74285), .Y(n74287) );
+  sky130_fd_sc_hd__nor2_1 U92698 ( .A(n74288), .B(n74287), .Y(n74289) );
+  sky130_fd_sc_hd__o22ai_1 U92699 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[7]), .A2(n74291), .B1(n74290), .B2(n74289), .Y(n74292) );
+  sky130_fd_sc_hd__o21ai_1 U92700 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[6]), .A2(n75445), .B1(n74292), .Y(n74294) );
+  sky130_fd_sc_hd__nand2_1 U92701 ( .A(n75445), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[6]), .Y(n74293) );
+  sky130_fd_sc_hd__nor2_1 U92702 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[7]), .B(n75449), .Y(n74549) );
+  sky130_fd_sc_hd__a21oi_1 U92703 ( .A1(n74294), .A2(n74293), .B1(n74549), .Y(
+        n74295) );
+  sky130_fd_sc_hd__o22ai_1 U92704 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[8]), .A2(n75456), .B1(n74550), .B2(n74295), .Y(n74300) );
+  sky130_fd_sc_hd__a22oi_1 U92705 ( .A1(n75457), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[9]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[8]), .B2(n75456), .Y(n74299) );
+  sky130_fd_sc_hd__nand2_1 U92706 ( .A(n74296), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[13]), .Y(n74535) );
+  sky130_fd_sc_hd__o22ai_1 U92707 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[9]), .A2(n75457), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[10]), .B2(n75782), .Y(n74297) );
+  sky130_fd_sc_hd__a211o_1 U92708 ( .A1(n74300), .A2(n74299), .B1(n74298), 
+        .C1(n74297), .X(n74304) );
+  sky130_fd_sc_hd__nand2_1 U92709 ( .A(n75800), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[11]), .Y(n74534) );
+  sky130_fd_sc_hd__a31oi_1 U92710 ( .A1(n74535), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[10]), .A3(n75782), .B1(n74301), .Y(n74303) );
+  sky130_fd_sc_hd__nor2_1 U92711 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[12]), .B(n75786), .Y(n74560) );
+  sky130_fd_sc_hd__a211oi_1 U92712 ( .A1(n74304), .A2(n74303), .B1(n74560), 
+        .C1(n74302), .Y(n74305) );
+  sky130_fd_sc_hd__nor4_1 U92713 ( .A(n74506), .B(n74307), .C(n74306), .D(
+        n74305), .Y(n74308) );
+  sky130_fd_sc_hd__o22ai_1 U92714 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[19]), .A2(n74310), .B1(n74309), .B2(n74308), .Y(n74311) );
+  sky130_fd_sc_hd__o211ai_1 U92715 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[17]), .A2(n75794), .B1(n74558), .C1(n74311), .Y(n74312) );
+  sky130_fd_sc_hd__nand2_1 U92716 ( .A(n75790), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[19]), .Y(n74571) );
+  sky130_fd_sc_hd__nand2_1 U92717 ( .A(n75792), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[18]), .Y(n74557) );
+  sky130_fd_sc_hd__nand3_1 U92718 ( .A(n74312), .B(n74571), .C(n74557), .Y(
+        n74313) );
+  sky130_fd_sc_hd__o2bb2ai_1 U92719 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[22]), .B2(n74315), .A1_N(n74314), .A2_N(n74313), .Y(n74316) );
+  sky130_fd_sc_hd__o21ai_0 U92720 ( .A1(n75893), .A2(n74316), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[21]), .Y(n74317) );
+  sky130_fd_sc_hd__nand2_1 U92722 ( .A(n74319), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[24]), .Y(n74588) );
+  sky130_fd_sc_hd__nand2_1 U92723 ( .A(n74320), .B(n74588), .Y(n74321) );
+  sky130_fd_sc_hd__nand2_1 U92724 ( .A(n75905), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[23]), .Y(n74501) );
+  sky130_fd_sc_hd__nand2_1 U92725 ( .A(n75895), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[22]), .Y(n74587) );
+  sky130_fd_sc_hd__nand3_1 U92726 ( .A(n74321), .B(n74501), .C(n74587), .Y(
+        n74323) );
+  sky130_fd_sc_hd__nand2_1 U92727 ( .A(n74322), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[25]), .Y(n74500) );
+  sky130_fd_sc_hd__nand2_1 U92728 ( .A(n74323), .B(n74500), .Y(n74327) );
+  sky130_fd_sc_hd__nand2_1 U92729 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[25]), .B(n75901), .Y(n74326) );
+  sky130_fd_sc_hd__nand2_1 U92730 ( .A(n74327), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[26]), .Y(n74324) );
+  sky130_fd_sc_hd__nand2_1 U92731 ( .A(n74324), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[24]), .Y(n74325) );
+  sky130_fd_sc_hd__o211ai_1 U92732 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[26]), .A2(n74327), .B1(n74326), .C1(n74325), .Y(n74330) );
+  sky130_fd_sc_hd__o22ai_1 U92733 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[26]), .A2(n75899), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[25]), .B2(n75901), .Y(n74328) );
+  sky130_fd_sc_hd__nor2_1 U92734 ( .A(n74606), .B(n74328), .Y(n74329) );
+  sky130_fd_sc_hd__nand2_1 U92735 ( .A(n74330), .B(n74329), .Y(n74331) );
+  sky130_fd_sc_hd__o2bb2ai_1 U92736 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[28]), .B2(n75897), .A1_N(n74332), .A2_N(n74331), .Y(n74612) );
+  sky130_fd_sc_hd__a21oi_1 U92737 ( .A1(n74335), .A2(n74334), .B1(n74333), .Y(
+        n74433) );
+  sky130_fd_sc_hd__xnor2_1 U92738 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[29]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[27]), .Y(n74431) );
+  sky130_fd_sc_hd__xnor2_1 U92739 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[26]), .B(n75899), .Y(n74427) );
+  sky130_fd_sc_hd__xnor2_1 U92740 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[26]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[24]), .Y(n74424) );
+  sky130_fd_sc_hd__xnor2_1 U92741 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[23]), .B(n75905), .Y(n74418) );
+  sky130_fd_sc_hd__a21oi_1 U92742 ( .A1(n74338), .A2(n74337), .B1(n74336), .Y(
+        n74416) );
+  sky130_fd_sc_hd__o21ai_1 U92743 ( .A1(n74341), .A2(n74340), .B1(n74339), .Y(
+        n74415) );
+  sky130_fd_sc_hd__xor2_1 U92744 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[22]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[20]), .X(n74413) );
+  sky130_fd_sc_hd__xnor2_1 U92745 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[9]), .Y(n74345) );
+  sky130_fd_sc_hd__xnor2_1 U92746 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[7]), .Y(n74342) );
+  sky130_fd_sc_hd__o22ai_1 U92747 ( .A1(n74345), .A2(n74344), .B1(n74343), 
+        .B2(n74342), .Y(n74353) );
+  sky130_fd_sc_hd__xnor2_1 U92748 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[20]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[18]), .Y(n74347) );
+  sky130_fd_sc_hd__nor2_1 U92749 ( .A(n74347), .B(n74346), .Y(n74352) );
+  sky130_fd_sc_hd__a21oi_1 U92750 ( .A1(n74350), .A2(n74349), .B1(n74348), .Y(
+        n74351) );
+  sky130_fd_sc_hd__nor3_1 U92751 ( .A(n74353), .B(n74352), .C(n74351), .Y(
+        n74410) );
+  sky130_fd_sc_hd__xnor2_1 U92752 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[15]), .B(n75788), .Y(n74392) );
+  sky130_fd_sc_hd__xnor2_1 U92753 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[6]), .Y(n74376) );
+  sky130_fd_sc_hd__xnor2_1 U92754 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[3]), .Y(n74364) );
+  sky130_fd_sc_hd__xor2_1 U92755 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[2]), .X(n74360) );
+  sky130_fd_sc_hd__xnor2_1 U92756 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[0]), .Y(n74358) );
+  sky130_fd_sc_hd__o21ai_0 U92757 ( .A1(n74356), .A2(n74355), .B1(n74354), .Y(
+        n74357) );
+  sky130_fd_sc_hd__o211ai_1 U92758 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_cfg_a[0]), .A2(n74358), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_cfg_a[1]), .C1(n74357), .Y(n74359) );
+  sky130_fd_sc_hd__a21oi_1 U92759 ( .A1(n74361), .A2(n74360), .B1(n74359), .Y(
+        n74362) );
+  sky130_fd_sc_hd__o21ai_0 U92760 ( .A1(n74364), .A2(n74363), .B1(n74362), .Y(
+        n74369) );
+  sky130_fd_sc_hd__a21oi_1 U92761 ( .A1(n74367), .A2(n74366), .B1(n74365), .Y(
+        n74368) );
+  sky130_fd_sc_hd__nor2_1 U92762 ( .A(n74369), .B(n74368), .Y(n74375) );
+  sky130_fd_sc_hd__xor2_1 U92763 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[8]), .X(n74373) );
+  sky130_fd_sc_hd__xnor2_1 U92764 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[5]), .Y(n74371) );
+  sky130_fd_sc_hd__a2bb2oi_1 U92765 ( .B1(n74373), .B2(n74372), .A1_N(n74371), 
+        .A2_N(n74370), .Y(n74374) );
+  sky130_fd_sc_hd__o211ai_1 U92766 ( .A1(n74377), .A2(n74376), .B1(n74375), 
+        .C1(n74374), .Y(n74390) );
+  sky130_fd_sc_hd__o21ai_1 U92767 ( .A1(n74380), .A2(n74379), .B1(n74378), .Y(
+        n74389) );
+  sky130_fd_sc_hd__o21ai_1 U92768 ( .A1(n74383), .A2(n74382), .B1(n74381), .Y(
+        n74388) );
+  sky130_fd_sc_hd__o21ai_1 U92769 ( .A1(n74386), .A2(n74385), .B1(n74384), .Y(
+        n74387) );
+  sky130_fd_sc_hd__nand4b_1 U92770 ( .A_N(n74390), .B(n74389), .C(n74388), .D(
+        n74387), .Y(n74391) );
+  sky130_fd_sc_hd__a21oi_1 U92771 ( .A1(n74393), .A2(n74392), .B1(n74391), .Y(
+        n74409) );
+  sky130_fd_sc_hd__xnor2_1 U92772 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[17]), .B(n75794), .Y(n74402) );
+  sky130_fd_sc_hd__xnor2_1 U92773 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[10]), .Y(n74399) );
+  sky130_fd_sc_hd__nor2_1 U92774 ( .A(n74395), .B(n74394), .Y(n74397) );
+  sky130_fd_sc_hd__o22ai_1 U92775 ( .A1(n74399), .A2(n74398), .B1(n74397), 
+        .B2(n74396), .Y(n74400) );
+  sky130_fd_sc_hd__a21oi_1 U92776 ( .A1(n74402), .A2(n74401), .B1(n74400), .Y(
+        n74408) );
+  sky130_fd_sc_hd__clkinv_1 U92777 ( .A(n74403), .Y(n74405) );
+  sky130_fd_sc_hd__o21ai_1 U92778 ( .A1(n74406), .A2(n74405), .B1(n74404), .Y(
+        n74407) );
+  sky130_fd_sc_hd__nand4_1 U92779 ( .A(n74410), .B(n74409), .C(n74408), .D(
+        n74407), .Y(n74411) );
+  sky130_fd_sc_hd__a21oi_1 U92780 ( .A1(n74413), .A2(n74412), .B1(n74411), .Y(
+        n74414) );
+  sky130_fd_sc_hd__nand3b_1 U92781 ( .A_N(n74416), .B(n74415), .C(n74414), .Y(
+        n74417) );
+  sky130_fd_sc_hd__a21oi_1 U92782 ( .A1(n74419), .A2(n74418), .B1(n74417), .Y(
+        n74423) );
+  sky130_fd_sc_hd__xnor2_1 U92783 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[25]), .B(n75901), .Y(n74420) );
+  sky130_fd_sc_hd__nand2_1 U92784 ( .A(n74421), .B(n74420), .Y(n74422) );
+  sky130_fd_sc_hd__o211ai_1 U92785 ( .A1(n74425), .A2(n74424), .B1(n74423), 
+        .C1(n74422), .Y(n74426) );
+  sky130_fd_sc_hd__a21oi_1 U92786 ( .A1(n74428), .A2(n74427), .B1(n74426), .Y(
+        n74429) );
+  sky130_fd_sc_hd__a2bb2oi_1 U92788 ( .B1(n38471), .B2(n74612), .A1_N(n74433), 
+        .A2_N(n74432), .Y(n75611) );
+  sky130_fd_sc_hd__nand2_1 U92789 ( .A(n74434), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[28]), .Y(n74490) );
+  sky130_fd_sc_hd__nor2_1 U92790 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[20]), .B(n75891), .Y(n74663) );
+  sky130_fd_sc_hd__nor2_1 U92791 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[16]), .B(n75796), .Y(n74627) );
+  sky130_fd_sc_hd__nand2_1 U92792 ( .A(n75784), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[14]), .Y(n74690) );
+  sky130_fd_sc_hd__nor2_1 U92793 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[15]), .B(n74435), .Y(n74693) );
+  sky130_fd_sc_hd__nor2_1 U92794 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[14]), .B(n74436), .Y(n74654) );
+  sky130_fd_sc_hd__nor2_1 U92795 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[12]), .B(n75786), .Y(n74655) );
+  sky130_fd_sc_hd__nor3_1 U92796 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[13]), .B(n74437), .C(n74655), .Y(n74439) );
+  sky130_fd_sc_hd__nor2_1 U92797 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[13]), .B(n75798), .Y(n74694) );
+  sky130_fd_sc_hd__clkinv_1 U92798 ( .A(n74694), .Y(n74438) );
+  sky130_fd_sc_hd__o31ai_1 U92799 ( .A1(n74693), .A2(n74654), .A3(n74439), 
+        .B1(n74438), .Y(n74442) );
+  sky130_fd_sc_hd__nor2_1 U92800 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[15]), .B(n75788), .Y(n74703) );
+  sky130_fd_sc_hd__clkinv_1 U92801 ( .A(n74703), .Y(n74441) );
+  sky130_fd_sc_hd__nand2_1 U92802 ( .A(n74440), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[16]), .Y(n74691) );
+  sky130_fd_sc_hd__nand2_1 U92803 ( .A(n74441), .B(n74691), .Y(n74462) );
+  sky130_fd_sc_hd__a21oi_1 U92804 ( .A1(n74690), .A2(n74442), .B1(n74462), .Y(
+        n74469) );
+  sky130_fd_sc_hd__nor2_1 U92805 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[18]), .B(n74443), .Y(n74626) );
+  sky130_fd_sc_hd__nor2_1 U92806 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[17]), .B(n74444), .Y(n74702) );
+  sky130_fd_sc_hd__o22ai_1 U92807 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[9]), .A2(n74445), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[8]), .B2(n74455), .Y(n74459) );
+  sky130_fd_sc_hd__nor2_1 U92808 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[5]), .B(n75441), .Y(n74629) );
+  sky130_fd_sc_hd__nor2_1 U92809 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[7]), .B(n74446), .Y(n74628) );
+  sky130_fd_sc_hd__nor2_1 U92810 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[1]), .B(n75431), .Y(n74636) );
+  sky130_fd_sc_hd__nor2_1 U92811 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[3]), .B(n74447), .Y(n74635) );
+  sky130_fd_sc_hd__a21oi_1 U92812 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[0]), .A2(n75430), .B1(n74635), .Y(n74448) );
+  sky130_fd_sc_hd__a211o_1 U92813 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[4]), .A2(n74449), .B1(n74636), .C1(n74448), .X(n74452) );
+  sky130_fd_sc_hd__a22oi_1 U92814 ( .A1(n75434), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[3]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[2]), .B2(n75435), .Y(n74451) );
+  sky130_fd_sc_hd__o22ai_1 U92815 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[3]), .A2(n75434), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[4]), .B2(n75440), .Y(n74450) );
+  sky130_fd_sc_hd__a21oi_1 U92816 ( .A1(n74452), .A2(n74451), .B1(n74450), .Y(
+        n74453) );
+  sky130_fd_sc_hd__a211oi_1 U92817 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[4]), .A2(n75440), .B1(n74628), .C1(n74453), .Y(n74454) );
+  sky130_fd_sc_hd__a211oi_1 U92818 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[8]), .A2(n74455), .B1(n74629), .C1(n74454), .Y(n74458) );
+  sky130_fd_sc_hd__nor2_1 U92819 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[9]), .B(n75457), .Y(n74679) );
+  sky130_fd_sc_hd__o22ai_1 U92820 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[7]), .A2(n75449), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[8]), .B2(n75456), .Y(n74456) );
+  sky130_fd_sc_hd__nor2_1 U92821 ( .A(n74679), .B(n74456), .Y(n74457) );
+  sky130_fd_sc_hd__nor2_1 U92823 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[11]), .B(n74460), .Y(n74678) );
+  sky130_fd_sc_hd__a21oi_1 U92824 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[10]), .A2(n75782), .B1(n74678), .Y(n74466) );
+  sky130_fd_sc_hd__clkinv_1 U92825 ( .A(n74679), .Y(n74461) );
+  sky130_fd_sc_hd__nand3_1 U92826 ( .A(n74461), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[8]), .C(n75456), .Y(n74465) );
+  sky130_fd_sc_hd__o22ai_1 U92827 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[10]), .A2(n75782), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[11]), .B2(n75800), .Y(n74463) );
+  sky130_fd_sc_hd__or4_1 U92828 ( .A(n74694), .B(n74655), .C(n74463), .D(
+        n74462), .X(n74464) );
+  sky130_fd_sc_hd__a31oi_1 U92829 ( .A1(n74467), .A2(n74466), .A3(n74465), 
+        .B1(n74464), .Y(n74468) );
+  sky130_fd_sc_hd__nor4_1 U92830 ( .A(n74469), .B(n74626), .C(n74702), .D(
+        n74468), .Y(n74470) );
+  sky130_fd_sc_hd__nand2_1 U92831 ( .A(n75794), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[17]), .Y(n74665) );
+  sky130_fd_sc_hd__o21ai_1 U92832 ( .A1(n74627), .A2(n74470), .B1(n74665), .Y(
+        n74473) );
+  sky130_fd_sc_hd__nand2_1 U92833 ( .A(n74471), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[20]), .Y(n74676) );
+  sky130_fd_sc_hd__nand2_1 U92834 ( .A(n74472), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[19]), .Y(n74666) );
+  sky130_fd_sc_hd__nand3_1 U92835 ( .A(n74473), .B(n74676), .C(n74666), .Y(
+        n74474) );
+  sky130_fd_sc_hd__nand2_1 U92836 ( .A(n75790), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[19]), .Y(n74667) );
+  sky130_fd_sc_hd__nand2_1 U92837 ( .A(n75792), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[18]), .Y(n74675) );
+  sky130_fd_sc_hd__nor2_1 U92838 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[19]), .B(n75790), .Y(n74669) );
+  sky130_fd_sc_hd__a31oi_1 U92839 ( .A1(n74474), .A2(n74667), .A3(n74675), 
+        .B1(n74669), .Y(n74476) );
+  sky130_fd_sc_hd__nand2_1 U92840 ( .A(n74475), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[23]), .Y(n74623) );
+  sky130_fd_sc_hd__nand3b_1 U92841 ( .A_N(n74663), .B(n74476), .C(n74623), .Y(
+        n74480) );
+  sky130_fd_sc_hd__nand2_1 U92842 ( .A(n75893), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[21]), .Y(n74622) );
+  sky130_fd_sc_hd__nor2_1 U92843 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[22]), .B(n74477), .Y(n74664) );
+  sky130_fd_sc_hd__nand2_1 U92844 ( .A(n74664), .B(n74623), .Y(n74479) );
+  sky130_fd_sc_hd__nand2_1 U92845 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[22]), .B(n75895), .Y(n74478) );
+  sky130_fd_sc_hd__nand4_1 U92846 ( .A(n74480), .B(n74622), .C(n74479), .D(
+        n74478), .Y(n74483) );
+  sky130_fd_sc_hd__nor2_1 U92847 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[24]), .B(n75889), .Y(n74621) );
+  sky130_fd_sc_hd__o22ai_1 U92848 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[23]), .A2(n75905), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[22]), .B2(n75895), .Y(n74481) );
+  sky130_fd_sc_hd__nor2_1 U92849 ( .A(n74621), .B(n74481), .Y(n74482) );
+  sky130_fd_sc_hd__nand2_1 U92850 ( .A(n74483), .B(n74482), .Y(n74488) );
+  sky130_fd_sc_hd__nand2_1 U92851 ( .A(n75889), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[24]), .Y(n74619) );
+  sky130_fd_sc_hd__nand2_1 U92852 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[23]), .B(n75905), .Y(n74484) );
+  sky130_fd_sc_hd__nand2_1 U92853 ( .A(n74619), .B(n74484), .Y(n74485) );
+  sky130_fd_sc_hd__a22oi_1 U92854 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[25]), .A2(n75901), .B1(n74486), .B2(n74485), .Y(n74487) );
+  sky130_fd_sc_hd__nand2_1 U92855 ( .A(n74488), .B(n74487), .Y(n74489) );
+  sky130_fd_sc_hd__o211ai_1 U92856 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[25]), .A2(n75901), .B1(n74490), .C1(n74489), .Y(n74492) );
+  sky130_fd_sc_hd__a22oi_1 U92857 ( .A1(n75899), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[26]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[27]), .B2(n75903), .Y(n74491) );
+  sky130_fd_sc_hd__nand2_1 U92858 ( .A(n74492), .B(n74491), .Y(n74496) );
+  sky130_fd_sc_hd__nor2_1 U92859 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[27]), .B(n75903), .Y(n74493) );
+  sky130_fd_sc_hd__nor2_1 U92860 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[28]), .B(n75897), .Y(n74615) );
+  sky130_fd_sc_hd__nor2_1 U92861 ( .A(n74493), .B(n74615), .Y(n74495) );
+  sky130_fd_sc_hd__nand2_1 U92862 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[28]), .B(n75897), .Y(n74618) );
+  sky130_fd_sc_hd__a21oi_1 U92863 ( .A1(n74496), .A2(n74495), .B1(n74494), .Y(
+        n74614) );
+  sky130_fd_sc_hd__nand2_1 U92864 ( .A(n74614), .B(n74497), .Y(n74613) );
+  sky130_fd_sc_hd__xnor2_1 U92865 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[28]), .B(n75897), .Y(n74498) );
+  sky130_fd_sc_hd__nand2_1 U92866 ( .A(n74499), .B(n74498), .Y(n74610) );
+  sky130_fd_sc_hd__xnor2_1 U92867 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[26]), .B(n75899), .Y(n74602) );
+  sky130_fd_sc_hd__xnor2_1 U92868 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[27]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[25]), .Y(n74600) );
+  sky130_fd_sc_hd__xnor2_1 U92869 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[24]), .B(n75889), .Y(n74596) );
+  sky130_fd_sc_hd__o21ai_1 U92870 ( .A1(n74504), .A2(n74503), .B1(n74502), .Y(
+        n74594) );
+  sky130_fd_sc_hd__xnor2_1 U92871 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[21]), .B(n75893), .Y(n74585) );
+  sky130_fd_sc_hd__xnor2_1 U92872 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[22]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[20]), .Y(n74583) );
+  sky130_fd_sc_hd__xnor2_1 U92874 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[4]), .Y(n74526) );
+  sky130_fd_sc_hd__xor2_1 U92875 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[3]), .X(n74520) );
+  sky130_fd_sc_hd__o21ai_0 U92876 ( .A1(n74510), .A2(n74509), .B1(n74508), .Y(
+        n74518) );
+  sky130_fd_sc_hd__nand2_1 U92877 ( .A(n74512), .B(n74511), .Y(n74515) );
+  sky130_fd_sc_hd__xnor2_1 U92878 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[0]), .Y(n74513) );
+  sky130_fd_sc_hd__o21ai_0 U92879 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_cfg_a[0]), .A2(n74513), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_cfg_a[1]), .Y(n74514) );
+  sky130_fd_sc_hd__a21oi_1 U92880 ( .A1(n74516), .A2(n74515), .B1(n74514), .Y(
+        n74517) );
+  sky130_fd_sc_hd__nand2_1 U92881 ( .A(n74518), .B(n74517), .Y(n74519) );
+  sky130_fd_sc_hd__a21oi_1 U92882 ( .A1(n74521), .A2(n74520), .B1(n74519), .Y(
+        n74525) );
+  sky130_fd_sc_hd__xor2_1 U92883 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[6]), .X(n74522) );
+  sky130_fd_sc_hd__nand2_1 U92884 ( .A(n74523), .B(n74522), .Y(n74524) );
+  sky130_fd_sc_hd__o211ai_1 U92885 ( .A1(n74527), .A2(n74526), .B1(n74525), 
+        .C1(n74524), .Y(n74533) );
+  sky130_fd_sc_hd__xnor2_1 U92886 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[5]), .Y(n74531) );
+  sky130_fd_sc_hd__xnor2_1 U92887 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[8]), .Y(n74528) );
+  sky130_fd_sc_hd__o22ai_1 U92888 ( .A1(n74531), .A2(n74530), .B1(n74529), 
+        .B2(n74528), .Y(n74532) );
+  sky130_fd_sc_hd__nor2_1 U92889 ( .A(n74533), .B(n74532), .Y(n74546) );
+  sky130_fd_sc_hd__nand2_1 U92890 ( .A(n74535), .B(n74534), .Y(n74541) );
+  sky130_fd_sc_hd__nor2_1 U92891 ( .A(n74537), .B(n74536), .Y(n74539) );
+  sky130_fd_sc_hd__a2bb2oi_1 U92892 ( .B1(n74541), .B2(n74540), .A1_N(n74539), 
+        .A2_N(n74538), .Y(n74545) );
+  sky130_fd_sc_hd__xor2_1 U92893 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[18]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[16]), .X(n74542) );
+  sky130_fd_sc_hd__nand2_1 U92894 ( .A(n74543), .B(n74542), .Y(n74544) );
+  sky130_fd_sc_hd__nand4_1 U92895 ( .A(n74547), .B(n74546), .C(n74545), .D(
+        n74544), .Y(n74580) );
+  sky130_fd_sc_hd__xnor2_1 U92896 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[17]), .B(n75794), .Y(n74555) );
+  sky130_fd_sc_hd__xnor2_1 U92897 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[9]), .Y(n74553) );
+  sky130_fd_sc_hd__a21oi_1 U92900 ( .A1(n74556), .A2(n74555), .B1(n74554), .Y(
+        n74578) );
+  sky130_fd_sc_hd__nand2_1 U92901 ( .A(n74558), .B(n74557), .Y(n74566) );
+  sky130_fd_sc_hd__xnor2_1 U92902 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[10]), .Y(n74564) );
+  sky130_fd_sc_hd__nor2_1 U92903 ( .A(n74560), .B(n74559), .Y(n74562) );
+  sky130_fd_sc_hd__o22ai_1 U92904 ( .A1(n74564), .A2(n74563), .B1(n74562), 
+        .B2(n74561), .Y(n74565) );
+  sky130_fd_sc_hd__a21oi_1 U92905 ( .A1(n74567), .A2(n74566), .B1(n74565), .Y(
+        n74577) );
+  sky130_fd_sc_hd__o21ai_1 U92906 ( .A1(n74570), .A2(n74569), .B1(n74568), .Y(
+        n74576) );
+  sky130_fd_sc_hd__o21ai_1 U92907 ( .A1(n74574), .A2(n74573), .B1(n74572), .Y(
+        n74575) );
+  sky130_fd_sc_hd__nand4_1 U92908 ( .A(n74578), .B(n74577), .C(n74576), .D(
+        n74575), .Y(n74579) );
+  sky130_fd_sc_hd__nor2_1 U92909 ( .A(n74580), .B(n74579), .Y(n74581) );
+  sky130_fd_sc_hd__a21oi_1 U92911 ( .A1(n74586), .A2(n74585), .B1(n74584), .Y(
+        n74593) );
+  sky130_fd_sc_hd__nand3_1 U92913 ( .A(n74594), .B(n74593), .C(n74592), .Y(
+        n74595) );
+  sky130_fd_sc_hd__a21oi_1 U92914 ( .A1(n74597), .A2(n74596), .B1(n74595), .Y(
+        n74598) );
+  sky130_fd_sc_hd__o21ai_1 U92915 ( .A1(n74600), .A2(n74599), .B1(n74598), .Y(
+        n74601) );
+  sky130_fd_sc_hd__a21oi_1 U92916 ( .A1(n74603), .A2(n74602), .B1(n74601), .Y(
+        n74609) );
+  sky130_fd_sc_hd__nand3_1 U92918 ( .A(n74610), .B(n74609), .C(n74608), .Y(
+        n74611) );
+  sky130_fd_sc_hd__o21a_1 U92919 ( .A1(n74613), .A2(n74612), .B1(n74611), .X(
+        n75614) );
+  sky130_fd_sc_hd__a21oi_1 U92920 ( .A1(n74618), .A2(n74617), .B1(n74616), .Y(
+        n74729) );
+  sky130_fd_sc_hd__xnor2_1 U92921 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[29]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[27]), .Y(n74727) );
+  sky130_fd_sc_hd__xnor2_1 U92922 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[26]), .B(n75899), .Y(n74723) );
+  sky130_fd_sc_hd__nor2_1 U92923 ( .A(n74621), .B(n74620), .Y(n74720) );
+  sky130_fd_sc_hd__xnor2_1 U92924 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[23]), .B(n75905), .Y(n74714) );
+  sky130_fd_sc_hd__xnor2_1 U92925 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[22]), .Y(n74712) );
+  sky130_fd_sc_hd__nand2_1 U92926 ( .A(n74623), .B(n74622), .Y(n74708) );
+  sky130_fd_sc_hd__clkinv_1 U92927 ( .A(n74624), .Y(n74625) );
+  sky130_fd_sc_hd__o21ai_1 U92928 ( .A1(n74627), .A2(n74626), .B1(n74625), .Y(
+        n74653) );
+  sky130_fd_sc_hd__nor2_1 U92929 ( .A(n74629), .B(n74628), .Y(n74633) );
+  sky130_fd_sc_hd__xnor2_1 U92930 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[8]), .Y(n74630) );
+  sky130_fd_sc_hd__o22a_1 U92931 ( .A1(n74633), .A2(n74632), .B1(n74631), .B2(
+        n74630), .X(n74652) );
+  sky130_fd_sc_hd__xor2_1 U92932 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[6]), .X(n74649) );
+  sky130_fd_sc_hd__xnor2_1 U92933 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[3]), .Y(n74647) );
+  sky130_fd_sc_hd__xor2_1 U92934 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[2]), .X(n74640) );
+  sky130_fd_sc_hd__xnor2_1 U92935 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[0]), .Y(n74638) );
+  sky130_fd_sc_hd__o21ai_0 U92936 ( .A1(n74636), .A2(n74635), .B1(n74634), .Y(
+        n74637) );
+  sky130_fd_sc_hd__o211ai_1 U92937 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_cfg_a[0]), .A2(n74638), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_cfg_a[1]), .C1(n74637), .Y(n74639) );
+  sky130_fd_sc_hd__a21oi_1 U92938 ( .A1(n74641), .A2(n74640), .B1(n74639), .Y(
+        n74645) );
+  sky130_fd_sc_hd__xor2_1 U92939 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[4]), .X(n74642) );
+  sky130_fd_sc_hd__nand2_1 U92940 ( .A(n74643), .B(n74642), .Y(n74644) );
+  sky130_fd_sc_hd__o211ai_1 U92941 ( .A1(n74647), .A2(n74646), .B1(n74645), 
+        .C1(n74644), .Y(n74648) );
+  sky130_fd_sc_hd__a21oi_1 U92942 ( .A1(n74650), .A2(n74649), .B1(n74648), .Y(
+        n74651) );
+  sky130_fd_sc_hd__nand3_1 U92943 ( .A(n74653), .B(n74652), .C(n74651), .Y(
+        n74661) );
+  sky130_fd_sc_hd__xnor2_1 U92944 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[10]), .Y(n74659) );
+  sky130_fd_sc_hd__nor2_1 U92945 ( .A(n74655), .B(n74654), .Y(n74657) );
+  sky130_fd_sc_hd__o22ai_1 U92946 ( .A1(n74659), .A2(n74658), .B1(n74657), 
+        .B2(n74656), .Y(n74660) );
+  sky130_fd_sc_hd__nor2_1 U92947 ( .A(n74661), .B(n74660), .Y(n74689) );
+  sky130_fd_sc_hd__o21ai_1 U92948 ( .A1(n74664), .A2(n74663), .B1(n74662), .Y(
+        n74688) );
+  sky130_fd_sc_hd__nand2_1 U92949 ( .A(n74666), .B(n74665), .Y(n74673) );
+  sky130_fd_sc_hd__nor2_1 U92950 ( .A(n74669), .B(n74668), .Y(n74671) );
+  sky130_fd_sc_hd__a2bb2oi_1 U92951 ( .B1(n74673), .B2(n74672), .A1_N(n74671), 
+        .A2_N(n74670), .Y(n74687) );
+  sky130_fd_sc_hd__clkinv_1 U92952 ( .A(n74674), .Y(n74685) );
+  sky130_fd_sc_hd__nand2_1 U92953 ( .A(n74676), .B(n74675), .Y(n74684) );
+  sky130_fd_sc_hd__xnor2_1 U92954 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[7]), .Y(n74682) );
+  sky130_fd_sc_hd__o21ai_1 U92956 ( .A1(n74682), .A2(n74681), .B1(n74680), .Y(
+        n74683) );
+  sky130_fd_sc_hd__a21oi_1 U92957 ( .A1(n74685), .A2(n74684), .B1(n74683), .Y(
+        n74686) );
+  sky130_fd_sc_hd__nand4_1 U92958 ( .A(n74689), .B(n74688), .C(n74687), .D(
+        n74686), .Y(n74706) );
+  sky130_fd_sc_hd__nand2_1 U92959 ( .A(n74691), .B(n74690), .Y(n74699) );
+  sky130_fd_sc_hd__xnor2_1 U92960 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[13]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[11]), .Y(n74697) );
+  sky130_fd_sc_hd__a21oi_1 U92963 ( .A1(n74700), .A2(n74699), .B1(n74698), .Y(
+        n74705) );
+  sky130_fd_sc_hd__o21ai_1 U92964 ( .A1(n74703), .A2(n74702), .B1(n74701), .Y(
+        n74704) );
+  sky130_fd_sc_hd__nand3b_1 U92965 ( .A_N(n74706), .B(n74705), .C(n74704), .Y(
+        n74707) );
+  sky130_fd_sc_hd__a21oi_1 U92966 ( .A1(n74709), .A2(n74708), .B1(n74707), .Y(
+        n74710) );
+  sky130_fd_sc_hd__a21oi_1 U92968 ( .A1(n74715), .A2(n74714), .B1(n74713), .Y(
+        n74719) );
+  sky130_fd_sc_hd__xnor2_1 U92969 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[25]), .B(n75901), .Y(n74716) );
+  sky130_fd_sc_hd__nand2_1 U92970 ( .A(n74717), .B(n74716), .Y(n74718) );
+  sky130_fd_sc_hd__o211ai_1 U92971 ( .A1(n74721), .A2(n74720), .B1(n74719), 
+        .C1(n74718), .Y(n74722) );
+  sky130_fd_sc_hd__a21oi_1 U92972 ( .A1(n74724), .A2(n74723), .B1(n74722), .Y(
+        n74725) );
+  sky130_fd_sc_hd__o21ai_1 U92973 ( .A1(n74727), .A2(n74726), .B1(n74725), .Y(
+        n74728) );
+  sky130_fd_sc_hd__a2bb2oi_1 U92974 ( .B1(n74731), .B2(n74730), .A1_N(n74729), 
+        .A2_N(n74728), .Y(n75622) );
+  sky130_fd_sc_hd__nand3_1 U92975 ( .A(n75611), .B(n75614), .C(n75622), .Y(
+        n75609) );
+  sky130_fd_sc_hd__xnor2_1 U92976 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[27]), .B(n75903), .Y(n74818) );
+  sky130_fd_sc_hd__xnor2_1 U92977 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[28]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[26]), .Y(n74816) );
+  sky130_fd_sc_hd__xnor2_1 U92978 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[25]), .B(n75901), .Y(n74812) );
+  sky130_fd_sc_hd__xnor2_1 U92979 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[26]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[24]), .Y(n74810) );
+  sky130_fd_sc_hd__xnor2_1 U92980 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[23]), .B(n75905), .Y(n74806) );
+  sky130_fd_sc_hd__xnor2_1 U92981 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[22]), .Y(n74804) );
+  sky130_fd_sc_hd__xor2_1 U92982 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[23]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[21]), .X(n74800) );
+  sky130_fd_sc_hd__xor2_1 U92983 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[22]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[20]), .X(n74732) );
+  sky130_fd_sc_hd__nand2_1 U92984 ( .A(n74733), .B(n74732), .Y(n74798) );
+  sky130_fd_sc_hd__nand2_1 U92985 ( .A(n74734), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[16]), .Y(n74860) );
+  sky130_fd_sc_hd__nand2_1 U92986 ( .A(n75784), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[14]), .Y(n74862) );
+  sky130_fd_sc_hd__nand2_1 U92987 ( .A(n74860), .B(n74862), .Y(n74744) );
+  sky130_fd_sc_hd__xnor2_1 U92988 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[9]), .Y(n74741) );
+  sky130_fd_sc_hd__nor2_1 U92989 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[7]), .B(n75449), .Y(n74845) );
+  sky130_fd_sc_hd__nor2_1 U92990 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[9]), .B(n74735), .Y(n74842) );
+  sky130_fd_sc_hd__xor2_1 U92992 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[13]), .X(n74737) );
+  sky130_fd_sc_hd__nand2_1 U92993 ( .A(n74738), .B(n74737), .Y(n74739) );
+  sky130_fd_sc_hd__o211ai_1 U92994 ( .A1(n74742), .A2(n74741), .B1(n74740), 
+        .C1(n74739), .Y(n74743) );
+  sky130_fd_sc_hd__a21oi_1 U92995 ( .A1(n74745), .A2(n74744), .B1(n74743), .Y(
+        n74797) );
+  sky130_fd_sc_hd__xnor2_1 U92996 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[21]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[19]), .Y(n74789) );
+  sky130_fd_sc_hd__nand2_1 U92997 ( .A(n74746), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[19]), .Y(n74870) );
+  sky130_fd_sc_hd__nand2_1 U92998 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[17]), .B(n75794), .Y(n74868) );
+  sky130_fd_sc_hd__nand2_1 U92999 ( .A(n74870), .B(n74868), .Y(n74752) );
+  sky130_fd_sc_hd__xnor2_1 U93000 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[10]), .Y(n74750) );
+  sky130_fd_sc_hd__xnor2_1 U93001 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[18]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[16]), .Y(n74747) );
+  sky130_fd_sc_hd__o22ai_1 U93002 ( .A1(n74750), .A2(n74749), .B1(n74748), 
+        .B2(n74747), .Y(n74751) );
+  sky130_fd_sc_hd__a21oi_1 U93003 ( .A1(n74753), .A2(n74752), .B1(n74751), .Y(
+        n74788) );
+  sky130_fd_sc_hd__nor2_1 U93004 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[12]), .B(n75786), .Y(n74850) );
+  sky130_fd_sc_hd__nand2_1 U93005 ( .A(n75786), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[12]), .Y(n74856) );
+  sky130_fd_sc_hd__o21ai_1 U93006 ( .A1(n74850), .A2(n74755), .B1(n74754), .Y(
+        n74780) );
+  sky130_fd_sc_hd__xor2_1 U93007 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[6]), .X(n74771) );
+  sky130_fd_sc_hd__xnor2_1 U93008 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[3]), .Y(n74769) );
+  sky130_fd_sc_hd__nand2_1 U93009 ( .A(n74756), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[4]), .Y(n74828) );
+  sky130_fd_sc_hd__nand2_1 U93010 ( .A(n75435), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[2]), .Y(n74825) );
+  sky130_fd_sc_hd__nand2_1 U93011 ( .A(n74828), .B(n74825), .Y(n74762) );
+  sky130_fd_sc_hd__xnor2_1 U93012 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[0]), .Y(n74760) );
+  sky130_fd_sc_hd__nor2_1 U93013 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[3]), .B(n74757), .Y(n74830) );
+  sky130_fd_sc_hd__nor2_1 U93014 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[1]), .B(n75431), .Y(n74827) );
+  sky130_fd_sc_hd__o21ai_0 U93015 ( .A1(n74830), .A2(n74827), .B1(n74758), .Y(
+        n74759) );
+  sky130_fd_sc_hd__o211ai_1 U93016 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_cfg_a[0]), .A2(n74760), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_cfg_a[1]), .C1(n74759), .Y(n74761) );
+  sky130_fd_sc_hd__a21oi_1 U93017 ( .A1(n74763), .A2(n74762), .B1(n74761), .Y(
+        n74767) );
+  sky130_fd_sc_hd__xor2_1 U93018 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[4]), .X(n74764) );
+  sky130_fd_sc_hd__nand2_1 U93019 ( .A(n74765), .B(n74764), .Y(n74766) );
+  sky130_fd_sc_hd__o211ai_1 U93020 ( .A1(n74769), .A2(n74768), .B1(n74767), 
+        .C1(n74766), .Y(n74770) );
+  sky130_fd_sc_hd__a21oi_1 U93021 ( .A1(n74772), .A2(n74771), .B1(n74770), .Y(
+        n74779) );
+  sky130_fd_sc_hd__xor2_1 U93022 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[5]), .X(n74773) );
+  sky130_fd_sc_hd__nand2_1 U93023 ( .A(n74774), .B(n74773), .Y(n74778) );
+  sky130_fd_sc_hd__nor2_1 U93024 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[10]), .B(n74775), .Y(n74846) );
+  sky130_fd_sc_hd__nor2_1 U93025 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[8]), .B(n75456), .Y(n74844) );
+  sky130_fd_sc_hd__nand4_1 U93027 ( .A(n74780), .B(n74779), .C(n74778), .D(
+        n74777), .Y(n74786) );
+  sky130_fd_sc_hd__xnor2_1 U93028 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[20]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[18]), .Y(n74784) );
+  sky130_fd_sc_hd__xnor2_1 U93029 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[13]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[11]), .Y(n74781) );
+  sky130_fd_sc_hd__o22ai_1 U93030 ( .A1(n74784), .A2(n74783), .B1(n74782), 
+        .B2(n74781), .Y(n74785) );
+  sky130_fd_sc_hd__nor2_1 U93031 ( .A(n74786), .B(n74785), .Y(n74787) );
+  sky130_fd_sc_hd__o211a_2 U93032 ( .A1(n74790), .A2(n74789), .B1(n74788), 
+        .C1(n74787), .X(n74796) );
+  sky130_fd_sc_hd__nand2_1 U93033 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[15]), .B(n75788), .Y(n74863) );
+  sky130_fd_sc_hd__nand2_1 U93034 ( .A(n74791), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[17]), .Y(n74866) );
+  sky130_fd_sc_hd__nand4_1 U93036 ( .A(n74798), .B(n74797), .C(n74796), .D(
+        n74795), .Y(n74799) );
+  sky130_fd_sc_hd__a21oi_1 U93037 ( .A1(n74801), .A2(n74800), .B1(n74799), .Y(
+        n74802) );
+  sky130_fd_sc_hd__a21oi_1 U93039 ( .A1(n74807), .A2(n74806), .B1(n74805), .Y(
+        n74808) );
+  sky130_fd_sc_hd__o21ai_1 U93040 ( .A1(n74810), .A2(n74809), .B1(n74808), .Y(
+        n74811) );
+  sky130_fd_sc_hd__a21oi_1 U93041 ( .A1(n74813), .A2(n74812), .B1(n74811), .Y(
+        n74814) );
+  sky130_fd_sc_hd__a21oi_1 U93043 ( .A1(n74819), .A2(n74818), .B1(n74817), .Y(
+        n74899) );
+  sky130_fd_sc_hd__nand2_1 U93044 ( .A(n74820), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[30]), .Y(n74893) );
+  sky130_fd_sc_hd__nand2_1 U93045 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[28]), .B(n75897), .Y(n74891) );
+  sky130_fd_sc_hd__nand2_1 U93046 ( .A(n74893), .B(n74891), .Y(n74821) );
+  sky130_fd_sc_hd__nand2_1 U93047 ( .A(n74822), .B(n74821), .Y(n74898) );
+  sky130_fd_sc_hd__nor2_1 U93048 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[28]), .B(n74823), .Y(n74889) );
+  sky130_fd_sc_hd__nor2_1 U93049 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[25]), .B(n74824), .Y(n74884) );
+  sky130_fd_sc_hd__o22ai_1 U93050 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[19]), .A2(n75790), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[20]), .B2(n75891), .Y(n74877) );
+  sky130_fd_sc_hd__o22a_1 U93051 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[3]), .A2(n75434), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[4]), .B2(n75440), .X(n74838) );
+  sky130_fd_sc_hd__nor3_1 U93052 ( .A(n74827), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[2]), .C(n74826), .Y(n74829) );
+  sky130_fd_sc_hd__o31ai_1 U93053 ( .A1(n74831), .A2(n74830), .A3(n74829), 
+        .B1(n74828), .Y(n74832) );
+  sky130_fd_sc_hd__o22ai_1 U93055 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[7]), .A2(n74835), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[6]), .B2(n74834), .Y(n74836) );
+  sky130_fd_sc_hd__a21oi_1 U93056 ( .A1(n74838), .A2(n74837), .B1(n74836), .Y(
+        n74840) );
+  sky130_fd_sc_hd__o22ai_1 U93057 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[5]), .A2(n75441), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[6]), .B2(n75445), .Y(n74839) );
+  sky130_fd_sc_hd__nor2_1 U93058 ( .A(n74840), .B(n74839), .Y(n74841) );
+  sky130_fd_sc_hd__a211oi_1 U93059 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[6]), .A2(n75445), .B1(n74842), .C1(n74841), .Y(n74843) );
+  sky130_fd_sc_hd__o22ai_1 U93060 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[10]), .A2(n75782), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[9]), .B2(n75457), .Y(n74848) );
+  sky130_fd_sc_hd__nor4_1 U93061 ( .A(n74845), .B(n74844), .C(n74843), .D(
+        n74848), .Y(n74854) );
+  sky130_fd_sc_hd__a21oi_1 U93062 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[9]), .A2(n75457), .B1(n74846), .Y(n74849) );
+  sky130_fd_sc_hd__a22oi_1 U93063 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[10]), .A2(n75782), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[11]), .B2(n75800), .Y(n74847) );
+  sky130_fd_sc_hd__o21ai_1 U93064 ( .A1(n74849), .A2(n74848), .B1(n74847), .Y(
+        n74853) );
+  sky130_fd_sc_hd__a21oi_1 U93065 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[13]), .A2(n74851), .B1(n74850), .Y(n74852) );
+  sky130_fd_sc_hd__o21ai_1 U93066 ( .A1(n74854), .A2(n74853), .B1(n74852), .Y(
+        n74855) );
+  sky130_fd_sc_hd__nand2_1 U93067 ( .A(n74856), .B(n74855), .Y(n74857) );
+  sky130_fd_sc_hd__o21ai_1 U93068 ( .A1(n75798), .A2(n74857), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[13]), .Y(n74859) );
+  sky130_fd_sc_hd__nand2_1 U93069 ( .A(n74857), .B(n75798), .Y(n74858) );
+  sky130_fd_sc_hd__nand2_1 U93070 ( .A(n74859), .B(n74858), .Y(n74861) );
+  sky130_fd_sc_hd__nand2_1 U93071 ( .A(n74861), .B(n74860), .Y(n74864) );
+  sky130_fd_sc_hd__nand3_1 U93072 ( .A(n74864), .B(n74863), .C(n74862), .Y(
+        n74865) );
+  sky130_fd_sc_hd__o211ai_1 U93073 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[16]), .A2(n75796), .B1(n74866), .C1(n74865), .Y(n74869) );
+  sky130_fd_sc_hd__nand2_1 U93074 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[16]), .B(n75796), .Y(n74867) );
+  sky130_fd_sc_hd__nand3_1 U93075 ( .A(n74869), .B(n74868), .C(n74867), .Y(
+        n74871) );
+  sky130_fd_sc_hd__nand2_1 U93076 ( .A(n74871), .B(n74870), .Y(n74872) );
+  sky130_fd_sc_hd__nand2_1 U93077 ( .A(n74872), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[20]), .Y(n74875) );
+  sky130_fd_sc_hd__o22ai_1 U93078 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[21]), .A2(n74873), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[20]), .B2(n74872), .Y(n74874) );
+  sky130_fd_sc_hd__a21oi_1 U93079 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[18]), .A2(n74875), .B1(n74874), .Y(n74876) );
+  sky130_fd_sc_hd__o22ai_1 U93080 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[22]), .A2(n74878), .B1(n74877), .B2(n74876), .Y(n74879) );
+  sky130_fd_sc_hd__a22oi_1 U93082 ( .A1(n75895), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[22]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[21]), .B2(n75893), .Y(n74881) );
+  sky130_fd_sc_hd__o22ai_1 U93083 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[23]), .A2(n75905), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[22]), .B2(n75895), .Y(n74880) );
+  sky130_fd_sc_hd__a21oi_1 U93084 ( .A1(n74882), .A2(n74881), .B1(n74880), .Y(
+        n74883) );
+  sky130_fd_sc_hd__o22ai_1 U93085 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[24]), .A2(n75889), .B1(n74884), .B2(n74883), .Y(n74887) );
+  sky130_fd_sc_hd__a22oi_1 U93086 ( .A1(n75889), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[24]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[25]), .B2(n75901), .Y(n74886) );
+  sky130_fd_sc_hd__o22ai_1 U93087 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[26]), .A2(n75899), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[25]), .B2(n75901), .Y(n74885) );
+  sky130_fd_sc_hd__a21oi_1 U93088 ( .A1(n74887), .A2(n74886), .B1(n74885), .Y(
+        n74888) );
+  sky130_fd_sc_hd__o22ai_1 U93089 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[27]), .A2(n75903), .B1(n74889), .B2(n74888), .Y(n74892) );
+  sky130_fd_sc_hd__nand2_1 U93090 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[27]), .B(n75903), .Y(n74890) );
+  sky130_fd_sc_hd__nand3_1 U93091 ( .A(n74892), .B(n74891), .C(n74890), .Y(
+        n74894) );
+  sky130_fd_sc_hd__nand2_1 U93092 ( .A(n74894), .B(n74893), .Y(n75063) );
+  sky130_fd_sc_hd__nor3_1 U93093 ( .A(n74896), .B(n74895), .C(n75063), .Y(
+        n74897) );
+  sky130_fd_sc_hd__a21oi_1 U93094 ( .A1(n74899), .A2(n74898), .B1(n74897), .Y(
+        n75610) );
+  sky130_fd_sc_hd__nand2b_1 U93095 ( .A_N(n75609), .B(n75610), .Y(n75637) );
+  sky130_fd_sc_hd__xnor2_1 U93096 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[28]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[26]), .Y(n74985) );
+  sky130_fd_sc_hd__xnor2_1 U93097 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[27]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[25]), .Y(n74979) );
+  sky130_fd_sc_hd__xnor2_1 U93098 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[24]), .B(n75889), .Y(n74975) );
+  sky130_fd_sc_hd__nor2_1 U93099 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[22]), .B(n75895), .Y(n75042) );
+  sky130_fd_sc_hd__nand2_1 U93100 ( .A(n75895), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[22]), .Y(n75044) );
+  sky130_fd_sc_hd__nor2_1 U93101 ( .A(n75042), .B(n74900), .Y(n74972) );
+  sky130_fd_sc_hd__nand2_1 U93102 ( .A(n74901), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[23]), .Y(n75041) );
+  sky130_fd_sc_hd__nand2_1 U93103 ( .A(n75893), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[21]), .Y(n75045) );
+  sky130_fd_sc_hd__nand2_1 U93104 ( .A(n75041), .B(n75045), .Y(n74966) );
+  sky130_fd_sc_hd__xnor2_1 U93105 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[19]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[17]), .Y(n74905) );
+  sky130_fd_sc_hd__xnor2_1 U93106 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[20]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[18]), .Y(n74902) );
+  sky130_fd_sc_hd__o22ai_1 U93107 ( .A1(n74905), .A2(n74904), .B1(n74903), 
+        .B2(n74902), .Y(n74912) );
+  sky130_fd_sc_hd__nor2_1 U93108 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[15]), .B(n75788), .Y(n75021) );
+  sky130_fd_sc_hd__nor2_1 U93109 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[17]), .B(n74906), .Y(n74991) );
+  sky130_fd_sc_hd__o21ai_1 U93110 ( .A1(n75021), .A2(n74991), .B1(n74907), .Y(
+        n74911) );
+  sky130_fd_sc_hd__nor2_1 U93111 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[14]), .B(n75784), .Y(n75019) );
+  sky130_fd_sc_hd__nor2_1 U93112 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[16]), .B(n74908), .Y(n74990) );
+  sky130_fd_sc_hd__nand3b_1 U93114 ( .A_N(n74912), .B(n74911), .C(n74910), .Y(
+        n74965) );
+  sky130_fd_sc_hd__xnor2_1 U93115 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[9]), .Y(n74916) );
+  sky130_fd_sc_hd__xnor2_1 U93116 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[7]), .Y(n74913) );
+  sky130_fd_sc_hd__o22ai_1 U93117 ( .A1(n74916), .A2(n74915), .B1(n74914), 
+        .B2(n74913), .Y(n74926) );
+  sky130_fd_sc_hd__nor2_1 U93118 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[13]), .B(n75798), .Y(n75020) );
+  sky130_fd_sc_hd__clkinv_1 U93119 ( .A(n75020), .Y(n74918) );
+  sky130_fd_sc_hd__nand2_1 U93120 ( .A(n75798), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[13]), .Y(n75024) );
+  sky130_fd_sc_hd__a21oi_1 U93121 ( .A1(n74918), .A2(n75024), .B1(n74917), .Y(
+        n74925) );
+  sky130_fd_sc_hd__xnor2_1 U93122 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[21]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[19]), .Y(n74923) );
+  sky130_fd_sc_hd__nor2_1 U93123 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[11]), .B(n75800), .Y(n75015) );
+  sky130_fd_sc_hd__nor2_1 U93124 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[13]), .B(n74919), .Y(n75018) );
+  sky130_fd_sc_hd__nor2_1 U93125 ( .A(n75015), .B(n75018), .Y(n74920) );
+  sky130_fd_sc_hd__o22ai_1 U93126 ( .A1(n74923), .A2(n74922), .B1(n74921), 
+        .B2(n74920), .Y(n74924) );
+  sky130_fd_sc_hd__nor3_1 U93127 ( .A(n74926), .B(n74925), .C(n74924), .Y(
+        n74963) );
+  sky130_fd_sc_hd__nand2_1 U93128 ( .A(n74927), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[14]), .Y(n75016) );
+  sky130_fd_sc_hd__nand2_1 U93129 ( .A(n75786), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[12]), .Y(n75025) );
+  sky130_fd_sc_hd__nand2_1 U93130 ( .A(n75016), .B(n75025), .Y(n74931) );
+  sky130_fd_sc_hd__xnor2_1 U93131 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[10]), .Y(n74928) );
+  sky130_fd_sc_hd__a2bb2oi_1 U93132 ( .B1(n74931), .B2(n74930), .A1_N(n74929), 
+        .A2_N(n74928), .Y(n74962) );
+  sky130_fd_sc_hd__xnor2_1 U93133 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[6]), .Y(n74951) );
+  sky130_fd_sc_hd__xor2_1 U93134 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[4]), .X(n74944) );
+  sky130_fd_sc_hd__xnor2_1 U93135 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[3]), .Y(n74941) );
+  sky130_fd_sc_hd__xor2_1 U93136 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[2]), .X(n74937) );
+  sky130_fd_sc_hd__xnor2_1 U93137 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[0]), .Y(n74935) );
+  sky130_fd_sc_hd__nor2_1 U93138 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[1]), .B(n75431), .Y(n74998) );
+  sky130_fd_sc_hd__nor2_1 U93139 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[3]), .B(n74932), .Y(n74996) );
+  sky130_fd_sc_hd__o21ai_0 U93140 ( .A1(n74998), .A2(n74996), .B1(n74933), .Y(
+        n74934) );
+  sky130_fd_sc_hd__o211ai_1 U93141 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_a[0]), .A2(n74935), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_a[1]), .C1(n74934), .Y(n74936) );
+  sky130_fd_sc_hd__a21oi_1 U93142 ( .A1(n74938), .A2(n74937), .B1(n74936), .Y(
+        n74939) );
+  sky130_fd_sc_hd__a21oi_1 U93144 ( .A1(n74944), .A2(n74943), .B1(n74942), .Y(
+        n74950) );
+  sky130_fd_sc_hd__xor2_1 U93145 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[8]), .X(n74948) );
+  sky130_fd_sc_hd__xnor2_1 U93146 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[5]), .Y(n74946) );
+  sky130_fd_sc_hd__a2bb2oi_1 U93147 ( .B1(n74948), .B2(n74947), .A1_N(n74946), 
+        .A2_N(n74945), .Y(n74949) );
+  sky130_fd_sc_hd__o211ai_1 U93148 ( .A1(n74952), .A2(n74951), .B1(n74950), 
+        .C1(n74949), .Y(n74957) );
+  sky130_fd_sc_hd__nor2_1 U93149 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[16]), .B(n75796), .Y(n75031) );
+  sky130_fd_sc_hd__nor2_1 U93150 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[18]), .B(n74953), .Y(n75028) );
+  sky130_fd_sc_hd__nor2_1 U93151 ( .A(n75031), .B(n75028), .Y(n74955) );
+  sky130_fd_sc_hd__nor2_1 U93152 ( .A(n74955), .B(n74954), .Y(n74956) );
+  sky130_fd_sc_hd__nor2_1 U93153 ( .A(n74957), .B(n74956), .Y(n74961) );
+  sky130_fd_sc_hd__xor2_1 U93154 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[22]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[20]), .X(n74958) );
+  sky130_fd_sc_hd__nand2_1 U93155 ( .A(n74959), .B(n74958), .Y(n74960) );
+  sky130_fd_sc_hd__nand4_1 U93156 ( .A(n74963), .B(n74962), .C(n74961), .D(
+        n74960), .Y(n74964) );
+  sky130_fd_sc_hd__a211oi_1 U93157 ( .A1(n74967), .A2(n74966), .B1(n74965), 
+        .C1(n74964), .Y(n74971) );
+  sky130_fd_sc_hd__xnor2_1 U93158 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[23]), .B(n75905), .Y(n74968) );
+  sky130_fd_sc_hd__nand2_1 U93159 ( .A(n74969), .B(n74968), .Y(n74970) );
+  sky130_fd_sc_hd__o211ai_1 U93160 ( .A1(n74973), .A2(n74972), .B1(n74971), 
+        .C1(n74970), .Y(n74974) );
+  sky130_fd_sc_hd__a21oi_1 U93161 ( .A1(n74976), .A2(n74975), .B1(n74974), .Y(
+        n74977) );
+  sky130_fd_sc_hd__o21a_1 U93162 ( .A1(n74979), .A2(n74978), .B1(n74977), .X(
+        n74984) );
+  sky130_fd_sc_hd__nor2_1 U93163 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[27]), .B(n75903), .Y(n75051) );
+  sky130_fd_sc_hd__nand2_1 U93164 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[27]), .B(n75903), .Y(n75056) );
+  sky130_fd_sc_hd__nand2_1 U93165 ( .A(n74980), .B(n75056), .Y(n74981) );
+  sky130_fd_sc_hd__nand2_1 U93166 ( .A(n74982), .B(n74981), .Y(n74983) );
+  sky130_fd_sc_hd__o211ai_1 U93167 ( .A1(n74986), .A2(n74985), .B1(n74984), 
+        .C1(n74983), .Y(n75066) );
+  sky130_fd_sc_hd__nor2_1 U93168 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[28]), .B(n75897), .Y(n75050) );
+  sky130_fd_sc_hd__nand2_1 U93169 ( .A(n75897), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[28]), .Y(n75060) );
+  sky130_fd_sc_hd__nor2_1 U93170 ( .A(n75050), .B(n74987), .Y(n74989) );
+  sky130_fd_sc_hd__nor2_1 U93171 ( .A(n74989), .B(n74988), .Y(n75065) );
+  sky130_fd_sc_hd__a22oi_1 U93172 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[19]), .A2(n75029), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[20]), .B2(n75032), .Y(n75036) );
+  sky130_fd_sc_hd__o21ba_2 U93173 ( .A1(n74991), .A2(n74990), .B1_N(n75021), 
+        .X(n75027) );
+  sky130_fd_sc_hd__o22ai_1 U93174 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[9]), .A2(n75457), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[10]), .B2(n75782), .Y(n75012) );
+  sky130_fd_sc_hd__o22ai_1 U93175 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[11]), .A2(n74993), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[10]), .B2(n74992), .Y(n75010) );
+  sky130_fd_sc_hd__o22ai_1 U93176 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[7]), .A2(n74995), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[6]), .B2(n74994), .Y(n75005) );
+  sky130_fd_sc_hd__a21oi_1 U93177 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[0]), .A2(n75430), .B1(n74996), .Y(n74997) );
+  sky130_fd_sc_hd__a211o_1 U93178 ( .A1(n74999), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[4]), .B1(n74998), .C1(n74997), .X(n75002) );
+  sky130_fd_sc_hd__a22oi_1 U93179 ( .A1(n75434), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[3]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[2]), .B2(n75435), .Y(n75001) );
+  sky130_fd_sc_hd__o22ai_1 U93180 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[3]), .A2(n75434), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[4]), .B2(n75440), .Y(n75000) );
+  sky130_fd_sc_hd__a21oi_1 U93181 ( .A1(n75002), .A2(n75001), .B1(n75000), .Y(
+        n75004) );
+  sky130_fd_sc_hd__o22a_1 U93182 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[5]), .A2(n75441), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[6]), .B2(n75445), .X(n75003) );
+  sky130_fd_sc_hd__a22oi_1 U93184 ( .A1(n75449), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[7]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[6]), .B2(n75445), .Y(n75007) );
+  sky130_fd_sc_hd__o22ai_1 U93185 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[7]), .A2(n75449), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[8]), .B2(n75456), .Y(n75006) );
+  sky130_fd_sc_hd__a21oi_1 U93186 ( .A1(n75008), .A2(n75007), .B1(n75006), .Y(
+        n75009) );
+  sky130_fd_sc_hd__nor2_1 U93187 ( .A(n75010), .B(n75009), .Y(n75011) );
+  sky130_fd_sc_hd__o22a_1 U93188 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[12]), .A2(n75013), .B1(n75012), .B2(n75011), .X(n75014) );
+  sky130_fd_sc_hd__nor2_1 U93189 ( .A(n75015), .B(n75014), .Y(n75017) );
+  sky130_fd_sc_hd__or3_1 U93191 ( .A(n75021), .B(n75020), .C(n75019), .X(
+        n75022) );
+  sky130_fd_sc_hd__a31oi_1 U93192 ( .A1(n75025), .A2(n75024), .A3(n75023), 
+        .B1(n75022), .Y(n75026) );
+  sky130_fd_sc_hd__nor3_1 U93193 ( .A(n75028), .B(n75027), .C(n75026), .Y(
+        n75030) );
+  sky130_fd_sc_hd__o22ai_1 U93194 ( .A1(n75031), .A2(n75030), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[19]), .B2(n75029), .Y(n75035) );
+  sky130_fd_sc_hd__o22ai_1 U93195 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[21]), .A2(n75033), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[20]), .B2(n75032), .Y(n75034) );
+  sky130_fd_sc_hd__a21oi_1 U93196 ( .A1(n75036), .A2(n75035), .B1(n75034), .Y(
+        n75039) );
+  sky130_fd_sc_hd__o22ai_1 U93197 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[20]), .A2(n75891), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[19]), .B2(n75790), .Y(n75038) );
+  sky130_fd_sc_hd__o22ai_1 U93198 ( .A1(n75039), .A2(n75038), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[22]), .B2(n75037), .Y(n75040) );
+  sky130_fd_sc_hd__nand2_1 U93199 ( .A(n75041), .B(n75040), .Y(n75043) );
+  sky130_fd_sc_hd__a31oi_1 U93200 ( .A1(n75045), .A2(n75044), .A3(n75043), 
+        .B1(n75042), .Y(n75046) );
+  sky130_fd_sc_hd__a21oi_1 U93201 ( .A1(n75905), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[23]), .B1(n75046), .Y(n75049) );
+  sky130_fd_sc_hd__o22ai_1 U93202 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[24]), .A2(n75889), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[23]), .B2(n75905), .Y(n75048) );
+  sky130_fd_sc_hd__a22oi_1 U93203 ( .A1(n75889), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[24]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[25]), .B2(n75901), .Y(n75047) );
+  sky130_fd_sc_hd__o21ai_1 U93204 ( .A1(n75049), .A2(n75048), .B1(n75047), .Y(
+        n75054) );
+  sky130_fd_sc_hd__nor2_1 U93205 ( .A(n75051), .B(n75050), .Y(n75058) );
+  sky130_fd_sc_hd__a2bb2oi_1 U93206 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[28]), .B2(n75052), .A1_N(n75901), .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[25]), .Y(n75053) );
+  sky130_fd_sc_hd__nand3_1 U93207 ( .A(n75054), .B(n75058), .C(n75053), .Y(
+        n75061) );
+  sky130_fd_sc_hd__nand2_1 U93208 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[26]), .B(n75899), .Y(n75055) );
+  sky130_fd_sc_hd__nand2_1 U93209 ( .A(n75056), .B(n75055), .Y(n75057) );
+  sky130_fd_sc_hd__nand2_1 U93210 ( .A(n75058), .B(n75057), .Y(n75059) );
+  sky130_fd_sc_hd__nand3_1 U93211 ( .A(n75061), .B(n75060), .C(n75059), .Y(
+        n75238) );
+  sky130_fd_sc_hd__nand3_1 U93212 ( .A(n75063), .B(n75062), .C(n75238), .Y(
+        n75064) );
+  sky130_fd_sc_hd__o21a_1 U93213 ( .A1(n75066), .A2(n75065), .B1(n75064), .X(
+        n75635) );
+  sky130_fd_sc_hd__nand2_1 U93214 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[27]), .B(n75903), .Y(n75228) );
+  sky130_fd_sc_hd__nand2_1 U93215 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[26]), .B(n75899), .Y(n75067) );
+  sky130_fd_sc_hd__nand2_1 U93216 ( .A(n75228), .B(n75067), .Y(n75068) );
+  sky130_fd_sc_hd__nor2_1 U93217 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[27]), .B(n75903), .Y(n75131) );
+  sky130_fd_sc_hd__a22oi_1 U93218 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[28]), .A2(n75897), .B1(n75068), .B2(n75229), .Y(n75135) );
+  sky130_fd_sc_hd__nor2_1 U93219 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[19]), .B(n75069), .Y(n75118) );
+  sky130_fd_sc_hd__nor2_1 U93220 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[7]), .B(n75076), .Y(n75182) );
+  sky130_fd_sc_hd__nand2_1 U93221 ( .A(n75070), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[3]), .Y(n75166) );
+  sky130_fd_sc_hd__nand2_1 U93222 ( .A(n75431), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[1]), .Y(n75165) );
+  sky130_fd_sc_hd__nand2_1 U93223 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[0]), .B(n75430), .Y(n75071) );
+  sky130_fd_sc_hd__nand2_1 U93224 ( .A(n75165), .B(n75071), .Y(n75072) );
+  sky130_fd_sc_hd__o211ai_1 U93225 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[2]), .A2(n75435), .B1(n75166), .C1(n75072), .Y(n75074) );
+  sky130_fd_sc_hd__a22oi_1 U93226 ( .A1(n75435), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[2]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[3]), .B2(n75434), .Y(n75073) );
+  sky130_fd_sc_hd__nand2_1 U93227 ( .A(n75074), .B(n75073), .Y(n75075) );
+  sky130_fd_sc_hd__o21ai_1 U93228 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[3]), .A2(n75434), .B1(n75075), .Y(n75079) );
+  sky130_fd_sc_hd__nand2_1 U93229 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[4]), .B(n75440), .Y(n75078) );
+  sky130_fd_sc_hd__nand2_1 U93230 ( .A(n75076), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[7]), .Y(n75181) );
+  sky130_fd_sc_hd__a21oi_1 U93232 ( .A1(n75079), .A2(n75078), .B1(n75077), .Y(
+        n75080) );
+  sky130_fd_sc_hd__o22ai_1 U93233 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[6]), .A2(n75445), .B1(n75182), .B2(n75080), .Y(n75083) );
+  sky130_fd_sc_hd__a22oi_1 U93234 ( .A1(n75449), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[7]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[9]), .B2(n75457), .Y(n75082) );
+  sky130_fd_sc_hd__a22oi_1 U93235 ( .A1(n75456), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[8]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[6]), .B2(n75445), .Y(n75081) );
+  sky130_fd_sc_hd__nand3_1 U93236 ( .A(n75083), .B(n75082), .C(n75081), .Y(
+        n75099) );
+  sky130_fd_sc_hd__nor2_1 U93237 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[7]), .B(n75449), .Y(n75092) );
+  sky130_fd_sc_hd__nand2_1 U93238 ( .A(n75092), .B(n75084), .Y(n75086) );
+  sky130_fd_sc_hd__nor2_1 U93239 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[8]), .Y(n75088) );
+  sky130_fd_sc_hd__clkinv_1 U93240 ( .A(n75088), .Y(n75085) );
+  sky130_fd_sc_hd__nand2_1 U93241 ( .A(n75086), .B(n75085), .Y(n75091) );
+  sky130_fd_sc_hd__nand2_1 U93242 ( .A(n75087), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[13]), .Y(n75195) );
+  sky130_fd_sc_hd__nand2_1 U93243 ( .A(n75092), .B(n75088), .Y(n75089) );
+  sky130_fd_sc_hd__o211ai_1 U93244 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[10]), .A2(n75782), .B1(n75195), .C1(n75089), .Y(n75090) );
+  sky130_fd_sc_hd__a21oi_1 U93245 ( .A1(n75091), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[10]), .B1(n75090), .Y(n75098) );
+  sky130_fd_sc_hd__clkinv_1 U93246 ( .A(n75092), .Y(n75095) );
+  sky130_fd_sc_hd__nand2_1 U93247 ( .A(n75095), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[8]), .Y(n75093) );
+  sky130_fd_sc_hd__nand2_1 U93248 ( .A(n75093), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[10]), .Y(n75094) );
+  sky130_fd_sc_hd__o211ai_1 U93249 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[8]), .A2(n75095), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[9]), .C1(n75094), .Y(n75096) );
+  sky130_fd_sc_hd__nand2_1 U93250 ( .A(n75096), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[11]), .Y(n75097) );
+  sky130_fd_sc_hd__nand3_1 U93251 ( .A(n75099), .B(n75098), .C(n75097), .Y(
+        n75102) );
+  sky130_fd_sc_hd__nand3_1 U93252 ( .A(n75195), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[10]), .C(n75782), .Y(n75101) );
+  sky130_fd_sc_hd__nand2_1 U93253 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[12]), .B(n75786), .Y(n75100) );
+  sky130_fd_sc_hd__nand2_1 U93254 ( .A(n75800), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[11]), .Y(n75196) );
+  sky130_fd_sc_hd__nand4_1 U93255 ( .A(n75102), .B(n75101), .C(n75100), .D(
+        n75196), .Y(n75106) );
+  sky130_fd_sc_hd__a2bb2oi_1 U93256 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[15]), .B2(n75103), .A1_N(n75786), .A2_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[12]), .Y(n75105) );
+  sky130_fd_sc_hd__nand2_1 U93257 ( .A(n75104), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[17]), .Y(n75160) );
+  sky130_fd_sc_hd__nand2_1 U93258 ( .A(n75110), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[16]), .Y(n75107) );
+  sky130_fd_sc_hd__nand4_1 U93259 ( .A(n75106), .B(n75105), .C(n75160), .D(
+        n75107), .Y(n75116) );
+  sky130_fd_sc_hd__nand2_1 U93260 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[13]), .B(n75798), .Y(n75109) );
+  sky130_fd_sc_hd__clkinv_1 U93261 ( .A(n75107), .Y(n75108) );
+  sky130_fd_sc_hd__o22ai_1 U93262 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[16]), .A2(n75110), .B1(n75109), .B2(n75108), .Y(n75113) );
+  sky130_fd_sc_hd__nand2_1 U93263 ( .A(n75788), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[15]), .Y(n75161) );
+  sky130_fd_sc_hd__nand2_1 U93264 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[16]), .B(n75796), .Y(n75111) );
+  sky130_fd_sc_hd__nand2_1 U93265 ( .A(n75161), .B(n75111), .Y(n75112) );
+  sky130_fd_sc_hd__a21oi_1 U93266 ( .A1(n75113), .A2(n75160), .B1(n75112), .Y(
+        n75115) );
+  sky130_fd_sc_hd__o22ai_1 U93267 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[16]), .A2(n75796), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[17]), .B2(n75794), .Y(n75114) );
+  sky130_fd_sc_hd__a21oi_1 U93268 ( .A1(n75116), .A2(n75115), .B1(n75114), .Y(
+        n75117) );
+  sky130_fd_sc_hd__o22ai_1 U93269 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[18]), .A2(n75792), .B1(n75118), .B2(n75117), .Y(n75121) );
+  sky130_fd_sc_hd__a22oi_1 U93270 ( .A1(n75790), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[19]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[18]), .B2(n75792), .Y(n75120) );
+  sky130_fd_sc_hd__o22ai_1 U93271 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[20]), .A2(n75891), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[19]), .B2(n75790), .Y(n75119) );
+  sky130_fd_sc_hd__a21o_1 U93272 ( .A1(n75121), .A2(n75120), .B1(n75119), .X(
+        n75124) );
+  sky130_fd_sc_hd__a22oi_1 U93273 ( .A1(n75891), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[20]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[21]), .B2(n75893), .Y(n75123) );
+  sky130_fd_sc_hd__o22ai_1 U93274 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[21]), .A2(n75893), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[22]), .B2(n75895), .Y(n75122) );
+  sky130_fd_sc_hd__a21o_1 U93275 ( .A1(n75124), .A2(n75123), .B1(n75122), .X(
+        n75127) );
+  sky130_fd_sc_hd__a22oi_1 U93276 ( .A1(n75905), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[23]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[22]), .B2(n75895), .Y(n75126) );
+  sky130_fd_sc_hd__o22ai_1 U93277 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[23]), .A2(n75905), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[24]), .B2(n75889), .Y(n75125) );
+  sky130_fd_sc_hd__a21o_1 U93278 ( .A1(n75127), .A2(n75126), .B1(n75125), .X(
+        n75129) );
+  sky130_fd_sc_hd__a22oi_1 U93279 ( .A1(n75889), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[24]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[25]), .B2(n75901), .Y(n75128) );
+  sky130_fd_sc_hd__nand2_1 U93280 ( .A(n75129), .B(n75128), .Y(n75133) );
+  sky130_fd_sc_hd__o22ai_1 U93281 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[25]), .A2(n75901), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[26]), .B2(n75899), .Y(n75130) );
+  sky130_fd_sc_hd__nor2_1 U93282 ( .A(n75131), .B(n75130), .Y(n75132) );
+  sky130_fd_sc_hd__nand2_1 U93283 ( .A(n75133), .B(n75132), .Y(n75134) );
+  sky130_fd_sc_hd__a2bb2oi_1 U93284 ( .B1(n75135), .B2(n75134), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[28]), .A2_N(n75897), .Y(n75417) );
+  sky130_fd_sc_hd__xnor2_1 U93285 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[28]), .B(n75897), .Y(n75136) );
+  sky130_fd_sc_hd__nand2_1 U93286 ( .A(n75137), .B(n75136), .Y(n75234) );
+  sky130_fd_sc_hd__xnor2_1 U93287 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[26]), .B(n75899), .Y(n75226) );
+  sky130_fd_sc_hd__xnor2_1 U93288 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[27]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[25]), .Y(n75224) );
+  sky130_fd_sc_hd__xnor2_1 U93289 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[24]), .B(n75889), .Y(n75220) );
+  sky130_fd_sc_hd__xnor2_1 U93290 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[25]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[23]), .Y(n75218) );
+  sky130_fd_sc_hd__xnor2_1 U93291 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[22]), .B(n75895), .Y(n75214) );
+  sky130_fd_sc_hd__xnor2_1 U93292 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[21]), .B(n75893), .Y(n75138) );
+  sky130_fd_sc_hd__nand2_1 U93293 ( .A(n75139), .B(n75138), .Y(n75212) );
+  sky130_fd_sc_hd__xnor2_1 U93294 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[9]), .Y(n75143) );
+  sky130_fd_sc_hd__xnor2_1 U93295 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[7]), .Y(n75140) );
+  sky130_fd_sc_hd__o22ai_1 U93296 ( .A1(n75143), .A2(n75142), .B1(n75141), 
+        .B2(n75140), .Y(n75152) );
+  sky130_fd_sc_hd__xnor2_1 U93297 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[10]), .Y(n75147) );
+  sky130_fd_sc_hd__xnor2_1 U93298 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[12]), .Y(n75145) );
+  sky130_fd_sc_hd__o22ai_1 U93299 ( .A1(n75147), .A2(n75146), .B1(n75145), 
+        .B2(n75144), .Y(n75151) );
+  sky130_fd_sc_hd__xnor2_1 U93300 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[14]), .Y(n75149) );
+  sky130_fd_sc_hd__nor2_1 U93301 ( .A(n75149), .B(n75148), .Y(n75150) );
+  sky130_fd_sc_hd__nor3_1 U93302 ( .A(n75152), .B(n75151), .C(n75150), .Y(
+        n75211) );
+  sky130_fd_sc_hd__xnor2_1 U93303 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[19]), .B(n75790), .Y(n75159) );
+  sky130_fd_sc_hd__xnor2_1 U93304 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[20]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[18]), .Y(n75156) );
+  sky130_fd_sc_hd__xnor2_1 U93305 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[19]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[17]), .Y(n75153) );
+  sky130_fd_sc_hd__o22ai_1 U93306 ( .A1(n75156), .A2(n75155), .B1(n75154), 
+        .B2(n75153), .Y(n75157) );
+  sky130_fd_sc_hd__a21oi_1 U93307 ( .A1(n75159), .A2(n75158), .B1(n75157), .Y(
+        n75210) );
+  sky130_fd_sc_hd__xor2_1 U93308 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[22]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[20]), .X(n75208) );
+  sky130_fd_sc_hd__clkinv_1 U93309 ( .A(n75160), .Y(n75164) );
+  sky130_fd_sc_hd__clkinv_1 U93310 ( .A(n75161), .Y(n75163) );
+  sky130_fd_sc_hd__o21ai_1 U93311 ( .A1(n75164), .A2(n75163), .B1(n75162), .Y(
+        n75205) );
+  sky130_fd_sc_hd__xnor2_1 U93312 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[16]), .B(n75796), .Y(n75194) );
+  sky130_fd_sc_hd__xnor2_1 U93313 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[6]), .Y(n75190) );
+  sky130_fd_sc_hd__xor2_1 U93314 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[4]), .X(n75180) );
+  sky130_fd_sc_hd__xnor2_1 U93315 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[3]), .Y(n75177) );
+  sky130_fd_sc_hd__xor2_1 U93316 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[2]), .X(n75173) );
+  sky130_fd_sc_hd__xnor2_1 U93317 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[0]), .Y(n75171) );
+  sky130_fd_sc_hd__clkinv_1 U93318 ( .A(n75165), .Y(n75169) );
+  sky130_fd_sc_hd__clkinv_1 U93319 ( .A(n75166), .Y(n75168) );
+  sky130_fd_sc_hd__o21ai_0 U93320 ( .A1(n75169), .A2(n75168), .B1(n75167), .Y(
+        n75170) );
+  sky130_fd_sc_hd__o211ai_1 U93321 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_cfg_a[0]), .A2(n75171), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_cfg_a[1]), .C1(n75170), .Y(n75172) );
+  sky130_fd_sc_hd__a21oi_1 U93322 ( .A1(n75174), .A2(n75173), .B1(n75172), .Y(
+        n75175) );
+  sky130_fd_sc_hd__o21ai_0 U93323 ( .A1(n75177), .A2(n75176), .B1(n75175), .Y(
+        n75178) );
+  sky130_fd_sc_hd__a21oi_1 U93324 ( .A1(n75180), .A2(n75179), .B1(n75178), .Y(
+        n75189) );
+  sky130_fd_sc_hd__xor2_1 U93325 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[8]), .X(n75187) );
+  sky130_fd_sc_hd__clkinv_1 U93326 ( .A(n75181), .Y(n75183) );
+  sky130_fd_sc_hd__nor2_1 U93327 ( .A(n75183), .B(n75182), .Y(n75185) );
+  sky130_fd_sc_hd__a2bb2oi_1 U93328 ( .B1(n75187), .B2(n75186), .A1_N(n75185), 
+        .A2_N(n75184), .Y(n75188) );
+  sky130_fd_sc_hd__o211ai_1 U93329 ( .A1(n75191), .A2(n75190), .B1(n75189), 
+        .C1(n75188), .Y(n75192) );
+  sky130_fd_sc_hd__a21oi_1 U93330 ( .A1(n75194), .A2(n75193), .B1(n75192), .Y(
+        n75204) );
+  sky130_fd_sc_hd__clkinv_1 U93331 ( .A(n75195), .Y(n75199) );
+  sky130_fd_sc_hd__clkinv_1 U93332 ( .A(n75196), .Y(n75198) );
+  sky130_fd_sc_hd__xnor2_1 U93334 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[13]), .B(n75798), .Y(n75200) );
+  sky130_fd_sc_hd__nand2_1 U93335 ( .A(n75201), .B(n75200), .Y(n75202) );
+  sky130_fd_sc_hd__nand4_1 U93336 ( .A(n75205), .B(n75204), .C(n75203), .D(
+        n75202), .Y(n75206) );
+  sky130_fd_sc_hd__a21oi_1 U93337 ( .A1(n75208), .A2(n75207), .B1(n75206), .Y(
+        n75209) );
+  sky130_fd_sc_hd__nand4_1 U93338 ( .A(n75212), .B(n75211), .C(n75210), .D(
+        n75209), .Y(n75213) );
+  sky130_fd_sc_hd__a21oi_1 U93339 ( .A1(n75215), .A2(n75214), .B1(n75213), .Y(
+        n75216) );
+  sky130_fd_sc_hd__a21oi_1 U93341 ( .A1(n75221), .A2(n75220), .B1(n75219), .Y(
+        n75222) );
+  sky130_fd_sc_hd__a21oi_1 U93343 ( .A1(n75227), .A2(n75226), .B1(n75225), .Y(
+        n75233) );
+  sky130_fd_sc_hd__nand2_1 U93344 ( .A(n75229), .B(n75228), .Y(n75230) );
+  sky130_fd_sc_hd__nand2_1 U93345 ( .A(n75231), .B(n75230), .Y(n75232) );
+  sky130_fd_sc_hd__nand3_1 U93346 ( .A(n75234), .B(n75233), .C(n75232), .Y(
+        n75235) );
+  sky130_fd_sc_hd__o31ai_1 U93347 ( .A1(n75238), .A2(n75237), .A3(n75236), 
+        .B1(n75235), .Y(n75628) );
+  sky130_fd_sc_hd__nand3_1 U93348 ( .A(n75652), .B(n75635), .C(n75239), .Y(
+        n75667) );
+  sky130_fd_sc_hd__nor2_1 U93349 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[22]), .B(n75240), .Y(n75390) );
+  sky130_fd_sc_hd__nand2_1 U93350 ( .A(n75790), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[19]), .Y(n75329) );
+  sky130_fd_sc_hd__nand2_1 U93351 ( .A(n75792), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[18]), .Y(n75349) );
+  sky130_fd_sc_hd__nor2_1 U93352 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[19]), .B(n75274), .Y(n75342) );
+  sky130_fd_sc_hd__nand2_1 U93353 ( .A(n75788), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[15]), .Y(n75325) );
+  sky130_fd_sc_hd__nand2_1 U93354 ( .A(n75796), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[16]), .Y(n75351) );
+  sky130_fd_sc_hd__nand2_1 U93355 ( .A(n75798), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[13]), .Y(n75382) );
+  sky130_fd_sc_hd__o22ai_1 U93356 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[10]), .A2(n75782), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[11]), .B2(n75800), .Y(n75261) );
+  sky130_fd_sc_hd__nor2_1 U93357 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[7]), .B(n75449), .Y(n75309) );
+  sky130_fd_sc_hd__nor2_1 U93358 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[9]), .B(n75241), .Y(n75308) );
+  sky130_fd_sc_hd__o22ai_1 U93359 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[3]), .A2(n75434), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[2]), .B2(n75435), .Y(n75247) );
+  sky130_fd_sc_hd__nor2_1 U93360 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[3]), .B(n75242), .Y(n75359) );
+  sky130_fd_sc_hd__nor2_1 U93361 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[1]), .B(n75431), .Y(n75360) );
+  sky130_fd_sc_hd__nor3_1 U93362 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[2]), .B(n75243), .C(n75360), .Y(n75244) );
+  sky130_fd_sc_hd__a211oi_1 U93363 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[2]), .A2(n75435), .B1(n75359), .C1(n75244), .Y(n75246) );
+  sky130_fd_sc_hd__a22oi_1 U93364 ( .A1(n75434), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[3]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[4]), .B2(n75440), .Y(n75245) );
+  sky130_fd_sc_hd__o22a_1 U93366 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[4]), .A2(n75440), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[5]), .B2(n75441), .X(n75248) );
+  sky130_fd_sc_hd__a22oi_1 U93367 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[5]), .A2(n75441), .B1(n75249), .B2(n75248), .Y(n75250) );
+  sky130_fd_sc_hd__a21oi_1 U93368 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[8]), .A2(n75251), .B1(n75250), .Y(n75252) );
+  sky130_fd_sc_hd__a211oi_1 U93369 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[6]), .A2(n75445), .B1(n75308), .C1(n75252), .Y(n75253) );
+  sky130_fd_sc_hd__o22ai_1 U93370 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[10]), .A2(n75254), .B1(n75309), .B2(n75253), .Y(n75259) );
+  sky130_fd_sc_hd__o22a_1 U93371 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[9]), .A2(n75457), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[8]), .B2(n75456), .X(n75258) );
+  sky130_fd_sc_hd__o22ai_1 U93372 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[11]), .A2(n75256), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[12]), .B2(n75255), .Y(n75257) );
+  sky130_fd_sc_hd__a21oi_1 U93373 ( .A1(n75259), .A2(n75258), .B1(n75257), .Y(
+        n75260) );
+  sky130_fd_sc_hd__o22ai_1 U93374 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[13]), .A2(n75262), .B1(n75261), .B2(n75260), .Y(n75263) );
+  sky130_fd_sc_hd__o21ai_1 U93375 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[12]), .A2(n75786), .B1(n75263), .Y(n75264) );
+  sky130_fd_sc_hd__o211ai_1 U93376 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[14]), .A2(n75265), .B1(n75382), .C1(n75264), .Y(n75268) );
+  sky130_fd_sc_hd__nand2_1 U93377 ( .A(n75266), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[16]), .Y(n75306) );
+  sky130_fd_sc_hd__nand2_1 U93378 ( .A(n75267), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[15]), .Y(n75383) );
+  sky130_fd_sc_hd__nand3_1 U93379 ( .A(n75268), .B(n75306), .C(n75383), .Y(
+        n75269) );
+  sky130_fd_sc_hd__nand2_1 U93380 ( .A(n75784), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[14]), .Y(n75305) );
+  sky130_fd_sc_hd__nand2_1 U93381 ( .A(n75269), .B(n75305), .Y(n75271) );
+  sky130_fd_sc_hd__nand2_1 U93382 ( .A(n75270), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[17]), .Y(n75326) );
+  sky130_fd_sc_hd__nand2_1 U93383 ( .A(n75271), .B(n75326), .Y(n75272) );
+  sky130_fd_sc_hd__nor2_1 U93384 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[16]), .B(n75796), .Y(n75354) );
+  sky130_fd_sc_hd__a31oi_1 U93385 ( .A1(n75325), .A2(n75351), .A3(n75272), 
+        .B1(n75354), .Y(n75275) );
+  sky130_fd_sc_hd__nand2_1 U93386 ( .A(n75273), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[20]), .Y(n75350) );
+  sky130_fd_sc_hd__nand2_1 U93387 ( .A(n75274), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[19]), .Y(n75339) );
+  sky130_fd_sc_hd__o211ai_1 U93388 ( .A1(n75342), .A2(n75275), .B1(n75350), 
+        .C1(n75339), .Y(n75279) );
+  sky130_fd_sc_hd__nand2_1 U93389 ( .A(n75276), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[21]), .Y(n75330) );
+  sky130_fd_sc_hd__nor2_1 U93390 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[20]), .B(n75891), .Y(n75389) );
+  sky130_fd_sc_hd__nand2_1 U93391 ( .A(n75330), .B(n75277), .Y(n75278) );
+  sky130_fd_sc_hd__a31oi_1 U93392 ( .A1(n75329), .A2(n75349), .A3(n75279), 
+        .B1(n75278), .Y(n75280) );
+  sky130_fd_sc_hd__nor2_1 U93393 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[21]), .B(n75893), .Y(n75323) );
+  sky130_fd_sc_hd__o21bai_1 U93394 ( .A1(n75390), .A2(n75280), .B1_N(n75323), 
+        .Y(n75281) );
+  sky130_fd_sc_hd__nand2_1 U93395 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[21]), .B(n75893), .Y(n75321) );
+  sky130_fd_sc_hd__nand2_1 U93396 ( .A(n75281), .B(n75321), .Y(n75282) );
+  sky130_fd_sc_hd__nand2_1 U93398 ( .A(n75282), .B(n75895), .Y(n75283) );
+  sky130_fd_sc_hd__nand2_1 U93399 ( .A(n75284), .B(n75283), .Y(n75286) );
+  sky130_fd_sc_hd__nand2_1 U93400 ( .A(n75285), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[25]), .Y(n75338) );
+  sky130_fd_sc_hd__nand2_1 U93401 ( .A(n75286), .B(n75338), .Y(n75289) );
+  sky130_fd_sc_hd__a22oi_1 U93402 ( .A1(n75889), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[24]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[26]), .B2(n75899), .Y(n75288) );
+  sky130_fd_sc_hd__nand2_1 U93403 ( .A(n75905), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[23]), .Y(n75337) );
+  sky130_fd_sc_hd__nand2_1 U93404 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[25]), .B(n75901), .Y(n75287) );
+  sky130_fd_sc_hd__nand4_1 U93405 ( .A(n75289), .B(n75288), .C(n75337), .D(
+        n75287), .Y(n75304) );
+  sky130_fd_sc_hd__nand2_1 U93406 ( .A(n75290), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[26]), .Y(n75300) );
+  sky130_fd_sc_hd__clkinv_1 U93407 ( .A(n75300), .Y(n75291) );
+  sky130_fd_sc_hd__nand2_1 U93408 ( .A(n75291), .B(n75293), .Y(n75294) );
+  sky130_fd_sc_hd__nand2_1 U93409 ( .A(n75293), .B(n75292), .Y(n75295) );
+  sky130_fd_sc_hd__nand2_1 U93410 ( .A(n75294), .B(n75295), .Y(n75297) );
+  sky130_fd_sc_hd__o22ai_1 U93411 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[27]), .A2(n75903), .B1(n75300), .B2(n75295), .Y(n75296) );
+  sky130_fd_sc_hd__a21oi_1 U93412 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[27]), .A2(n75297), .B1(n75296), .Y(n75303) );
+  sky130_fd_sc_hd__nand2_1 U93413 ( .A(n75300), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[25]), .Y(n75298) );
+  sky130_fd_sc_hd__nand2_1 U93414 ( .A(n75298), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[27]), .Y(n75299) );
+  sky130_fd_sc_hd__o211ai_1 U93415 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[25]), .A2(n75300), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[26]), .C1(n75299), .Y(n75301) );
+  sky130_fd_sc_hd__nand2_1 U93416 ( .A(n75301), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[28]), .Y(n75302) );
+  sky130_fd_sc_hd__nand3_1 U93417 ( .A(n75304), .B(n75303), .C(n75302), .Y(
+        n75424) );
+  sky130_fd_sc_hd__nand2_1 U93418 ( .A(n75897), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[28]), .Y(n75423) );
+  sky130_fd_sc_hd__nand2_1 U93419 ( .A(n75903), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[27]), .Y(n75422) );
+  sky130_fd_sc_hd__and3_1 U93420 ( .A(n75423), .B(n75422), .C(n75416), .X(
+        n75421) );
+  sky130_fd_sc_hd__xnor2_1 U93421 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[30]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[28]), .Y(n75411) );
+  sky130_fd_sc_hd__xnor2_1 U93422 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[27]), .B(n75903), .Y(n75407) );
+  sky130_fd_sc_hd__xnor2_1 U93423 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[27]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[25]), .Y(n75404) );
+  sky130_fd_sc_hd__nand2_1 U93424 ( .A(n75306), .B(n75305), .Y(n75319) );
+  sky130_fd_sc_hd__xnor2_1 U93425 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[9]), .Y(n75312) );
+  sky130_fd_sc_hd__o21ai_1 U93426 ( .A1(n75309), .A2(n75308), .B1(n75307), .Y(
+        n75310) );
+  sky130_fd_sc_hd__o21ai_1 U93427 ( .A1(n75312), .A2(n75311), .B1(n75310), .Y(
+        n75318) );
+  sky130_fd_sc_hd__xnor2_1 U93428 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[10]), .Y(n75316) );
+  sky130_fd_sc_hd__xnor2_1 U93429 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[12]), .Y(n75314) );
+  sky130_fd_sc_hd__o22ai_1 U93430 ( .A1(n75316), .A2(n75315), .B1(n75314), 
+        .B2(n75313), .Y(n75317) );
+  sky130_fd_sc_hd__a211oi_1 U93431 ( .A1(n75320), .A2(n75319), .B1(n75318), 
+        .C1(n75317), .Y(n75336) );
+  sky130_fd_sc_hd__o21ai_1 U93432 ( .A1(n75324), .A2(n75323), .B1(n75322), .Y(
+        n75335) );
+  sky130_fd_sc_hd__nand2_1 U93433 ( .A(n75326), .B(n75325), .Y(n75327) );
+  sky130_fd_sc_hd__nand2_1 U93434 ( .A(n75328), .B(n75327), .Y(n75334) );
+  sky130_fd_sc_hd__nand2_1 U93435 ( .A(n75330), .B(n75329), .Y(n75331) );
+  sky130_fd_sc_hd__nand2_1 U93436 ( .A(n75332), .B(n75331), .Y(n75333) );
+  sky130_fd_sc_hd__nand4_1 U93437 ( .A(n75336), .B(n75335), .C(n75334), .D(
+        n75333), .Y(n75399) );
+  sky130_fd_sc_hd__nand2_1 U93438 ( .A(n75338), .B(n75337), .Y(n75347) );
+  sky130_fd_sc_hd__xnor2_1 U93439 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[22]), .Y(n75345) );
+  sky130_fd_sc_hd__clkinv_1 U93440 ( .A(n75339), .Y(n75341) );
+  sky130_fd_sc_hd__o21ai_1 U93441 ( .A1(n75342), .A2(n75341), .B1(n75340), .Y(
+        n75343) );
+  sky130_fd_sc_hd__a21oi_1 U93443 ( .A1(n75348), .A2(n75347), .B1(n75346), .Y(
+        n75394) );
+  sky130_fd_sc_hd__nand2_1 U93444 ( .A(n75350), .B(n75349), .Y(n75380) );
+  sky130_fd_sc_hd__clkinv_1 U93445 ( .A(n75351), .Y(n75353) );
+  sky130_fd_sc_hd__xnor2_1 U93447 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[5]), .Y(n75358) );
+  sky130_fd_sc_hd__xnor2_1 U93448 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[8]), .Y(n75355) );
+  sky130_fd_sc_hd__o22a_1 U93449 ( .A1(n75358), .A2(n75357), .B1(n75356), .B2(
+        n75355), .X(n75377) );
+  sky130_fd_sc_hd__xor2_1 U93450 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[6]), .X(n75374) );
+  sky130_fd_sc_hd__xnor2_1 U93451 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[3]), .Y(n75372) );
+  sky130_fd_sc_hd__xor2_1 U93452 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[2]), .X(n75365) );
+  sky130_fd_sc_hd__xnor2_1 U93453 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[0]), .Y(n75363) );
+  sky130_fd_sc_hd__nor2_1 U93454 ( .A(n75360), .B(n75359), .Y(n75362) );
+  sky130_fd_sc_hd__o22ai_1 U93455 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_a[0]), .A2(n75363), .B1(n75362), .B2(n75361), .Y(n75364) );
+  sky130_fd_sc_hd__a21oi_1 U93456 ( .A1(n75366), .A2(n75365), .B1(n75364), .Y(
+        n75370) );
+  sky130_fd_sc_hd__xor2_1 U93457 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[4]), .X(n75367) );
+  sky130_fd_sc_hd__nand2_1 U93458 ( .A(n75368), .B(n75367), .Y(n75369) );
+  sky130_fd_sc_hd__o211ai_1 U93459 ( .A1(n75372), .A2(n75371), .B1(n75370), 
+        .C1(n75369), .Y(n75373) );
+  sky130_fd_sc_hd__a21oi_1 U93460 ( .A1(n75375), .A2(n75374), .B1(n75373), .Y(
+        n75376) );
+  sky130_fd_sc_hd__nand3_1 U93461 ( .A(n75378), .B(n75377), .C(n75376), .Y(
+        n75379) );
+  sky130_fd_sc_hd__a21oi_1 U93462 ( .A1(n75381), .A2(n75380), .B1(n75379), .Y(
+        n75393) );
+  sky130_fd_sc_hd__nand2_1 U93463 ( .A(n75383), .B(n75382), .Y(n75387) );
+  sky130_fd_sc_hd__xnor2_1 U93464 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[13]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[11]), .Y(n75385) );
+  sky130_fd_sc_hd__a2bb2oi_1 U93465 ( .B1(n75387), .B2(n75386), .A1_N(n75385), 
+        .A2_N(n75384), .Y(n75392) );
+  sky130_fd_sc_hd__nand4_1 U93467 ( .A(n75394), .B(n75393), .C(n75392), .D(
+        n75391), .Y(n75398) );
+  sky130_fd_sc_hd__xnor2_1 U93468 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[26]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[24]), .Y(n75396) );
+  sky130_fd_sc_hd__nor2_1 U93469 ( .A(n75396), .B(n75395), .Y(n75397) );
+  sky130_fd_sc_hd__nor3_1 U93470 ( .A(n75399), .B(n75398), .C(n75397), .Y(
+        n75403) );
+  sky130_fd_sc_hd__xnor2_1 U93471 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[26]), .B(n75899), .Y(n75400) );
+  sky130_fd_sc_hd__nand2_1 U93472 ( .A(n75401), .B(n75400), .Y(n75402) );
+  sky130_fd_sc_hd__o211ai_1 U93473 ( .A1(n75405), .A2(n75404), .B1(n75403), 
+        .C1(n75402), .Y(n75406) );
+  sky130_fd_sc_hd__a21oi_1 U93474 ( .A1(n75408), .A2(n75407), .B1(n75406), .Y(
+        n75409) );
+  sky130_fd_sc_hd__o21ai_1 U93475 ( .A1(n75411), .A2(n75410), .B1(n75409), .Y(
+        n75412) );
+  sky130_fd_sc_hd__nand2_1 U93476 ( .A(n75412), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_a[1]), .Y(n75419) );
+  sky130_fd_sc_hd__nand3_1 U93477 ( .A(n75413), .B(n75416), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[30]), .Y(n75414) );
+  sky130_fd_sc_hd__o21ai_1 U93478 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_a[1]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_a[0]), .B1(n75414), .Y(n75415) );
+  sky130_fd_sc_hd__a21oi_1 U93479 ( .A1(n75417), .A2(n75416), .B1(n75415), .Y(
+        n75418) );
+  sky130_fd_sc_hd__nand2_1 U93480 ( .A(n75419), .B(n75418), .Y(n75420) );
+  sky130_fd_sc_hd__a21oi_1 U93481 ( .A1(n75424), .A2(n75421), .B1(n75420), .Y(
+        n75665) );
+  sky130_fd_sc_hd__nand3_1 U93482 ( .A(n75424), .B(n75423), .C(n75422), .Y(
+        n75425) );
+  sky130_fd_sc_hd__nand2_1 U93484 ( .A(n75899), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[26]), .Y(n75502) );
+  sky130_fd_sc_hd__nand2_1 U93485 ( .A(n75426), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[25]), .Y(n75505) );
+  sky130_fd_sc_hd__o22ai_1 U93486 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[16]), .A2(n75796), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[17]), .B2(n75794), .Y(n75477) );
+  sky130_fd_sc_hd__nor2_1 U93487 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[7]), .B(n75427), .Y(n75532) );
+  sky130_fd_sc_hd__nand2_1 U93488 ( .A(n75428), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[5]), .Y(n75439) );
+  sky130_fd_sc_hd__nand2_1 U93489 ( .A(n75429), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[3]), .Y(n75517) );
+  sky130_fd_sc_hd__nand3_1 U93490 ( .A(n75517), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[0]), .C(n75430), .Y(n75432) );
+  sky130_fd_sc_hd__nand2_1 U93491 ( .A(n75431), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[1]), .Y(n75516) );
+  sky130_fd_sc_hd__nand2_1 U93492 ( .A(n75432), .B(n75516), .Y(n75433) );
+  sky130_fd_sc_hd__a22oi_1 U93494 ( .A1(n75435), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[2]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[3]), .B2(n75434), .Y(n75436) );
+  sky130_fd_sc_hd__nand2_1 U93495 ( .A(n75437), .B(n75436), .Y(n75438) );
+  sky130_fd_sc_hd__o211ai_1 U93496 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[4]), .A2(n75440), .B1(n75439), .C1(n75438), .Y(n75443) );
+  sky130_fd_sc_hd__nand2_1 U93497 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[4]), .B(n75440), .Y(n75442) );
+  sky130_fd_sc_hd__nor2_1 U93498 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[5]), .B(n75441), .Y(n75533) );
+  sky130_fd_sc_hd__a21oi_1 U93499 ( .A1(n75443), .A2(n75442), .B1(n75533), .Y(
+        n75444) );
+  sky130_fd_sc_hd__o22ai_1 U93500 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[6]), .A2(n75445), .B1(n75532), .B2(n75444), .Y(n75447) );
+  sky130_fd_sc_hd__a22oi_1 U93501 ( .A1(n75449), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[7]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[6]), .B2(n75445), .Y(n75446) );
+  sky130_fd_sc_hd__nand2_1 U93502 ( .A(n75447), .B(n75446), .Y(n75452) );
+  sky130_fd_sc_hd__nor2_1 U93503 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[11]), .B(n75800), .Y(n75459) );
+  sky130_fd_sc_hd__o22ai_1 U93504 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[9]), .A2(n75457), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[10]), .B2(n75782), .Y(n75448) );
+  sky130_fd_sc_hd__nor2_1 U93505 ( .A(n75459), .B(n75448), .Y(n75458) );
+  sky130_fd_sc_hd__nor2_1 U93506 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[12]), .B(n75786), .Y(n75564) );
+  sky130_fd_sc_hd__o22ai_1 U93507 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[7]), .A2(n75449), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[8]), .B2(n75456), .Y(n75450) );
+  sky130_fd_sc_hd__nor2_1 U93508 ( .A(n75564), .B(n75450), .Y(n75451) );
+  sky130_fd_sc_hd__nand3_1 U93509 ( .A(n75452), .B(n75458), .C(n75451), .Y(
+        n75453) );
+  sky130_fd_sc_hd__nand2_1 U93510 ( .A(n75786), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[12]), .Y(n75562) );
+  sky130_fd_sc_hd__nand2_1 U93511 ( .A(n75798), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[13]), .Y(n75545) );
+  sky130_fd_sc_hd__nand3_1 U93512 ( .A(n75453), .B(n75562), .C(n75545), .Y(
+        n75475) );
+  sky130_fd_sc_hd__nor2_1 U93513 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[13]), .B(n75798), .Y(n75547) );
+  sky130_fd_sc_hd__nand2_1 U93514 ( .A(n75454), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[16]), .Y(n75570) );
+  sky130_fd_sc_hd__nand2_1 U93515 ( .A(n75455), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[17]), .Y(n75576) );
+  sky130_fd_sc_hd__nand3b_1 U93516 ( .A_N(n75547), .B(n75570), .C(n75576), .Y(
+        n75466) );
+  sky130_fd_sc_hd__clkinv_1 U93517 ( .A(n75466), .Y(n75474) );
+  sky130_fd_sc_hd__a22oi_1 U93518 ( .A1(n75457), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[9]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[8]), .B2(n75456), .Y(n75465) );
+  sky130_fd_sc_hd__clkinv_1 U93519 ( .A(n75458), .Y(n75464) );
+  sky130_fd_sc_hd__clkinv_1 U93520 ( .A(n75459), .Y(n75462) );
+  sky130_fd_sc_hd__nor2_1 U93521 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[13]), .B(n75460), .Y(n75461) );
+  sky130_fd_sc_hd__a31oi_1 U93522 ( .A1(n75462), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[10]), .A3(n75782), .B1(n75461), .Y(n75463) );
+  sky130_fd_sc_hd__nor2_1 U93524 ( .A(n75466), .B(n75564), .Y(n75467) );
+  sky130_fd_sc_hd__nand2_1 U93525 ( .A(n75468), .B(n75467), .Y(n75472) );
+  sky130_fd_sc_hd__nand2_1 U93526 ( .A(n75788), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[15]), .Y(n75575) );
+  sky130_fd_sc_hd__nand2_1 U93527 ( .A(n75784), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[14]), .Y(n75571) );
+  sky130_fd_sc_hd__clkinv_1 U93528 ( .A(n75571), .Y(n75469) );
+  sky130_fd_sc_hd__nand2_1 U93529 ( .A(n75469), .B(n75576), .Y(n75471) );
+  sky130_fd_sc_hd__nand2_1 U93530 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[16]), .B(n75796), .Y(n75470) );
+  sky130_fd_sc_hd__nand4_1 U93531 ( .A(n75472), .B(n75575), .C(n75471), .D(
+        n75470), .Y(n75473) );
+  sky130_fd_sc_hd__a21oi_1 U93532 ( .A1(n75475), .A2(n75474), .B1(n75473), .Y(
+        n75476) );
+  sky130_fd_sc_hd__o22ai_1 U93533 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[19]), .A2(n75478), .B1(n75477), .B2(n75476), .Y(n75479) );
+  sky130_fd_sc_hd__a22oi_1 U93535 ( .A1(n75792), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[18]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[19]), .B2(n75790), .Y(n75481) );
+  sky130_fd_sc_hd__o22ai_1 U93536 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[20]), .A2(n75891), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[19]), .B2(n75790), .Y(n75480) );
+  sky130_fd_sc_hd__a21o_1 U93537 ( .A1(n75482), .A2(n75481), .B1(n75480), .X(
+        n75485) );
+  sky130_fd_sc_hd__a22oi_1 U93538 ( .A1(n75891), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[20]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[21]), .B2(n75893), .Y(n75484) );
+  sky130_fd_sc_hd__o22ai_1 U93539 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[22]), .A2(n75895), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[21]), .B2(n75893), .Y(n75483) );
+  sky130_fd_sc_hd__a21o_1 U93540 ( .A1(n75485), .A2(n75484), .B1(n75483), .X(
+        n75487) );
+  sky130_fd_sc_hd__nand2_1 U93541 ( .A(n75905), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[23]), .Y(n75504) );
+  sky130_fd_sc_hd__nand2_1 U93542 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[22]), .B(n75895), .Y(n75486) );
+  sky130_fd_sc_hd__nand3_1 U93543 ( .A(n75487), .B(n75504), .C(n75486), .Y(
+        n75488) );
+  sky130_fd_sc_hd__o211ai_1 U93544 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[24]), .A2(n75889), .B1(n75505), .C1(n75488), .Y(n75490) );
+  sky130_fd_sc_hd__nand2_1 U93545 ( .A(n75901), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[25]), .Y(n75588) );
+  sky130_fd_sc_hd__nand2_1 U93546 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[24]), .B(n75889), .Y(n75489) );
+  sky130_fd_sc_hd__nand3_1 U93547 ( .A(n75490), .B(n75588), .C(n75489), .Y(
+        n75493) );
+  sky130_fd_sc_hd__nand2_1 U93548 ( .A(n75491), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[28]), .Y(n75503) );
+  sky130_fd_sc_hd__nand2_1 U93549 ( .A(n75492), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[27]), .Y(n75589) );
+  sky130_fd_sc_hd__nand3_1 U93550 ( .A(n75493), .B(n75503), .C(n75589), .Y(
+        n75494) );
+  sky130_fd_sc_hd__o2bb2ai_1 U93551 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[27]), .B2(n75903), .A1_N(n75502), .A2_N(n75494), .Y(n75499) );
+  sky130_fd_sc_hd__nand2_1 U93552 ( .A(n75897), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[28]), .Y(n75599) );
+  sky130_fd_sc_hd__nand2_1 U93553 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[27]), .B(n75903), .Y(n75498) );
+  sky130_fd_sc_hd__nand2_1 U93554 ( .A(n75495), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[30]), .Y(n75600) );
+  sky130_fd_sc_hd__nand3_1 U93555 ( .A(n75600), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_a[0]), .C(n75496), .Y(n75497) );
+  sky130_fd_sc_hd__a31oi_1 U93556 ( .A1(n75499), .A2(n75599), .A3(n75498), 
+        .B1(n75497), .Y(n75500) );
+  sky130_fd_sc_hd__nand2_1 U93557 ( .A(n75501), .B(n75500), .Y(n75608) );
+  sky130_fd_sc_hd__xnor2_1 U93558 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[29]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[27]), .Y(n75606) );
+  sky130_fd_sc_hd__nand2_1 U93559 ( .A(n75503), .B(n75502), .Y(n75597) );
+  sky130_fd_sc_hd__xnor2_1 U93560 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[26]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[24]), .Y(n75594) );
+  sky130_fd_sc_hd__nand2_1 U93561 ( .A(n75505), .B(n75504), .Y(n75586) );
+  sky130_fd_sc_hd__xnor2_1 U93562 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[19]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[17]), .Y(n75509) );
+  sky130_fd_sc_hd__xnor2_1 U93563 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[20]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[18]), .Y(n75506) );
+  sky130_fd_sc_hd__o22ai_1 U93564 ( .A1(n75509), .A2(n75508), .B1(n75507), 
+        .B2(n75506), .Y(n75557) );
+  sky130_fd_sc_hd__xnor2_1 U93565 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[22]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[20]), .Y(n75513) );
+  sky130_fd_sc_hd__xnor2_1 U93566 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[21]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[19]), .Y(n75510) );
+  sky130_fd_sc_hd__o22ai_1 U93567 ( .A1(n75513), .A2(n75512), .B1(n75511), 
+        .B2(n75510), .Y(n75556) );
+  sky130_fd_sc_hd__xor2_1 U93568 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[23]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[21]), .X(n75514) );
+  sky130_fd_sc_hd__nand2_1 U93569 ( .A(n75515), .B(n75514), .Y(n75554) );
+  sky130_fd_sc_hd__xnor2_1 U93570 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[16]), .B(n75796), .Y(n75544) );
+  sky130_fd_sc_hd__xnor2_1 U93571 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[6]), .Y(n75540) );
+  sky130_fd_sc_hd__xor2_1 U93572 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[4]), .X(n75531) );
+  sky130_fd_sc_hd__xnor2_1 U93573 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[3]), .Y(n75528) );
+  sky130_fd_sc_hd__xor2_1 U93574 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[2]), .X(n75524) );
+  sky130_fd_sc_hd__xnor2_1 U93575 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[0]), .Y(n75522) );
+  sky130_fd_sc_hd__clkinv_1 U93576 ( .A(n75516), .Y(n75520) );
+  sky130_fd_sc_hd__clkinv_1 U93577 ( .A(n75517), .Y(n75519) );
+  sky130_fd_sc_hd__o21ai_0 U93578 ( .A1(n75520), .A2(n75519), .B1(n75518), .Y(
+        n75521) );
+  sky130_fd_sc_hd__o211ai_1 U93579 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_a[0]), .A2(n75522), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_a[1]), .C1(n75521), .Y(n75523) );
+  sky130_fd_sc_hd__a21oi_1 U93580 ( .A1(n75525), .A2(n75524), .B1(n75523), .Y(
+        n75526) );
+  sky130_fd_sc_hd__o21ai_0 U93581 ( .A1(n75528), .A2(n75527), .B1(n75526), .Y(
+        n75529) );
+  sky130_fd_sc_hd__a21oi_1 U93582 ( .A1(n75531), .A2(n75530), .B1(n75529), .Y(
+        n75539) );
+  sky130_fd_sc_hd__xor2_1 U93583 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[8]), .X(n75537) );
+  sky130_fd_sc_hd__nor2_1 U93584 ( .A(n75533), .B(n75532), .Y(n75535) );
+  sky130_fd_sc_hd__a2bb2oi_1 U93585 ( .B1(n75537), .B2(n75536), .A1_N(n75535), 
+        .A2_N(n75534), .Y(n75538) );
+  sky130_fd_sc_hd__o211ai_1 U93586 ( .A1(n75541), .A2(n75540), .B1(n75539), 
+        .C1(n75538), .Y(n75542) );
+  sky130_fd_sc_hd__a21oi_1 U93587 ( .A1(n75544), .A2(n75543), .B1(n75542), .Y(
+        n75553) );
+  sky130_fd_sc_hd__clkinv_1 U93588 ( .A(n75545), .Y(n75546) );
+  sky130_fd_sc_hd__nor2_1 U93589 ( .A(n75547), .B(n75546), .Y(n75551) );
+  sky130_fd_sc_hd__xnor2_1 U93590 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[13]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[11]), .Y(n75548) );
+  sky130_fd_sc_hd__o22a_1 U93591 ( .A1(n75551), .A2(n75550), .B1(n75549), .B2(
+        n75548), .X(n75552) );
+  sky130_fd_sc_hd__nand3_1 U93592 ( .A(n75554), .B(n75553), .C(n75552), .Y(
+        n75555) );
+  sky130_fd_sc_hd__nor3_1 U93593 ( .A(n75557), .B(n75556), .C(n75555), .Y(
+        n75584) );
+  sky130_fd_sc_hd__xnor2_1 U93594 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[9]), .Y(n75561) );
+  sky130_fd_sc_hd__xnor2_1 U93595 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[7]), .Y(n75558) );
+  sky130_fd_sc_hd__o22ai_1 U93596 ( .A1(n75561), .A2(n75560), .B1(n75559), 
+        .B2(n75558), .Y(n75574) );
+  sky130_fd_sc_hd__xnor2_1 U93597 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[10]), .Y(n75568) );
+  sky130_fd_sc_hd__clkinv_1 U93598 ( .A(n75562), .Y(n75563) );
+  sky130_fd_sc_hd__nor2_1 U93599 ( .A(n75564), .B(n75563), .Y(n75566) );
+  sky130_fd_sc_hd__o22ai_1 U93600 ( .A1(n75568), .A2(n75567), .B1(n75566), 
+        .B2(n75565), .Y(n75573) );
+  sky130_fd_sc_hd__a21oi_1 U93601 ( .A1(n75571), .A2(n75570), .B1(n75569), .Y(
+        n75572) );
+  sky130_fd_sc_hd__nor3_1 U93602 ( .A(n75574), .B(n75573), .C(n75572), .Y(
+        n75583) );
+  sky130_fd_sc_hd__nand2_1 U93603 ( .A(n75576), .B(n75575), .Y(n75577) );
+  sky130_fd_sc_hd__nand2_1 U93604 ( .A(n75578), .B(n75577), .Y(n75582) );
+  sky130_fd_sc_hd__xor2_1 U93605 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[22]), .X(n75579) );
+  sky130_fd_sc_hd__nand2_1 U93606 ( .A(n75580), .B(n75579), .Y(n75581) );
+  sky130_fd_sc_hd__nand4_1 U93607 ( .A(n75584), .B(n75583), .C(n75582), .D(
+        n75581), .Y(n75585) );
+  sky130_fd_sc_hd__a21oi_1 U93608 ( .A1(n75587), .A2(n75586), .B1(n75585), .Y(
+        n75593) );
+  sky130_fd_sc_hd__nand2_1 U93609 ( .A(n75589), .B(n75588), .Y(n75590) );
+  sky130_fd_sc_hd__nand2_1 U93610 ( .A(n75591), .B(n75590), .Y(n75592) );
+  sky130_fd_sc_hd__o211ai_1 U93611 ( .A1(n75595), .A2(n75594), .B1(n75593), 
+        .C1(n75592), .Y(n75596) );
+  sky130_fd_sc_hd__a21oi_1 U93612 ( .A1(n75598), .A2(n75597), .B1(n75596), .Y(
+        n75604) );
+  sky130_fd_sc_hd__nand2_1 U93613 ( .A(n75600), .B(n75599), .Y(n75601) );
+  sky130_fd_sc_hd__nand2_1 U93614 ( .A(n75602), .B(n75601), .Y(n75603) );
+  sky130_fd_sc_hd__o211ai_1 U93615 ( .A1(n75606), .A2(n75605), .B1(n75604), 
+        .C1(n75603), .Y(n75607) );
+  sky130_fd_sc_hd__a21o_1 U93616 ( .A1(n75608), .A2(n75607), .B1(n75665), .X(
+        n75630) );
+  sky130_fd_sc_hd__a22oi_1 U93617 ( .A1(n75665), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_r), .B1(n75664), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_r), .Y(n75627) );
+  sky130_fd_sc_hd__nand3_1 U93618 ( .A(n75614), .B(n75612), .C(n75622), .Y(
+        n75649) );
+  sky130_fd_sc_hd__a21oi_1 U93619 ( .A1(n75648), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_cfg_r), .B1(n77610), .Y(n75618) );
+  sky130_fd_sc_hd__nand2_1 U93620 ( .A(n75615), .B(n75622), .Y(n75651) );
+  sky130_fd_sc_hd__nand2_1 U93621 ( .A(n75616), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_cfg_r), .Y(n75617) );
+  sky130_fd_sc_hd__o211ai_1 U93622 ( .A1(n75619), .A2(n75649), .B1(n75618), 
+        .C1(n75617), .Y(n75620) );
+  sky130_fd_sc_hd__a21oi_1 U93623 ( .A1(n75621), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_cfg_r), .B1(n75620), .Y(n75626) );
+  sky130_fd_sc_hd__nor2_1 U93624 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_cfg_l), .B(n75649), .Y(n75625) );
+  sky130_fd_sc_hd__o22ai_1 U93625 ( .A1(n75622), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_cfg_l), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_cfg_l), .B2(n75651), .Y(n75624) );
+  sky130_fd_sc_hd__nor2_1 U93626 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_cfg_l), .B(n75655), .Y(n75623) );
+  sky130_fd_sc_hd__o31ai_1 U93627 ( .A1(n75625), .A2(n75624), .A3(n75623), 
+        .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_prv_1_), .Y(n75672) );
+  sky130_fd_sc_hd__o211ai_1 U93628 ( .A1(n75667), .A2(n75627), .B1(n75626), 
+        .C1(n75672), .Y(n75640) );
+  sky130_fd_sc_hd__nand2_1 U93629 ( .A(n75628), .B(n75635), .Y(n75636) );
+  sky130_fd_sc_hd__nor2_1 U93630 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_cfg_l), .B(n75636), .Y(n75634) );
+  sky130_fd_sc_hd__a21oi_1 U93631 ( .A1(n75665), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_l), .B1(n75628), .Y(n75629) );
+  sky130_fd_sc_hd__mux2i_1 U93633 ( .A0(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_l), .A1(n75632), .S(n75635), .Y(n75633) );
+  sky130_fd_sc_hd__o21ai_1 U93634 ( .A1(n75634), .A2(n75633), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_prv_1_), .Y(n75673) );
+  sky130_fd_sc_hd__a22oi_1 U93635 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_r), .A2(n75660), .B1(n75659), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_cfg_r), .Y(n75638) );
+  sky130_fd_sc_hd__a211oi_1 U93636 ( .A1(n75673), .A2(n75638), .B1(n75637), 
+        .C1(n75646), .Y(n75639) );
+  sky130_fd_sc_hd__a21oi_1 U93637 ( .A1(n75641), .A2(n75640), .B1(n75639), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_ae_ld_array_6_) );
+  sky130_fd_sc_hd__a21oi_1 U93638 ( .A1(n75642), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_cmd[2]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_cmd[0]), .Y(n75643) );
+  sky130_fd_sc_hd__a21oi_1 U93639 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_cmd[1]), .A2(n75644), .B1(n75643), .Y(n75645) );
+  sky130_fd_sc_hd__nor2_1 U93640 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_cmd[3]), .B(n75645), .Y(n75678) );
+  sky130_fd_sc_hd__nor4_1 U93641 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[28]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[26]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[30]), .D(n75784), .Y(n75647) );
+  sky130_fd_sc_hd__nor3_1 U93642 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[29]), .B(n75647), .C(n75646), .Y(n75677) );
+  sky130_fd_sc_hd__a21oi_1 U93643 ( .A1(n75648), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_cfg_w), .B1(n75678), .Y(n75662) );
+  sky130_fd_sc_hd__nor2_1 U93644 ( .A(n75650), .B(n75649), .Y(n75670) );
+  sky130_fd_sc_hd__nand2b_1 U93645 ( .A_N(n75651), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_cfg_w), .Y(n75663) );
+  sky130_fd_sc_hd__nor4_1 U93646 ( .A(n75654), .B(n75670), .C(n75653), .D(
+        n75652), .Y(n75658) );
+  sky130_fd_sc_hd__nor2_1 U93647 ( .A(n75656), .B(n75655), .Y(n75671) );
+  sky130_fd_sc_hd__nand3_1 U93648 ( .A(n75672), .B(n75658), .C(n75657), .Y(
+        n75676) );
+  sky130_fd_sc_hd__a22oi_1 U93649 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_cfg_w), .A2(n75660), .B1(n75659), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_cfg_w), .Y(n75661) );
+  sky130_fd_sc_hd__nand3_1 U93650 ( .A(n75663), .B(n75662), .C(n75661), .Y(
+        n75669) );
+  sky130_fd_sc_hd__a22oi_1 U93651 ( .A1(n75665), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_cfg_w), .B1(n75664), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_cfg_w), .Y(n75666) );
+  sky130_fd_sc_hd__nor2_1 U93652 ( .A(n75667), .B(n75666), .Y(n75668) );
+  sky130_fd_sc_hd__nor4_1 U93653 ( .A(n75671), .B(n75670), .C(n75669), .D(
+        n75668), .Y(n75674) );
+  sky130_fd_sc_hd__nand3_1 U93654 ( .A(n75674), .B(n75673), .C(n75672), .Y(
+        n75675) );
+  sky130_fd_sc_hd__o211ai_1 U93655 ( .A1(n75678), .A2(n75677), .B1(n75676), 
+        .C1(n75675), .Y(n86466) );
+  sky130_fd_sc_hd__nand2_1 U93656 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[24]), .B(n36766), .Y(n75679) );
+  sky130_fd_sc_hd__o21ai_0 U93657 ( .A1(n36766), .A2(n75761), .B1(n75679), .Y(
+        n322) );
+  sky130_fd_sc_hd__nand2_1 U93658 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[23]), .B(n36766), .Y(n75680) );
+  sky130_fd_sc_hd__o21ai_0 U93659 ( .A1(n36766), .A2(n76447), .B1(n75680), .Y(
+        n364) );
+  sky130_fd_sc_hd__nand2_1 U93660 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[22]), .B(n36766), .Y(n75681) );
+  sky130_fd_sc_hd__o21ai_0 U93661 ( .A1(n36766), .A2(n76446), .B1(n75681), .Y(
+        n365) );
+  sky130_fd_sc_hd__nand2_1 U93662 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[21]), .B(n36766), .Y(n75682) );
+  sky130_fd_sc_hd__o21ai_0 U93663 ( .A1(n36766), .A2(n76445), .B1(n75682), .Y(
+        n366) );
+  sky130_fd_sc_hd__nand2_1 U93664 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[20]), .B(n36766), .Y(n75683) );
+  sky130_fd_sc_hd__o21ai_0 U93665 ( .A1(n36766), .A2(n76444), .B1(n75683), .Y(
+        n318) );
+  sky130_fd_sc_hd__nand2_1 U93666 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[19]), .B(n36766), .Y(n75684) );
+  sky130_fd_sc_hd__o21ai_0 U93667 ( .A1(n36766), .A2(n76434), .B1(n75684), .Y(
+        n330) );
+  sky130_fd_sc_hd__nand2_1 U93668 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[18]), .B(n36766), .Y(n75685) );
+  sky130_fd_sc_hd__o21ai_0 U93669 ( .A1(n36766), .A2(n76481), .B1(n75685), .Y(
+        n314) );
+  sky130_fd_sc_hd__nand2_1 U93670 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[16]), .B(n36766), .Y(n75686) );
+  sky130_fd_sc_hd__o21ai_0 U93671 ( .A1(n36766), .A2(n76436), .B1(n75686), .Y(
+        n368) );
+  sky130_fd_sc_hd__nand2_1 U93672 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[15]), .B(n36766), .Y(n75687) );
+  sky130_fd_sc_hd__o21ai_0 U93673 ( .A1(n36766), .A2(n75752), .B1(n75687), .Y(
+        n446) );
+  sky130_fd_sc_hd__nand2_1 U93674 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[14]), .B(n36766), .Y(n75688) );
+  sky130_fd_sc_hd__o21ai_0 U93675 ( .A1(n36766), .A2(n75750), .B1(n75688), .Y(
+        n369) );
+  sky130_fd_sc_hd__nand2_1 U93676 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[13]), .B(n36766), .Y(n75689) );
+  sky130_fd_sc_hd__o21ai_0 U93677 ( .A1(n36766), .A2(n75748), .B1(n75689), .Y(
+        n370) );
+  sky130_fd_sc_hd__nand2_1 U93678 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[12]), .B(n36766), .Y(n75690) );
+  sky130_fd_sc_hd__o21ai_0 U93679 ( .A1(n36766), .A2(n76442), .B1(n75690), .Y(
+        n371) );
+  sky130_fd_sc_hd__nand2_1 U93680 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[5]), 
+        .B(n36766), .Y(n75691) );
+  sky130_fd_sc_hd__o21ai_0 U93681 ( .A1(n36766), .A2(n76427), .B1(n75691), .Y(
+        n377) );
+  sky130_fd_sc_hd__nand2_1 U93682 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[4]), 
+        .B(n36766), .Y(n75692) );
+  sky130_fd_sc_hd__o21ai_0 U93683 ( .A1(n36766), .A2(n75859), .B1(n75692), .Y(
+        n378) );
+  sky130_fd_sc_hd__nand2_1 U93684 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[3]), 
+        .B(n36766), .Y(n75693) );
+  sky130_fd_sc_hd__o21ai_0 U93685 ( .A1(n36766), .A2(n75709), .B1(n75693), .Y(
+        n379) );
+  sky130_fd_sc_hd__nand2_1 U93686 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[2]), 
+        .B(n36766), .Y(n75694) );
+  sky130_fd_sc_hd__o21ai_0 U93687 ( .A1(n36766), .A2(n75707), .B1(n75694), .Y(
+        n380) );
+  sky130_fd_sc_hd__nand2_1 U93688 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[1]), 
+        .B(n36766), .Y(n75695) );
+  sky130_fd_sc_hd__o21ai_0 U93689 ( .A1(n36766), .A2(n75705), .B1(n75695), .Y(
+        n381) );
+  sky130_fd_sc_hd__nand2_1 U93690 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[0]), 
+        .B(n36766), .Y(n75696) );
+  sky130_fd_sc_hd__o21ai_0 U93691 ( .A1(n36766), .A2(n76425), .B1(n75696), .Y(
+        n357) );
+  sky130_fd_sc_hd__nand2_1 U93692 ( .A(n75701), .B(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_30_o_oe), .Y(n75697) );
+  sky130_fd_sc_hd__o21ai_0 U93693 ( .A1(n76448), .A2(n75701), .B1(n75697), .Y(
+        n410) );
+  sky130_fd_sc_hd__nand2_1 U93694 ( .A(n75701), .B(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_29_o_oe), .Y(n75698) );
+  sky130_fd_sc_hd__o21ai_0 U93695 ( .A1(n75772), .A2(n75701), .B1(n75698), .Y(
+        n411) );
+  sky130_fd_sc_hd__nand2_1 U93696 ( .A(n75701), .B(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_28_o_oe), .Y(n75699) );
+  sky130_fd_sc_hd__o21ai_0 U93697 ( .A1(n79911), .A2(n75701), .B1(n75699), .Y(
+        n412) );
+  sky130_fd_sc_hd__nand2_1 U93698 ( .A(n75701), .B(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_27_o_oe), .Y(n75700) );
+  sky130_fd_sc_hd__o21ai_0 U93699 ( .A1(n75767), .A2(n75701), .B1(n75700), .Y(
+        n324) );
+  sky130_fd_sc_hd__nand2_1 U93700 ( .A(n75701), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_146[24]), .Y(n75702) );
+  sky130_fd_sc_hd__o21ai_0 U93701 ( .A1(n75761), .A2(n75701), .B1(n75702), .Y(
+        n320) );
+  sky130_fd_sc_hd__nor2_1 U93702 ( .A(n81349), .B(n75703), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N63) );
+  sky130_fd_sc_hd__nand2_1 U93703 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[1]), 
+        .B(n37067), .Y(n75704) );
+  sky130_fd_sc_hd__o21ai_0 U93704 ( .A1(n37067), .A2(n75705), .B1(n75704), .Y(
+        n356) );
+  sky130_fd_sc_hd__nand2_1 U93705 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[2]), 
+        .B(n37067), .Y(n75706) );
+  sky130_fd_sc_hd__o21ai_0 U93706 ( .A1(n37067), .A2(n75707), .B1(n75706), .Y(
+        n355) );
+  sky130_fd_sc_hd__nand2_1 U93707 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[3]), 
+        .B(n37067), .Y(n75708) );
+  sky130_fd_sc_hd__o21ai_0 U93708 ( .A1(n37067), .A2(n75709), .B1(n75708), .Y(
+        n354) );
+  sky130_fd_sc_hd__nand2_1 U93709 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[5]), 
+        .B(n37067), .Y(n75710) );
+  sky130_fd_sc_hd__o21ai_0 U93710 ( .A1(n37067), .A2(n76427), .B1(n75710), .Y(
+        n352) );
+  sky130_fd_sc_hd__nand2_1 U93711 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[6]), 
+        .B(n36766), .Y(n75711) );
+  sky130_fd_sc_hd__o21ai_0 U93712 ( .A1(n36766), .A2(n76383), .B1(n75711), .Y(
+        n376) );
+  sky130_fd_sc_hd__nand2_1 U93713 ( .A(n75713), .B(n75712), .Y(n75719) );
+  sky130_fd_sc_hd__xnor2_1 U93714 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_acknum[0]), .B(n75719), .Y(n75715) );
+  sky130_fd_sc_hd__nand2_1 U93715 ( .A(n85737), .B(n75730), .Y(n75714) );
+  sky130_fd_sc_hd__o31ai_1 U93716 ( .A1(n75730), .A2(n75715), .A3(n75726), 
+        .B1(n75714), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_N21) );
+  sky130_fd_sc_hd__nand2_1 U93717 ( .A(n75719), .B(n75716), .Y(n75725) );
+  sky130_fd_sc_hd__xor2_1 U93718 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_acknum[1]), .B(n75725), .X(n75718) );
+  sky130_fd_sc_hd__nand2_1 U93719 ( .A(n85738), .B(n75730), .Y(n75717) );
+  sky130_fd_sc_hd__o31ai_1 U93720 ( .A1(n75730), .A2(n75718), .A3(n75726), 
+        .B1(n75717), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_N22) );
+  sky130_fd_sc_hd__nand3_1 U93721 ( .A(n75719), .B(n75721), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_acknum[3]), .Y(n75728) );
+  sky130_fd_sc_hd__a21oi_1 U93722 ( .A1(n75722), .A2(n75721), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_acknum[3]), .Y(n75723) );
+  sky130_fd_sc_hd__nor3_1 U93723 ( .A(n75724), .B(n75723), .C(n75726), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_N24) );
+  sky130_fd_sc_hd__a21oi_1 U93725 ( .A1(n75728), .A2(n75727), .B1(n75726), .Y(
+        n75729) );
+  sky130_fd_sc_hd__a21o_1 U93726 ( .A1(n85739), .A2(n75730), .B1(n75729), .X(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_N23) );
+  sky130_fd_sc_hd__o22ai_1 U93728 ( .A1(n83773), .A2(n83772), .B1(n83764), 
+        .B2(n75734), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_N24) );
+  sky130_fd_sc_hd__nand2_1 U93729 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[8]), 
+        .B(n36766), .Y(n75735) );
+  sky130_fd_sc_hd__o21ai_0 U93730 ( .A1(n36766), .A2(n75737), .B1(n75735), .Y(
+        n374) );
+  sky130_fd_sc_hd__nand2_1 U93731 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[8]), 
+        .B(n37067), .Y(n75736) );
+  sky130_fd_sc_hd__o21ai_0 U93732 ( .A1(n37067), .A2(n75737), .B1(n75736), .Y(
+        n349) );
+  sky130_fd_sc_hd__nand2_1 U93733 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[9]), 
+        .B(n36766), .Y(n75738) );
+  sky130_fd_sc_hd__o21ai_0 U93734 ( .A1(n36766), .A2(n76440), .B1(n75738), .Y(
+        n373) );
+  sky130_fd_sc_hd__nand2_1 U93735 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[9]), 
+        .B(n37067), .Y(n75739) );
+  sky130_fd_sc_hd__o21ai_0 U93736 ( .A1(n37067), .A2(n76440), .B1(n75739), .Y(
+        n348) );
+  sky130_fd_sc_hd__nand2_1 U93737 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[10]), .B(n36766), .Y(n75740) );
+  sky130_fd_sc_hd__o21ai_0 U93738 ( .A1(n36766), .A2(n75742), .B1(n75740), .Y(
+        n308) );
+  sky130_fd_sc_hd__nand2_1 U93739 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[10]), .B(n37067), .Y(n75741) );
+  sky130_fd_sc_hd__o21ai_0 U93740 ( .A1(n37067), .A2(n75742), .B1(n75741), .Y(
+        n307) );
+  sky130_fd_sc_hd__nand2_1 U93741 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[11]), .B(n37067), .Y(n75743) );
+  sky130_fd_sc_hd__o21ai_0 U93742 ( .A1(n37067), .A2(n75745), .B1(n75743), .Y(
+        n347) );
+  sky130_fd_sc_hd__nand2_1 U93743 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[11]), .B(n36766), .Y(n75744) );
+  sky130_fd_sc_hd__o21ai_0 U93744 ( .A1(n36766), .A2(n75745), .B1(n75744), .Y(
+        n372) );
+  sky130_fd_sc_hd__nand2_1 U93745 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[12]), .B(n37067), .Y(n75746) );
+  sky130_fd_sc_hd__o21ai_0 U93746 ( .A1(n37067), .A2(n76442), .B1(n75746), .Y(
+        n346) );
+  sky130_fd_sc_hd__nand2_1 U93747 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[13]), .B(n37067), .Y(n75747) );
+  sky130_fd_sc_hd__o21ai_0 U93748 ( .A1(n37067), .A2(n75748), .B1(n75747), .Y(
+        n345) );
+  sky130_fd_sc_hd__nand2_1 U93749 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[14]), .B(n37067), .Y(n75749) );
+  sky130_fd_sc_hd__o21ai_0 U93750 ( .A1(n37067), .A2(n75750), .B1(n75749), .Y(
+        n344) );
+  sky130_fd_sc_hd__nand2_1 U93751 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[15]), .B(n37067), .Y(n75751) );
+  sky130_fd_sc_hd__o21ai_0 U93752 ( .A1(n37067), .A2(n75752), .B1(n75751), .Y(
+        n311) );
+  sky130_fd_sc_hd__nand2_1 U93753 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[16]), .B(n37067), .Y(n75753) );
+  sky130_fd_sc_hd__o21ai_0 U93754 ( .A1(n37067), .A2(n76436), .B1(n75753), .Y(
+        n343) );
+  sky130_fd_sc_hd__nor2_1 U93755 ( .A(n76481), .B(n75754), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N270) );
+  sky130_fd_sc_hd__nand2_1 U93756 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[18]), .B(n37067), .Y(n75755) );
+  sky130_fd_sc_hd__o21ai_0 U93757 ( .A1(n37067), .A2(n76481), .B1(n75755), .Y(
+        n313) );
+  sky130_fd_sc_hd__nand2_1 U93758 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[20]), .B(n37067), .Y(n75756) );
+  sky130_fd_sc_hd__o21ai_0 U93759 ( .A1(n37067), .A2(n76444), .B1(n75756), .Y(
+        n317) );
+  sky130_fd_sc_hd__nand2_1 U93760 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[21]), .B(n37067), .Y(n75757) );
+  sky130_fd_sc_hd__o21ai_0 U93761 ( .A1(n37067), .A2(n76445), .B1(n75757), .Y(
+        n341) );
+  sky130_fd_sc_hd__nand2_1 U93762 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[22]), .B(n37067), .Y(n75758) );
+  sky130_fd_sc_hd__o21ai_0 U93763 ( .A1(n37067), .A2(n76446), .B1(n75758), .Y(
+        n340) );
+  sky130_fd_sc_hd__nand2_1 U93764 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[23]), .B(n37067), .Y(n75759) );
+  sky130_fd_sc_hd__o21ai_0 U93765 ( .A1(n37067), .A2(n76447), .B1(n75759), .Y(
+        n339) );
+  sky130_fd_sc_hd__nand2_1 U93766 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[24]), .B(n37067), .Y(n75760) );
+  sky130_fd_sc_hd__o21ai_0 U93767 ( .A1(n37067), .A2(n75761), .B1(n75760), .Y(
+        n321) );
+  sky130_fd_sc_hd__nand2_1 U93768 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[25]), .B(n36766), .Y(n75762) );
+  sky130_fd_sc_hd__o21ai_0 U93769 ( .A1(n36766), .A2(n75764), .B1(n75762), .Y(
+        n363) );
+  sky130_fd_sc_hd__nand2_1 U93770 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[25]), .B(n37067), .Y(n75763) );
+  sky130_fd_sc_hd__o21ai_0 U93771 ( .A1(n37067), .A2(n75764), .B1(n75763), .Y(
+        n338) );
+  sky130_fd_sc_hd__nand2_1 U93772 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[27]), .B(n37067), .Y(n75765) );
+  sky130_fd_sc_hd__o21ai_0 U93773 ( .A1(n37067), .A2(n75767), .B1(n75765), .Y(
+        n325) );
+  sky130_fd_sc_hd__nand2_1 U93774 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[27]), .B(n36766), .Y(n75766) );
+  sky130_fd_sc_hd__o21ai_0 U93775 ( .A1(n36766), .A2(n75767), .B1(n75766), .Y(
+        n326) );
+  sky130_fd_sc_hd__nand2_1 U93776 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[28]), .B(n37067), .Y(n75768) );
+  sky130_fd_sc_hd__o21ai_0 U93777 ( .A1(n37067), .A2(n79911), .B1(n75768), .Y(
+        n336) );
+  sky130_fd_sc_hd__nand2_1 U93778 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[28]), .B(n36766), .Y(n75769) );
+  sky130_fd_sc_hd__o21ai_0 U93779 ( .A1(n36766), .A2(n79911), .B1(n75769), .Y(
+        n361) );
+  sky130_fd_sc_hd__nand2_1 U93780 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[29]), .B(n36766), .Y(n75770) );
+  sky130_fd_sc_hd__o21ai_0 U93781 ( .A1(n36766), .A2(n75772), .B1(n75770), .Y(
+        n360) );
+  sky130_fd_sc_hd__nand2_1 U93782 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[29]), .B(n37067), .Y(n75771) );
+  sky130_fd_sc_hd__o21ai_0 U93783 ( .A1(n37067), .A2(n75772), .B1(n75771), .Y(
+        n335) );
+  sky130_fd_sc_hd__nand2_1 U93784 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[30]), .B(n37067), .Y(n75773) );
+  sky130_fd_sc_hd__o21ai_0 U93785 ( .A1(n37067), .A2(n76448), .B1(n75773), .Y(
+        n334) );
+  sky130_fd_sc_hd__nand2_1 U93786 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[30]), .B(n36766), .Y(n75774) );
+  sky130_fd_sc_hd__o21ai_0 U93787 ( .A1(n36766), .A2(n76448), .B1(n75774), .Y(
+        n359) );
+  sky130_fd_sc_hd__nand2_1 U93788 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_254[31]), .B(n37067), .Y(n75775) );
+  sky130_fd_sc_hd__o21ai_0 U93789 ( .A1(n37067), .A2(n76449), .B1(n75775), .Y(
+        n333) );
+  sky130_fd_sc_hd__nand2_1 U93790 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[31]), .B(n36766), .Y(n75776) );
+  sky130_fd_sc_hd__o21ai_0 U93791 ( .A1(n36766), .A2(n76449), .B1(n75776), .Y(
+        n358) );
+  sky130_fd_sc_hd__a22oi_1 U93792 ( .A1(n76234), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[20]), .B1(n76233), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[52]), .Y(n75777) );
+  sky130_fd_sc_hd__a22oi_1 U93794 ( .A1(n76234), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[24]), .B1(n76233), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[56]), .Y(n75779) );
+  sky130_fd_sc_hd__o21ai_1 U93795 ( .A1(n75780), .A2(n76236), .B1(n75779), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N658) );
+  sky130_fd_sc_hd__o21ai_1 U93796 ( .A1(n76182), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_mask[3]), .B1(n76181), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N689) );
+  sky130_fd_sc_hd__nand2_1 U93797 ( .A(n78369), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[0]), .Y(n75781) );
+  sky130_fd_sc_hd__o21ai_0 U93798 ( .A1(n75782), .A2(n78369), .B1(n75781), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N719) );
+  sky130_fd_sc_hd__nand2_1 U93799 ( .A(n78369), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[4]), .Y(n75783) );
+  sky130_fd_sc_hd__o21ai_0 U93800 ( .A1(n75784), .A2(n78369), .B1(n75783), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N723) );
+  sky130_fd_sc_hd__nand2_1 U93801 ( .A(n78369), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[2]), .Y(n75785) );
+  sky130_fd_sc_hd__o21ai_0 U93802 ( .A1(n75786), .A2(n78369), .B1(n75785), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N721) );
+  sky130_fd_sc_hd__nand2_1 U93803 ( .A(n78369), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[5]), .Y(n75787) );
+  sky130_fd_sc_hd__o21ai_0 U93804 ( .A1(n75788), .A2(n78369), .B1(n75787), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N724) );
+  sky130_fd_sc_hd__nand2_1 U93805 ( .A(n78369), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[9]), .Y(n75789) );
+  sky130_fd_sc_hd__o21ai_0 U93806 ( .A1(n75790), .A2(n78369), .B1(n75789), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N728) );
+  sky130_fd_sc_hd__nand2_1 U93807 ( .A(n78369), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[8]), .Y(n75791) );
+  sky130_fd_sc_hd__o21ai_0 U93808 ( .A1(n75792), .A2(n78369), .B1(n75791), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N727) );
+  sky130_fd_sc_hd__nand2_1 U93809 ( .A(n78369), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[7]), .Y(n75793) );
+  sky130_fd_sc_hd__o21ai_0 U93810 ( .A1(n75794), .A2(n78369), .B1(n75793), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N726) );
+  sky130_fd_sc_hd__nand2_1 U93811 ( .A(n78369), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[6]), .Y(n75795) );
+  sky130_fd_sc_hd__o21ai_0 U93812 ( .A1(n75796), .A2(n78369), .B1(n75795), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N725) );
+  sky130_fd_sc_hd__nand2_1 U93813 ( .A(n78369), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[3]), .Y(n75797) );
+  sky130_fd_sc_hd__o21ai_0 U93814 ( .A1(n75798), .A2(n78369), .B1(n75797), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N722) );
+  sky130_fd_sc_hd__nand2_1 U93815 ( .A(n78369), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[1]), .Y(n75799) );
+  sky130_fd_sc_hd__o21ai_0 U93816 ( .A1(n75800), .A2(n78369), .B1(n75799), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N720) );
+  sky130_fd_sc_hd__nor2_1 U93817 ( .A(n60015), .B(n75801), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1629) );
+  sky130_fd_sc_hd__a21oi_1 U93818 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__read_hvip_T_1), .A2(n70399), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[1]), .Y(n75806) );
+  sky130_fd_sc_hd__nand2_1 U93819 ( .A(n76371), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_mideleg_1), .Y(n75802) );
+  sky130_fd_sc_hd__o211ai_1 U93820 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_mideleg_1), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr__read_hvip_T_1), .B1(n75803), .C1(n75802), .Y(n75804) );
+  sky130_fd_sc_hd__o31ai_1 U93821 ( .A1(n75807), .A2(n75806), .A3(n75805), 
+        .B1(n75804), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N753) );
+  sky130_fd_sc_hd__nor2_1 U93822 ( .A(n75808), .B(n83821), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N754) );
+  sky130_fd_sc_hd__a222oi_1 U93823 ( .A1(n85741), .A2(n75878), .B1(n37199), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[16]), .C1(n78520), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[16]), .Y(n75809) );
+  sky130_fd_sc_hd__a222oi_1 U93824 ( .A1(n85741), .A2(n75874), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[16]), .B2(n38422), .C1(n78486), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[16]), .Y(n75810) );
+  sky130_fd_sc_hd__a222oi_1 U93825 ( .A1(n85742), .A2(n75878), .B1(n37199), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[17]), .C1(n78520), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[17]), .Y(n75811) );
+  sky130_fd_sc_hd__nand2_1 U93826 ( .A(n77865), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_24_0_), .Y(n75812) );
+  sky130_fd_sc_hd__o21ai_1 U93827 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_24_0_), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_arb_io_outer_cs_hold), .B1(n75812), .Y(n75822) );
+  sky130_fd_sc_hd__nand2_1 U93828 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_arb_io_outer_cs_hold), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_24_0_), .Y(n75816) );
+  sky130_fd_sc_hd__o211ai_1 U93829 ( .A1(n75817), .A2(n75816), .B1(n75815), 
+        .C1(n75814), .Y(n84124) );
+  sky130_fd_sc_hd__o32ai_1 U93830 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_cs_mode[1]), .A2(n81005), .A3(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_6[1]), .B1(n81015), .B2(n75818), .Y(n75819) );
+  sky130_fd_sc_hd__o211ai_1 U93831 ( .A1(n81014), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_cs_mode[0]), .B1(n75819), .C1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_arb__T_1_1_), 
+        .Y(n75820) );
+  sky130_fd_sc_hd__a21oi_1 U93832 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_cs_mode[0]), .A2(n81014), .B1(n75820), .Y(n75821) );
+  sky130_fd_sc_hd__o22ai_1 U93833 ( .A1(n75822), .A2(n84124), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_arb_io_outer_cs_hold), .B2(n75821), .Y(n75828) );
+  sky130_fd_sc_hd__nor4_1 U93834 ( .A(n77824), .B(n75825), .C(n75824), .D(
+        n75823), .Y(n75827) );
+  sky130_fd_sc_hd__o211ai_1 U93835 ( .A1(n75828), .A2(n75827), .B1(n75826), 
+        .C1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_io_link_active), .Y(n75829) );
+  sky130_fd_sc_hd__o22ai_1 U93837 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_24_0_), .A2(n75836), .B1(n75832), .B2(n75846), .Y(n75841) );
+  sky130_fd_sc_hd__xor2_1 U93838 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_6[0]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_cs_mode[0]), .X(n75835) );
+  sky130_fd_sc_hd__a32oi_1 U93839 ( .A1(n80706), .A2(n75833), .A3(n80716), 
+        .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_6[1]), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_cs_mode[1]), .Y(n75834) );
+  sky130_fd_sc_hd__nor3_1 U93840 ( .A(n75835), .B(n77931), .C(n75834), .Y(
+        n75839) );
+  sky130_fd_sc_hd__nand3_1 U93841 ( .A(n75837), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb__T_1_1_), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_24_0_), .Y(n75838) );
+  sky130_fd_sc_hd__a21oi_1 U93843 ( .A1(n75841), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb_io_outer_cs_hold), .B1(n75840), .Y(n75851) );
+  sky130_fd_sc_hd__nand2_1 U93844 ( .A(n75842), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_io_link_active), .Y(n75850) );
+  sky130_fd_sc_hd__o22ai_1 U93845 ( .A1(n75846), .A2(n75845), .B1(n75844), 
+        .B2(n75843), .Y(n75848) );
+  sky130_fd_sc_hd__a31oi_1 U93846 ( .A1(n75848), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_24_0_), .A3(n75847), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_clear), .Y(n75849) );
+  sky130_fd_sc_hd__o22ai_1 U93847 ( .A1(n75851), .A2(n75850), .B1(n80666), 
+        .B2(n75849), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_N59)
+         );
+  sky130_fd_sc_hd__nand2_1 U93848 ( .A(n79487), .B(n75852), .Y(n75854) );
+  sky130_fd_sc_hd__nand2_1 U93849 ( .A(n76402), .B(n75853), .Y(n76261) );
+  sky130_fd_sc_hd__o22ai_1 U93850 ( .A1(n75859), .A2(n76261), .B1(n75855), 
+        .B2(n76259), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N298) );
+  sky130_fd_sc_hd__o22ai_1 U93851 ( .A1(n76427), .A2(n76261), .B1(n75856), 
+        .B2(n76259), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N294) );
+  sky130_fd_sc_hd__o22ai_1 U93852 ( .A1(n76383), .A2(n76261), .B1(n79729), 
+        .B2(n76259), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N290) );
+  sky130_fd_sc_hd__nand3_1 U93853 ( .A(n76264), .B(n79588), .C(n75857), .Y(
+        n76263) );
+  sky130_fd_sc_hd__or3_1 U93854 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cmdAck), .B(
+        n79629), .C(n76264), .X(n76262) );
+  sky130_fd_sc_hd__o22ai_1 U93855 ( .A1(n75859), .A2(n76263), .B1(n75858), 
+        .B2(n76262), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N298) );
+  sky130_fd_sc_hd__o22ai_1 U93856 ( .A1(n76427), .A2(n76263), .B1(n75860), 
+        .B2(n76262), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N294) );
+  sky130_fd_sc_hd__o22ai_1 U93857 ( .A1(n76383), .A2(n76263), .B1(n79587), 
+        .B2(n76262), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N290) );
+  sky130_fd_sc_hd__a222oi_1 U93858 ( .A1(n85794), .A2(n75878), .B1(n37199), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[11]), .C1(n78520), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[11]), .Y(n75861) );
+  sky130_fd_sc_hd__a222oi_1 U93859 ( .A1(n85743), .A2(n75874), .B1(n78486), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[12]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[12]), .C2(n38422), .Y(n75862) );
+  sky130_fd_sc_hd__a222oi_1 U93860 ( .A1(n85764), .A2(n75878), .B1(n37199), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[5]), .C1(n78520), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[5]), .Y(n75863) );
+  sky130_fd_sc_hd__a222oi_1 U93861 ( .A1(n85823), .A2(n75878), .B1(n37199), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[3]), .C1(n78520), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[3]), .Y(n75864) );
+  sky130_fd_sc_hd__a222oi_1 U93862 ( .A1(n85744), .A2(n75878), .B1(n37199), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[1]), .C1(n78520), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[1]), .Y(n75865) );
+  sky130_fd_sc_hd__a222oi_1 U93863 ( .A1(n85822), .A2(n75874), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[2]), .B2(n38422), .C1(n78486), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[2]), .Y(n75866) );
+  sky130_fd_sc_hd__a222oi_1 U93864 ( .A1(n85745), .A2(n75878), .B1(n37199), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[6]), .C1(n78520), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[6]), .Y(n75867) );
+  sky130_fd_sc_hd__a222oi_1 U93865 ( .A1(n85746), .A2(n75878), .B1(n37199), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[13]), .C1(n78520), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[13]), .Y(n75868) );
+  sky130_fd_sc_hd__a222oi_1 U93866 ( .A1(n85746), .A2(n75874), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[13]), .B2(n38422), .C1(n78486), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[13]), .Y(n75869) );
+  sky130_fd_sc_hd__a222oi_1 U93867 ( .A1(n85747), .A2(n75874), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[15]), .B2(n38422), .C1(n78486), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[15]), .Y(n75870) );
+  sky130_fd_sc_hd__a222oi_1 U93868 ( .A1(n85747), .A2(n75878), .B1(n37199), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[15]), .C1(n78520), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[15]), .Y(n75871) );
+  sky130_fd_sc_hd__a222oi_1 U93869 ( .A1(n85748), .A2(n75878), .B1(n37199), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[18]), .C1(n78520), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[18]), .Y(n75872) );
+  sky130_fd_sc_hd__a222oi_1 U93870 ( .A1(n85748), .A2(n75874), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[18]), .B2(n38422), .C1(n78486), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[18]), .Y(n75873) );
+  sky130_fd_sc_hd__a222oi_1 U93871 ( .A1(n85749), .A2(n75874), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[20]), .B2(n38422), .C1(n78486), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[20]), .Y(n75875) );
+  sky130_fd_sc_hd__a222oi_1 U93872 ( .A1(n85749), .A2(n75878), .B1(n37199), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[20]), .C1(n78520), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[20]), .Y(n75876) );
+  sky130_fd_sc_hd__a222oi_1 U93873 ( .A1(n85750), .A2(n75878), .B1(n37199), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[21]), .C1(n78520), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[21]), .Y(n75877) );
+  sky130_fd_sc_hd__a222oi_1 U93874 ( .A1(n85751), .A2(n75878), .B1(n37199), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[4]), .C1(n78520), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[4]), .Y(n75879) );
+  sky130_fd_sc_hd__nand2_1 U93875 ( .A(n78376), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[2]), .Y(n75880) );
+  sky130_fd_sc_hd__o21ai_1 U93876 ( .A1(n75881), .A2(n78376), .B1(n75880), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N709) );
+  sky130_fd_sc_hd__nand2_1 U93877 ( .A(n78376), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[3]), .Y(n75882) );
+  sky130_fd_sc_hd__o21ai_1 U93878 ( .A1(n75883), .A2(n78376), .B1(n75882), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N710) );
+  sky130_fd_sc_hd__nand2_1 U93879 ( .A(n78376), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[4]), .Y(n75884) );
+  sky130_fd_sc_hd__o21ai_1 U93880 ( .A1(n75885), .A2(n78376), .B1(n75884), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N711) );
+  sky130_fd_sc_hd__nand2_1 U93881 ( .A(n78369), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[5]), .Y(n75886) );
+  sky130_fd_sc_hd__o21ai_0 U93882 ( .A1(n75887), .A2(n78369), .B1(n75886), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N712) );
+  sky130_fd_sc_hd__nand2_1 U93883 ( .A(n78369), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[14]), .Y(n75888) );
+  sky130_fd_sc_hd__o21ai_0 U93884 ( .A1(n75889), .A2(n78369), .B1(n75888), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N733) );
+  sky130_fd_sc_hd__nand2_1 U93885 ( .A(n78369), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[10]), .Y(n75890) );
+  sky130_fd_sc_hd__o21ai_0 U93886 ( .A1(n75891), .A2(n78369), .B1(n75890), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N729) );
+  sky130_fd_sc_hd__nand2_1 U93887 ( .A(n78369), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[11]), .Y(n75892) );
+  sky130_fd_sc_hd__o21ai_0 U93888 ( .A1(n75893), .A2(n78369), .B1(n75892), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N730) );
+  sky130_fd_sc_hd__nand2_1 U93889 ( .A(n78369), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[12]), .Y(n75894) );
+  sky130_fd_sc_hd__o21ai_0 U93890 ( .A1(n75895), .A2(n78369), .B1(n75894), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N731) );
+  sky130_fd_sc_hd__nand2_1 U93891 ( .A(n78369), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[18]), .Y(n75896) );
+  sky130_fd_sc_hd__o21ai_0 U93892 ( .A1(n75897), .A2(n78369), .B1(n75896), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N737) );
+  sky130_fd_sc_hd__nand2_1 U93893 ( .A(n78369), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[16]), .Y(n75898) );
+  sky130_fd_sc_hd__o21ai_0 U93894 ( .A1(n75899), .A2(n78369), .B1(n75898), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N735) );
+  sky130_fd_sc_hd__nand2_1 U93895 ( .A(n78369), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[15]), .Y(n75900) );
+  sky130_fd_sc_hd__o21ai_0 U93896 ( .A1(n75901), .A2(n78369), .B1(n75900), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N734) );
+  sky130_fd_sc_hd__nand2_1 U93897 ( .A(n78369), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[17]), .Y(n75902) );
+  sky130_fd_sc_hd__o21ai_0 U93898 ( .A1(n75903), .A2(n78369), .B1(n75902), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N736) );
+  sky130_fd_sc_hd__nand2_1 U93899 ( .A(n78369), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_2_bits_data_meta_tag[13]), .Y(n75904) );
+  sky130_fd_sc_hd__o21ai_0 U93900 ( .A1(n75905), .A2(n78369), .B1(n75904), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N732) );
+  sky130_fd_sc_hd__nor2_1 U93901 ( .A(n75906), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_amoalu_io_cmd[2]), .Y(n76018) );
+  sky130_fd_sc_hd__nor2_1 U93902 ( .A(n75992), .B(n76016), .Y(n76813) );
+  sky130_fd_sc_hd__xor2_1 U93903 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_amoalu_io_cmd[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_amoalu_io_cmd[1]), .X(n75907) );
+  sky130_fd_sc_hd__nand2_1 U93904 ( .A(n75907), .B(n76018), .Y(n76823) );
+  sky130_fd_sc_hd__nor2_1 U93905 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[18]), .B(n76823), .Y(n75998) );
+  sky130_fd_sc_hd__nor2_1 U93906 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[28]), .B(n76144), .Y(n76139) );
+  sky130_fd_sc_hd__a21oi_1 U93907 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[27]), .A2(n78445), .B1(n76139), .Y(n75981) );
+  sky130_fd_sc_hd__a22oi_1 U93908 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[25]), .A2(n75908), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[24]), .B2(n76087), .Y(n75978) );
+  sky130_fd_sc_hd__nor2_1 U93909 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[22]), .B(n76060), .Y(n75971) );
+  sky130_fd_sc_hd__nor2_1 U93910 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[23]), .B(n76085), .Y(n75970) );
+  sky130_fd_sc_hd__nor2_1 U93911 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[21]), .B(n76048), .Y(n75969) );
+  sky130_fd_sc_hd__nor2_1 U93912 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[11]), .B(n76652), .Y(n76647) );
+  sky130_fd_sc_hd__nor2_1 U93913 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[12]), .B(n76665), .Y(n76658) );
+  sky130_fd_sc_hd__nor2_1 U93914 ( .A(n76647), .B(n76658), .Y(n75950) );
+  sky130_fd_sc_hd__o22ai_1 U93915 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[10]), .A2(n75948), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[11]), .B2(n75909), .Y(n75913) );
+  sky130_fd_sc_hd__o22ai_1 U93916 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[13]), .A2(n75911), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[12]), .B2(n75910), .Y(n75912) );
+  sky130_fd_sc_hd__a21oi_1 U93917 ( .A1(n75950), .A2(n75913), .B1(n75912), .Y(
+        n75956) );
+  sky130_fd_sc_hd__nor2_1 U93918 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[15]), .B(n76696), .Y(n76691) );
+  sky130_fd_sc_hd__o22ai_1 U93919 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[13]), .A2(n76362), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[14]), .B2(n76679), .Y(n75914) );
+  sky130_fd_sc_hd__nor2_1 U93920 ( .A(n76691), .B(n75914), .Y(n75951) );
+  sky130_fd_sc_hd__nor2_1 U93921 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[14]), .B(n75915), .Y(n75919) );
+  sky130_fd_sc_hd__o22ai_1 U93922 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[16]), .A2(n75917), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[15]), .B2(n75916), .Y(n75918) );
+  sky130_fd_sc_hd__a21oi_1 U93923 ( .A1(n75920), .A2(n75919), .B1(n75918), .Y(
+        n75954) );
+  sky130_fd_sc_hd__nand2_1 U93924 ( .A(n75940), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[6]), .Y(n75939) );
+  sky130_fd_sc_hd__o22ai_1 U93925 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[4]), .A2(n76470), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[5]), .B2(n75921), .Y(n75936) );
+  sky130_fd_sc_hd__nor2_1 U93926 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[3]), .B(n75922), .Y(n75933) );
+  sky130_fd_sc_hd__nand2_1 U93927 ( .A(n75923), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[0]), .Y(n75925) );
+  sky130_fd_sc_hd__nand2_1 U93929 ( .A(n75927), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[2]), .Y(n75930) );
+  sky130_fd_sc_hd__nand2_1 U93930 ( .A(n75925), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[1]), .Y(n75929) );
+  sky130_fd_sc_hd__o22ai_1 U93931 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[2]), .A2(n75927), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[3]), .B2(n75926), .Y(n75928) );
+  sky130_fd_sc_hd__a31oi_1 U93932 ( .A1(n75931), .A2(n75930), .A3(n75929), 
+        .B1(n75928), .Y(n75932) );
+  sky130_fd_sc_hd__o22a_1 U93933 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[4]), .A2(n75934), .B1(n75933), .B2(n75932), .X(n75935) );
+  sky130_fd_sc_hd__o22ai_1 U93934 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[8]), .A2(n76809), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[7]), .B2(n75942), .Y(n75947) );
+  sky130_fd_sc_hd__a21oi_1 U93935 ( .A1(n75942), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[7]), .B1(n75941), .Y(n75946) );
+  sky130_fd_sc_hd__o22ai_1 U93936 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[9]), .A2(n75944), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[8]), .B2(n75943), .Y(n75945) );
+  sky130_fd_sc_hd__o21bai_1 U93937 ( .A1(n75947), .A2(n75946), .B1_N(n75945), 
+        .Y(n75952) );
+  sky130_fd_sc_hd__a2bb2oi_1 U93938 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[10]), .B2(n75948), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[9]), .A2_N(n76829), .Y(n75949) );
+  sky130_fd_sc_hd__nand4_1 U93939 ( .A(n75952), .B(n75951), .C(n75950), .D(
+        n75949), .Y(n75953) );
+  sky130_fd_sc_hd__o211ai_1 U93940 ( .A1(n75956), .A2(n75955), .B1(n75954), 
+        .C1(n75953), .Y(n75957) );
+  sky130_fd_sc_hd__o21ai_1 U93941 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[16]), .A2(n76708), .B1(n75957), .Y(n75958) );
+  sky130_fd_sc_hd__a2bb2oi_1 U93943 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[18]), .B2(n78418), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[17]), .A2_N(n76721), .Y(n75960) );
+  sky130_fd_sc_hd__nand2_1 U93944 ( .A(n75961), .B(n75960), .Y(n75964) );
+  sky130_fd_sc_hd__a2bb2oi_1 U93945 ( .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[18]), .B2(n76023), .A1_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[19]), .A2_N(n78421), .Y(n75963) );
+  sky130_fd_sc_hd__nor2_1 U93946 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[19]), .B(n75962), .Y(n76031) );
+  sky130_fd_sc_hd__a21o_1 U93947 ( .A1(n75964), .A2(n75963), .B1(n76031), .X(
+        n75965) );
+  sky130_fd_sc_hd__a21oi_1 U93948 ( .A1(n75965), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[20]), .B1(n78424), .Y(n75966) );
+  sky130_fd_sc_hd__a21oi_1 U93949 ( .A1(n75967), .A2(n76046), .B1(n75966), .Y(
+        n75968) );
+  sky130_fd_sc_hd__nor2_1 U93950 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[21]), .B(n78427), .Y(n76055) );
+  sky130_fd_sc_hd__nor2_1 U93951 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[22]), .B(n78430), .Y(n76069) );
+  sky130_fd_sc_hd__nor2_1 U93952 ( .A(n75971), .B(n75970), .Y(n75972) );
+  sky130_fd_sc_hd__o22ai_1 U93955 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[24]), .A2(n76087), .B1(n75975), .B2(n75974), .Y(n75977) );
+  sky130_fd_sc_hd__nand2_1 U93956 ( .A(n78439), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[25]), .Y(n76106) );
+  sky130_fd_sc_hd__nand2_1 U93957 ( .A(n78442), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[26]), .Y(n76118) );
+  sky130_fd_sc_hd__nand3_1 U93958 ( .A(n75981), .B(n76106), .C(n76118), .Y(
+        n75976) );
+  sky130_fd_sc_hd__a21oi_1 U93959 ( .A1(n75978), .A2(n75977), .B1(n75976), .Y(
+        n75979) );
+  sky130_fd_sc_hd__a31oi_1 U93960 ( .A1(n75981), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[26]), .A3(n75980), .B1(n75979), .Y(n75985) );
+  sky130_fd_sc_hd__nand2_1 U93961 ( .A(n75982), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[27]), .Y(n76126) );
+  sky130_fd_sc_hd__o22ai_1 U93962 ( .A1(n76139), .A2(n76126), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[28]), .B2(n78448), .Y(n75983) );
+  sky130_fd_sc_hd__a21oi_1 U93963 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[29]), .A2(n76156), .B1(n75983), .Y(n75984) );
+  sky130_fd_sc_hd__nor2_1 U93964 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[29]), .B(n76156), .Y(n76150) );
+  sky130_fd_sc_hd__a21oi_1 U93965 ( .A1(n75985), .A2(n75984), .B1(n76150), .Y(
+        n75986) );
+  sky130_fd_sc_hd__nor2_1 U93967 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[30]), .B(n78455), .Y(n75987) );
+  sky130_fd_sc_hd__xor2_1 U93968 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[31]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[31]), .X(n76174) );
+  sky130_fd_sc_hd__nor2_1 U93969 ( .A(n75987), .B(n76174), .Y(n75988) );
+  sky130_fd_sc_hd__nand2_1 U93970 ( .A(n75989), .B(n75988), .Y(n75995) );
+  sky130_fd_sc_hd__nand2_1 U93971 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[31]), .B(n75990), .Y(n75993) );
+  sky130_fd_sc_hd__nand2_1 U93972 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[31]), .B(n78460), .Y(n75991) );
+  sky130_fd_sc_hd__o22a_1 U93973 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_amoalu_io_cmd[1]), .A2(n75993), .B1(n75992), .B2(n75991), .X(n75994) );
+  sky130_fd_sc_hd__nand2_1 U93974 ( .A(n75995), .B(n75994), .Y(n75996) );
+  sky130_fd_sc_hd__xnor2_1 U93975 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_amoalu_io_cmd[0]), .B(n75996), .Y(n75997) );
+  sky130_fd_sc_hd__nand2_1 U93976 ( .A(n76459), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[2]), .Y(n76710) );
+  sky130_fd_sc_hd__a211oi_1 U93977 ( .A1(n76813), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[18]), .B1(n75998), .C1(n76710), .Y(n76024) );
+  sky130_fd_sc_hd__nor2_1 U93978 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[16]), .Y(n76699) );
+  sky130_fd_sc_hd__nor2_1 U93979 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[5]), .B(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[5]), .Y(n76764) );
+  sky130_fd_sc_hd__nor2_1 U93980 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[4]), .B(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[4]), .Y(n76461) );
+  sky130_fd_sc_hd__nor2_1 U93981 ( .A(n76764), .B(n76461), .Y(n76775) );
+  sky130_fd_sc_hd__nor2_1 U93982 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[7]), .B(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[7]), .Y(n76789) );
+  sky130_fd_sc_hd__nor2_1 U93983 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[6]), .B(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[6]), .Y(n76794) );
+  sky130_fd_sc_hd__nor2_1 U93984 ( .A(n76789), .B(n76794), .Y(n76002) );
+  sky130_fd_sc_hd__nand2_1 U93985 ( .A(n76775), .B(n76002), .Y(n76004) );
+  sky130_fd_sc_hd__nor2_1 U93986 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[3]), .B(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[3]), .Y(n76746) );
+  sky130_fd_sc_hd__nor2_1 U93987 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[2]), .B(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[2]), .Y(n76751) );
+  sky130_fd_sc_hd__nor2_1 U93988 ( .A(n76746), .B(n76751), .Y(n76000) );
+  sky130_fd_sc_hd__nand2_1 U93989 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[0]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[0]), .Y(n76728) );
+  sky130_fd_sc_hd__nor2_1 U93990 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[1]), .B(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[1]), .Y(n76725) );
+  sky130_fd_sc_hd__nand2_1 U93991 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[1]), .Y(n76726) );
+  sky130_fd_sc_hd__o21ai_1 U93992 ( .A1(n76728), .A2(n76725), .B1(n76726), .Y(
+        n76736) );
+  sky130_fd_sc_hd__nand2_1 U93993 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[2]), .Y(n76749) );
+  sky130_fd_sc_hd__nand2_1 U93994 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[3]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[3]), .Y(n76747) );
+  sky130_fd_sc_hd__a21oi_1 U93996 ( .A1(n76000), .A2(n76736), .B1(n75999), .Y(
+        n76462) );
+  sky130_fd_sc_hd__nand2_1 U93997 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[4]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[4]), .Y(n76761) );
+  sky130_fd_sc_hd__nand2_1 U93998 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[5]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[5]), .Y(n76765) );
+  sky130_fd_sc_hd__nand2_1 U94000 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[6]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[6]), .Y(n76792) );
+  sky130_fd_sc_hd__nand2_1 U94001 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[7]), .Y(n76790) );
+  sky130_fd_sc_hd__o21ai_1 U94002 ( .A1(n76792), .A2(n76789), .B1(n76790), .Y(
+        n76001) );
+  sky130_fd_sc_hd__a21oi_1 U94003 ( .A1(n76774), .A2(n76002), .B1(n76001), .Y(
+        n76003) );
+  sky130_fd_sc_hd__o21ai_1 U94004 ( .A1(n76004), .A2(n76462), .B1(n76003), .Y(
+        n76348) );
+  sky130_fd_sc_hd__nor2_1 U94005 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[13]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[13]), .Y(n76353) );
+  sky130_fd_sc_hd__nor2_1 U94006 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[12]), .Y(n76351) );
+  sky130_fd_sc_hd__nor2_1 U94007 ( .A(n76353), .B(n76351), .Y(n76669) );
+  sky130_fd_sc_hd__nor2_1 U94008 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[15]), .Y(n76685) );
+  sky130_fd_sc_hd__nor2_1 U94009 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[14]), .Y(n76668) );
+  sky130_fd_sc_hd__nor2_1 U94010 ( .A(n76685), .B(n76668), .Y(n76008) );
+  sky130_fd_sc_hd__nand2_1 U94011 ( .A(n76669), .B(n76008), .Y(n76010) );
+  sky130_fd_sc_hd__nor2_1 U94012 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[9]), .B(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[9]), .Y(n76814) );
+  sky130_fd_sc_hd__nor2_1 U94013 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[8]), .B(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[8]), .Y(n76819) );
+  sky130_fd_sc_hd__nor2_1 U94014 ( .A(n76814), .B(n76819), .Y(n76626) );
+  sky130_fd_sc_hd__nor2_1 U94015 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[10]), .Y(n76625) );
+  sky130_fd_sc_hd__nor2_1 U94016 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[11]), .Y(n76641) );
+  sky130_fd_sc_hd__nor2_1 U94017 ( .A(n76625), .B(n76641), .Y(n76006) );
+  sky130_fd_sc_hd__nand2_1 U94018 ( .A(n76626), .B(n76006), .Y(n76350) );
+  sky130_fd_sc_hd__nor2_1 U94019 ( .A(n76010), .B(n76350), .Y(n76012) );
+  sky130_fd_sc_hd__nand2_1 U94020 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[8]), .Y(n76817) );
+  sky130_fd_sc_hd__nand2_1 U94021 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[9]), .Y(n76815) );
+  sky130_fd_sc_hd__o21ai_1 U94022 ( .A1(n76817), .A2(n76814), .B1(n76815), .Y(
+        n76627) );
+  sky130_fd_sc_hd__nand2_1 U94023 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[10]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[10]), .Y(n76637) );
+  sky130_fd_sc_hd__nand2_1 U94024 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[11]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[11]), .Y(n76642) );
+  sky130_fd_sc_hd__a21oi_1 U94026 ( .A1(n76006), .A2(n76627), .B1(n76005), .Y(
+        n76349) );
+  sky130_fd_sc_hd__nand2_1 U94027 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[12]), .Y(n76654) );
+  sky130_fd_sc_hd__nand2_1 U94028 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[13]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[13]), .Y(n76354) );
+  sky130_fd_sc_hd__nand2_1 U94030 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[14]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[14]), .Y(n76681) );
+  sky130_fd_sc_hd__nand2_1 U94031 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[15]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[15]), .Y(n76686) );
+  sky130_fd_sc_hd__a21oi_1 U94033 ( .A1(n76008), .A2(n76670), .B1(n76007), .Y(
+        n76009) );
+  sky130_fd_sc_hd__o21ai_1 U94034 ( .A1(n76010), .A2(n76349), .B1(n76009), .Y(
+        n76011) );
+  sky130_fd_sc_hd__a21oi_1 U94035 ( .A1(n76348), .A2(n76012), .B1(n76011), .Y(
+        n76703) );
+  sky130_fd_sc_hd__nand2_1 U94036 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[16]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[16]), .Y(n76700) );
+  sky130_fd_sc_hd__nand2_1 U94038 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[17]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[17]), .Y(n76712) );
+  sky130_fd_sc_hd__a21oi_1 U94039 ( .A1(n76714), .A2(n76713), .B1(n76013), .Y(
+        n76027) );
+  sky130_fd_sc_hd__nor2_1 U94040 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[18]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[18]), .Y(n76028) );
+  sky130_fd_sc_hd__nand2_1 U94041 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[18]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[18]), .Y(n76026) );
+  sky130_fd_sc_hd__nand2_1 U94042 ( .A(n76014), .B(n76026), .Y(n76015) );
+  sky130_fd_sc_hd__xor2_1 U94043 ( .A(n76027), .B(n76015), .X(n76021) );
+  sky130_fd_sc_hd__or3_1 U94044 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_amoalu_io_cmd[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_amoalu_io_cmd[0]), .C(n76016), .X(n76464) );
+  sky130_fd_sc_hd__nor2_1 U94045 ( .A(n76081), .B(n76464), .Y(n76718) );
+  sky130_fd_sc_hd__a21oi_1 U94046 ( .A1(n76692), .A2(n76023), .B1(n76659), .Y(
+        n76019) );
+  sky130_fd_sc_hd__nor3_1 U94047 ( .A(n76081), .B(n78418), .C(n76019), .Y(
+        n76020) );
+  sky130_fd_sc_hd__a21oi_1 U94048 ( .A1(n76021), .A2(n76718), .B1(n76020), .Y(
+        n76022) );
+  sky130_fd_sc_hd__o21ai_0 U94050 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[19]), .A2(n76823), .B1(n76822), .Y(n76025) );
+  sky130_fd_sc_hd__a22oi_1 U94051 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[19]), .A2(n76813), .B1(n76025), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[2]), .Y(n76034) );
+  sky130_fd_sc_hd__nor2_1 U94052 ( .A(n76081), .B(n76823), .Y(n76070) );
+  sky130_fd_sc_hd__nand2_1 U94053 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[19]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[19]), .Y(n76036) );
+  sky130_fd_sc_hd__nand2_1 U94054 ( .A(n76038), .B(n76036), .Y(n76029) );
+  sky130_fd_sc_hd__o21ai_1 U94055 ( .A1(n76028), .A2(n76027), .B1(n76026), .Y(
+        n76039) );
+  sky130_fd_sc_hd__xnor2_1 U94056 ( .A(n76029), .B(n76039), .Y(n76030) );
+  sky130_fd_sc_hd__a22o_1 U94057 ( .A1(n76031), .A2(n76070), .B1(n76030), .B2(
+        n76718), .X(n76032) );
+  sky130_fd_sc_hd__a21oi_1 U94058 ( .A1(n76710), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[19]), .B1(n76032), .Y(n76033) );
+  sky130_fd_sc_hd__o21ai_1 U94059 ( .A1(n78421), .A2(n76034), .B1(n76033), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[19]) );
+  sky130_fd_sc_hd__nor2_1 U94060 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[20]), .B(n76823), .Y(n76035) );
+  sky130_fd_sc_hd__a211oi_1 U94061 ( .A1(n76813), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[20]), .B1(n76035), .C1(n76710), .Y(n76047) );
+  sky130_fd_sc_hd__a21oi_1 U94062 ( .A1(n76039), .A2(n76038), .B1(n76037), .Y(
+        n76051) );
+  sky130_fd_sc_hd__nor2_1 U94063 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[20]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[20]), .Y(n76052) );
+  sky130_fd_sc_hd__nand2_1 U94064 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[20]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[20]), .Y(n76050) );
+  sky130_fd_sc_hd__nand2_1 U94065 ( .A(n76040), .B(n76050), .Y(n76041) );
+  sky130_fd_sc_hd__xor2_1 U94066 ( .A(n76051), .B(n76041), .X(n76044) );
+  sky130_fd_sc_hd__a21oi_1 U94067 ( .A1(n76692), .A2(n76046), .B1(n76659), .Y(
+        n76042) );
+  sky130_fd_sc_hd__nor3_1 U94068 ( .A(n76081), .B(n78424), .C(n76042), .Y(
+        n76043) );
+  sky130_fd_sc_hd__a21oi_1 U94069 ( .A1(n76044), .A2(n76718), .B1(n76043), .Y(
+        n76045) );
+  sky130_fd_sc_hd__o21ai_0 U94071 ( .A1(n76744), .A2(n76048), .B1(n76822), .Y(
+        n76049) );
+  sky130_fd_sc_hd__nand3_1 U94072 ( .A(n76049), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[2]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[21]), .Y(n76059) );
+  sky130_fd_sc_hd__nand2_1 U94073 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[21]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[21]), .Y(n76062) );
+  sky130_fd_sc_hd__nand2_1 U94074 ( .A(n76064), .B(n76062), .Y(n76053) );
+  sky130_fd_sc_hd__o21ai_1 U94075 ( .A1(n76052), .A2(n76051), .B1(n76050), .Y(
+        n76065) );
+  sky130_fd_sc_hd__xnor2_1 U94076 ( .A(n76053), .B(n76065), .Y(n76054) );
+  sky130_fd_sc_hd__a22oi_1 U94077 ( .A1(n76070), .A2(n76055), .B1(n76054), 
+        .B2(n76718), .Y(n76058) );
+  sky130_fd_sc_hd__nor2_1 U94078 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[21]), .B(n76823), .Y(n76056) );
+  sky130_fd_sc_hd__o21ai_0 U94079 ( .A1(n76056), .A2(n76710), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[21]), .Y(n76057) );
+  sky130_fd_sc_hd__nand3_1 U94080 ( .A(n76059), .B(n76058), .C(n76057), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[21]) );
+  sky130_fd_sc_hd__o21ai_0 U94081 ( .A1(n76744), .A2(n76060), .B1(n76822), .Y(
+        n76061) );
+  sky130_fd_sc_hd__nand3_1 U94082 ( .A(n76061), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[2]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[22]), .Y(n76074) );
+  sky130_fd_sc_hd__a21oi_1 U94083 ( .A1(n76065), .A2(n76064), .B1(n76063), .Y(
+        n76077) );
+  sky130_fd_sc_hd__nor2_1 U94084 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[22]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[22]), .Y(n76078) );
+  sky130_fd_sc_hd__nand2_1 U94085 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[22]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[22]), .Y(n76076) );
+  sky130_fd_sc_hd__nand2_1 U94086 ( .A(n76066), .B(n76076), .Y(n76067) );
+  sky130_fd_sc_hd__xor2_1 U94087 ( .A(n76077), .B(n76067), .X(n76068) );
+  sky130_fd_sc_hd__a22oi_1 U94088 ( .A1(n76070), .A2(n76069), .B1(n76068), 
+        .B2(n76718), .Y(n76073) );
+  sky130_fd_sc_hd__nor2_1 U94089 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[22]), .B(n76823), .Y(n76071) );
+  sky130_fd_sc_hd__o21ai_0 U94090 ( .A1(n76071), .A2(n76710), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[22]), .Y(n76072) );
+  sky130_fd_sc_hd__nand3_1 U94091 ( .A(n76074), .B(n76073), .C(n76072), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[22]) );
+  sky130_fd_sc_hd__nor2_1 U94092 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[23]), .B(n76823), .Y(n76075) );
+  sky130_fd_sc_hd__a211oi_1 U94093 ( .A1(n76813), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[23]), .B1(n76075), .C1(n76710), .Y(n76086) );
+  sky130_fd_sc_hd__nand2_1 U94094 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[23]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[23]), .Y(n76089) );
+  sky130_fd_sc_hd__nand2_1 U94095 ( .A(n76091), .B(n76089), .Y(n76079) );
+  sky130_fd_sc_hd__xnor2_1 U94097 ( .A(n76079), .B(n76092), .Y(n76083) );
+  sky130_fd_sc_hd__a21oi_1 U94098 ( .A1(n76692), .A2(n76085), .B1(n76659), .Y(
+        n76080) );
+  sky130_fd_sc_hd__nor3_1 U94099 ( .A(n76081), .B(n78433), .C(n76080), .Y(
+        n76082) );
+  sky130_fd_sc_hd__a21oi_1 U94100 ( .A1(n76083), .A2(n76718), .B1(n76082), .Y(
+        n76084) );
+  sky130_fd_sc_hd__o21ai_1 U94101 ( .A1(n76086), .A2(n76085), .B1(n76084), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[23]) );
+  sky130_fd_sc_hd__nand2_1 U94102 ( .A(n76692), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[3]), .Y(n76152) );
+  sky130_fd_sc_hd__nand2_1 U94103 ( .A(n76659), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[3]), .Y(n76179) );
+  sky130_fd_sc_hd__a21oi_1 U94104 ( .A1(n76173), .A2(n76087), .B1(n76157), .Y(
+        n76099) );
+  sky130_fd_sc_hd__nor2_1 U94105 ( .A(n76088), .B(n76464), .Y(n76171) );
+  sky130_fd_sc_hd__a21oi_1 U94106 ( .A1(n76092), .A2(n76091), .B1(n76090), .Y(
+        n76102) );
+  sky130_fd_sc_hd__nor2_1 U94107 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[24]), .Y(n76103) );
+  sky130_fd_sc_hd__nand2_1 U94108 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[24]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[24]), .Y(n76101) );
+  sky130_fd_sc_hd__nand2_1 U94109 ( .A(n76093), .B(n76101), .Y(n76094) );
+  sky130_fd_sc_hd__xor2_1 U94110 ( .A(n76102), .B(n76094), .X(n76097) );
+  sky130_fd_sc_hd__nand2_1 U94111 ( .A(n76813), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[24]), .Y(n76095) );
+  sky130_fd_sc_hd__nand2_1 U94112 ( .A(n76459), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[3]), .Y(n76175) );
+  sky130_fd_sc_hd__o211ai_1 U94113 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[24]), .A2(n76823), .B1(n76095), .C1(n76163), .Y(n76096) );
+  sky130_fd_sc_hd__a22oi_1 U94114 ( .A1(n76171), .A2(n76097), .B1(n76096), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[24]), .Y(n76098) );
+  sky130_fd_sc_hd__o21ai_1 U94115 ( .A1(n78436), .A2(n76099), .B1(n76098), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[24]) );
+  sky130_fd_sc_hd__nor2_1 U94116 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[25]), .B(n76152), .Y(n76100) );
+  sky130_fd_sc_hd__a211oi_1 U94117 ( .A1(n76813), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[25]), .B1(n76100), .C1(n76157), .Y(n76109) );
+  sky130_fd_sc_hd__nand2_1 U94118 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[25]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[25]), .Y(n76111) );
+  sky130_fd_sc_hd__nand2_1 U94119 ( .A(n76113), .B(n76111), .Y(n76104) );
+  sky130_fd_sc_hd__o21ai_1 U94120 ( .A1(n76103), .A2(n76102), .B1(n76101), .Y(
+        n76114) );
+  sky130_fd_sc_hd__xnor2_1 U94121 ( .A(n76104), .B(n76114), .Y(n76105) );
+  sky130_fd_sc_hd__o2bb2ai_1 U94122 ( .B1(n76823), .B2(n76106), .A1_N(n76171), 
+        .A2_N(n76105), .Y(n76107) );
+  sky130_fd_sc_hd__a21oi_1 U94123 ( .A1(n76175), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[25]), .B1(n76107), .Y(n76108) );
+  sky130_fd_sc_hd__o21ai_1 U94124 ( .A1(n78439), .A2(n76109), .B1(n76108), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[25]) );
+  sky130_fd_sc_hd__nor2_1 U94125 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[26]), .B(n76152), .Y(n76110) );
+  sky130_fd_sc_hd__a211oi_1 U94126 ( .A1(n76813), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[26]), .B1(n76110), .C1(n76157), .Y(n76121) );
+  sky130_fd_sc_hd__a21oi_1 U94127 ( .A1(n76114), .A2(n76113), .B1(n76112), .Y(
+        n76123) );
+  sky130_fd_sc_hd__nor2_1 U94128 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[26]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[26]), .Y(n76124) );
+  sky130_fd_sc_hd__nand2_1 U94129 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[26]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[26]), .Y(n76122) );
+  sky130_fd_sc_hd__nand2_1 U94130 ( .A(n76115), .B(n76122), .Y(n76116) );
+  sky130_fd_sc_hd__xor2_1 U94131 ( .A(n76123), .B(n76116), .X(n76117) );
+  sky130_fd_sc_hd__o2bb2ai_1 U94132 ( .B1(n76823), .B2(n76118), .A1_N(n76171), 
+        .A2_N(n76117), .Y(n76119) );
+  sky130_fd_sc_hd__a21oi_1 U94133 ( .A1(n76175), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[26]), .B1(n76119), .Y(n76120) );
+  sky130_fd_sc_hd__nand2_1 U94135 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[27]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[27]), .Y(n76132) );
+  sky130_fd_sc_hd__nand2_1 U94136 ( .A(n76134), .B(n76132), .Y(n76125) );
+  sky130_fd_sc_hd__xnor2_1 U94138 ( .A(n76125), .B(n76135), .Y(n76127) );
+  sky130_fd_sc_hd__a2bb2oi_1 U94139 ( .B1(n76171), .B2(n76127), .A1_N(n76152), 
+        .A2_N(n76126), .Y(n76131) );
+  sky130_fd_sc_hd__nand2_1 U94140 ( .A(n76813), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[27]), .Y(n76128) );
+  sky130_fd_sc_hd__o21ai_1 U94141 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[27]), .A2(n76823), .B1(n76128), .Y(n76129) );
+  sky130_fd_sc_hd__o21ai_1 U94142 ( .A1(n76129), .A2(n76175), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[27]), .Y(n76130) );
+  sky130_fd_sc_hd__o211ai_1 U94143 ( .A1(n78445), .A2(n76179), .B1(n76131), 
+        .C1(n76130), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[27]) );
+  sky130_fd_sc_hd__a21oi_1 U94144 ( .A1(n76135), .A2(n76134), .B1(n76133), .Y(
+        n76146) );
+  sky130_fd_sc_hd__nor2_1 U94145 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[28]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[28]), .Y(n76147) );
+  sky130_fd_sc_hd__nand2_1 U94146 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[28]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[28]), .Y(n76145) );
+  sky130_fd_sc_hd__nand2_1 U94147 ( .A(n76136), .B(n76145), .Y(n76137) );
+  sky130_fd_sc_hd__xor2_1 U94148 ( .A(n76146), .B(n76137), .X(n76138) );
+  sky130_fd_sc_hd__a22oi_1 U94149 ( .A1(n76692), .A2(n76139), .B1(n76138), 
+        .B2(n76171), .Y(n76143) );
+  sky130_fd_sc_hd__nand2_1 U94150 ( .A(n76813), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[28]), .Y(n76140) );
+  sky130_fd_sc_hd__o21ai_1 U94151 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[28]), .A2(n76152), .B1(n76140), .Y(n76141) );
+  sky130_fd_sc_hd__o211ai_1 U94153 ( .A1(n76163), .A2(n76144), .B1(n76143), 
+        .C1(n76142), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[28]) );
+  sky130_fd_sc_hd__nand2_1 U94154 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[29]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[29]), .Y(n76159) );
+  sky130_fd_sc_hd__nand2_1 U94155 ( .A(n76161), .B(n76159), .Y(n76148) );
+  sky130_fd_sc_hd__xnor2_1 U94157 ( .A(n76148), .B(n76162), .Y(n76149) );
+  sky130_fd_sc_hd__a22oi_1 U94158 ( .A1(n76692), .A2(n76150), .B1(n76149), 
+        .B2(n76171), .Y(n76155) );
+  sky130_fd_sc_hd__nand2_1 U94159 ( .A(n76813), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[29]), .Y(n76151) );
+  sky130_fd_sc_hd__o211ai_1 U94162 ( .A1(n76163), .A2(n76156), .B1(n76155), 
+        .C1(n76154), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[29]) );
+  sky130_fd_sc_hd__a21oi_1 U94163 ( .A1(n76173), .A2(n76158), .B1(n76157), .Y(
+        n76168) );
+  sky130_fd_sc_hd__a21o_1 U94164 ( .A1(n76162), .A2(n76161), .B1(n76160), .X(
+        n76169) );
+  sky130_fd_sc_hd__nand2_1 U94165 ( .A(n76813), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[30]), .Y(n76164) );
+  sky130_fd_sc_hd__o211ai_1 U94166 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[30]), .A2(n76823), .B1(n76164), .C1(n76163), .Y(n76165) );
+  sky130_fd_sc_hd__a22oi_1 U94167 ( .A1(n76171), .A2(n76166), .B1(n76165), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[30]), .Y(n76167) );
+  sky130_fd_sc_hd__o21ai_1 U94168 ( .A1(n78455), .A2(n76168), .B1(n76167), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[30]) );
+  sky130_fd_sc_hd__fa_1 U94169 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[30]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[30]), .CIN(n76169), .COUT(n76170), .SUM(n76166) );
+  sky130_fd_sc_hd__xor2_1 U94170 ( .A(n76174), .B(n76170), .X(n76172) );
+  sky130_fd_sc_hd__a22oi_1 U94171 ( .A1(n76174), .A2(n76173), .B1(n76172), 
+        .B2(n76171), .Y(n76178) );
+  sky130_fd_sc_hd__nor2_1 U94172 ( .A(n78460), .B(n76744), .Y(n76176) );
+  sky130_fd_sc_hd__o21ai_1 U94173 ( .A1(n76176), .A2(n76175), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[31]), .Y(n76177) );
+  sky130_fd_sc_hd__o211ai_1 U94174 ( .A1(n78460), .A2(n76179), .B1(n76178), 
+        .C1(n76177), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[31]) );
+  sky130_fd_sc_hd__a22oi_1 U94175 ( .A1(n76234), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[0]), .B1(n76233), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[32]), .Y(n76180) );
+  sky130_fd_sc_hd__o21ai_1 U94177 ( .A1(n76182), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_mask[0]), .B1(n76181), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N698) );
+  sky130_fd_sc_hd__a22oi_1 U94178 ( .A1(n76234), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[1]), .B1(n76233), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[33]), .Y(n76183) );
+  sky130_fd_sc_hd__o21ai_1 U94179 ( .A1(n76184), .A2(n76236), .B1(n76183), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N635) );
+  sky130_fd_sc_hd__a22oi_1 U94180 ( .A1(n76234), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[2]), .B1(n76233), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[34]), .Y(n76185) );
+  sky130_fd_sc_hd__o21ai_1 U94181 ( .A1(n76186), .A2(n76236), .B1(n76185), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N636) );
+  sky130_fd_sc_hd__a22oi_1 U94182 ( .A1(n76234), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[3]), .B1(n76233), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[35]), .Y(n76187) );
+  sky130_fd_sc_hd__a22oi_1 U94184 ( .A1(n76234), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[4]), .B1(n76233), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[36]), .Y(n76189) );
+  sky130_fd_sc_hd__o21ai_1 U94185 ( .A1(n76190), .A2(n76236), .B1(n76189), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N638) );
+  sky130_fd_sc_hd__a22oi_1 U94186 ( .A1(n76234), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[5]), .B1(n76233), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[37]), .Y(n76191) );
+  sky130_fd_sc_hd__a22oi_1 U94188 ( .A1(n76234), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[6]), .B1(n76233), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[38]), .Y(n76193) );
+  sky130_fd_sc_hd__o21ai_1 U94189 ( .A1(n76194), .A2(n76236), .B1(n76193), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N640) );
+  sky130_fd_sc_hd__a22oi_1 U94190 ( .A1(n76234), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[7]), .B1(n76233), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[39]), .Y(n76195) );
+  sky130_fd_sc_hd__o21ai_1 U94191 ( .A1(n76196), .A2(n76236), .B1(n76195), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N641) );
+  sky130_fd_sc_hd__a22oi_1 U94192 ( .A1(n76234), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[9]), .B1(n76233), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[41]), .Y(n76197) );
+  sky130_fd_sc_hd__o21ai_1 U94193 ( .A1(n76198), .A2(n76236), .B1(n76197), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N643) );
+  sky130_fd_sc_hd__a22oi_1 U94194 ( .A1(n76234), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[10]), .B1(n76233), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[42]), .Y(n76199) );
+  sky130_fd_sc_hd__o21ai_1 U94195 ( .A1(n76200), .A2(n76236), .B1(n76199), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N644) );
+  sky130_fd_sc_hd__a22oi_1 U94196 ( .A1(n76234), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[11]), .B1(n76233), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[43]), .Y(n76201) );
+  sky130_fd_sc_hd__o21ai_1 U94197 ( .A1(n76202), .A2(n76236), .B1(n76201), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N645) );
+  sky130_fd_sc_hd__a22oi_1 U94198 ( .A1(n76234), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[12]), .B1(n76233), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[44]), .Y(n76203) );
+  sky130_fd_sc_hd__o21ai_1 U94199 ( .A1(n76204), .A2(n76236), .B1(n76203), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N646) );
+  sky130_fd_sc_hd__a22oi_1 U94200 ( .A1(n76234), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[13]), .B1(n76233), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[45]), .Y(n76205) );
+  sky130_fd_sc_hd__o21ai_1 U94201 ( .A1(n76206), .A2(n76236), .B1(n76205), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N647) );
+  sky130_fd_sc_hd__a22oi_1 U94202 ( .A1(n76234), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[14]), .B1(n76233), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[46]), .Y(n76207) );
+  sky130_fd_sc_hd__o21ai_1 U94203 ( .A1(n76208), .A2(n76236), .B1(n76207), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N648) );
+  sky130_fd_sc_hd__a22oi_1 U94204 ( .A1(n76234), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[15]), .B1(n76233), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[47]), .Y(n76209) );
+  sky130_fd_sc_hd__o21ai_1 U94205 ( .A1(n76210), .A2(n76236), .B1(n76209), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N649) );
+  sky130_fd_sc_hd__a22oi_1 U94206 ( .A1(n76234), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[17]), .B1(n76233), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[49]), .Y(n76211) );
+  sky130_fd_sc_hd__o21ai_1 U94207 ( .A1(n76212), .A2(n76236), .B1(n76211), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N651) );
+  sky130_fd_sc_hd__a22oi_1 U94208 ( .A1(n76234), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[18]), .B1(n76233), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[50]), .Y(n76213) );
+  sky130_fd_sc_hd__a22oi_1 U94210 ( .A1(n76234), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[19]), .B1(n76233), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[51]), .Y(n76215) );
+  sky130_fd_sc_hd__o21ai_1 U94211 ( .A1(n76216), .A2(n76236), .B1(n76215), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N653) );
+  sky130_fd_sc_hd__a22oi_1 U94212 ( .A1(n76234), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[21]), .B1(n76233), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[53]), .Y(n76217) );
+  sky130_fd_sc_hd__o21ai_1 U94213 ( .A1(n76218), .A2(n76236), .B1(n76217), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N655) );
+  sky130_fd_sc_hd__a22oi_1 U94214 ( .A1(n76234), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[22]), .B1(n76233), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[54]), .Y(n76219) );
+  sky130_fd_sc_hd__a22oi_1 U94216 ( .A1(n76234), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[25]), .B1(n76233), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[57]), .Y(n76221) );
+  sky130_fd_sc_hd__o21ai_1 U94217 ( .A1(n76222), .A2(n76236), .B1(n76221), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N659) );
+  sky130_fd_sc_hd__a22oi_1 U94218 ( .A1(n76234), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[26]), .B1(n76233), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[58]), .Y(n76223) );
+  sky130_fd_sc_hd__o21ai_1 U94219 ( .A1(n76224), .A2(n76236), .B1(n76223), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N660) );
+  sky130_fd_sc_hd__a22oi_1 U94220 ( .A1(n76234), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[27]), .B1(n76233), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[59]), .Y(n76225) );
+  sky130_fd_sc_hd__o21ai_1 U94221 ( .A1(n76226), .A2(n76236), .B1(n76225), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N661) );
+  sky130_fd_sc_hd__a22oi_1 U94222 ( .A1(n76234), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[28]), .B1(n76233), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[60]), .Y(n76227) );
+  sky130_fd_sc_hd__o21ai_1 U94223 ( .A1(n76228), .A2(n76236), .B1(n76227), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N662) );
+  sky130_fd_sc_hd__a22oi_1 U94224 ( .A1(n76234), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[29]), .B1(n76233), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[61]), .Y(n76229) );
+  sky130_fd_sc_hd__o21ai_1 U94225 ( .A1(n76230), .A2(n76236), .B1(n76229), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N663) );
+  sky130_fd_sc_hd__a22oi_1 U94226 ( .A1(n76234), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[30]), .B1(n76233), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[62]), .Y(n76231) );
+  sky130_fd_sc_hd__o21ai_1 U94227 ( .A1(n76232), .A2(n76236), .B1(n76231), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N664) );
+  sky130_fd_sc_hd__a22oi_1 U94228 ( .A1(n76234), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[31]), .B1(n76233), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_data[63]), .Y(n76235) );
+  sky130_fd_sc_hd__o21ai_1 U94229 ( .A1(n76237), .A2(n76236), .B1(n76235), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N665) );
+  sky130_fd_sc_hd__nand2_1 U94230 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_4_btb_entry[1]), .B(n37259), .Y(n76238) );
+  sky130_fd_sc_hd__o21ai_1 U94231 ( .A1(n37259), .A2(n76244), .B1(n76238), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N268) );
+  sky130_fd_sc_hd__nand2_1 U94232 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_3_btb_entry[1]), .B(n76239), .Y(n76240) );
+  sky130_fd_sc_hd__o21ai_1 U94233 ( .A1(n76239), .A2(n76244), .B1(n76240), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N187) );
+  sky130_fd_sc_hd__nand2_1 U94234 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_2_btb_entry[1]), .B(n76241), .Y(n76242) );
+  sky130_fd_sc_hd__o21ai_1 U94235 ( .A1(n76241), .A2(n76244), .B1(n76242), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N106) );
+  sky130_fd_sc_hd__nand2_1 U94236 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_elts_1_btb_entry[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .Y(n76243) );
+  sky130_fd_sc_hd__o21ai_1 U94237 ( .A1(n73340), .A2(n76244), .B1(n76243), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N25) );
+  sky130_fd_sc_hd__nand2_1 U94238 ( .A(n76247), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_ibufBTBResp_entry[1]), .Y(n76245) );
+  sky130_fd_sc_hd__o21ai_1 U94239 ( .A1(n76247), .A2(n76246), .B1(n76245), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_io_btb_resp_entry[1]) );
+  sky130_fd_sc_hd__nor2_2 U94240 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_MPORT_addr[2]), .B(n76250), .Y(n86478) );
+  sky130_fd_sc_hd__nor2_2 U94241 ( .A(n76252), .B(n76249), .Y(n86476) );
+  sky130_fd_sc_hd__nor2_2 U94242 ( .A(n76252), .B(n76250), .Y(n86475) );
+  sky130_fd_sc_hd__nand4_1 U94243 ( .A(n81304), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_MPORT_addr[1]), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_MPORT_addr[0]), .D(n76253), .Y(n81301) );
+  sky130_fd_sc_hd__nor3_2 U94244 ( .A(n76258), .B(n76255), .C(n76257), .Y(
+        n86473) );
+  sky130_fd_sc_hd__nor3_2 U94245 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_MPORT_addr[1]), .B(n76255), .C(n76257), .Y(n86472) );
+  sky130_fd_sc_hd__nor3_2 U94246 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_MPORT_addr[2]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_MPORT_addr[1]), .C(n76257), .Y(n86469) );
+  sky130_fd_sc_hd__o22ai_1 U94247 ( .A1(n76385), .A2(n76261), .B1(n76260), 
+        .B2(n76259), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N286) );
+  sky130_fd_sc_hd__o22ai_1 U94248 ( .A1(n76385), .A2(n76263), .B1(n79503), 
+        .B2(n76262), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N286) );
+  sky130_fd_sc_hd__mux2i_1 U94249 ( .A0(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__nextCmd_T[3]), 
+        .A1(n85756), .S(n76264), .Y(n76265) );
+  sky130_fd_sc_hd__nor2_1 U94250 ( .A(n37038), .B(n76265), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N301) );
+  sky130_fd_sc_hd__mux2i_1 U94251 ( .A0(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__nextCmd_T[3]), 
+        .A1(n85756), .S(n76402), .Y(n76266) );
+  sky130_fd_sc_hd__nor2_1 U94252 ( .A(n36848), .B(n76266), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N301) );
+  sky130_fd_sc_hd__nand2_1 U94253 ( .A(n76280), .B(n76275), .Y(n76281) );
+  sky130_fd_sc_hd__nor2_1 U94254 ( .A(n76268), .B(n76281), .Y(n76269) );
+  sky130_fd_sc_hd__a31oi_1 U94255 ( .A1(n76271), .A2(n76270), .A3(n76289), 
+        .B1(n76269), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N395) );
+  sky130_fd_sc_hd__o211ai_1 U94257 ( .A1(n76278), .A2(n76277), .B1(n76276), 
+        .C1(n76275), .Y(n76279) );
+  sky130_fd_sc_hd__a21oi_1 U94258 ( .A1(n76280), .A2(n76279), .B1(n76289), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N396) );
+  sky130_fd_sc_hd__nor4_1 U94259 ( .A(n76285), .B(n76284), .C(n76283), .D(
+        n76282), .Y(n76287) );
+  sky130_fd_sc_hd__a21oi_1 U94261 ( .A1(n76291), .A2(n76290), .B1(n76289), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N397) );
+  sky130_fd_sc_hd__a21oi_1 U94262 ( .A1(n76307), .A2(n76306), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_acknum[0]), .Y(n76305) );
+  sky130_fd_sc_hd__nand2b_1 U94263 ( .A_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_acknum[1]), .B(n76305), .Y(n76300) );
+  sky130_fd_sc_hd__nor2_1 U94264 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_acknum[2]), .B(n76300), .Y(n76295) );
+  sky130_fd_sc_hd__nand2_1 U94265 ( .A(n85757), .B(n76311), .Y(n76293) );
+  sky130_fd_sc_hd__o31ai_1 U94266 ( .A1(n76295), .A2(n76294), .A3(n76309), 
+        .B1(n76293), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_N24) );
+  sky130_fd_sc_hd__a22oi_1 U94267 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_acknum[3]), .A2(n76295), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_acknum[2]), .B2(n76300), .Y(n76297) );
+  sky130_fd_sc_hd__o22ai_1 U94268 ( .A1(n76297), .A2(n76309), .B1(n76298), 
+        .B2(n76296), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_N23) );
+  sky130_fd_sc_hd__nor2_1 U94269 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_acknum[3]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_acknum[2]), .Y(n76301) );
+  sky130_fd_sc_hd__o22ai_1 U94270 ( .A1(n76301), .A2(n76300), .B1(n76299), 
+        .B2(n76298), .Y(n76302) );
+  sky130_fd_sc_hd__a21oi_1 U94271 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_acknum[1]), .A2(n76303), .B1(n76302), .Y(n76304) );
+  sky130_fd_sc_hd__nor2_1 U94272 ( .A(n76304), .B(n76309), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_N22) );
+  sky130_fd_sc_hd__a31oi_1 U94273 ( .A1(n76307), .A2(n76306), .A3(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_acknum[0]), .B1(n76305), .Y(n76310) );
+  sky130_fd_sc_hd__nand2_1 U94274 ( .A(n85759), .B(n76311), .Y(n76308) );
+  sky130_fd_sc_hd__o31ai_1 U94275 ( .A1(n76311), .A2(n76310), .A3(n76309), 
+        .B1(n76308), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_N21) );
+  sky130_fd_sc_hd__xnor2_1 U94276 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_acknum[2]), .B(n76454), .Y(n76312) );
+  sky130_fd_sc_hd__nand3_1 U94277 ( .A(n76453), .B(n76312), .C(n83775), .Y(
+        n76313) );
+  sky130_fd_sc_hd__a21oi_1 U94279 ( .A1(n76316), .A2(n76317), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_acknum[0]), .Y(n76320) );
+  sky130_fd_sc_hd__a31oi_1 U94280 ( .A1(n76317), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_acknum[0]), .A3(n76316), .B1(n76320), .Y(n76319) );
+  sky130_fd_sc_hd__nand2_1 U94281 ( .A(n85760), .B(n76332), .Y(n76318) );
+  sky130_fd_sc_hd__o31ai_1 U94282 ( .A1(n76332), .A2(n76319), .A3(n76327), 
+        .B1(n76318), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_N21) );
+  sky130_fd_sc_hd__nor2_1 U94283 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_acknum[1]), .B(n76321), .Y(n76330) );
+  sky130_fd_sc_hd__a211oi_1 U94284 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_acknum[1]), .A2(n76321), .B1(n76332), .C1(n76330), .Y(n76322) );
+  sky130_fd_sc_hd__a211oi_1 U94285 ( .A1(n76332), .A2(n76323), .B1(n76322), 
+        .C1(n76327), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_N22) );
+  sky130_fd_sc_hd__nand2_1 U94286 ( .A(n76330), .B(n76331), .Y(n76328) );
+  sky130_fd_sc_hd__nand2_1 U94287 ( .A(n76328), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_acknum[3]), .Y(n76326) );
+  sky130_fd_sc_hd__o22ai_1 U94288 ( .A1(n76327), .A2(n76326), .B1(n76325), 
+        .B2(n76324), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_N24) );
+  sky130_fd_sc_hd__o22ai_1 U94289 ( .A1(n76331), .A2(n76330), .B1(n76329), 
+        .B2(n76328), .Y(n76333) );
+  sky130_fd_sc_hd__a22o_1 U94290 ( .A1(n76334), .A2(n76333), .B1(n85762), .B2(
+        n76332), .X(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_N23) );
+  sky130_fd_sc_hd__nand2_1 U94291 ( .A(n85763), .B(n76335), .Y(n79532) );
+  sky130_fd_sc_hd__o22ai_1 U94292 ( .A1(n79520), .A2(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_io_chainOut_data), .B1(
+        n76337), .B2(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_chainOut_data), .Y(
+        n76339) );
+  sky130_fd_sc_hd__a21oi_1 U94293 ( .A1(n76337), .A2(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_bypassChain_io_chainOut_data), 
+        .B1(n76336), .Y(n76338) );
+  sky130_fd_sc_hd__nor3_1 U94294 ( .A(n79532), .B(n76339), .C(n76338), .Y(
+        n76340) );
+  sky130_fd_sc_hd__a21oi_1 U94295 ( .A1(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal__GEN_2), .A2(
+        n79532), .B1(n76340), .Y(n76341) );
+  sky130_fd_sc_hd__nand2_1 U94297 ( .A(n78557), .B(n76343), .Y(n78495) );
+  sky130_fd_sc_hd__o22ai_1 U94298 ( .A1(n78279), .A2(n78495), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_scause[0]), .B2(n78494), .Y(n76344) );
+  sky130_fd_sc_hd__a21oi_1 U94299 ( .A1(n78498), .A2(n76378), .B1(n76344), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1079) );
+  sky130_fd_sc_hd__nand2_1 U94300 ( .A(n76346), .B(n76345), .Y(n76414) );
+  sky130_fd_sc_hd__nor2_1 U94301 ( .A(n81349), .B(n76414), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N67) );
+  sky130_fd_sc_hd__nand2_1 U94302 ( .A(n76414), .B(n83116), .Y(n36104) );
+  sky130_fd_sc_hd__nor2_1 U94303 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[13]), .B(n76823), .Y(n76347) );
+  sky130_fd_sc_hd__nand2_1 U94304 ( .A(n76459), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[1]), .Y(n76811) );
+  sky130_fd_sc_hd__a211oi_1 U94305 ( .A1(n76813), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[13]), .B1(n76347), .C1(n76811), .Y(n76363) );
+  sky130_fd_sc_hd__o21ai_1 U94306 ( .A1(n76350), .A2(n76818), .B1(n76349), .Y(
+        n76653) );
+  sky130_fd_sc_hd__a21oi_1 U94307 ( .A1(n76653), .A2(n76655), .B1(n76352), .Y(
+        n76357) );
+  sky130_fd_sc_hd__nand2_1 U94308 ( .A(n76355), .B(n76354), .Y(n76356) );
+  sky130_fd_sc_hd__xor2_1 U94309 ( .A(n76357), .B(n76356), .X(n76360) );
+  sky130_fd_sc_hd__nor2_1 U94310 ( .A(n76661), .B(n76464), .Y(n76826) );
+  sky130_fd_sc_hd__o21ai_0 U94311 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[13]), .A2(n76823), .B1(n76822), .Y(n76358) );
+  sky130_fd_sc_hd__and3_1 U94312 ( .A(n76358), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[13]), .X(n76359) );
+  sky130_fd_sc_hd__a21oi_1 U94313 ( .A1(n76360), .A2(n76826), .B1(n76359), .Y(
+        n76361) );
+  sky130_fd_sc_hd__o21ai_1 U94314 ( .A1(n76363), .A2(n76362), .B1(n76361), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[13]) );
+  sky130_fd_sc_hd__nor2_1 U94315 ( .A(n76483), .B(n76380), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N127) );
+  sky130_fd_sc_hd__nor2_1 U94316 ( .A(n76481), .B(n76364), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N58) );
+  sky130_fd_sc_hd__nor2_1 U94317 ( .A(n76381), .B(n76482), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N51) );
+  sky130_fd_sc_hd__nor2_1 U94318 ( .A(n76365), .B(n76482), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N58) );
+  sky130_fd_sc_hd__nor2_1 U94319 ( .A(n76434), .B(n76428), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N188) );
+  sky130_fd_sc_hd__nor2_1 U94320 ( .A(n76434), .B(n76429), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N210) );
+  sky130_fd_sc_hd__o22ai_1 U94321 ( .A1(n76367), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_mideleg_1), .B1(n78524), .B2(n78525), .Y(n76368) );
+  sky130_fd_sc_hd__o22ai_1 U94322 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_mideleg_1), .A2(n76369), .B1(n76368), .B2(n76371), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N716) );
+  sky130_fd_sc_hd__o22ai_1 U94323 ( .A1(n36653), .A2(n78495), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_scause[1]), .B2(n78494), .Y(n76370) );
+  sky130_fd_sc_hd__a21oi_1 U94324 ( .A1(n78498), .A2(n76371), .B1(n76370), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1080) );
+  sky130_fd_sc_hd__nand2_1 U94325 ( .A(n36846), .B(n78269), .Y(n36274) );
+  sky130_fd_sc_hd__o22ai_1 U94326 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_lo_6_0), .A2(n36274), .B1(n76375), .B2(n76374), .Y(n76377) );
+  sky130_fd_sc_hd__nand2_1 U94327 ( .A(n37040), .B(n78251), .Y(n82482) );
+  sky130_fd_sc_hd__nor4_1 U94328 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_status_debug), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_1_status_prv[0]), .C(n82482), .D(n78252), .Y(n76376) );
+  sky130_fd_sc_hd__o22ai_1 U94329 ( .A1(n76378), .A2(n78275), .B1(n76377), 
+        .B2(n76376), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N350) );
+  sky130_fd_sc_hd__nor2_1 U94330 ( .A(n81349), .B(n76379), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N23) );
+  sky130_fd_sc_hd__nor2_1 U94331 ( .A(n76381), .B(n76380), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N50) );
+  sky130_fd_sc_hd__nor2_1 U94332 ( .A(n76427), .B(n76382), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N230) );
+  sky130_fd_sc_hd__nor2_1 U94333 ( .A(n76383), .B(n76382), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N231) );
+  sky130_fd_sc_hd__nor2_1 U94334 ( .A(n76385), .B(n76382), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N232) );
+  sky130_fd_sc_hd__nor2_1 U94335 ( .A(n76427), .B(n76384), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N138) );
+  sky130_fd_sc_hd__nor2_1 U94336 ( .A(n76383), .B(n76384), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N139) );
+  sky130_fd_sc_hd__nor2_1 U94337 ( .A(n76385), .B(n76384), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N140) );
+  sky130_fd_sc_hd__nor2_1 U94338 ( .A(n76386), .B(n76444), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N176) );
+  sky130_fd_sc_hd__nor2_1 U94339 ( .A(n76386), .B(n76445), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N177) );
+  sky130_fd_sc_hd__nor2_1 U94340 ( .A(n76386), .B(n76446), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N178) );
+  sky130_fd_sc_hd__nor2_1 U94341 ( .A(n76386), .B(n76447), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N179) );
+  sky130_fd_sc_hd__nor2_1 U94342 ( .A(n76386), .B(n79911), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N184) );
+  sky130_fd_sc_hd__nor2_1 U94343 ( .A(n76386), .B(n76448), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N186) );
+  sky130_fd_sc_hd__nor2_1 U94344 ( .A(n76386), .B(n76449), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N187) );
+  sky130_fd_sc_hd__xor2_1 U94345 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_io_deq_bits_MPORT_addr[2]), .B(n76387), .X(n76394) );
+  sky130_fd_sc_hd__nand3_1 U94346 ( .A(n76390), .B(n76389), .C(n81352), .Y(
+        n76391) );
+  sky130_fd_sc_hd__nand2_1 U94347 ( .A(n37040), .B(n81271), .Y(n81275) );
+  sky130_fd_sc_hd__nor2_1 U94348 ( .A(n76394), .B(n81275), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N47) );
+  sky130_fd_sc_hd__nand2b_1 U94349 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_ctrl_fence_i), .B(n76395), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__GEN_80) );
+  sky130_fd_sc_hd__nor2_1 U94350 ( .A(n76396), .B(n85764), .Y(n76398) );
+  sky130_fd_sc_hd__o21ai_1 U94351 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_mideleg_5), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mie[5]), .B1(n78524), .Y(n76397) );
+  sky130_fd_sc_hd__o22ai_1 U94352 ( .A1(n76400), .A2(n76399), .B1(n76398), 
+        .B2(n76397), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N720) );
+  sky130_fd_sc_hd__nor2_1 U94353 ( .A(n81357), .B(n76401), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N269) );
+  sky130_fd_sc_hd__nor2_1 U94354 ( .A(n81029), .B(n76401), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N270) );
+  sky130_fd_sc_hd__nor2_1 U94355 ( .A(n76415), .B(n76401), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N273) );
+  sky130_fd_sc_hd__nor2_1 U94356 ( .A(n81355), .B(n76401), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N266) );
+  sky130_fd_sc_hd__nor2_1 U94357 ( .A(n81355), .B(n76403), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N302) );
+  sky130_fd_sc_hd__nand2_1 U94358 ( .A(n76405), .B(n76404), .Y(n83545) );
+  sky130_fd_sc_hd__nor2_1 U94359 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_acknum[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_acknum[0]), .Y(n76406) );
+  sky130_fd_sc_hd__nand2_1 U94360 ( .A(n83545), .B(n76406), .Y(n83547) );
+  sky130_fd_sc_hd__nor2_1 U94361 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_acknum[2]), .B(n83547), .Y(n76413) );
+  sky130_fd_sc_hd__a21oi_1 U94362 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_acknum[2]), .A2(n83547), .B1(n76413), .Y(n76408) );
+  sky130_fd_sc_hd__nand2_1 U94363 ( .A(n85765), .B(n83546), .Y(n76407) );
+  sky130_fd_sc_hd__o31ai_1 U94364 ( .A1(n83546), .A2(n76408), .A3(n83553), 
+        .B1(n76407), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_N23) );
+  sky130_fd_sc_hd__xnor2_1 U94365 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_acknum[0]), .B(n83545), .Y(n76410) );
+  sky130_fd_sc_hd__nand2_1 U94366 ( .A(n85766), .B(n83546), .Y(n76409) );
+  sky130_fd_sc_hd__o31ai_1 U94367 ( .A1(n83546), .A2(n76410), .A3(n83553), 
+        .B1(n76409), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_N21) );
+  sky130_fd_sc_hd__nand2_1 U94368 ( .A(n85767), .B(n83546), .Y(n76411) );
+  sky130_fd_sc_hd__o31ai_1 U94369 ( .A1(n76413), .A2(n76412), .A3(n83553), 
+        .B1(n76411), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_N24) );
+  sky130_fd_sc_hd__nor2_1 U94370 ( .A(n81355), .B(n76414), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N71) );
+  sky130_fd_sc_hd__nor2_1 U94371 ( .A(n76416), .B(n80419), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N172) );
+  sky130_fd_sc_hd__nor2_1 U94372 ( .A(n76417), .B(n80419), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N173) );
+  sky130_fd_sc_hd__nor2_1 U94373 ( .A(n76415), .B(n80419), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N174) );
+  sky130_fd_sc_hd__nor2_1 U94374 ( .A(n80418), .B(n76417), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N195) );
+  sky130_fd_sc_hd__nor2_1 U94375 ( .A(n80418), .B(n76415), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N196) );
+  sky130_fd_sc_hd__nor2_1 U94376 ( .A(n81349), .B(n76418), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N267) );
+  sky130_fd_sc_hd__nor2_1 U94377 ( .A(n81040), .B(n76418), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N268) );
+  sky130_fd_sc_hd__nor2_1 U94378 ( .A(n81357), .B(n76418), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N269) );
+  sky130_fd_sc_hd__nor2_1 U94379 ( .A(n81029), .B(n76418), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N270) );
+  sky130_fd_sc_hd__nor2_1 U94380 ( .A(n76416), .B(n76418), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N271) );
+  sky130_fd_sc_hd__nor2_1 U94381 ( .A(n76417), .B(n76418), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N272) );
+  sky130_fd_sc_hd__nor2_1 U94382 ( .A(n81355), .B(n76418), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N266) );
+  sky130_fd_sc_hd__nand2_1 U94383 ( .A(n81038), .B(n76419), .Y(n76421) );
+  sky130_fd_sc_hd__nand2_1 U94384 ( .A(n76421), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_5_0_), .Y(n76420) );
+  sky130_fd_sc_hd__o211ai_1 U94385 ( .A1(n76425), .A2(n76421), .B1(n49230), 
+        .C1(n76420), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N165) );
+  sky130_fd_sc_hd__nand2_1 U94386 ( .A(n76422), .B(n80736), .Y(n76424) );
+  sky130_fd_sc_hd__nand2_1 U94387 ( .A(n76424), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_5_0_), .Y(n76423) );
+  sky130_fd_sc_hd__o211ai_1 U94388 ( .A1(n76425), .A2(n76424), .B1(n37037), 
+        .C1(n76423), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N171) );
+  sky130_fd_sc_hd__nor2_1 U94389 ( .A(n76427), .B(n76426), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N291) );
+  sky130_fd_sc_hd__nor2_1 U94390 ( .A(n76433), .B(n76480), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N135) );
+  sky130_fd_sc_hd__nor2_1 U94391 ( .A(n76433), .B(n76428), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N186) );
+  sky130_fd_sc_hd__nor2_1 U94392 ( .A(n76481), .B(n76428), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N187) );
+  sky130_fd_sc_hd__nor2_1 U94393 ( .A(n76433), .B(n76429), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N208) );
+  sky130_fd_sc_hd__nor2_1 U94394 ( .A(n76481), .B(n76429), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N209) );
+  sky130_fd_sc_hd__nor2_1 U94395 ( .A(n76430), .B(n76445), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N347) );
+  sky130_fd_sc_hd__nor2_1 U94396 ( .A(n76433), .B(n76431), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N192) );
+  sky130_fd_sc_hd__nor2_1 U94397 ( .A(n76481), .B(n76431), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N193) );
+  sky130_fd_sc_hd__nor2_1 U94398 ( .A(n76434), .B(n76431), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N194) );
+  sky130_fd_sc_hd__nor2_1 U94399 ( .A(n76432), .B(n76444), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N278) );
+  sky130_fd_sc_hd__nor2_1 U94400 ( .A(n76432), .B(n76445), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N279) );
+  sky130_fd_sc_hd__nor2_1 U94401 ( .A(n76433), .B(n76435), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N214) );
+  sky130_fd_sc_hd__nor2_1 U94402 ( .A(n76481), .B(n76435), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N215) );
+  sky130_fd_sc_hd__nor2_1 U94403 ( .A(n76434), .B(n76435), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N216) );
+  sky130_fd_sc_hd__nor2_1 U94404 ( .A(n76436), .B(n76435), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N213) );
+  sky130_fd_sc_hd__nor2_1 U94405 ( .A(n76437), .B(n76444), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N182) );
+  sky130_fd_sc_hd__nor2_1 U94406 ( .A(n76438), .B(n76446), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N206) );
+  sky130_fd_sc_hd__nor2_1 U94407 ( .A(n76483), .B(n76439), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N126) );
+  sky130_fd_sc_hd__nor2_1 U94408 ( .A(n76440), .B(n76441), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N270) );
+  sky130_fd_sc_hd__nor2_1 U94409 ( .A(n76442), .B(n76441), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N326) );
+  sky130_fd_sc_hd__nor2_1 U94410 ( .A(n76443), .B(n76444), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N212) );
+  sky130_fd_sc_hd__nor2_1 U94411 ( .A(n76443), .B(n76445), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N213) );
+  sky130_fd_sc_hd__nor2_1 U94412 ( .A(n76443), .B(n76446), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N214) );
+  sky130_fd_sc_hd__nor2_1 U94413 ( .A(n76443), .B(n76447), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N215) );
+  sky130_fd_sc_hd__nor2_1 U94414 ( .A(n76443), .B(n79911), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N220) );
+  sky130_fd_sc_hd__nor2_1 U94415 ( .A(n76443), .B(n76448), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N222) );
+  sky130_fd_sc_hd__nor2_1 U94416 ( .A(n76443), .B(n76449), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N223) );
+  sky130_fd_sc_hd__nor2_1 U94417 ( .A(n76450), .B(n76444), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N354) );
+  sky130_fd_sc_hd__nor2_1 U94418 ( .A(n76450), .B(n76445), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N355) );
+  sky130_fd_sc_hd__nor2_1 U94419 ( .A(n76450), .B(n76446), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N356) );
+  sky130_fd_sc_hd__nor2_1 U94420 ( .A(n76450), .B(n76447), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N357) );
+  sky130_fd_sc_hd__nor2_1 U94421 ( .A(n76450), .B(n79911), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N362) );
+  sky130_fd_sc_hd__nor2_1 U94422 ( .A(n76450), .B(n76448), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N364) );
+  sky130_fd_sc_hd__nor2_1 U94423 ( .A(n76450), .B(n76449), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N365) );
+  sky130_fd_sc_hd__nor2_1 U94424 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_acknum[0]), .B(n83761), .Y(n83769) );
+  sky130_fd_sc_hd__xnor2_1 U94425 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_acknum[1]), .B(n83769), .Y(n76452) );
+  sky130_fd_sc_hd__nand2_1 U94426 ( .A(n85768), .B(n83762), .Y(n76451) );
+  sky130_fd_sc_hd__o31ai_1 U94427 ( .A1(n83762), .A2(n76452), .A3(n83773), 
+        .B1(n76451), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_N22) );
+  sky130_fd_sc_hd__nand2_1 U94428 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_acknum[3]), .B(n76453), .Y(n76457) );
+  sky130_fd_sc_hd__nor2_1 U94429 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_acknum[2]), .B(n76454), .Y(n76456) );
+  sky130_fd_sc_hd__o22ai_1 U94430 ( .A1(n76457), .A2(n76456), .B1(n83775), 
+        .B2(n76455), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_N24) );
+  sky130_fd_sc_hd__nand2_1 U94431 ( .A(n78463), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_count[1]), .Y(n78464) );
+  sky130_fd_sc_hd__a31oi_1 U94432 ( .A1(n78470), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_count[2]), .A3(n83116), .B1(n76458), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1729) );
+  sky130_fd_sc_hd__nor2_1 U94433 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[4]), .B(n76823), .Y(n76460) );
+  sky130_fd_sc_hd__nand2_1 U94434 ( .A(n76459), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[0]), .Y(n76787) );
+  sky130_fd_sc_hd__a211oi_1 U94435 ( .A1(n76813), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[4]), .B1(n76460), .C1(n76787), .Y(n76471) );
+  sky130_fd_sc_hd__nand2_1 U94436 ( .A(n76763), .B(n76761), .Y(n76463) );
+  sky130_fd_sc_hd__xnor2_1 U94437 ( .A(n76463), .B(n76776), .Y(n76468) );
+  sky130_fd_sc_hd__nor2_1 U94438 ( .A(n76465), .B(n76464), .Y(n76797) );
+  sky130_fd_sc_hd__o21ai_0 U94439 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[4]), .A2(n76823), .B1(n76822), .Y(n76466) );
+  sky130_fd_sc_hd__and3_1 U94440 ( .A(n76466), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[0]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[4]), .X(n76467) );
+  sky130_fd_sc_hd__a21oi_1 U94441 ( .A1(n76468), .A2(n76797), .B1(n76467), .Y(
+        n76469) );
+  sky130_fd_sc_hd__o21ai_0 U94443 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[0]), .A2(n76823), .B1(n76822), .Y(n76472) );
+  sky130_fd_sc_hd__nand3_1 U94444 ( .A(n76472), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[0]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[0]), .Y(n76478) );
+  sky130_fd_sc_hd__mux2i_1 U94445 ( .A0(n76823), .A1(n76744), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[0]), .Y(n76473) );
+  sky130_fd_sc_hd__o21ai_0 U94446 ( .A1(n76473), .A2(n76787), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[0]), .Y(n76477) );
+  sky130_fd_sc_hd__nand2_1 U94447 ( .A(n76797), .B(n76475), .Y(n76476) );
+  sky130_fd_sc_hd__nand3_1 U94448 ( .A(n76478), .B(n76477), .C(n76476), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[0]) );
+  sky130_fd_sc_hd__nor2_1 U94449 ( .A(n76481), .B(n76480), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N136) );
+  sky130_fd_sc_hd__nor2_1 U94450 ( .A(n76483), .B(n76482), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N128) );
+  sky130_fd_sc_hd__o21ai_1 U94451 ( .A1(n80978), .A2(n79356), .B1(n77836), .Y(
+        n76484) );
+  sky130_fd_sc_hd__or3_1 U94452 ( .A(n76486), .B(n76485), .C(n76484), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_N74) );
+  sky130_fd_sc_hd__nand3_1 U94453 ( .A(n79910), .B(n79354), .C(n80978), .Y(
+        n76488) );
+  sky130_fd_sc_hd__o31ai_1 U94454 ( .A1(n80978), .A2(n80975), .A3(n77837), 
+        .B1(n76488), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_N76) );
+  sky130_fd_sc_hd__a21oi_1 U94455 ( .A1(n78524), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_mideleg_9_), .B1(n78525), .Y(n76490) );
+  sky130_fd_sc_hd__o22ai_1 U94456 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_mideleg_9_), .A2(n76491), .B1(n76490), .B2(n76489), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N724) );
+  sky130_fd_sc_hd__a22oi_1 U94457 ( .A1(n58818), .A2(n76492), .B1(n76494), 
+        .B2(n76493), .Y(n76495) );
+  sky130_fd_sc_hd__mux2i_1 U94458 ( .A0(n76499), .A1(n76498), .S(n76497), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_1_io_max[1]) );
+  sky130_fd_sc_hd__clkinv_1 U94460 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_bundleOut_1_0_REG[2]), 
+        .Y(n76509) );
+  sky130_fd_sc_hd__clkinv_1 U94461 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_prepend_38[2]), 
+        .Y(n76507) );
+  sky130_fd_sc_hd__clkinv_1 U94462 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_bundleOut_1_0_REG[1]), 
+        .Y(n76505) );
+  sky130_fd_sc_hd__clkinv_1 U94463 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_prepend_38[1]), 
+        .Y(n76503) );
+  sky130_fd_sc_hd__clkinv_1 U94464 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_prepend_38[0]), 
+        .Y(n76502) );
+  sky130_fd_sc_hd__o211ai_1 U94465 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_bundleOut_1_0_REG[1]), 
+        .A2(n76503), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_bundleOut_1_0_REG[0]), 
+        .C1(n76502), .Y(n76504) );
+  sky130_fd_sc_hd__o21ai_1 U94468 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_prepend_38[2]), 
+        .A2(n76509), .B1(n76508), .Y(n36594) );
+  sky130_fd_sc_hd__o21ai_1 U94469 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_cmd[2]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_cmd[1]), .B1(n76510), .Y(n76515) );
+  sky130_fd_sc_hd__o21ai_1 U94470 ( .A1(n76513), .A2(n76512), .B1(n76511), .Y(
+        n76514) );
+  sky130_fd_sc_hd__a21oi_1 U94471 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_cmd[0]), .A2(n76515), .B1(n76514), .Y(n76516) );
+  sky130_fd_sc_hd__nor2_1 U94472 ( .A(n76517), .B(n76516), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N453) );
+  sky130_fd_sc_hd__nor2_1 U94473 ( .A(n76519), .B(n36976), .Y(n76522) );
+  sky130_fd_sc_hd__mux2i_1 U94474 ( .A0(n76523), .A1(n76526), .S(n76525), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_max[1]) );
+  sky130_fd_sc_hd__o21ai_1 U94475 ( .A1(n76530), .A2(n76528), .B1(n76529), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_max[2]) );
+  sky130_fd_sc_hd__clkinv_1 U94476 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_bundleOut_0_0_REG[2]), 
+        .Y(n76538) );
+  sky130_fd_sc_hd__clkinv_1 U94477 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_prepend_39[2]), 
+        .Y(n76536) );
+  sky130_fd_sc_hd__clkinv_1 U94478 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_bundleOut_0_0_REG[1]), 
+        .Y(n76534) );
+  sky130_fd_sc_hd__clkinv_1 U94479 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_prepend_39[1]), 
+        .Y(n76532) );
+  sky130_fd_sc_hd__clkinv_1 U94480 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_prepend_39[0]), 
+        .Y(n76531) );
+  sky130_fd_sc_hd__o211ai_1 U94481 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_bundleOut_0_0_REG[1]), 
+        .A2(n76532), .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_bundleOut_0_0_REG[0]), 
+        .C1(n76531), .Y(n76533) );
+  sky130_fd_sc_hd__o21ai_1 U94483 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_bundleOut_0_0_REG[2]), 
+        .A2(n76536), .B1(n76535), .Y(n76537) );
+  sky130_fd_sc_hd__o21ai_1 U94484 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_prepend_39[2]), 
+        .A2(n76538), .B1(n76537), .Y(n36595) );
+  sky130_fd_sc_hd__nand2_1 U94485 ( .A(n85770), .B(n36843), .Y(n76542) );
+  sky130_fd_sc_hd__a22oi_1 U94486 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[4]), .B1(n86595), .B2(n76539), .Y(n76541) );
+  sky130_fd_sc_hd__nand2_1 U94487 ( .A(n85771), .B(n86596), .Y(n76540) );
+  sky130_fd_sc_hd__a22oi_1 U94488 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s3_slaveValid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[9]), .B1(n86595), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[9]), .Y(n76544) );
+  sky130_fd_sc_hd__nand2_1 U94489 ( .A(n85773), .B(n36350), .Y(n76549) );
+  sky130_fd_sc_hd__nand2_1 U94490 ( .A(n85774), .B(n77603), .Y(n76559) );
+  sky130_fd_sc_hd__nor3_1 U94491 ( .A(n76618), .B(n83002), .C(n76550), .Y(
+        n76551) );
+  sky130_fd_sc_hd__a21oi_1 U94492 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[2]), .A2(n60177), .B1(n76551), .Y(n76558) );
+  sky130_fd_sc_hd__nor2_1 U94493 ( .A(n76552), .B(n83014), .Y(n76554) );
+  sky130_fd_sc_hd__o211ai_1 U94494 ( .A1(n76555), .A2(n76554), .B1(n76553), 
+        .C1(n85778), .Y(n76557) );
+  sky130_fd_sc_hd__nand2_1 U94495 ( .A(n78456), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr[2]), .Y(n76556) );
+  sky130_fd_sc_hd__nand2_1 U94496 ( .A(n85775), .B(n77603), .Y(n76566) );
+  sky130_fd_sc_hd__nor2_1 U94497 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[0]), .Y(n76567) );
+  sky130_fd_sc_hd__a21oi_1 U94498 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[1]), .B1(n76567), .Y(n83003) );
+  sky130_fd_sc_hd__and3_1 U94499 ( .A(n76590), .B(n83003), .C(n82602), .X(
+        n76560) );
+  sky130_fd_sc_hd__a21oi_1 U94500 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[3]), .A2(n60177), .B1(n76560), .Y(n76565) );
+  sky130_fd_sc_hd__o211ai_1 U94501 ( .A1(n76562), .A2(n76561), .B1(n76572), 
+        .C1(n85778), .Y(n76564) );
+  sky130_fd_sc_hd__nand2_1 U94502 ( .A(n78456), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr[3]), .Y(n76563) );
+  sky130_fd_sc_hd__nand2_1 U94503 ( .A(n85776), .B(n77603), .Y(n76577) );
+  sky130_fd_sc_hd__nor3_1 U94504 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[2]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[0]), .Y(n76579) );
+  sky130_fd_sc_hd__a21oi_1 U94505 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[2]), .A2(n76568), .B1(n76579), .Y(n83005) );
+  sky130_fd_sc_hd__nor3_1 U94506 ( .A(n83006), .B(n76569), .C(n76618), .Y(
+        n76570) );
+  sky130_fd_sc_hd__a21oi_1 U94507 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[4]), .A2(n60177), .B1(n76570), .Y(n76576) );
+  sky130_fd_sc_hd__a21oi_1 U94508 ( .A1(n76572), .A2(n76571), .B1(n76578), .Y(
+        n76573) );
+  sky130_fd_sc_hd__nand2_1 U94509 ( .A(n85778), .B(n76573), .Y(n76575) );
+  sky130_fd_sc_hd__nand2_1 U94510 ( .A(n78456), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr[4]), .Y(n76574) );
+  sky130_fd_sc_hd__a31oi_1 U94511 ( .A1(n83023), .A2(n82985), .A3(n85882), 
+        .B1(n76578), .Y(n76585) );
+  sky130_fd_sc_hd__nand2_1 U94512 ( .A(n82606), .B(n82602), .Y(n83007) );
+  sky130_fd_sc_hd__nor2_1 U94513 ( .A(n83007), .B(n76618), .Y(n76589) );
+  sky130_fd_sc_hd__a22o_1 U94514 ( .A1(n60177), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[5]), .B1(n78456), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr[5]), .X(n76581) );
+  sky130_fd_sc_hd__a21oi_1 U94515 ( .A1(n76589), .A2(n83008), .B1(n76581), .Y(
+        n76583) );
+  sky130_fd_sc_hd__nand2_1 U94516 ( .A(n85777), .B(n77603), .Y(n76582) );
+  sky130_fd_sc_hd__nand2_1 U94517 ( .A(n76586), .B(n77603), .Y(n76595) );
+  sky130_fd_sc_hd__a21oi_1 U94518 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[4]), .A2(n76587), .B1(n77412), .Y(n83012) );
+  sky130_fd_sc_hd__nand3_1 U94519 ( .A(n83012), .B(n76589), .C(n76588), .Y(
+        n76594) );
+  sky130_fd_sc_hd__a22o_1 U94520 ( .A1(n60177), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_addr[6]), .B1(n76590), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_vaddr[6]), .X(n76591) );
+  sky130_fd_sc_hd__a21oi_1 U94521 ( .A1(n78456), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr[6]), .B1(n76591), .Y(n76593) );
+  sky130_fd_sc_hd__nand2_1 U94522 ( .A(n85778), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[6]), .Y(n76592) );
+  sky130_fd_sc_hd__nand2_1 U94523 ( .A(n76596), .B(n77603), .Y(n76601) );
+  sky130_fd_sc_hd__o22ai_1 U94524 ( .A1(n83026), .A2(n76618), .B1(n78459), 
+        .B2(n76597), .Y(n76598) );
+  sky130_fd_sc_hd__a21oi_1 U94525 ( .A1(n78456), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr[7]), .B1(n76598), .Y(n76600) );
+  sky130_fd_sc_hd__nand2_1 U94526 ( .A(n85778), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[7]), .Y(n76599) );
+  sky130_fd_sc_hd__nand2_1 U94527 ( .A(n76602), .B(n77603), .Y(n76608) );
+  sky130_fd_sc_hd__o22ai_1 U94528 ( .A1(n76604), .A2(n76618), .B1(n78459), 
+        .B2(n76603), .Y(n76605) );
+  sky130_fd_sc_hd__a21oi_1 U94529 ( .A1(n78456), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr[8]), .B1(n76605), .Y(n76607) );
+  sky130_fd_sc_hd__nand2_1 U94530 ( .A(n85778), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[8]), .Y(n76606) );
+  sky130_fd_sc_hd__nand2_1 U94531 ( .A(n76609), .B(n77603), .Y(n76615) );
+  sky130_fd_sc_hd__o22ai_1 U94532 ( .A1(n76611), .A2(n76618), .B1(n78459), 
+        .B2(n76610), .Y(n76612) );
+  sky130_fd_sc_hd__a21oi_1 U94533 ( .A1(n78456), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr[9]), .B1(n76612), .Y(n76614) );
+  sky130_fd_sc_hd__nand2_1 U94534 ( .A(n85778), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[9]), .Y(n76613) );
+  sky130_fd_sc_hd__nand2_1 U94535 ( .A(n76616), .B(n77603), .Y(n76623) );
+  sky130_fd_sc_hd__o22ai_1 U94536 ( .A1(n76619), .A2(n76618), .B1(n78459), 
+        .B2(n76617), .Y(n76620) );
+  sky130_fd_sc_hd__a21oi_1 U94537 ( .A1(n78456), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_addr[10]), .B1(n76620), .Y(n76622) );
+  sky130_fd_sc_hd__nand2_1 U94538 ( .A(n85778), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_address[10]), .Y(n76621) );
+  sky130_fd_sc_hd__nor2_1 U94539 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[10]), .B(n76823), .Y(n76624) );
+  sky130_fd_sc_hd__a211oi_1 U94540 ( .A1(n76813), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[10]), .B1(n76624), .C1(n76811), .Y(n76636) );
+  sky130_fd_sc_hd__nand2_1 U94541 ( .A(n76639), .B(n76637), .Y(n76630) );
+  sky130_fd_sc_hd__xnor2_1 U94543 ( .A(n76630), .B(n76640), .Y(n76633) );
+  sky130_fd_sc_hd__o21ai_0 U94544 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[10]), .A2(n76823), .B1(n76822), .Y(n76631) );
+  sky130_fd_sc_hd__and3_1 U94545 ( .A(n76631), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[10]), .X(n76632) );
+  sky130_fd_sc_hd__a21oi_1 U94546 ( .A1(n76633), .A2(n76826), .B1(n76632), .Y(
+        n76634) );
+  sky130_fd_sc_hd__o21ai_1 U94547 ( .A1(n76636), .A2(n76635), .B1(n76634), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[10]) );
+  sky130_fd_sc_hd__a21oi_1 U94548 ( .A1(n76640), .A2(n76639), .B1(n76638), .Y(
+        n76645) );
+  sky130_fd_sc_hd__nand2_1 U94549 ( .A(n76643), .B(n76642), .Y(n76644) );
+  sky130_fd_sc_hd__xor2_1 U94550 ( .A(n76645), .B(n76644), .X(n76646) );
+  sky130_fd_sc_hd__a22oi_1 U94551 ( .A1(n76692), .A2(n76647), .B1(n76646), 
+        .B2(n76826), .Y(n76651) );
+  sky130_fd_sc_hd__a21oi_1 U94552 ( .A1(n76692), .A2(n76652), .B1(n76659), .Y(
+        n76648) );
+  sky130_fd_sc_hd__o22ai_1 U94553 ( .A1(n76652), .A2(n76744), .B1(n76661), 
+        .B2(n76648), .Y(n76649) );
+  sky130_fd_sc_hd__nand2_1 U94554 ( .A(n76649), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[11]), .Y(n76650) );
+  sky130_fd_sc_hd__o211ai_1 U94555 ( .A1(n76666), .A2(n76652), .B1(n76651), 
+        .C1(n76650), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[11]) );
+  sky130_fd_sc_hd__nand2_1 U94556 ( .A(n76655), .B(n76654), .Y(n76656) );
+  sky130_fd_sc_hd__xor2_1 U94557 ( .A(n76672), .B(n76656), .X(n76657) );
+  sky130_fd_sc_hd__a22oi_1 U94558 ( .A1(n76692), .A2(n76658), .B1(n76657), 
+        .B2(n76826), .Y(n76664) );
+  sky130_fd_sc_hd__a21oi_1 U94559 ( .A1(n76692), .A2(n76665), .B1(n76659), .Y(
+        n76660) );
+  sky130_fd_sc_hd__o22ai_1 U94560 ( .A1(n76665), .A2(n76744), .B1(n76661), 
+        .B2(n76660), .Y(n76662) );
+  sky130_fd_sc_hd__nand2_1 U94561 ( .A(n76662), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[12]), .Y(n76663) );
+  sky130_fd_sc_hd__o211ai_1 U94562 ( .A1(n76666), .A2(n76665), .B1(n76664), 
+        .C1(n76663), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[12]) );
+  sky130_fd_sc_hd__nor2_1 U94563 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[14]), .B(n76823), .Y(n76667) );
+  sky130_fd_sc_hd__a211oi_1 U94564 ( .A1(n76813), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[14]), .B1(n76667), .C1(n76811), .Y(n76680) );
+  sky130_fd_sc_hd__nand2_1 U94565 ( .A(n76683), .B(n76681), .Y(n76674) );
+  sky130_fd_sc_hd__o21ai_1 U94566 ( .A1(n76673), .A2(n76672), .B1(n76671), .Y(
+        n76684) );
+  sky130_fd_sc_hd__xnor2_1 U94567 ( .A(n76674), .B(n76684), .Y(n76677) );
+  sky130_fd_sc_hd__o21ai_0 U94568 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[14]), .A2(n76823), .B1(n76822), .Y(n76675) );
+  sky130_fd_sc_hd__and3_1 U94569 ( .A(n76675), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[14]), .X(n76676) );
+  sky130_fd_sc_hd__a21oi_1 U94570 ( .A1(n76677), .A2(n76826), .B1(n76676), .Y(
+        n76678) );
+  sky130_fd_sc_hd__o21ai_1 U94571 ( .A1(n76680), .A2(n76679), .B1(n76678), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[14]) );
+  sky130_fd_sc_hd__a21oi_1 U94572 ( .A1(n76813), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[15]), .B1(n76811), .Y(n76697) );
+  sky130_fd_sc_hd__o21ai_0 U94573 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[15]), .A2(n76823), .B1(n76822), .Y(n76694) );
+  sky130_fd_sc_hd__a21oi_1 U94574 ( .A1(n76684), .A2(n76683), .B1(n76682), .Y(
+        n76689) );
+  sky130_fd_sc_hd__nand2_1 U94575 ( .A(n76687), .B(n76686), .Y(n76688) );
+  sky130_fd_sc_hd__xor2_1 U94576 ( .A(n76689), .B(n76688), .X(n76690) );
+  sky130_fd_sc_hd__a22o_1 U94577 ( .A1(n76692), .A2(n76691), .B1(n76690), .B2(
+        n76826), .X(n76693) );
+  sky130_fd_sc_hd__a31oi_1 U94578 ( .A1(n76694), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[1]), .A3(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[15]), .B1(n76693), .Y(n76695) );
+  sky130_fd_sc_hd__nor2_1 U94580 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[16]), .B(n76823), .Y(n76698) );
+  sky130_fd_sc_hd__a211oi_1 U94581 ( .A1(n76813), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[16]), .B1(n76698), .C1(n76710), .Y(n76709) );
+  sky130_fd_sc_hd__nand2_1 U94582 ( .A(n76701), .B(n76700), .Y(n76702) );
+  sky130_fd_sc_hd__xor2_1 U94583 ( .A(n76703), .B(n76702), .X(n76706) );
+  sky130_fd_sc_hd__o21ai_0 U94584 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[16]), .A2(n76823), .B1(n76822), .Y(n76704) );
+  sky130_fd_sc_hd__and3_1 U94585 ( .A(n76704), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[2]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[16]), .X(n76705) );
+  sky130_fd_sc_hd__a21oi_1 U94586 ( .A1(n76706), .A2(n76718), .B1(n76705), .Y(
+        n76707) );
+  sky130_fd_sc_hd__o21ai_1 U94587 ( .A1(n76709), .A2(n76708), .B1(n76707), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[16]) );
+  sky130_fd_sc_hd__nor2_1 U94588 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[17]), .B(n76823), .Y(n76711) );
+  sky130_fd_sc_hd__a211oi_1 U94589 ( .A1(n76813), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[17]), .B1(n76711), .C1(n76710), .Y(n76722) );
+  sky130_fd_sc_hd__nand2_1 U94590 ( .A(n76713), .B(n76712), .Y(n76715) );
+  sky130_fd_sc_hd__xnor2_1 U94591 ( .A(n76715), .B(n76714), .Y(n76719) );
+  sky130_fd_sc_hd__o21ai_0 U94592 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[17]), .A2(n76823), .B1(n76822), .Y(n76716) );
+  sky130_fd_sc_hd__and3_1 U94593 ( .A(n76716), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[2]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[17]), .X(n76717) );
+  sky130_fd_sc_hd__a21oi_1 U94594 ( .A1(n76719), .A2(n76718), .B1(n76717), .Y(
+        n76720) );
+  sky130_fd_sc_hd__o21ai_0 U94596 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[1]), .A2(n76823), .B1(n76822), .Y(n76723) );
+  sky130_fd_sc_hd__nand3_1 U94597 ( .A(n76723), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[0]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[1]), .Y(n76733) );
+  sky130_fd_sc_hd__mux2i_1 U94598 ( .A0(n76823), .A1(n76744), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[1]), .Y(n76724) );
+  sky130_fd_sc_hd__o21ai_0 U94599 ( .A1(n76724), .A2(n76787), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[1]), .Y(n76732) );
+  sky130_fd_sc_hd__nand2_1 U94600 ( .A(n76727), .B(n76726), .Y(n76729) );
+  sky130_fd_sc_hd__xor2_1 U94601 ( .A(n76729), .B(n76728), .X(n76730) );
+  sky130_fd_sc_hd__nand2_1 U94602 ( .A(n76797), .B(n76730), .Y(n76731) );
+  sky130_fd_sc_hd__nand3_1 U94603 ( .A(n76733), .B(n76732), .C(n76731), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[1]) );
+  sky130_fd_sc_hd__o21ai_0 U94604 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[2]), .A2(n76823), .B1(n76822), .Y(n76734) );
+  sky130_fd_sc_hd__nand3_1 U94605 ( .A(n76734), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[0]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[2]), .Y(n76742) );
+  sky130_fd_sc_hd__mux2i_1 U94606 ( .A0(n76823), .A1(n76744), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[2]), .Y(n76735) );
+  sky130_fd_sc_hd__o21ai_0 U94607 ( .A1(n76735), .A2(n76787), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[2]), .Y(n76741) );
+  sky130_fd_sc_hd__nand2_1 U94608 ( .A(n76737), .B(n76749), .Y(n76738) );
+  sky130_fd_sc_hd__xor2_1 U94609 ( .A(n76750), .B(n76738), .X(n76739) );
+  sky130_fd_sc_hd__nand2_1 U94610 ( .A(n76739), .B(n76797), .Y(n76740) );
+  sky130_fd_sc_hd__nand3_1 U94611 ( .A(n76742), .B(n76741), .C(n76740), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[2]) );
+  sky130_fd_sc_hd__o21ai_0 U94612 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[3]), .A2(n76823), .B1(n76822), .Y(n76743) );
+  sky130_fd_sc_hd__nand3_1 U94613 ( .A(n76743), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[0]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[3]), .Y(n76757) );
+  sky130_fd_sc_hd__mux2i_1 U94614 ( .A0(n76823), .A1(n76744), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[3]), .Y(n76745) );
+  sky130_fd_sc_hd__o21ai_0 U94615 ( .A1(n76745), .A2(n76787), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[3]), .Y(n76756) );
+  sky130_fd_sc_hd__nand2_1 U94616 ( .A(n76748), .B(n76747), .Y(n76753) );
+  sky130_fd_sc_hd__xnor2_1 U94618 ( .A(n76753), .B(n76752), .Y(n76754) );
+  sky130_fd_sc_hd__nand2_1 U94619 ( .A(n76754), .B(n76797), .Y(n76755) );
+  sky130_fd_sc_hd__nand3_1 U94620 ( .A(n76757), .B(n76756), .C(n76755), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[3]) );
+  sky130_fd_sc_hd__o21ai_0 U94621 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[5]), .A2(n76823), .B1(n76822), .Y(n76758) );
+  sky130_fd_sc_hd__nand3_1 U94622 ( .A(n76758), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[0]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[5]), .Y(n76772) );
+  sky130_fd_sc_hd__nand2_1 U94623 ( .A(n76813), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[5]), .Y(n76759) );
+  sky130_fd_sc_hd__o21ai_0 U94625 ( .A1(n76760), .A2(n76787), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[5]), .Y(n76771) );
+  sky130_fd_sc_hd__a21oi_1 U94626 ( .A1(n76776), .A2(n76763), .B1(n76762), .Y(
+        n76768) );
+  sky130_fd_sc_hd__nand2_1 U94627 ( .A(n76766), .B(n76765), .Y(n76767) );
+  sky130_fd_sc_hd__xor2_1 U94628 ( .A(n76768), .B(n76767), .X(n76769) );
+  sky130_fd_sc_hd__nand2_1 U94629 ( .A(n76769), .B(n76797), .Y(n76770) );
+  sky130_fd_sc_hd__nand3_1 U94630 ( .A(n76772), .B(n76771), .C(n76770), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[5]) );
+  sky130_fd_sc_hd__nor2_1 U94631 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[6]), .B(n76823), .Y(n76773) );
+  sky130_fd_sc_hd__a211oi_1 U94632 ( .A1(n76813), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[6]), .B1(n76773), .C1(n76787), .Y(n76784) );
+  sky130_fd_sc_hd__a21oi_1 U94633 ( .A1(n76776), .A2(n76775), .B1(n76774), .Y(
+        n76793) );
+  sky130_fd_sc_hd__nand2_1 U94634 ( .A(n76777), .B(n76792), .Y(n76778) );
+  sky130_fd_sc_hd__xor2_1 U94635 ( .A(n76793), .B(n76778), .X(n76781) );
+  sky130_fd_sc_hd__o21ai_0 U94636 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[6]), .A2(n76823), .B1(n76822), .Y(n76779) );
+  sky130_fd_sc_hd__and3_1 U94637 ( .A(n76779), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[0]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[6]), .X(n76780) );
+  sky130_fd_sc_hd__a21oi_1 U94638 ( .A1(n76781), .A2(n76797), .B1(n76780), .Y(
+        n76782) );
+  sky130_fd_sc_hd__o21ai_1 U94639 ( .A1(n76784), .A2(n76783), .B1(n76782), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[6]) );
+  sky130_fd_sc_hd__o21ai_0 U94640 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[7]), .A2(n76823), .B1(n76822), .Y(n76785) );
+  sky130_fd_sc_hd__nand3_1 U94641 ( .A(n76785), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[0]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[7]), .Y(n76801) );
+  sky130_fd_sc_hd__nand2_1 U94642 ( .A(n76813), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[7]), .Y(n76786) );
+  sky130_fd_sc_hd__o21ai_0 U94644 ( .A1(n76788), .A2(n76787), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[7]), .Y(n76800) );
+  sky130_fd_sc_hd__nand2_1 U94645 ( .A(n76791), .B(n76790), .Y(n76796) );
+  sky130_fd_sc_hd__xnor2_1 U94647 ( .A(n76796), .B(n76795), .Y(n76798) );
+  sky130_fd_sc_hd__nand2_1 U94648 ( .A(n76798), .B(n76797), .Y(n76799) );
+  sky130_fd_sc_hd__nand3_1 U94649 ( .A(n76801), .B(n76800), .C(n76799), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[7]) );
+  sky130_fd_sc_hd__nor2_1 U94650 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[8]), .B(n76823), .Y(n76802) );
+  sky130_fd_sc_hd__a211oi_1 U94651 ( .A1(n76813), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[8]), .B1(n76802), .C1(n76811), .Y(n76810) );
+  sky130_fd_sc_hd__nand2_1 U94652 ( .A(n76803), .B(n76817), .Y(n76804) );
+  sky130_fd_sc_hd__xor2_1 U94653 ( .A(n76818), .B(n76804), .X(n76807) );
+  sky130_fd_sc_hd__o21ai_0 U94654 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[8]), .A2(n76823), .B1(n76822), .Y(n76805) );
+  sky130_fd_sc_hd__and3_1 U94655 ( .A(n76805), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[8]), .X(n76806) );
+  sky130_fd_sc_hd__a21oi_1 U94656 ( .A1(n76807), .A2(n76826), .B1(n76806), .Y(
+        n76808) );
+  sky130_fd_sc_hd__o21ai_1 U94657 ( .A1(n76810), .A2(n76809), .B1(n76808), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[8]) );
+  sky130_fd_sc_hd__nor2_1 U94658 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[9]), .B(n76823), .Y(n76812) );
+  sky130_fd_sc_hd__a211oi_1 U94659 ( .A1(n76813), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[9]), .B1(n76812), .C1(n76811), .Y(n76830) );
+  sky130_fd_sc_hd__nand2_1 U94660 ( .A(n76816), .B(n76815), .Y(n76821) );
+  sky130_fd_sc_hd__xnor2_1 U94662 ( .A(n76821), .B(n76820), .Y(n76827) );
+  sky130_fd_sc_hd__o21ai_0 U94663 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[9]), .A2(n76823), .B1(n76822), .Y(n76824) );
+  sky130_fd_sc_hd__and3_1 U94664 ( .A(n76824), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[1]), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[9]), .X(n76825) );
+  sky130_fd_sc_hd__a21oi_1 U94665 ( .A1(n76827), .A2(n76826), .B1(n76825), .Y(
+        n76828) );
+  sky130_fd_sc_hd__o21ai_1 U94666 ( .A1(n76830), .A2(n76829), .B1(n76828), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[9]) );
+  sky130_fd_sc_hd__nand2_1 U94667 ( .A(n76832), .B(n76831), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_csb0[1]) );
+  sky130_fd_sc_hd__a22oi_1 U94668 ( .A1(n76863), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[3]), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[4]), .B2(n76865), .Y(
+        n76869) );
+  sky130_fd_sc_hd__nor2_1 U94669 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__7[7]), .B(n76861), .Y(n76873) );
+  sky130_fd_sc_hd__o22ai_1 U94670 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__7[5]), .A2(n76833), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__7[6]), .B2(n76862), .Y(
+        n76834) );
+  sky130_fd_sc_hd__clkinv_1 U94671 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__7[1]), .Y(n76844) );
+  sky130_fd_sc_hd__clkinv_1 U94672 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__7[2]), .Y(n76864) );
+  sky130_fd_sc_hd__a22o_1 U94673 ( .A1(n76844), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[1]), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[2]), .B2(n76864), .X(
+        n76835) );
+  sky130_fd_sc_hd__nor3b_1 U94674 ( .C_N(n76869), .A(n76870), .B(n76835), .Y(
+        n77046) );
+  sky130_fd_sc_hd__clkinv_1 U94675 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__6[4]), .Y(n76836) );
+  sky130_fd_sc_hd__o22a_1 U94676 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[4]), .A2(n76836), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[5]), .B2(n76838), .X(
+        n76860) );
+  sky130_fd_sc_hd__clkinv_1 U94677 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__7[0]), .Y(n76843) );
+  sky130_fd_sc_hd__a2bb2oi_1 U94678 ( .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[0]), .B2(n76843), .A1_N(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__6[7]), .A2_N(n76837), .Y(
+        n76847) );
+  sky130_fd_sc_hd__clkinv_1 U94679 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__6[6]), .Y(n76842) );
+  sky130_fd_sc_hd__nand2_1 U94680 ( .A(n76842), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[6]), .Y(n76840) );
+  sky130_fd_sc_hd__nand2_1 U94681 ( .A(n76838), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[5]), .Y(n76839) );
+  sky130_fd_sc_hd__nand3_1 U94682 ( .A(n76847), .B(n76840), .C(n76839), .Y(
+        n77037) );
+  sky130_fd_sc_hd__clkinv_1 U94683 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__6[7]), .Y(n76841) );
+  sky130_fd_sc_hd__o22ai_1 U94684 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[6]), .A2(n76842), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[7]), .B2(n76841), .Y(
+        n76846) );
+  sky130_fd_sc_hd__o22ai_1 U94685 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[1]), .A2(n76844), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[0]), .B2(n76843), .Y(
+        n76845) );
+  sky130_fd_sc_hd__a21oi_1 U94686 ( .A1(n76847), .A2(n76846), .B1(n76845), .Y(
+        n76859) );
+  sky130_fd_sc_hd__nor2_1 U94687 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__6[4]), .B(n76848), .Y(n77034) );
+  sky130_fd_sc_hd__clkinv_1 U94688 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__6[3]), .Y(n76849) );
+  sky130_fd_sc_hd__nand2_1 U94689 ( .A(n76849), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[3]), .Y(n76856) );
+  sky130_fd_sc_hd__clkinv_1 U94690 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__6[2]), .Y(n76850) );
+  sky130_fd_sc_hd__o22ai_1 U94691 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[3]), .A2(n76849), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[2]), .B2(n76850), .Y(
+        n76855) );
+  sky130_fd_sc_hd__clkinv_1 U94692 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__6[1]), .Y(n76852) );
+  sky130_fd_sc_hd__a22oi_1 U94693 ( .A1(n76852), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[1]), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[2]), .B2(n76850), .Y(
+        n76851) );
+  sky130_fd_sc_hd__nand2_1 U94694 ( .A(n76851), .B(n76856), .Y(n77038) );
+  sky130_fd_sc_hd__clkinv_1 U94695 ( .A(n77038), .Y(n76854) );
+  sky130_fd_sc_hd__clkinv_1 U94696 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__6[0]), .Y(n77035) );
+  sky130_fd_sc_hd__o22ai_1 U94697 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[1]), .A2(n76852), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[0]), .B2(n77035), .Y(
+        n76853) );
+  sky130_fd_sc_hd__a22oi_1 U94698 ( .A1(n76856), .A2(n76855), .B1(n76854), 
+        .B2(n76853), .Y(n76857) );
+  sky130_fd_sc_hd__or3_1 U94699 ( .A(n77034), .B(n76857), .C(n77037), .X(
+        n76858) );
+  sky130_fd_sc_hd__o211ai_1 U94700 ( .A1(n76860), .A2(n77037), .B1(n76859), 
+        .C1(n76858), .Y(n77045) );
+  sky130_fd_sc_hd__a22oi_1 U94701 ( .A1(n76862), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__7[6]), .B1(n76861), .B2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__7[7]), .Y(n76872) );
+  sky130_fd_sc_hd__o22ai_1 U94702 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[2]), .A2(n76864), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[3]), .B2(n76863), .Y(
+        n76868) );
+  sky130_fd_sc_hd__clkinv_1 U94703 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__7[5]), .Y(n76866) );
+  sky130_fd_sc_hd__o22ai_1 U94704 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[5]), .A2(n76866), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_7[4]), .B2(n76865), .Y(
+        n76867) );
+  sky130_fd_sc_hd__a21oi_1 U94705 ( .A1(n76869), .A2(n76868), .B1(n76867), .Y(
+        n76871) );
+  sky130_fd_sc_hd__o22ai_1 U94706 ( .A1(n76873), .A2(n76872), .B1(n76871), 
+        .B2(n76870), .Y(n77044) );
+  sky130_fd_sc_hd__nor2_1 U94707 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__5[7]), .B(n76881), .Y(n76883) );
+  sky130_fd_sc_hd__o22ai_1 U94708 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__5[6]), .A2(n76880), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__5[5]), .B2(n76876), .Y(
+        n76874) );
+  sky130_fd_sc_hd__nor2_1 U94709 ( .A(n76883), .B(n76874), .Y(n76912) );
+  sky130_fd_sc_hd__a22oi_1 U94710 ( .A1(n76875), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__5[3]), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__5[2]), .B2(n76913), .Y(
+        n76879) );
+  sky130_fd_sc_hd__o22ai_1 U94711 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__5[4]), .A2(n76877), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__5[3]), .B2(n76875), .Y(
+        n76914) );
+  sky130_fd_sc_hd__a22oi_1 U94712 ( .A1(n76877), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__5[4]), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__5[5]), .B2(n76876), .Y(
+        n76878) );
+  sky130_fd_sc_hd__o21ai_1 U94713 ( .A1(n76879), .A2(n76914), .B1(n76878), .Y(
+        n76884) );
+  sky130_fd_sc_hd__a22oi_1 U94714 ( .A1(n76881), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__5[7]), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__5[6]), .B2(n76880), .Y(
+        n76882) );
+  sky130_fd_sc_hd__a2bb2oi_1 U94715 ( .B1(n76912), .B2(n76884), .A1_N(n76883), 
+        .A2_N(n76882), .Y(n77042) );
+  sky130_fd_sc_hd__o22ai_1 U94716 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__4[2]), .A2(n76891), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__4[3]), .B2(n76885), .Y(
+        n76890) );
+  sky130_fd_sc_hd__clkinv_1 U94717 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__4[5]), .Y(n76895) );
+  sky130_fd_sc_hd__nand2_1 U94718 ( .A(n76895), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[5]), .Y(n76888) );
+  sky130_fd_sc_hd__clkinv_1 U94719 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__4[7]), .Y(n76900) );
+  sky130_fd_sc_hd__nand2_1 U94720 ( .A(n76900), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[7]), .Y(n76898) );
+  sky130_fd_sc_hd__nand2_1 U94721 ( .A(n76886), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[6]), .Y(n76887) );
+  sky130_fd_sc_hd__and3_1 U94722 ( .A(n76888), .B(n76898), .C(n76887), .X(
+        n76903) );
+  sky130_fd_sc_hd__o21ai_1 U94723 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__4[4]), .A2(n76889), .B1(
+        n76903), .Y(n76905) );
+  sky130_fd_sc_hd__nor2_1 U94724 ( .A(n76890), .B(n76905), .Y(n77032) );
+  sky130_fd_sc_hd__clkinv_1 U94725 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__4[0]), .Y(n76894) );
+  sky130_fd_sc_hd__nor2_1 U94726 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__4[1]), .B(n76892), .Y(n77029) );
+  sky130_fd_sc_hd__a22oi_1 U94727 ( .A1(n76892), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__4[1]), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__4[2]), .B2(n76891), .Y(
+        n76893) );
+  sky130_fd_sc_hd__o31ai_1 U94728 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[0]), .A2(n76894), .A3(
+        n77029), .B1(n76893), .Y(n76908) );
+  sky130_fd_sc_hd__clkinv_1 U94729 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__4[3]), .Y(n76906) );
+  sky130_fd_sc_hd__clkinv_1 U94730 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__4[4]), .Y(n76896) );
+  sky130_fd_sc_hd__o22ai_1 U94731 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[4]), .A2(n76896), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[5]), .B2(n76895), .Y(
+        n76902) );
+  sky130_fd_sc_hd__nand3_1 U94732 ( .A(n76898), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__4[6]), .C(n76897), .Y(n76899) );
+  sky130_fd_sc_hd__a21oi_1 U94734 ( .A1(n76903), .A2(n76902), .B1(n76901), .Y(
+        n76904) );
+  sky130_fd_sc_hd__o31ai_1 U94735 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[3]), .A2(n76906), .A3(
+        n76905), .B1(n76904), .Y(n76907) );
+  sky130_fd_sc_hd__a21oi_1 U94736 ( .A1(n77032), .A2(n76908), .B1(n76907), .Y(
+        n76911) );
+  sky130_fd_sc_hd__nor2_1 U94737 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__5[0]), .B(n76909), .Y(n77028) );
+  sky130_fd_sc_hd__clkinv_1 U94738 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__5[1]), .Y(n76916) );
+  sky130_fd_sc_hd__a2bb2oi_1 U94739 ( .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__5[0]), .B2(n76909), .A1_N(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[1]), .A2_N(n76916), .Y(
+        n76910) );
+  sky130_fd_sc_hd__o21ai_1 U94741 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__5[2]), .A2(n76913), .B1(
+        n76912), .Y(n76915) );
+  sky130_fd_sc_hd__a211oi_1 U94742 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_5[1]), .A2(n76916), .B1(
+        n76915), .C1(n76914), .Y(n77031) );
+  sky130_fd_sc_hd__nand2_1 U94743 ( .A(n76917), .B(n77031), .Y(n77041) );
+  sky130_fd_sc_hd__clkinv_1 U94744 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__3[5]), .Y(n76926) );
+  sky130_fd_sc_hd__o22ai_1 U94745 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__3[4]), .A2(n76919), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__3[5]), .B2(n76918), .Y(
+        n77018) );
+  sky130_fd_sc_hd__nor2_1 U94746 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__3[3]), .B(n76920), .Y(n77019) );
+  sky130_fd_sc_hd__clkinv_1 U94747 ( .A(n77019), .Y(n76924) );
+  sky130_fd_sc_hd__clkinv_1 U94748 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__3[4]), .Y(n76922) );
+  sky130_fd_sc_hd__clkinv_1 U94749 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__3[3]), .Y(n76921) );
+  sky130_fd_sc_hd__o22ai_1 U94750 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[4]), .A2(n76922), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[3]), .B2(n76921), .Y(
+        n76923) );
+  sky130_fd_sc_hd__a31oi_1 U94751 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__3[2]), .A2(n76928), .A3(
+        n76924), .B1(n76923), .Y(n76925) );
+  sky130_fd_sc_hd__o22ai_1 U94752 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[5]), .A2(n76926), .B1(
+        n77018), .B2(n76925), .Y(n77021) );
+  sky130_fd_sc_hd__o22ai_1 U94753 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__3[2]), .A2(n76928), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__3[1]), .B2(n76927), .Y(
+        n77017) );
+  sky130_fd_sc_hd__clkinv_1 U94754 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__3[0]), .Y(n77013) );
+  sky130_fd_sc_hd__o22ai_1 U94755 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[0]), .A2(n77013), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[1]), .B2(n76929), .Y(
+        n77015) );
+  sky130_fd_sc_hd__clkinv_1 U94756 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__2[1]), .Y(n76930) );
+  sky130_fd_sc_hd__clkinv_1 U94757 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__2[0]), .Y(n76985) );
+  sky130_fd_sc_hd__o22ai_1 U94758 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[1]), .A2(n76930), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[0]), .B2(n76985), .Y(
+        n76993) );
+  sky130_fd_sc_hd__o22ai_1 U94759 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__1[0]), .A2(n76954), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__0[7]), .B2(n76931), .Y(
+        n76957) );
+  sky130_fd_sc_hd__o22ai_1 U94760 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__0[6]), .A2(n76933), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__0[5]), .B2(n76932), .Y(
+        n76949) );
+  sky130_fd_sc_hd__clkinv_1 U94761 ( .A(n76949), .Y(n76953) );
+  sky130_fd_sc_hd__clkinv_1 U94762 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__0[4]), .Y(n76935) );
+  sky130_fd_sc_hd__clkinv_1 U94763 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__0[5]), .Y(n76934) );
+  sky130_fd_sc_hd__o22ai_1 U94764 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[4]), .A2(n76935), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[5]), .B2(n76934), .Y(
+        n76952) );
+  sky130_fd_sc_hd__clkinv_1 U94765 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__0[6]), .Y(n76937) );
+  sky130_fd_sc_hd__clkinv_1 U94766 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__0[7]), .Y(n76936) );
+  sky130_fd_sc_hd__o22ai_1 U94767 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[6]), .A2(n76937), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[7]), .B2(n76936), .Y(
+        n76951) );
+  sky130_fd_sc_hd__o22ai_1 U94768 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__0[3]), .A2(n76939), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__0[4]), .B2(n76938), .Y(
+        n76948) );
+  sky130_fd_sc_hd__clkinv_1 U94769 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__0[1]), .Y(n76940) );
+  sky130_fd_sc_hd__a22oi_1 U94770 ( .A1(n76940), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[1]), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[2]), .B2(n76942), .Y(
+        n76946) );
+  sky130_fd_sc_hd__clkinv_1 U94771 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__0[0]), .Y(n76941) );
+  sky130_fd_sc_hd__o22ai_1 U94772 ( .A1(n76941), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[0]), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[1]), .B2(n76940), .Y(
+        n76945) );
+  sky130_fd_sc_hd__clkinv_1 U94773 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__0[3]), .Y(n76943) );
+  sky130_fd_sc_hd__o22ai_1 U94774 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[3]), .A2(n76943), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_0[2]), .B2(n76942), .Y(
+        n76944) );
+  sky130_fd_sc_hd__a21oi_1 U94775 ( .A1(n76946), .A2(n76945), .B1(n76944), .Y(
+        n76947) );
+  sky130_fd_sc_hd__nor3_1 U94776 ( .A(n76949), .B(n76948), .C(n76947), .Y(
+        n76950) );
+  sky130_fd_sc_hd__a211oi_1 U94777 ( .A1(n76953), .A2(n76952), .B1(n76951), 
+        .C1(n76950), .Y(n76956) );
+  sky130_fd_sc_hd__a22oi_1 U94778 ( .A1(n76954), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__1[0]), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__1[1]), .B2(n76962), .Y(
+        n76955) );
+  sky130_fd_sc_hd__clkinv_1 U94780 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__1[5]), .Y(n76971) );
+  sky130_fd_sc_hd__clkinv_1 U94781 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__1[6]), .Y(n76958) );
+  sky130_fd_sc_hd__a22oi_1 U94782 ( .A1(n76971), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[5]), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[6]), .B2(n76958), .Y(
+        n76960) );
+  sky130_fd_sc_hd__clkinv_1 U94783 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__1[7]), .Y(n76959) );
+  sky130_fd_sc_hd__nand2_1 U94784 ( .A(n76959), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[7]), .Y(n76966) );
+  sky130_fd_sc_hd__nand2_1 U94785 ( .A(n76960), .B(n76966), .Y(n76977) );
+  sky130_fd_sc_hd__clkinv_1 U94786 ( .A(n76977), .Y(n76982) );
+  sky130_fd_sc_hd__o22ai_1 U94787 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__1[1]), .A2(n76962), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__1[2]), .B2(n76961), .Y(
+        n76965) );
+  sky130_fd_sc_hd__clkinv_1 U94788 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__1[3]), .Y(n76970) );
+  sky130_fd_sc_hd__a2bb2oi_1 U94789 ( .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[3]), .B2(n76970), .A1_N(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__1[4]), .A2_N(n76963), .Y(
+        n76975) );
+  sky130_fd_sc_hd__clkinv_1 U94790 ( .A(n76975), .Y(n76964) );
+  sky130_fd_sc_hd__nor2_1 U94791 ( .A(n76965), .B(n76964), .Y(n76981) );
+  sky130_fd_sc_hd__clkinv_1 U94792 ( .A(n76966), .Y(n76979) );
+  sky130_fd_sc_hd__a22oi_1 U94793 ( .A1(n76968), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__1[6]), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__1[7]), .B2(n76967), .Y(
+        n76978) );
+  sky130_fd_sc_hd__clkinv_1 U94794 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__1[2]), .Y(n76969) );
+  sky130_fd_sc_hd__o22ai_1 U94795 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[3]), .A2(n76970), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[2]), .B2(n76969), .Y(
+        n76974) );
+  sky130_fd_sc_hd__clkinv_1 U94796 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__1[4]), .Y(n76972) );
+  sky130_fd_sc_hd__o22ai_1 U94797 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[4]), .A2(n76972), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_1[5]), .B2(n76971), .Y(
+        n76973) );
+  sky130_fd_sc_hd__a21oi_1 U94798 ( .A1(n76975), .A2(n76974), .B1(n76973), .Y(
+        n76976) );
+  sky130_fd_sc_hd__o22ai_1 U94799 ( .A1(n76979), .A2(n76978), .B1(n76977), 
+        .B2(n76976), .Y(n76980) );
+  sky130_fd_sc_hd__a31oi_1 U94800 ( .A1(n76983), .A2(n76982), .A3(n76981), 
+        .B1(n76980), .Y(n76984) );
+  sky130_fd_sc_hd__a21oi_1 U94801 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[0]), .A2(n76985), .B1(
+        n76984), .Y(n76992) );
+  sky130_fd_sc_hd__nor2_1 U94802 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__2[3]), .B(n76986), .Y(n77000) );
+  sky130_fd_sc_hd__o22ai_1 U94803 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__2[2]), .A2(n76988), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__2[1]), .B2(n76987), .Y(
+        n76990) );
+  sky130_fd_sc_hd__o22ai_1 U94804 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__2[6]), .A2(n76989), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__2[7]), .B2(n77010), .Y(
+        n77006) );
+  sky130_fd_sc_hd__nand2_1 U94805 ( .A(n76995), .B(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[5]), .Y(n76997) );
+  sky130_fd_sc_hd__nor4_1 U94807 ( .A(n77000), .B(n76990), .C(n77006), .D(
+        n76999), .Y(n76991) );
+  sky130_fd_sc_hd__clkinv_1 U94809 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__2[6]), .Y(n76994) );
+  sky130_fd_sc_hd__o22ai_1 U94810 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[5]), .A2(n76995), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[6]), .B2(n76994), .Y(
+        n76996) );
+  sky130_fd_sc_hd__a31oi_1 U94811 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__2[4]), .A2(n76998), .A3(
+        n76997), .B1(n76996), .Y(n77008) );
+  sky130_fd_sc_hd__clkinv_1 U94812 ( .A(n76999), .Y(n77005) );
+  sky130_fd_sc_hd__clkinv_1 U94813 ( .A(n77000), .Y(n77004) );
+  sky130_fd_sc_hd__clkinv_1 U94814 ( .A(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__2[2]), .Y(n77002) );
+  sky130_fd_sc_hd__o22ai_1 U94815 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[2]), .A2(n77002), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_2[3]), .B2(n77001), .Y(
+        n77003) );
+  sky130_fd_sc_hd__nand3_1 U94816 ( .A(n77005), .B(n77004), .C(n77003), .Y(
+        n77007) );
+  sky130_fd_sc_hd__a21oi_1 U94817 ( .A1(n77008), .A2(n77007), .B1(n77006), .Y(
+        n77009) );
+  sky130_fd_sc_hd__a21oi_1 U94818 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__2[7]), .A2(n77010), .B1(
+        n77009), .Y(n77011) );
+  sky130_fd_sc_hd__a22oi_1 U94819 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_3[0]), .A2(n77013), .B1(
+        n77012), .B2(n77011), .Y(n77014) );
+  sky130_fd_sc_hd__nor2_1 U94820 ( .A(n77015), .B(n77014), .Y(n77016) );
+  sky130_fd_sc_hd__nor4_1 U94821 ( .A(n77019), .B(n77018), .C(n77017), .D(
+        n77016), .Y(n77020) );
+  sky130_fd_sc_hd__o22ai_1 U94822 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__3[6]), .A2(n77022), .B1(
+        n77021), .B2(n77020), .Y(n77024) );
+  sky130_fd_sc_hd__a22oi_1 U94823 ( .A1(n77022), .A2(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__3[6]), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__3[7]), .B2(n77025), .Y(
+        n77023) );
+  sky130_fd_sc_hd__nand2_1 U94824 ( .A(n77024), .B(n77023), .Y(n77033) );
+  sky130_fd_sc_hd__o22ai_1 U94825 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__4[0]), .A2(n77026), .B1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__3[7]), .B2(n77025), .Y(
+        n77027) );
+  sky130_fd_sc_hd__nor3_1 U94826 ( .A(n77029), .B(n77028), .C(n77027), .Y(
+        n77030) );
+  sky130_fd_sc_hd__nand4_1 U94827 ( .A(n77033), .B(n77032), .C(n77031), .D(
+        n77030), .Y(n77040) );
+  sky130_fd_sc_hd__a21oi_1 U94828 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_6[0]), .A2(n77035), .B1(
+        n77034), .Y(n77036) );
+  sky130_fd_sc_hd__a31oi_1 U94829 ( .A1(n77042), .A2(n77041), .A3(n77040), 
+        .B1(n77039), .Y(n77043) );
+  sky130_fd_sc_hd__a211oi_1 U94830 ( .A1(n77046), .A2(n77045), .B1(n77044), 
+        .C1(n77043), .Y(MarmotCaravelChip_dut_sys_clint_auto_int_out_1) );
+  sky130_fd_sc_hd__nor2_1 U94831 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]), .B(n81628), .Y(
+        n77088) );
+  sky130_fd_sc_hd__o22ai_1 U94832 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[7]), .B1(n77167), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[8]), .Y(n77053) );
+  sky130_fd_sc_hd__o22ai_1 U94833 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[9]), .B1(n77167), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[10]), .Y(n77058) );
+  sky130_fd_sc_hd__o22ai_1 U94834 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77053), .B1(
+        n81583), .B2(n77058), .Y(n77074) );
+  sky130_fd_sc_hd__o22ai_1 U94835 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[31]), .B1(n77167), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[0]), .Y(n77055) );
+  sky130_fd_sc_hd__o22ai_1 U94836 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[1]), .B1(n77167), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[2]), .Y(n77057) );
+  sky130_fd_sc_hd__o22ai_1 U94837 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77055), .B1(
+        n81583), .B2(n77057), .Y(n77073) );
+  sky130_fd_sc_hd__clkinv_1 U94838 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]), .Y(n81594) );
+  sky130_fd_sc_hd__o22ai_1 U94839 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[3]), .B1(n77167), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[4]), .Y(n77056) );
+  sky130_fd_sc_hd__o22ai_1 U94840 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[5]), .B1(n77167), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[6]), .Y(n77054) );
+  sky130_fd_sc_hd__o22ai_1 U94841 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77056), .B1(
+        n81583), .B2(n77054), .Y(n77075) );
+  sky130_fd_sc_hd__o22ai_1 U94842 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]), .A2(n77073), .B1(
+        n81594), .B2(n77075), .Y(n77098) );
+  sky130_fd_sc_hd__clkinv_1 U94843 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[11]), .Y(n78715) );
+  sky130_fd_sc_hd__clkinv_1 U94844 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[12]), .Y(n81901) );
+  sky130_fd_sc_hd__o22ai_1 U94845 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(n78715), .B1(
+        n77167), .B2(n81901), .Y(n77059) );
+  sky130_fd_sc_hd__clkinv_1 U94846 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[13]), .Y(n81920) );
+  sky130_fd_sc_hd__clkinv_1 U94847 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[14]), .Y(n81946) );
+  sky130_fd_sc_hd__o22ai_1 U94848 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(n81920), .B1(
+        n77167), .B2(n81946), .Y(n77047) );
+  sky130_fd_sc_hd__o22ai_1 U94849 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77059), .B1(
+        n81583), .B2(n77047), .Y(n77048) );
+  sky130_fd_sc_hd__nor2_1 U94850 ( .A(n81628), .B(n81594), .Y(n77086) );
+  sky130_fd_sc_hd__clkinv_1 U94851 ( .A(n77086), .Y(n77069) );
+  sky130_fd_sc_hd__o22ai_1 U94852 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[3]), .A2(n77098), .B1(
+        n77048), .B2(n77069), .Y(n77049) );
+  sky130_fd_sc_hd__a21oi_1 U94853 ( .A1(n77088), .A2(n77074), .B1(n77049), .Y(
+        n82277) );
+  sky130_fd_sc_hd__o22ai_1 U94854 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[6]), .B1(n77167), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[7]), .Y(n77062) );
+  sky130_fd_sc_hd__o22ai_1 U94855 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[8]), .B1(n77167), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[9]), .Y(n77067) );
+  sky130_fd_sc_hd__o22ai_1 U94856 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77062), .B1(
+        n81583), .B2(n77067), .Y(n77078) );
+  sky130_fd_sc_hd__o22ai_1 U94857 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[30]), .B1(n77167), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[31]), .Y(n77064) );
+  sky130_fd_sc_hd__o22ai_1 U94858 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[0]), .B1(n77167), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[1]), .Y(n77066) );
+  sky130_fd_sc_hd__o22ai_1 U94859 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77064), .B1(
+        n81583), .B2(n77066), .Y(n77077) );
+  sky130_fd_sc_hd__o22ai_1 U94860 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[2]), .B1(n77167), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[3]), .Y(n77065) );
+  sky130_fd_sc_hd__o22ai_1 U94861 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[4]), .B1(n77167), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[5]), .Y(n77063) );
+  sky130_fd_sc_hd__o22ai_1 U94862 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77065), .B1(
+        n81583), .B2(n77063), .Y(n77079) );
+  sky130_fd_sc_hd__o22ai_1 U94863 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]), .A2(n77077), .B1(
+        n81594), .B2(n77079), .Y(n77094) );
+  sky130_fd_sc_hd__clkinv_1 U94864 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[10]), .Y(n81862) );
+  sky130_fd_sc_hd__o22ai_1 U94865 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(n81862), .B1(
+        n77167), .B2(n78715), .Y(n77068) );
+  sky130_fd_sc_hd__o22ai_1 U94866 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(n81901), .B1(
+        n77167), .B2(n81920), .Y(n77050) );
+  sky130_fd_sc_hd__o22ai_1 U94867 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77068), .B1(
+        n81583), .B2(n77050), .Y(n77051) );
+  sky130_fd_sc_hd__o22ai_1 U94868 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[3]), .A2(n77094), .B1(
+        n77051), .B2(n77069), .Y(n77052) );
+  sky130_fd_sc_hd__a21oi_1 U94869 ( .A1(n77088), .A2(n77078), .B1(n77052), .Y(
+        n82244) );
+  sky130_fd_sc_hd__o22ai_1 U94870 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77054), .B1(
+        n81583), .B2(n77053), .Y(n77082) );
+  sky130_fd_sc_hd__o22ai_1 U94871 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[29]), .B1(n77167), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[30]), .Y(n77072) );
+  sky130_fd_sc_hd__o22ai_1 U94872 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77072), .B1(
+        n81583), .B2(n77055), .Y(n77081) );
+  sky130_fd_sc_hd__o22ai_1 U94873 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77057), .B1(
+        n81583), .B2(n77056), .Y(n77083) );
+  sky130_fd_sc_hd__o22ai_1 U94874 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]), .A2(n77081), .B1(
+        n81594), .B2(n77083), .Y(n77091) );
+  sky130_fd_sc_hd__o2bb2ai_1 U94875 ( .B1(n81583), .B2(n77059), .A1_N(n81583), 
+        .A2_N(n77058), .Y(n77060) );
+  sky130_fd_sc_hd__o22ai_1 U94876 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[3]), .A2(n77091), .B1(
+        n77060), .B2(n77069), .Y(n77061) );
+  sky130_fd_sc_hd__a21oi_1 U94877 ( .A1(n77088), .A2(n77082), .B1(n77061), .Y(
+        n82229) );
+  sky130_fd_sc_hd__o22ai_1 U94878 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77063), .B1(
+        n81583), .B2(n77062), .Y(n77087) );
+  sky130_fd_sc_hd__o22ai_1 U94879 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[28]), .B1(n77167), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[29]), .Y(n77076) );
+  sky130_fd_sc_hd__o22ai_1 U94880 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77076), .B1(
+        n81583), .B2(n77064), .Y(n77085) );
+  sky130_fd_sc_hd__o22ai_1 U94881 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77066), .B1(
+        n81583), .B2(n77065), .Y(n77089) );
+  sky130_fd_sc_hd__o22ai_1 U94882 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]), .A2(n77085), .B1(
+        n81594), .B2(n77089), .Y(n77101) );
+  sky130_fd_sc_hd__o2bb2ai_1 U94883 ( .B1(n81583), .B2(n77068), .A1_N(n81583), 
+        .A2_N(n77067), .Y(n77070) );
+  sky130_fd_sc_hd__o22ai_1 U94884 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[3]), .A2(n77101), .B1(
+        n77070), .B2(n77069), .Y(n77071) );
+  sky130_fd_sc_hd__a21oi_1 U94885 ( .A1(n77088), .A2(n77087), .B1(n77071), .Y(
+        n82201) );
+  sky130_fd_sc_hd__clkinv_1 U94886 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[27]), .Y(n82171) );
+  sky130_fd_sc_hd__o2bb2ai_1 U94887 ( .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .B2(n82171), 
+        .A1_N(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2_N(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[28]), .Y(n77080) );
+  sky130_fd_sc_hd__o2bb2ai_1 U94888 ( .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .B2(n77080), 
+        .A1_N(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2_N(n77072), .Y(n77097) );
+  sky130_fd_sc_hd__o2bb2ai_1 U94889 ( .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]), .B2(n77097), 
+        .A1_N(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]), .A2_N(n77073), .Y(n77205) );
+  sky130_fd_sc_hd__a222oi_1 U94890 ( .A1(n77205), .A2(n81628), .B1(n77075), 
+        .B2(n77088), .C1(n77074), .C2(n77086), .Y(n82187) );
+  sky130_fd_sc_hd__clkinv_1 U94891 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[26]), .Y(n82152) );
+  sky130_fd_sc_hd__o22ai_1 U94892 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(n82152), .B1(
+        n77167), .B2(n82171), .Y(n77084) );
+  sky130_fd_sc_hd__o2bb2ai_1 U94893 ( .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .B2(n77084), 
+        .A1_N(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2_N(n77076), .Y(n77093) );
+  sky130_fd_sc_hd__o2bb2ai_1 U94894 ( .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]), .B2(n77093), 
+        .A1_N(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]), .A2_N(n77077), .Y(n77104) );
+  sky130_fd_sc_hd__a222oi_1 U94895 ( .A1(n77104), .A2(n81628), .B1(n77079), 
+        .B2(n77088), .C1(n77078), .C2(n77086), .Y(n82168) );
+  sky130_fd_sc_hd__clkinv_1 U94896 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[25]), .Y(n82145) );
+  sky130_fd_sc_hd__o22ai_1 U94897 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(n82145), .B1(
+        n77167), .B2(n82152), .Y(n77095) );
+  sky130_fd_sc_hd__o22ai_1 U94898 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77095), .B1(
+        n81583), .B2(n77080), .Y(n77090) );
+  sky130_fd_sc_hd__o2bb2ai_1 U94899 ( .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]), .B2(n77090), 
+        .A1_N(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]), .A2_N(n77081), .Y(n77106) );
+  sky130_fd_sc_hd__a222oi_1 U94900 ( .A1(n77106), .A2(n81628), .B1(n77083), 
+        .B2(n77088), .C1(n77082), .C2(n77086), .Y(n82147) );
+  sky130_fd_sc_hd__clkinv_1 U94901 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[24]), .Y(n82129) );
+  sky130_fd_sc_hd__o22ai_1 U94902 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(n82129), .B1(
+        n77167), .B2(n82145), .Y(n77092) );
+  sky130_fd_sc_hd__o22ai_1 U94903 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77092), .B1(
+        n81583), .B2(n77084), .Y(n77100) );
+  sky130_fd_sc_hd__o2bb2ai_1 U94904 ( .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]), .B2(n77100), 
+        .A1_N(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]), .A2_N(n77085), .Y(n77200) );
+  sky130_fd_sc_hd__a222oi_1 U94905 ( .A1(n77200), .A2(n81628), .B1(n77089), 
+        .B2(n77088), .C1(n77087), .C2(n77086), .Y(n82131) );
+  sky130_fd_sc_hd__clkinv_1 U94906 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[21]), .Y(n82076) );
+  sky130_fd_sc_hd__clkinv_1 U94907 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[22]), .Y(n82084) );
+  sky130_fd_sc_hd__o22ai_1 U94908 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(n82076), .B1(
+        n77167), .B2(n82084), .Y(n77110) );
+  sky130_fd_sc_hd__clkinv_1 U94909 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[23]), .Y(n82102) );
+  sky130_fd_sc_hd__o22ai_1 U94910 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(n82102), .B1(
+        n77167), .B2(n82129), .Y(n77096) );
+  sky130_fd_sc_hd__o22ai_1 U94911 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77110), .B1(
+        n81583), .B2(n77096), .Y(n77105) );
+  sky130_fd_sc_hd__o22ai_1 U94912 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]), .A2(n77105), .B1(
+        n81594), .B2(n77090), .Y(n77120) );
+  sky130_fd_sc_hd__o2bb2ai_1 U94913 ( .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[3]), .B2(n77120), 
+        .A1_N(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[3]), .A2_N(n77091), .Y(n82081) );
+  sky130_fd_sc_hd__o22ai_1 U94914 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[22]), .B1(n77167), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[23]), .Y(n77099) );
+  sky130_fd_sc_hd__a2bb2oi_1 U94915 ( .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .B2(n77092), 
+        .A1_N(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2_N(n77099), .Y(n77103) );
+  sky130_fd_sc_hd__a22oi_1 U94916 ( .A1(n81594), .A2(n77103), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]), .B2(n77093), .Y(
+        n77125) );
+  sky130_fd_sc_hd__a2bb2oi_1 U94917 ( .B1(n81628), .B2(n77125), .A1_N(n81628), 
+        .A2_N(n77094), .Y(n82082) );
+  sky130_fd_sc_hd__a22oi_1 U94918 ( .A1(n81583), .A2(n77096), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .B2(n77095), .Y(
+        n77112) );
+  sky130_fd_sc_hd__a22oi_1 U94919 ( .A1(n81594), .A2(n77112), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]), .B2(n77097), .Y(
+        n77128) );
+  sky130_fd_sc_hd__a2bb2oi_1 U94920 ( .B1(n81628), .B2(n77128), .A1_N(n81628), 
+        .A2_N(n77098), .Y(n82118) );
+  sky130_fd_sc_hd__a22oi_1 U94921 ( .A1(n82082), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[22]), .B1(n82118), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[23]), .Y(n77212) );
+  sky130_fd_sc_hd__o22ai_1 U94922 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[20]), .B1(n77167), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[21]), .Y(n77102) );
+  sky130_fd_sc_hd__o22a_1 U94923 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77102), .B1(
+        n81583), .B2(n77099), .X(n77133) );
+  sky130_fd_sc_hd__a22oi_1 U94924 ( .A1(n81594), .A2(n77133), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]), .B2(n77100), .Y(
+        n77108) );
+  sky130_fd_sc_hd__o2bb2ai_1 U94925 ( .B1(n81628), .B2(n77101), .A1_N(n81628), 
+        .A2_N(n77108), .Y(n82052) );
+  sky130_fd_sc_hd__clkinv_1 U94926 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[20]), .Y(n77216) );
+  sky130_fd_sc_hd__clkinv_1 U94927 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[18]), .Y(n82027) );
+  sky130_fd_sc_hd__clkinv_1 U94928 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[19]), .Y(n82034) );
+  sky130_fd_sc_hd__o22ai_1 U94929 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(n82027), .B1(
+        n77167), .B2(n82034), .Y(n77107) );
+  sky130_fd_sc_hd__o2bb2ai_1 U94930 ( .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .B2(n77107), 
+        .A1_N(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2_N(n77102), .Y(n77123) );
+  sky130_fd_sc_hd__o22ai_1 U94931 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]), .A2(n77123), .B1(
+        n81594), .B2(n77103), .Y(n77116) );
+  sky130_fd_sc_hd__o22ai_1 U94932 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[3]), .A2(n77116), .B1(
+        n81628), .B2(n77104), .Y(n82029) );
+  sky130_fd_sc_hd__clkinv_1 U94933 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[17]), .Y(n82012) );
+  sky130_fd_sc_hd__o22ai_1 U94934 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(n82012), .B1(
+        n77167), .B2(n82027), .Y(n77109) );
+  sky130_fd_sc_hd__a22o_1 U94935 ( .A1(n77167), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[19]), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[20]), .X(n77111) );
+  sky130_fd_sc_hd__o22ai_1 U94936 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77109), .B1(
+        n81583), .B2(n77111), .Y(n77119) );
+  sky130_fd_sc_hd__o22ai_1 U94937 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]), .A2(n77119), .B1(
+        n81594), .B2(n77105), .Y(n77185) );
+  sky130_fd_sc_hd__o22ai_1 U94938 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[3]), .A2(n77185), .B1(
+        n81628), .B2(n77106), .Y(n82017) );
+  sky130_fd_sc_hd__clkinv_1 U94939 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[12]), .Y(n81900) );
+  sky130_fd_sc_hd__clkinv_1 U94940 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[13]), .Y(n81919) );
+  sky130_fd_sc_hd__o22ai_1 U94941 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(n81900), .B1(
+        n77167), .B2(n81919), .Y(n77113) );
+  sky130_fd_sc_hd__clkinv_1 U94942 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[14]), .Y(n81944) );
+  sky130_fd_sc_hd__clkinv_1 U94943 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[15]), .Y(n81973) );
+  sky130_fd_sc_hd__o22ai_1 U94944 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(n81944), .B1(
+        n77167), .B2(n81973), .Y(n77115) );
+  sky130_fd_sc_hd__o22ai_1 U94945 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77113), .B1(
+        n81583), .B2(n77115), .Y(n77132) );
+  sky130_fd_sc_hd__clkinv_1 U94946 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[16]), .Y(n81997) );
+  sky130_fd_sc_hd__o22ai_1 U94947 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(n81997), .B1(
+        n77167), .B2(n82012), .Y(n77114) );
+  sky130_fd_sc_hd__o22ai_1 U94948 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77114), .B1(
+        n81583), .B2(n77107), .Y(n77134) );
+  sky130_fd_sc_hd__o22ai_1 U94949 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]), .A2(n77132), .B1(
+        n81594), .B2(n77134), .Y(n77149) );
+  sky130_fd_sc_hd__o22ai_1 U94950 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[3]), .A2(n77149), .B1(
+        n81628), .B2(n77108), .Y(n81905) );
+  sky130_fd_sc_hd__clkinv_1 U94951 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[11]), .Y(n81886) );
+  sky130_fd_sc_hd__o22ai_1 U94952 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(n81886), .B1(
+        n77167), .B2(n81900), .Y(n77143) );
+  sky130_fd_sc_hd__o22ai_1 U94953 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(n81919), .B1(
+        n77167), .B2(n81944), .Y(n77118) );
+  sky130_fd_sc_hd__o22ai_1 U94954 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77143), .B1(
+        n81583), .B2(n77118), .Y(n77135) );
+  sky130_fd_sc_hd__o22ai_1 U94955 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(n81973), .B1(
+        n77167), .B2(n81997), .Y(n77117) );
+  sky130_fd_sc_hd__o22ai_1 U94956 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77117), .B1(
+        n81583), .B2(n77109), .Y(n77127) );
+  sky130_fd_sc_hd__o22ai_1 U94957 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]), .A2(n77135), .B1(
+        n81594), .B2(n77127), .Y(n77152) );
+  sky130_fd_sc_hd__o22ai_1 U94958 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77111), .B1(
+        n81583), .B2(n77110), .Y(n77126) );
+  sky130_fd_sc_hd__o22ai_1 U94959 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]), .A2(n77126), .B1(
+        n81594), .B2(n77112), .Y(n77206) );
+  sky130_fd_sc_hd__o22ai_1 U94960 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[3]), .A2(n77152), .B1(
+        n81628), .B2(n77206), .Y(n81890) );
+  sky130_fd_sc_hd__a22oi_1 U94961 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[12]), .A2(n81905), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[11]), .B2(n81890), .Y(
+        n77187) );
+  sky130_fd_sc_hd__clkinv_1 U94962 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[10]), .Y(n81861) );
+  sky130_fd_sc_hd__o22ai_1 U94963 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(n81861), .B1(
+        n77167), .B2(n81886), .Y(n77131) );
+  sky130_fd_sc_hd__o22ai_1 U94964 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77131), .B1(
+        n81583), .B2(n77113), .Y(n77139) );
+  sky130_fd_sc_hd__o22ai_1 U94965 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77115), .B1(
+        n81583), .B2(n77114), .Y(n77124) );
+  sky130_fd_sc_hd__o22ai_1 U94966 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]), .A2(n77139), .B1(
+        n81594), .B2(n77124), .Y(n77157) );
+  sky130_fd_sc_hd__o22ai_1 U94967 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[3]), .A2(n77157), .B1(
+        n81628), .B2(n77116), .Y(n81866) );
+  sky130_fd_sc_hd__o22ai_1 U94968 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[10]), .A2(n81866), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[11]), .B2(n81890), .Y(
+        n77122) );
+  sky130_fd_sc_hd__o22ai_1 U94969 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77118), .B1(
+        n81583), .B2(n77117), .Y(n77170) );
+  sky130_fd_sc_hd__o22ai_1 U94970 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]), .A2(n77170), .B1(
+        n81594), .B2(n77119), .Y(n77145) );
+  sky130_fd_sc_hd__o22ai_1 U94971 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[3]), .A2(n77145), .B1(
+        n81628), .B2(n77120), .Y(n81922) );
+  sky130_fd_sc_hd__o22ai_1 U94972 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[12]), .A2(n81905), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[13]), .B2(n81922), .Y(
+        n77121) );
+  sky130_fd_sc_hd__a21oi_1 U94973 ( .A1(n77187), .A2(n77122), .B1(n77121), .Y(
+        n77196) );
+  sky130_fd_sc_hd__o22ai_1 U94974 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]), .A2(n77124), .B1(
+        n81594), .B2(n77123), .Y(n77141) );
+  sky130_fd_sc_hd__o22ai_1 U94975 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[3]), .A2(n77141), .B1(
+        n81628), .B2(n77125), .Y(n81958) );
+  sky130_fd_sc_hd__a22oi_1 U94976 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[13]), .A2(n81922), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[14]), .B2(n81958), .Y(
+        n77130) );
+  sky130_fd_sc_hd__o22ai_1 U94977 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]), .A2(n77127), .B1(
+        n81594), .B2(n77126), .Y(n77137) );
+  sky130_fd_sc_hd__o22ai_1 U94978 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[3]), .A2(n77137), .B1(
+        n81628), .B2(n77128), .Y(n81977) );
+  sky130_fd_sc_hd__nand2_1 U94979 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[15]), .B(n81977), .Y(
+        n77129) );
+  sky130_fd_sc_hd__nand2_1 U94980 ( .A(n77130), .B(n77129), .Y(n77195) );
+  sky130_fd_sc_hd__clkinv_1 U94981 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[8]), .Y(n78566) );
+  sky130_fd_sc_hd__clkinv_1 U94982 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[9]), .Y(n78577) );
+  sky130_fd_sc_hd__o22ai_1 U94983 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(n78566), .B1(
+        n77167), .B2(n78577), .Y(n77138) );
+  sky130_fd_sc_hd__o22ai_1 U94984 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77138), .B1(
+        n81583), .B2(n77131), .Y(n77147) );
+  sky130_fd_sc_hd__o22ai_1 U94985 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]), .A2(n77147), .B1(
+        n81594), .B2(n77132), .Y(n77160) );
+  sky130_fd_sc_hd__o22ai_1 U94986 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]), .A2(n77134), .B1(
+        n81594), .B2(n77133), .Y(n77201) );
+  sky130_fd_sc_hd__o22ai_1 U94987 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[3]), .A2(n77160), .B1(
+        n81628), .B2(n77201), .Y(n81821) );
+  sky130_fd_sc_hd__clkinv_1 U94988 ( .A(n77135), .Y(n77136) );
+  sky130_fd_sc_hd__nor2_1 U94989 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[3]), .B(n81594), .Y(
+        n77172) );
+  sky130_fd_sc_hd__o22ai_1 U94990 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[7]), .B1(n77167), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[8]), .Y(n77142) );
+  sky130_fd_sc_hd__o22ai_1 U94991 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[9]), .B1(n77167), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[10]), .Y(n77144) );
+  sky130_fd_sc_hd__o22ai_1 U94992 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77142), .B1(
+        n81583), .B2(n77144), .Y(n77151) );
+  sky130_fd_sc_hd__nor2_1 U94993 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[3]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]), .Y(n77176) );
+  sky130_fd_sc_hd__a222oi_1 U94994 ( .A1(n77137), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[3]), .B1(n77136), .B2(
+        n77172), .C1(n77151), .C2(n77176), .Y(n81773) );
+  sky130_fd_sc_hd__o22ai_1 U94995 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[6]), .B1(n77167), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[7]), .Y(n77146) );
+  sky130_fd_sc_hd__o2bb2ai_1 U94996 ( .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .B2(n77146), 
+        .A1_N(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2_N(n77138), .Y(n77156) );
+  sky130_fd_sc_hd__clkinv_1 U94997 ( .A(n77139), .Y(n77140) );
+  sky130_fd_sc_hd__a222oi_1 U94998 ( .A1(n77141), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[3]), .B1(n77156), .B2(
+        n77176), .C1(n77140), .C2(n77172), .Y(n81744) );
+  sky130_fd_sc_hd__o22ai_1 U94999 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[5]), .B1(n77167), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[6]), .Y(n77150) );
+  sky130_fd_sc_hd__o22ai_1 U95000 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77150), .B1(
+        n81583), .B2(n77142), .Y(n77173) );
+  sky130_fd_sc_hd__o2bb2ai_1 U95001 ( .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .B2(n77144), 
+        .A1_N(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2_N(n77143), .Y(n77171) );
+  sky130_fd_sc_hd__a222oi_1 U95002 ( .A1(n77145), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[3]), .B1(n77173), .B2(
+        n77176), .C1(n77171), .C2(n77172), .Y(n81714) );
+  sky130_fd_sc_hd__o22ai_1 U95003 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[4]), .B1(n77167), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[5]), .Y(n77155) );
+  sky130_fd_sc_hd__o22ai_1 U95004 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77155), .B1(
+        n81583), .B2(n77146), .Y(n77163) );
+  sky130_fd_sc_hd__clkinv_1 U95005 ( .A(n77147), .Y(n77148) );
+  sky130_fd_sc_hd__a222oi_1 U95006 ( .A1(n77149), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[3]), .B1(n77163), .B2(
+        n77176), .C1(n77148), .C2(n77172), .Y(n81686) );
+  sky130_fd_sc_hd__o22ai_1 U95007 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[3]), .B1(n77167), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[4]), .Y(n77168) );
+  sky130_fd_sc_hd__o22ai_1 U95008 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77168), .B1(
+        n81583), .B2(n77150), .Y(n77154) );
+  sky130_fd_sc_hd__a22o_1 U95009 ( .A1(n77152), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[3]), .B1(n77151), .B2(
+        n77172), .X(n77153) );
+  sky130_fd_sc_hd__a21oi_1 U95010 ( .A1(n77176), .A2(n77154), .B1(n77153), .Y(
+        n81657) );
+  sky130_fd_sc_hd__o22ai_1 U95011 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[2]), .B1(n77167), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[3]), .Y(n77161) );
+  sky130_fd_sc_hd__o22ai_1 U95012 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77161), .B1(
+        n81583), .B2(n77155), .Y(n77159) );
+  sky130_fd_sc_hd__a22o_1 U95013 ( .A1(n77157), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[3]), .B1(n77156), .B2(
+        n77172), .X(n77158) );
+  sky130_fd_sc_hd__a21oi_1 U95014 ( .A1(n77176), .A2(n77159), .B1(n77158), .Y(
+        n81621) );
+  sky130_fd_sc_hd__clkinv_1 U95015 ( .A(n77160), .Y(n77166) );
+  sky130_fd_sc_hd__o22ai_1 U95016 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[0]), .B1(n77167), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[1]), .Y(n77162) );
+  sky130_fd_sc_hd__o22ai_1 U95017 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77162), .B1(
+        n81583), .B2(n77161), .Y(n77164) );
+  sky130_fd_sc_hd__a22oi_1 U95018 ( .A1(n77176), .A2(n77164), .B1(n77172), 
+        .B2(n77163), .Y(n77165) );
+  sky130_fd_sc_hd__o22ai_1 U95020 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[1]), .B1(n77167), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[2]), .Y(n77169) );
+  sky130_fd_sc_hd__o22ai_1 U95021 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[1]), .A2(n77169), .B1(
+        n81583), .B2(n77168), .Y(n77175) );
+  sky130_fd_sc_hd__o2bb2ai_1 U95022 ( .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]), .B2(n77171), 
+        .A1_N(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[2]), .A2_N(n77170), .Y(n77186) );
+  sky130_fd_sc_hd__o2bb2ai_1 U95023 ( .B1(n77186), .B2(n81628), .A1_N(n77173), 
+        .A2_N(n77172), .Y(n77174) );
+  sky130_fd_sc_hd__a21oi_1 U95024 ( .A1(n77176), .A2(n77175), .B1(n77174), .Y(
+        n81586) );
+  sky130_fd_sc_hd__o21ai_1 U95025 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[1]), .A2(n81586), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[0]), .Y(n77177) );
+  sky130_fd_sc_hd__o2bb2ai_1 U95026 ( .B1(n81547), .B2(n77177), .A1_N(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[1]), .A2_N(n81586), .Y(
+        n77178) );
+  sky130_fd_sc_hd__fa_1 U95027 ( .A(n81621), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[2]), .CIN(n77178), 
+        .COUT(n77179), .SUM() );
+  sky130_fd_sc_hd__fa_1 U95028 ( .A(n81657), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[3]), .CIN(n77179), 
+        .COUT(n77180), .SUM() );
+  sky130_fd_sc_hd__fa_1 U95029 ( .A(n81686), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[4]), .CIN(n77180), 
+        .COUT(n77181), .SUM() );
+  sky130_fd_sc_hd__fa_1 U95030 ( .A(n81714), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[5]), .CIN(n77181), 
+        .COUT(n77182), .SUM() );
+  sky130_fd_sc_hd__fa_1 U95031 ( .A(n81744), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[6]), .CIN(n77182), 
+        .COUT(n77183), .SUM() );
+  sky130_fd_sc_hd__maj3_1 U95032 ( .A(n81773), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[7]), .C(n77183), .X(
+        n77184) );
+  sky130_fd_sc_hd__a21oi_1 U95033 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[8]), .A2(n81821), .B1(
+        n77184), .Y(n77193) );
+  sky130_fd_sc_hd__clkinv_1 U95034 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[9]), .Y(n77189) );
+  sky130_fd_sc_hd__a2bb2oi_1 U95035 ( .B1(n81628), .B2(n77186), .A1_N(n81628), 
+        .A2_N(n77185), .Y(n81845) );
+  sky130_fd_sc_hd__o2bb2ai_1 U95036 ( .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[8]), .B2(n81821), 
+        .A1_N(n77189), .A2_N(n81845), .Y(n77192) );
+  sky130_fd_sc_hd__clkinv_1 U95037 ( .A(n77195), .Y(n77188) );
+  sky130_fd_sc_hd__o211ai_1 U95038 ( .A1(n81845), .A2(n77189), .B1(n77188), 
+        .C1(n77187), .Y(n77190) );
+  sky130_fd_sc_hd__a21oi_1 U95039 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[10]), .A2(n81866), .B1(
+        n77190), .Y(n77191) );
+  sky130_fd_sc_hd__a21o_1 U95042 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[15]), .A2(n81977), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[14]), .X(n77197) );
+  sky130_fd_sc_hd__o22ai_1 U95043 ( .A1(n81977), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[15]), .B1(n77197), .B2(
+        n81958), .Y(n77198) );
+  sky130_fd_sc_hd__nor2_1 U95044 ( .A(n77199), .B(n77198), .Y(n77202) );
+  sky130_fd_sc_hd__o22ai_1 U95045 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[3]), .A2(n77201), .B1(
+        n81628), .B2(n77200), .Y(n81999) );
+  sky130_fd_sc_hd__maj3_1 U95046 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[16]), .B(n77202), .C(
+        n81999), .X(n77203) );
+  sky130_fd_sc_hd__maj3_1 U95047 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[17]), .B(n82017), .C(
+        n77203), .X(n77204) );
+  sky130_fd_sc_hd__a21oi_1 U95048 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[18]), .A2(n82029), .B1(
+        n77204), .Y(n77210) );
+  sky130_fd_sc_hd__o22ai_1 U95049 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[3]), .A2(n77206), .B1(
+        n81628), .B2(n77205), .Y(n82050) );
+  sky130_fd_sc_hd__o22ai_1 U95050 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[18]), .A2(n82029), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[19]), .B2(n82050), .Y(
+        n77209) );
+  sky130_fd_sc_hd__o21ai_1 U95051 ( .A1(n82052), .A2(n77216), .B1(n77217), .Y(
+        n77207) );
+  sky130_fd_sc_hd__a21oi_1 U95052 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[19]), .A2(n82050), .B1(
+        n77207), .Y(n77208) );
+  sky130_fd_sc_hd__o21ai_1 U95053 ( .A1(n77210), .A2(n77209), .B1(n77208), .Y(
+        n77214) );
+  sky130_fd_sc_hd__o22ai_1 U95054 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[21]), .A2(n82081), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[22]), .B2(n82082), .Y(
+        n77211) );
+  sky130_fd_sc_hd__nand2_1 U95055 ( .A(n77212), .B(n77211), .Y(n77213) );
+  sky130_fd_sc_hd__o211ai_1 U95056 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[23]), .A2(n82118), .B1(
+        n77214), .C1(n77213), .Y(n77215) );
+  sky130_fd_sc_hd__a31oi_1 U95057 ( .A1(n77217), .A2(n82052), .A3(n77216), 
+        .B1(n77215), .Y(n77218) );
+  sky130_fd_sc_hd__fa_1 U95058 ( .A(n82131), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[24]), .CIN(n77218), 
+        .COUT(n77219), .SUM() );
+  sky130_fd_sc_hd__fa_1 U95059 ( .A(n82147), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[25]), .CIN(n77219), 
+        .COUT(n77220), .SUM() );
+  sky130_fd_sc_hd__fa_1 U95060 ( .A(n82168), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[26]), .CIN(n77220), 
+        .COUT(n77221), .SUM() );
+  sky130_fd_sc_hd__fa_1 U95061 ( .A(n82187), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[27]), .CIN(n77221), 
+        .COUT(n77222), .SUM() );
+  sky130_fd_sc_hd__fa_1 U95062 ( .A(n82201), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[28]), .CIN(n77222), 
+        .COUT(n77223), .SUM() );
+  sky130_fd_sc_hd__fa_1 U95063 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[29]), .B(n82229), .CIN(
+        n77223), .COUT(n77224), .SUM() );
+  sky130_fd_sc_hd__maj3_1 U95064 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[30]), .B(n82244), .C(
+        n77224), .X(n77225) );
+  sky130_fd_sc_hd__a222oi_1 U95065 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[31]), .A2(n82277), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[31]), .B2(n77225), .C1(
+        n82277), .C2(n77225), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N60)
+         );
+  sky130_fd_sc_hd__o22ai_1 U95066 ( .A1(n77228), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[13]), .B1(n77227), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[9]), .Y(n77251) );
+  sky130_fd_sc_hd__a22o_1 U95067 ( .A1(n77228), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[8]), .B1(n77227), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[12]), .X(n77248) );
+  sky130_fd_sc_hd__o22ai_1 U95068 ( .A1(n77228), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[11]), .B1(n77227), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[7]), .Y(n77246) );
+  sky130_fd_sc_hd__a22o_1 U95069 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[10]), .A2(n77227), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[6]), .B2(n78955), .X(n77244) );
+  sky130_fd_sc_hd__o22ai_1 U95070 ( .A1(n77228), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[9]), .B1(n77227), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[5]), .Y(n77242) );
+  sky130_fd_sc_hd__a22o_1 U95071 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[8]), .A2(n77227), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[4]), .B2(n78955), .X(n77240) );
+  sky130_fd_sc_hd__o22ai_1 U95072 ( .A1(n77228), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[7]), .B1(n77227), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[3]), .Y(n77238) );
+  sky130_fd_sc_hd__a22o_1 U95073 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[6]), .A2(n77227), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[2]), .B2(n78955), .X(n77236) );
+  sky130_fd_sc_hd__o22ai_1 U95074 ( .A1(n77228), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[5]), .B1(n77227), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[1]), .Y(n77234) );
+  sky130_fd_sc_hd__nand2_1 U95075 ( .A(n77234), .B(n77233), .Y(n77235) );
+  sky130_fd_sc_hd__nor2_1 U95076 ( .A(n77236), .B(n77235), .Y(n77237) );
+  sky130_fd_sc_hd__nand2_1 U95077 ( .A(n77238), .B(n77237), .Y(n77239) );
+  sky130_fd_sc_hd__nor2_1 U95078 ( .A(n77240), .B(n77239), .Y(n77241) );
+  sky130_fd_sc_hd__nand2_1 U95079 ( .A(n77242), .B(n77241), .Y(n77243) );
+  sky130_fd_sc_hd__nor2_1 U95080 ( .A(n77244), .B(n77243), .Y(n77245) );
+  sky130_fd_sc_hd__nand2_1 U95081 ( .A(n77246), .B(n77245), .Y(n77247) );
+  sky130_fd_sc_hd__nor2_1 U95082 ( .A(n77248), .B(n77247), .Y(n77250) );
+  sky130_fd_sc_hd__nand2_1 U95083 ( .A(n77251), .B(n77250), .Y(n77249) );
+  sky130_fd_sc_hd__a22o_1 U95084 ( .A1(n77228), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[10]), .B1(n77227), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[14]), .X(n77226) );
+  sky130_fd_sc_hd__nor2_1 U95085 ( .A(n77249), .B(n77226), .Y(n77229) );
+  sky130_fd_sc_hd__a21o_1 U95086 ( .A1(n77249), .A2(n77226), .B1(n77229), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_next[10]) );
+  sky130_fd_sc_hd__o22ai_1 U95087 ( .A1(n77228), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[15]), .B1(n77227), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[11]), .Y(n77230) );
+  sky130_fd_sc_hd__nand2_1 U95088 ( .A(n77230), .B(n77229), .Y(n77232) );
+  sky130_fd_sc_hd__nand2_1 U95090 ( .A(n78955), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler[12]), .Y(n77231) );
+  sky130_fd_sc_hd__xor2_1 U95091 ( .A(n77232), .B(n77231), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_next[12]) );
+  sky130_fd_sc_hd__a21o_1 U95093 ( .A1(n77236), .A2(n77235), .B1(n77237), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_next[2]) );
+  sky130_fd_sc_hd__o21ai_1 U95094 ( .A1(n77238), .A2(n77237), .B1(n77239), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_next[3]) );
+  sky130_fd_sc_hd__a21o_1 U95095 ( .A1(n77240), .A2(n77239), .B1(n77241), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_next[4]) );
+  sky130_fd_sc_hd__o21ai_1 U95096 ( .A1(n77242), .A2(n77241), .B1(n77243), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_next[5]) );
+  sky130_fd_sc_hd__a21o_1 U95097 ( .A1(n77244), .A2(n77243), .B1(n77245), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_next[6]) );
+  sky130_fd_sc_hd__o21ai_1 U95098 ( .A1(n77246), .A2(n77245), .B1(n77247), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_next[7]) );
+  sky130_fd_sc_hd__a21o_1 U95099 ( .A1(n77248), .A2(n77247), .B1(n77250), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_next[8]) );
+  sky130_fd_sc_hd__o21ai_1 U95100 ( .A1(n77251), .A2(n77250), .B1(n77249), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_next[9]) );
+  sky130_fd_sc_hd__nor2_1 U95101 ( .A(n77253), .B(n77252), .Y(n77264) );
+  sky130_fd_sc_hd__o21ai_1 U95102 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[1]), .A2(n77254), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[0]), .Y(n77255) );
+  sky130_fd_sc_hd__o22ai_1 U95103 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[0]), .A2(n77255), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[1]), .B2(n81315), .Y(n77256) );
+  sky130_fd_sc_hd__a21oi_1 U95104 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[2]), .A2(n79029), .B1(n77256), .Y(n77258) );
+  sky130_fd_sc_hd__o22ai_1 U95105 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[3]), .A2(n79030), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[2]), .B2(n79029), .Y(n77257) );
+  sky130_fd_sc_hd__o22ai_1 U95106 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[3]), .A2(n81319), .B1(n77258), .B2(n77257), .Y(n77259) );
+  sky130_fd_sc_hd__nand2_1 U95107 ( .A(n77263), .B(n77259), .Y(n77261) );
+  sky130_fd_sc_hd__a21oi_1 U95109 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[0]), .A2(n79043), .B1(n77260), .Y(n77269) );
+  sky130_fd_sc_hd__o21bai_1 U95110 ( .A1(n77261), .A2(n81323), .B1_N(n77269), 
+        .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_next[0]) );
+  sky130_fd_sc_hd__o22ai_1 U95111 ( .A1(n77264), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[13]), .B1(n77263), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[9]), .Y(n77287) );
+  sky130_fd_sc_hd__a22o_1 U95112 ( .A1(n77264), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[8]), .B1(n77263), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[12]), .X(n77284) );
+  sky130_fd_sc_hd__o22ai_1 U95113 ( .A1(n77264), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[11]), .B1(n77263), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[7]), .Y(n77282) );
+  sky130_fd_sc_hd__a22o_1 U95114 ( .A1(n77264), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[6]), .B1(n77263), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[10]), .X(n77280) );
+  sky130_fd_sc_hd__o22ai_1 U95115 ( .A1(n77264), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[9]), .B1(n77263), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[5]), .Y(n77278) );
+  sky130_fd_sc_hd__a22o_1 U95116 ( .A1(n77264), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[4]), .B1(n77263), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[8]), .X(n77276) );
+  sky130_fd_sc_hd__o22ai_1 U95117 ( .A1(n77264), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[7]), .B1(n77263), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[3]), .Y(n77274) );
+  sky130_fd_sc_hd__a22o_1 U95118 ( .A1(n77264), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[2]), .B1(n77263), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[6]), .X(n77272) );
+  sky130_fd_sc_hd__o22ai_1 U95119 ( .A1(n77264), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[5]), .B1(n77263), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[1]), .Y(n77270) );
+  sky130_fd_sc_hd__nand2_1 U95120 ( .A(n77270), .B(n77269), .Y(n77271) );
+  sky130_fd_sc_hd__nor2_1 U95121 ( .A(n77272), .B(n77271), .Y(n77273) );
+  sky130_fd_sc_hd__nand2_1 U95122 ( .A(n77274), .B(n77273), .Y(n77275) );
+  sky130_fd_sc_hd__nor2_1 U95123 ( .A(n77276), .B(n77275), .Y(n77277) );
+  sky130_fd_sc_hd__nand2_1 U95124 ( .A(n77278), .B(n77277), .Y(n77279) );
+  sky130_fd_sc_hd__nor2_1 U95125 ( .A(n77280), .B(n77279), .Y(n77281) );
+  sky130_fd_sc_hd__nand2_1 U95126 ( .A(n77282), .B(n77281), .Y(n77283) );
+  sky130_fd_sc_hd__nor2_1 U95127 ( .A(n77284), .B(n77283), .Y(n77286) );
+  sky130_fd_sc_hd__nand2_1 U95128 ( .A(n77287), .B(n77286), .Y(n77285) );
+  sky130_fd_sc_hd__a22o_1 U95129 ( .A1(n77264), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[10]), .B1(n77263), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[14]), .X(n77262) );
+  sky130_fd_sc_hd__nor2_1 U95130 ( .A(n77285), .B(n77262), .Y(n77265) );
+  sky130_fd_sc_hd__a21o_1 U95131 ( .A1(n77285), .A2(n77262), .B1(n77265), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_next[10]) );
+  sky130_fd_sc_hd__o22ai_1 U95132 ( .A1(n77264), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[15]), .B1(n77263), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[11]), .Y(n77266) );
+  sky130_fd_sc_hd__nand2_1 U95133 ( .A(n77266), .B(n77265), .Y(n77268) );
+  sky130_fd_sc_hd__o21ai_1 U95134 ( .A1(n77266), .A2(n77265), .B1(n77268), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_next[11]) );
+  sky130_fd_sc_hd__nand2_1 U95135 ( .A(n79043), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler[12]), .Y(n77267) );
+  sky130_fd_sc_hd__xor2_1 U95136 ( .A(n77268), .B(n77267), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_next[12]) );
+  sky130_fd_sc_hd__a21o_1 U95138 ( .A1(n77272), .A2(n77271), .B1(n77273), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_next[2]) );
+  sky130_fd_sc_hd__o21ai_1 U95139 ( .A1(n77274), .A2(n77273), .B1(n77275), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_next[3]) );
+  sky130_fd_sc_hd__a21o_1 U95140 ( .A1(n77276), .A2(n77275), .B1(n77277), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_next[4]) );
+  sky130_fd_sc_hd__o21ai_1 U95141 ( .A1(n77278), .A2(n77277), .B1(n77279), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_next[5]) );
+  sky130_fd_sc_hd__a21o_1 U95142 ( .A1(n77280), .A2(n77279), .B1(n77281), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_next[6]) );
+  sky130_fd_sc_hd__o21ai_1 U95143 ( .A1(n77282), .A2(n77281), .B1(n77283), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_next[7]) );
+  sky130_fd_sc_hd__a21o_1 U95144 ( .A1(n77284), .A2(n77283), .B1(n77286), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_next[8]) );
+  sky130_fd_sc_hd__o21ai_1 U95145 ( .A1(n77287), .A2(n77286), .B1(n77285), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_next[9]) );
+  sky130_fd_sc_hd__o22ai_1 U95147 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[1]), .A2(n81230), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[0]), .B2(n77289), .Y(n77291) );
+  sky130_fd_sc_hd__nand2_1 U95148 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[2]), .B(n81235), .Y(n77290) );
+  sky130_fd_sc_hd__a222oi_1 U95149 ( .A1(n77291), .A2(n77290), .B1(n79120), 
+        .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[3]), .C1(n79116), .C2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[2]), .Y(n77294) );
+  sky130_fd_sc_hd__nor2_1 U95150 ( .A(n77293), .B(n77292), .Y(n77298) );
+  sky130_fd_sc_hd__a211oi_1 U95151 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[3]), .A2(n81240), .B1(n77294), .C1(n77298), .Y(n77295) );
+  sky130_fd_sc_hd__a221oi_1 U95152 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[0]), .A2(n77298), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[4]), .B2(n77297), .C1(n77295), .Y(n77303) );
+  sky130_fd_sc_hd__a21o_1 U95153 ( .A1(n77295), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[4]), .B1(n77303), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_next[0]) );
+  sky130_fd_sc_hd__o22ai_1 U95154 ( .A1(n77298), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[13]), .B1(n77297), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[9]), .Y(n77321) );
+  sky130_fd_sc_hd__a22o_1 U95155 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[12]), .A2(n77297), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[8]), .B2(n79134), .X(n77318) );
+  sky130_fd_sc_hd__o22ai_1 U95156 ( .A1(n77298), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[11]), .B1(n77297), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[7]), .Y(n77316) );
+  sky130_fd_sc_hd__a22o_1 U95157 ( .A1(n77298), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[6]), .B1(n77297), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[10]), .X(n77314) );
+  sky130_fd_sc_hd__a22oi_1 U95158 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[9]), .A2(n77297), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[5]), .B2(n79134), .Y(n77312) );
+  sky130_fd_sc_hd__a22o_1 U95159 ( .A1(n77298), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[4]), .B1(n77297), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[8]), .X(n77310) );
+  sky130_fd_sc_hd__o22ai_1 U95160 ( .A1(n77298), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[7]), .B1(n77297), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[3]), .Y(n77308) );
+  sky130_fd_sc_hd__a22o_1 U95161 ( .A1(n77298), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[2]), .B1(n77297), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[6]), .X(n77306) );
+  sky130_fd_sc_hd__o22ai_1 U95162 ( .A1(n77298), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[5]), .B1(n77297), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[1]), .Y(n77304) );
+  sky130_fd_sc_hd__nand2_1 U95163 ( .A(n77304), .B(n77303), .Y(n77305) );
+  sky130_fd_sc_hd__nor2_1 U95164 ( .A(n77306), .B(n77305), .Y(n77307) );
+  sky130_fd_sc_hd__nand2_1 U95165 ( .A(n77308), .B(n77307), .Y(n77309) );
+  sky130_fd_sc_hd__nor2_1 U95166 ( .A(n77310), .B(n77309), .Y(n77311) );
+  sky130_fd_sc_hd__nand2_1 U95167 ( .A(n77312), .B(n77311), .Y(n77313) );
+  sky130_fd_sc_hd__nor2_1 U95168 ( .A(n77314), .B(n77313), .Y(n77315) );
+  sky130_fd_sc_hd__nand2_1 U95169 ( .A(n77316), .B(n77315), .Y(n77317) );
+  sky130_fd_sc_hd__nor2_1 U95170 ( .A(n77318), .B(n77317), .Y(n77320) );
+  sky130_fd_sc_hd__nand2_1 U95171 ( .A(n77321), .B(n77320), .Y(n77319) );
+  sky130_fd_sc_hd__a22o_1 U95172 ( .A1(n77298), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[10]), .B1(n77297), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[14]), .X(n77296) );
+  sky130_fd_sc_hd__nor2_1 U95173 ( .A(n77319), .B(n77296), .Y(n77299) );
+  sky130_fd_sc_hd__a21o_1 U95174 ( .A1(n77319), .A2(n77296), .B1(n77299), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_next[10]) );
+  sky130_fd_sc_hd__o22ai_1 U95175 ( .A1(n77298), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[15]), .B1(n77297), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[11]), .Y(n77300) );
+  sky130_fd_sc_hd__nand2_1 U95176 ( .A(n77300), .B(n77299), .Y(n77302) );
+  sky130_fd_sc_hd__o21ai_1 U95177 ( .A1(n77300), .A2(n77299), .B1(n77302), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_next[11]) );
+  sky130_fd_sc_hd__nand2_1 U95178 ( .A(n79134), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler[12]), .Y(n77301) );
+  sky130_fd_sc_hd__xor2_1 U95179 ( .A(n77302), .B(n77301), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_next[12]) );
+  sky130_fd_sc_hd__a21o_1 U95181 ( .A1(n77306), .A2(n77305), .B1(n77307), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_next[2]) );
+  sky130_fd_sc_hd__o21ai_1 U95182 ( .A1(n77308), .A2(n77307), .B1(n77309), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_next[3]) );
+  sky130_fd_sc_hd__a21o_1 U95183 ( .A1(n77310), .A2(n77309), .B1(n77311), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_next[4]) );
+  sky130_fd_sc_hd__o21ai_1 U95184 ( .A1(n77312), .A2(n77311), .B1(n77313), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_next[5]) );
+  sky130_fd_sc_hd__a21o_1 U95185 ( .A1(n77314), .A2(n77313), .B1(n77315), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_next[6]) );
+  sky130_fd_sc_hd__o21ai_1 U95186 ( .A1(n77316), .A2(n77315), .B1(n77317), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_next[7]) );
+  sky130_fd_sc_hd__a21o_1 U95187 ( .A1(n77318), .A2(n77317), .B1(n77320), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_next[8]) );
+  sky130_fd_sc_hd__o21ai_1 U95188 ( .A1(n77321), .A2(n77320), .B1(n77319), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_next[9]) );
+  sky130_fd_sc_hd__a22oi_1 U95189 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[0]), .A2(n79207), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[1]), .B2(n79208), .Y(n77324) );
+  sky130_fd_sc_hd__o22ai_1 U95190 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[2]), .A2(n77322), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[1]), .B2(n79208), .Y(n77323) );
+  sky130_fd_sc_hd__o22ai_1 U95191 ( .A1(n77324), .A2(n77323), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[2]), .B2(n81161), .Y(n77325) );
+  sky130_fd_sc_hd__a21oi_1 U95192 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[3]), .A2(n79211), .B1(n77325), .Y(n77328) );
+  sky130_fd_sc_hd__nor2_1 U95193 ( .A(n77327), .B(n77326), .Y(n77332) );
+  sky130_fd_sc_hd__a211oi_1 U95194 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[3]), .A2(n81166), .B1(n77328), .C1(n77332), .Y(n77329) );
+  sky130_fd_sc_hd__a221oi_1 U95195 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[0]), .A2(n77332), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[4]), .B2(n77331), .C1(n77329), .Y(n77337) );
+  sky130_fd_sc_hd__a21o_1 U95196 ( .A1(n77329), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[4]), .B1(n77337), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_next[0]) );
+  sky130_fd_sc_hd__o22ai_1 U95197 ( .A1(n77332), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[13]), .B1(n77331), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[9]), .Y(n77355) );
+  sky130_fd_sc_hd__a22o_1 U95198 ( .A1(n77332), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[8]), .B1(n77331), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[12]), .X(n77352) );
+  sky130_fd_sc_hd__o22ai_1 U95199 ( .A1(n77332), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[11]), .B1(n77331), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[7]), .Y(n77350) );
+  sky130_fd_sc_hd__a22o_1 U95200 ( .A1(n77332), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[6]), .B1(n77331), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[10]), .X(n77348) );
+  sky130_fd_sc_hd__o22ai_1 U95201 ( .A1(n77332), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[9]), .B1(n77331), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[5]), .Y(n77346) );
+  sky130_fd_sc_hd__a22o_1 U95202 ( .A1(n77332), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[4]), .B1(n77331), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[8]), .X(n77344) );
+  sky130_fd_sc_hd__o22ai_1 U95203 ( .A1(n77332), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[7]), .B1(n77331), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[3]), .Y(n77342) );
+  sky130_fd_sc_hd__a22o_1 U95204 ( .A1(n77332), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[2]), .B1(n77331), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[6]), .X(n77340) );
+  sky130_fd_sc_hd__o22ai_1 U95205 ( .A1(n77332), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[5]), .B1(n77331), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[1]), .Y(n77338) );
+  sky130_fd_sc_hd__nand2_1 U95206 ( .A(n77338), .B(n77337), .Y(n77339) );
+  sky130_fd_sc_hd__nor2_1 U95207 ( .A(n77340), .B(n77339), .Y(n77341) );
+  sky130_fd_sc_hd__nand2_1 U95208 ( .A(n77342), .B(n77341), .Y(n77343) );
+  sky130_fd_sc_hd__nor2_1 U95209 ( .A(n77344), .B(n77343), .Y(n77345) );
+  sky130_fd_sc_hd__nand2_1 U95210 ( .A(n77346), .B(n77345), .Y(n77347) );
+  sky130_fd_sc_hd__nor2_1 U95211 ( .A(n77348), .B(n77347), .Y(n77349) );
+  sky130_fd_sc_hd__nand2_1 U95212 ( .A(n77350), .B(n77349), .Y(n77351) );
+  sky130_fd_sc_hd__nor2_1 U95213 ( .A(n77352), .B(n77351), .Y(n77354) );
+  sky130_fd_sc_hd__nand2_1 U95214 ( .A(n77355), .B(n77354), .Y(n77353) );
+  sky130_fd_sc_hd__a22o_1 U95215 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[14]), .A2(n77331), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[10]), .B2(n79224), .X(n77330) );
+  sky130_fd_sc_hd__nor2_1 U95216 ( .A(n77353), .B(n77330), .Y(n77333) );
+  sky130_fd_sc_hd__a21o_1 U95217 ( .A1(n77353), .A2(n77330), .B1(n77333), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_next[10]) );
+  sky130_fd_sc_hd__o22ai_1 U95218 ( .A1(n77332), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[15]), .B1(n77331), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[11]), .Y(n77334) );
+  sky130_fd_sc_hd__nand2_1 U95219 ( .A(n77334), .B(n77333), .Y(n77336) );
+  sky130_fd_sc_hd__nand2_1 U95221 ( .A(n79224), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler[12]), .Y(n77335) );
+  sky130_fd_sc_hd__xor2_1 U95222 ( .A(n77336), .B(n77335), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_next[12]) );
+  sky130_fd_sc_hd__a21o_1 U95224 ( .A1(n77340), .A2(n77339), .B1(n77341), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_next[2]) );
+  sky130_fd_sc_hd__o21ai_1 U95225 ( .A1(n77342), .A2(n77341), .B1(n77343), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_next[3]) );
+  sky130_fd_sc_hd__a21o_1 U95226 ( .A1(n77344), .A2(n77343), .B1(n77345), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_next[4]) );
+  sky130_fd_sc_hd__o21ai_1 U95227 ( .A1(n77346), .A2(n77345), .B1(n77347), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_next[5]) );
+  sky130_fd_sc_hd__a21o_1 U95228 ( .A1(n77348), .A2(n77347), .B1(n77349), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_next[6]) );
+  sky130_fd_sc_hd__o21ai_1 U95229 ( .A1(n77350), .A2(n77349), .B1(n77351), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_next[7]) );
+  sky130_fd_sc_hd__a21o_1 U95230 ( .A1(n77352), .A2(n77351), .B1(n77354), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_next[8]) );
+  sky130_fd_sc_hd__o21ai_1 U95231 ( .A1(n77355), .A2(n77354), .B1(n77353), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_next[9]) );
+  sky130_fd_sc_hd__o22ai_1 U95233 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[0]), .A2(n77357), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[1]), .B2(n81086), .Y(n77358) );
+  sky130_fd_sc_hd__a222oi_1 U95234 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[2]), .A2(n79298), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[2]), .B2(n77358), .C1(n79298), .C2(n77358), .Y(n77361) );
+  sky130_fd_sc_hd__nor2_1 U95235 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[3]), .B(n77361), .Y(n77363) );
+  sky130_fd_sc_hd__nor2_1 U95236 ( .A(n77360), .B(n77359), .Y(n77369) );
+  sky130_fd_sc_hd__a21oi_1 U95237 ( .A1(n77361), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[3]), .B1(n77369), .Y(n77362) );
+  sky130_fd_sc_hd__a21oi_1 U95240 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[0]), .A2(n79312), .B1(n77364), .Y(n77374) );
+  sky130_fd_sc_hd__o21bai_1 U95241 ( .A1(n77365), .A2(n81092), .B1_N(n77374), 
+        .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_next[0]) );
+  sky130_fd_sc_hd__o22ai_1 U95242 ( .A1(n77369), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[13]), .B1(n77368), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[9]), .Y(n77392) );
+  sky130_fd_sc_hd__nand2_1 U95243 ( .A(n77369), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[8]), .Y(n77366) );
+  sky130_fd_sc_hd__o22ai_1 U95245 ( .A1(n77369), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[11]), .B1(n77368), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[7]), .Y(n77387) );
+  sky130_fd_sc_hd__a22o_1 U95246 ( .A1(n77369), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[6]), .B1(n77368), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[10]), .X(n77385) );
+  sky130_fd_sc_hd__o22ai_1 U95247 ( .A1(n77369), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[9]), .B1(n77368), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[5]), .Y(n77383) );
+  sky130_fd_sc_hd__a22o_1 U95248 ( .A1(n77369), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[4]), .B1(n77368), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[8]), .X(n77381) );
+  sky130_fd_sc_hd__o22ai_1 U95249 ( .A1(n77369), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[7]), .B1(n77368), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[3]), .Y(n77379) );
+  sky130_fd_sc_hd__a22o_1 U95250 ( .A1(n77369), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[2]), .B1(n77368), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[6]), .X(n77377) );
+  sky130_fd_sc_hd__o22ai_1 U95251 ( .A1(n77369), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[5]), .B1(n77368), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[1]), .Y(n77375) );
+  sky130_fd_sc_hd__nand2_1 U95252 ( .A(n77375), .B(n77374), .Y(n77376) );
+  sky130_fd_sc_hd__nor2_1 U95253 ( .A(n77377), .B(n77376), .Y(n77378) );
+  sky130_fd_sc_hd__nand2_1 U95254 ( .A(n77379), .B(n77378), .Y(n77380) );
+  sky130_fd_sc_hd__nor2_1 U95255 ( .A(n77381), .B(n77380), .Y(n77382) );
+  sky130_fd_sc_hd__nand2_1 U95256 ( .A(n77383), .B(n77382), .Y(n77384) );
+  sky130_fd_sc_hd__nor2_1 U95257 ( .A(n77385), .B(n77384), .Y(n77386) );
+  sky130_fd_sc_hd__nand2_1 U95258 ( .A(n77387), .B(n77386), .Y(n77388) );
+  sky130_fd_sc_hd__nor2_1 U95259 ( .A(n77389), .B(n77388), .Y(n77391) );
+  sky130_fd_sc_hd__nand2_1 U95260 ( .A(n77392), .B(n77391), .Y(n77390) );
+  sky130_fd_sc_hd__a22o_1 U95261 ( .A1(n77369), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[10]), .B1(n77368), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[14]), .X(n77367) );
+  sky130_fd_sc_hd__nor2_1 U95262 ( .A(n77390), .B(n77367), .Y(n77370) );
+  sky130_fd_sc_hd__a21o_1 U95263 ( .A1(n77390), .A2(n77367), .B1(n77370), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_next[10]) );
+  sky130_fd_sc_hd__o22ai_1 U95264 ( .A1(n77369), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[15]), .B1(n77368), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[11]), .Y(n77371) );
+  sky130_fd_sc_hd__nand2_1 U95265 ( .A(n77371), .B(n77370), .Y(n77373) );
+  sky130_fd_sc_hd__o21ai_1 U95266 ( .A1(n77371), .A2(n77370), .B1(n77373), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_next[11]) );
+  sky130_fd_sc_hd__nand2_1 U95267 ( .A(n79312), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler[12]), .Y(n77372) );
+  sky130_fd_sc_hd__xor2_1 U95268 ( .A(n77373), .B(n77372), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_next[12]) );
+  sky130_fd_sc_hd__o21ai_1 U95269 ( .A1(n77375), .A2(n77374), .B1(n77376), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_next[1]) );
+  sky130_fd_sc_hd__a21o_1 U95270 ( .A1(n77377), .A2(n77376), .B1(n77378), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_next[2]) );
+  sky130_fd_sc_hd__o21ai_1 U95271 ( .A1(n77379), .A2(n77378), .B1(n77380), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_next[3]) );
+  sky130_fd_sc_hd__a21o_1 U95272 ( .A1(n77381), .A2(n77380), .B1(n77382), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_next[4]) );
+  sky130_fd_sc_hd__a21o_1 U95274 ( .A1(n77385), .A2(n77384), .B1(n77386), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_next[6]) );
+  sky130_fd_sc_hd__o21ai_1 U95275 ( .A1(n77387), .A2(n77386), .B1(n77388), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_next[7]) );
+  sky130_fd_sc_hd__a21o_1 U95276 ( .A1(n77389), .A2(n77388), .B1(n77391), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_next[8]) );
+  sky130_fd_sc_hd__o21ai_1 U95277 ( .A1(n77392), .A2(n77391), .B1(n77390), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_next[9]) );
+  sky130_fd_sc_hd__nand2_1 U95278 ( .A(n77393), .B(n83509), .Y(n83527) );
+  sky130_fd_sc_hd__a21oi_1 U95279 ( .A1(n77394), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter[5]), 
+        .B1(n77403), .Y(n77395) );
+  sky130_fd_sc_hd__nor2_1 U95280 ( .A(n83527), .B(n77395), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N192) );
+  sky130_fd_sc_hd__nor2_1 U95281 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_beatsLeft[5]), 
+        .B(n77396), .Y(n77406) );
+  sky130_fd_sc_hd__a21oi_1 U95282 ( .A1(n77396), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_beatsLeft[5]), 
+        .B1(n77406), .Y(n77397) );
+  sky130_fd_sc_hd__nor2_1 U95283 ( .A(n84058), .B(n77397), .Y(n36303) );
+  sky130_fd_sc_hd__a21oi_1 U95285 ( .A1(n77402), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter[7]), 
+        .B1(n77399), .Y(n77400) );
+  sky130_fd_sc_hd__nor2_1 U95286 ( .A(n83527), .B(n77400), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N194) );
+  sky130_fd_sc_hd__nand2_1 U95287 ( .A(n77406), .B(n77404), .Y(n77405) );
+  sky130_fd_sc_hd__a221oi_1 U95288 ( .A1(n77403), .A2(n77402), .B1(n77401), 
+        .B2(n77402), .C1(n83527), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N193) );
+  sky130_fd_sc_hd__a221oi_1 U95289 ( .A1(n77406), .A2(n77405), .B1(n77404), 
+        .B2(n77405), .C1(n84058), .Y(n36302) );
+  sky130_fd_sc_hd__nand2_1 U95290 ( .A(n83461), .B(n36801), .Y(n83975) );
+  sky130_fd_sc_hd__nor2_1 U95291 ( .A(n83890), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft[0]), .Y(
+        n83889) );
+  sky130_fd_sc_hd__nor2_1 U95292 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft[1]), .B(
+        n83931), .Y(n83935) );
+  sky130_fd_sc_hd__nand2_1 U95293 ( .A(n83935), .B(n83934), .Y(n83974) );
+  sky130_fd_sc_hd__nor2_1 U95294 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft[3]), .B(
+        n83974), .Y(n83982) );
+  sky130_fd_sc_hd__nand2_1 U95295 ( .A(n83982), .B(n83983), .Y(n83981) );
+  sky130_fd_sc_hd__nor2_1 U95296 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft[5]), .B(
+        n83981), .Y(n77459) );
+  sky130_fd_sc_hd__a21oi_1 U95297 ( .A1(n83981), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft[5]), .B1(
+        n77459), .Y(n77407) );
+  sky130_fd_sc_hd__nor2_1 U95298 ( .A(n83975), .B(n77407), .Y(n36337) );
+  sky130_fd_sc_hd__nand2_1 U95299 ( .A(n77459), .B(n77457), .Y(n77458) );
+  sky130_fd_sc_hd__nor2_1 U95300 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter[5]), .B(n77447), .Y(n77408) );
+  sky130_fd_sc_hd__a21oi_1 U95301 ( .A1(n77447), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter[5]), .B1(n77408), .Y(n77409) );
+  sky130_fd_sc_hd__nor2_1 U95302 ( .A(n82612), .B(n77409), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N626) );
+  sky130_fd_sc_hd__nand2_1 U95303 ( .A(n83000), .B(n86750), .Y(n83011) );
+  sky130_fd_sc_hd__a221oi_1 U95304 ( .A1(n77412), .A2(n77451), .B1(n77411), 
+        .B2(n77451), .C1(n83011), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N816) );
+  sky130_fd_sc_hd__nor2_1 U95305 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[0]), .Y(n83480) );
+  sky130_fd_sc_hd__nand2_1 U95306 ( .A(n83480), .B(n77413), .Y(n83483) );
+  sky130_fd_sc_hd__nor2_1 U95307 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[3]), .B(n83483), .Y(n83482) );
+  sky130_fd_sc_hd__nor2_1 U95308 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[4]), .B(n83486), .Y(n83485) );
+  sky130_fd_sc_hd__nand2_1 U95309 ( .A(n77414), .B(n83485), .Y(n77466) );
+  sky130_fd_sc_hd__nand2_1 U95310 ( .A(n77466), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[7]), .Y(n77415) );
+  sky130_fd_sc_hd__nand3b_1 U95311 ( .A_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[7]), .B(n77414), .C(n83485), .Y(n77460) );
+  sky130_fd_sc_hd__nor2_1 U95312 ( .A(n37041), .B(n83464), .Y(n83478) );
+  sky130_fd_sc_hd__nor2b_1 U95313 ( .B_N(n83476), .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[0]), .Y(n83477) );
+  sky130_fd_sc_hd__nor2_1 U95314 ( .A(n83474), .B(n83477), .Y(n77453) );
+  sky130_fd_sc_hd__a21oi_1 U95315 ( .A1(n77415), .A2(n77460), .B1(n83488), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N94) );
+  sky130_fd_sc_hd__o21ai_1 U95316 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[6]), .A2(n77467), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[7]), .Y(n77416) );
+  sky130_fd_sc_hd__a21oi_1 U95317 ( .A1(n38424), .A2(n77416), .B1(n83505), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N59) );
+  sky130_fd_sc_hd__nor2_1 U95318 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter[0]), .Y(n82988) );
+  sky130_fd_sc_hd__nand2_1 U95319 ( .A(n77417), .B(n82988), .Y(n82993) );
+  sky130_fd_sc_hd__nor2_1 U95320 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter[4]), .B(n82993), .Y(n77433) );
+  sky130_fd_sc_hd__nand2_1 U95321 ( .A(n77433), .B(n77429), .Y(n77430) );
+  sky130_fd_sc_hd__nor2_1 U95322 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter[6]), .B(n77430), .Y(n77425) );
+  sky130_fd_sc_hd__nand2_1 U95324 ( .A(n77418), .B(n77425), .Y(n82983) );
+  sky130_fd_sc_hd__nand2_1 U95325 ( .A(n82983), .B(n82986), .Y(n82997) );
+  sky130_fd_sc_hd__nand2_1 U95327 ( .A(n82986), .B(n83015), .Y(n83022) );
+  sky130_fd_sc_hd__nand3b_1 U95328 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1[5]), .B(n77437), .C(n77435), .Y(n77431) );
+  sky130_fd_sc_hd__nor2_1 U95329 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1[6]), .B(n77431), .Y(n77427) );
+  sky130_fd_sc_hd__nand2_1 U95330 ( .A(n77427), .B(n77423), .Y(n77424) );
+  sky130_fd_sc_hd__a221oi_1 U95333 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter[7]), .A2(n77425), .B1(n77418), .B2(n77421), .C1(n82997), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N854) );
+  sky130_fd_sc_hd__a221oi_1 U95334 ( .A1(n77427), .A2(n77424), .B1(n77423), 
+        .B2(n77424), .C1(n83022), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N576) );
+  sky130_fd_sc_hd__a21oi_1 U95335 ( .A1(n77430), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter[6]), .B1(n77425), .Y(n77426) );
+  sky130_fd_sc_hd__nor2_1 U95336 ( .A(n82997), .B(n77426), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N853) );
+  sky130_fd_sc_hd__a21oi_1 U95337 ( .A1(n77431), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1[6]), .B1(n77427), .Y(n77428) );
+  sky130_fd_sc_hd__nor2_1 U95338 ( .A(n83022), .B(n77428), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N575) );
+  sky130_fd_sc_hd__a221oi_1 U95339 ( .A1(n77433), .A2(n77430), .B1(n77429), 
+        .B2(n77430), .C1(n82997), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N852) );
+  sky130_fd_sc_hd__nand2_1 U95340 ( .A(n77437), .B(n77435), .Y(n77436) );
+  sky130_fd_sc_hd__nand2_1 U95341 ( .A(n77436), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1[5]), .Y(n77432) );
+  sky130_fd_sc_hd__a21oi_1 U95342 ( .A1(n77432), .A2(n77431), .B1(n83022), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N574) );
+  sky130_fd_sc_hd__a21oi_1 U95343 ( .A1(n82993), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter[4]), .B1(n77433), .Y(n77434) );
+  sky130_fd_sc_hd__nor2_1 U95344 ( .A(n82997), .B(n77434), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N851) );
+  sky130_fd_sc_hd__a221oi_1 U95345 ( .A1(n77437), .A2(n77436), .B1(n77435), 
+        .B2(n77436), .C1(n83022), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N573) );
+  sky130_fd_sc_hd__nand2_1 U95346 ( .A(n77439), .B(n77438), .Y(n77449) );
+  sky130_fd_sc_hd__a221oi_1 U95350 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter[7]), .A2(n77443), .B1(n74044), .B2(n77449), .C1(n82612), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N628) );
+  sky130_fd_sc_hd__a21oi_1 U95352 ( .A1(n86750), .A2(n77445), .B1(n83011), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N818) );
+  sky130_fd_sc_hd__o21ai_1 U95353 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter[5]), .A2(n77447), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter[6]), .Y(n77448) );
+  sky130_fd_sc_hd__a21oi_1 U95354 ( .A1(n77449), .A2(n77448), .B1(n82612), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N627) );
+  sky130_fd_sc_hd__nor2_1 U95355 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[6]), .B(n77451), .Y(n77450) );
+  sky130_fd_sc_hd__a21oi_1 U95356 ( .A1(n77451), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[6]), .B1(n77450), .Y(n77452) );
+  sky130_fd_sc_hd__nor2_1 U95357 ( .A(n83011), .B(n77452), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N817) );
+  sky130_fd_sc_hd__o21ai_1 U95358 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[5]), .A2(n83485), .B1(n77453), .Y(n77454) );
+  sky130_fd_sc_hd__a21oi_1 U95359 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[5]), .A2(n83485), .B1(n77454), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N92) );
+  sky130_fd_sc_hd__a21oi_1 U95360 ( .A1(n77455), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[5]), .B1(n77469), .Y(n77456) );
+  sky130_fd_sc_hd__nor2_1 U95361 ( .A(n83505), .B(n77456), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N57) );
+  sky130_fd_sc_hd__a221oi_1 U95362 ( .A1(n77459), .A2(n77458), .B1(n77457), 
+        .B2(n77458), .C1(n83975), .Y(n36336) );
+  sky130_fd_sc_hd__a21oi_1 U95368 ( .A1(n77466), .A2(n77465), .B1(n83488), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N93) );
+  sky130_fd_sc_hd__a221oi_1 U95369 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[6]), .A2(n77469), .B1(n77468), .B2(n77467), .C1(n83505), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N58) );
+  sky130_fd_sc_hd__nor3_1 U95370 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_beatsLeft[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_beatsLeft[0]), .C(
+        n84148), .Y(n84150) );
+  sky130_fd_sc_hd__nand2_1 U95371 ( .A(n84150), .B(n77476), .Y(n84154) );
+  sky130_fd_sc_hd__nor2_1 U95372 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_beatsLeft[3]), .B(
+        n84154), .Y(n84158) );
+  sky130_fd_sc_hd__nand2_1 U95373 ( .A(n84158), .B(n84157), .Y(n84156) );
+  sky130_fd_sc_hd__nor2_1 U95374 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_beatsLeft[5]), .B(
+        n84156), .Y(n77471) );
+  sky130_fd_sc_hd__a21oi_1 U95375 ( .A1(n84156), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_beatsLeft[5]), .B1(
+        n77471), .Y(n77470) );
+  sky130_fd_sc_hd__nor2_1 U95376 ( .A(n36415), .B(n77470), .Y(n36252) );
+  sky130_fd_sc_hd__nand2_1 U95377 ( .A(n77471), .B(n36979), .Y(n77472) );
+  sky130_fd_sc_hd__a221oi_1 U95378 ( .A1(n77471), .A2(n77472), .B1(n36979), 
+        .B2(n77472), .C1(n36415), .Y(n36251) );
+  sky130_fd_sc_hd__nor4_1 U95379 ( .A(n77474), .B(n36802), .C(n85787), .D(
+        n77473), .Y(n84152) );
+  sky130_fd_sc_hd__nor2_1 U95380 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_beatsLeft[0]), .B(
+        n84148), .Y(n84149) );
+  sky130_fd_sc_hd__a21oi_1 U95381 ( .A1(n84148), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_beatsLeft[0]), .B1(
+        n84149), .Y(n77475) );
+  sky130_fd_sc_hd__o22ai_1 U95382 ( .A1(n83267), .A2(n77479), .B1(n77475), 
+        .B2(n36415), .Y(n36257) );
+  sky130_fd_sc_hd__o21ai_1 U95384 ( .A1(n77476), .A2(n84150), .B1(n84154), .Y(
+        n77477) );
+  sky130_fd_sc_hd__nand2_1 U95385 ( .A(n77477), .B(n84163), .Y(n77478) );
+  sky130_fd_sc_hd__nand2_1 U95387 ( .A(n77482), .B(n77481), .Y(n77597) );
+  sky130_fd_sc_hd__nor3_1 U95388 ( .A(n83631), .B(n77483), .C(n77597), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N495) );
+  sky130_fd_sc_hd__nand4_1 U95390 ( .A(n77488), .B(n77487), .C(n77486), .D(
+        n77485), .Y(n77489) );
+  sky130_fd_sc_hd__nand3_1 U95392 ( .A(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_source_ready), .B(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_valid_reg), .C(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmactive_synced_dmInner_io_innerCtrl_sink_io_deq_bits_resumereq), .Y(n77490) );
+  sky130_fd_sc_hd__a21oi_1 U95393 ( .A1(n77491), .A2(n77490), .B1(n82298), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner__GEN_66_0_) );
+  sky130_fd_sc_hd__nor2b_1 U95394 ( .B_N(la_data_in[31]), .A(la_oenb[31]), .Y(
+        la_data_input[31]) );
+  sky130_fd_sc_hd__nor2b_1 U95395 ( .B_N(la_data_in[30]), .A(la_oenb[30]), .Y(
+        la_data_input[30]) );
+  sky130_fd_sc_hd__nor2b_1 U95396 ( .B_N(la_data_in[29]), .A(la_oenb[29]), .Y(
+        la_data_input[29]) );
+  sky130_fd_sc_hd__nor2b_1 U95397 ( .B_N(la_data_in[28]), .A(la_oenb[28]), .Y(
+        la_data_input[28]) );
+  sky130_fd_sc_hd__nor2b_1 U95398 ( .B_N(la_data_in[27]), .A(la_oenb[27]), .Y(
+        la_data_input[27]) );
+  sky130_fd_sc_hd__nor2b_1 U95399 ( .B_N(la_data_in[26]), .A(la_oenb[26]), .Y(
+        la_data_input[26]) );
+  sky130_fd_sc_hd__nor2b_1 U95400 ( .B_N(la_data_in[25]), .A(la_oenb[25]), .Y(
+        la_data_input[25]) );
+  sky130_fd_sc_hd__nor2b_1 U95401 ( .B_N(la_data_in[11]), .A(la_oenb[11]), .Y(
+        la_data_input[11]) );
+  sky130_fd_sc_hd__nor2b_1 U95402 ( .B_N(la_data_in[10]), .A(la_oenb[10]), .Y(
+        la_data_input[10]) );
+  sky130_fd_sc_hd__nor2b_1 U95403 ( .B_N(la_data_in[9]), .A(la_oenb[9]), .Y(
+        la_data_input[9]) );
+  sky130_fd_sc_hd__nor2b_1 U95404 ( .B_N(la_data_in[8]), .A(la_oenb[8]), .Y(
+        la_data_input[8]) );
+  sky130_fd_sc_hd__nor2b_1 U95405 ( .B_N(la_data_in[7]), .A(la_oenb[7]), .Y(
+        la_data_input[7]) );
+  sky130_fd_sc_hd__nor2b_1 U95406 ( .B_N(la_data_in[6]), .A(la_oenb[6]), .Y(
+        la_data_input[6]) );
+  sky130_fd_sc_hd__nor2b_1 U95407 ( .B_N(io_in[23]), .A(n77492), .Y(
+        MarmotCaravelChip_dut_io_pins_qspi_ram_dq_1_i_ival) );
+  sky130_fd_sc_hd__and3_1 U95408 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[24]), .B(io_in[7]), .C(n77493), .X(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_24_i_ival) );
+  sky130_fd_sc_hd__and3_1 U95409 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[23]), .B(io_in[37]), .C(n77494), .X(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_23_i_ival) );
+  sky130_fd_sc_hd__nor2_1 U95411 ( .A(n77496), .B(n77495), .Y(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_22_i_ival) );
+  sky130_fd_sc_hd__and3_1 U95412 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[21]), .B(io_in[35]), .C(n77497), .X(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_21_i_ival) );
+  sky130_fd_sc_hd__nor2_1 U95414 ( .A(n77499), .B(n77498), .Y(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_20_i_ival) );
+  sky130_fd_sc_hd__and3_1 U95415 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[19]), .B(io_in[33]), .C(n77500), .X(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_19_i_ival) );
+  sky130_fd_sc_hd__nor2_1 U95417 ( .A(n77502), .B(n77501), .Y(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_18_i_ival) );
+  sky130_fd_sc_hd__and3_1 U95418 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[17]), .B(io_in[31]), .C(n77503), .X(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_17_i_ival) );
+  sky130_fd_sc_hd__o21ai_1 U95419 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[16]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[16]), .B1(io_in[30]), .Y(n77504) );
+  sky130_fd_sc_hd__nor2_1 U95420 ( .A(n77505), .B(n77504), .Y(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_16_i_ival) );
+  sky130_fd_sc_hd__o21ai_1 U95421 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[15]), .A2(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[15]), 
+        .B1(io_in[29]), .Y(n77506) );
+  sky130_fd_sc_hd__a21oi_1 U95422 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[15]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[15]), 
+        .B1(n77506), .Y(MarmotCaravelChip_dut_io_pins_gpio_pins_15_i_ival) );
+  sky130_fd_sc_hd__o21ai_1 U95423 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[14]), .A2(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[14]), 
+        .B1(io_in[28]), .Y(n77507) );
+  sky130_fd_sc_hd__a21oi_1 U95424 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[14]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[14]), 
+        .B1(n77507), .Y(MarmotCaravelChip_dut_io_pins_gpio_pins_14_i_ival) );
+  sky130_fd_sc_hd__nor2_1 U95426 ( .A(n77509), .B(n77508), .Y(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_13_i_ival) );
+  sky130_fd_sc_hd__a21oi_1 U95428 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[12]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[12]), 
+        .B1(n77510), .Y(MarmotCaravelChip_dut_io_pins_gpio_pins_12_i_ival) );
+  sky130_fd_sc_hd__o21ai_1 U95429 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[5]), 
+        .A2(n77511), .B1(io_in[19]), .Y(n77512) );
+  sky130_fd_sc_hd__nor2_1 U95430 ( .A(n77513), .B(n77512), .Y(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_5_i_ival) );
+  sky130_fd_sc_hd__o21ai_1 U95431 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[4]), 
+        .A2(n77514), .B1(io_in[18]), .Y(n77515) );
+  sky130_fd_sc_hd__nor2_1 U95432 ( .A(n77516), .B(n77515), .Y(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_4_i_ival) );
+  sky130_fd_sc_hd__nor2_1 U95434 ( .A(n77519), .B(n77518), .Y(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_3_i_ival) );
+  sky130_fd_sc_hd__nor2_1 U95436 ( .A(n77522), .B(n77521), .Y(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_2_i_ival) );
+  sky130_fd_sc_hd__and3_1 U95437 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[1]), 
+        .B(io_in[15]), .C(n77523), .X(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_1_i_ival) );
+  sky130_fd_sc_hd__and3_1 U95438 ( .A(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[0]), 
+        .B(io_in[14]), .C(n77524), .X(
+        MarmotCaravelChip_dut_io_pins_gpio_pins_0_i_ival) );
+  sky130_fd_sc_hd__o21ai_1 U95439 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[31]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[31]), 
+        .B1(MarmotCaravelChip_dut_io_pins_gpio_pins_31_o_oe), .Y(n77525) );
+  sky130_fd_sc_hd__a21oi_1 U95440 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[31]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[31]), 
+        .B1(n77525), .Y(la_data_out[31]) );
+  sky130_fd_sc_hd__o21ai_1 U95441 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[30]), 
+        .A2(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[30]), .B1(MarmotCaravelChip_dut_io_pins_gpio_pins_30_o_oe), .Y(n77526) );
+  sky130_fd_sc_hd__a21oi_1 U95442 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[30]), 
+        .A2(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[30]), .B1(n77526), .Y(la_data_out[30]) );
+  sky130_fd_sc_hd__o21ai_1 U95443 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[29]), 
+        .A2(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[29]), .B1(MarmotCaravelChip_dut_io_pins_gpio_pins_29_o_oe), .Y(n77527) );
+  sky130_fd_sc_hd__a21oi_1 U95444 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[29]), 
+        .A2(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[29]), .B1(n77527), .Y(la_data_out[29]) );
+  sky130_fd_sc_hd__o21ai_1 U95445 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[28]), 
+        .A2(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[28]), .B1(MarmotCaravelChip_dut_io_pins_gpio_pins_28_o_oe), .Y(n77528) );
+  sky130_fd_sc_hd__a21oi_1 U95446 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[28]), 
+        .A2(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[28]), .B1(n77528), .Y(la_data_out[28]) );
+  sky130_fd_sc_hd__a21oi_1 U95448 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[27]), 
+        .A2(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[27]), .B1(n77529), .Y(la_data_out[27]) );
+  sky130_fd_sc_hd__o21ai_1 U95449 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[26]), 
+        .A2(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[26]), .B1(MarmotCaravelChip_dut_io_pins_gpio_pins_26_o_oe), .Y(n77530) );
+  sky130_fd_sc_hd__a21oi_1 U95450 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[26]), 
+        .A2(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[26]), .B1(n77530), .Y(la_data_out[26]) );
+  sky130_fd_sc_hd__o21ai_1 U95451 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[25]), 
+        .A2(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[25]), .B1(MarmotCaravelChip_dut_io_pins_gpio_pins_25_o_oe), .Y(n77531) );
+  sky130_fd_sc_hd__a21oi_1 U95452 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[25]), 
+        .A2(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[25]), .B1(n77531), .Y(la_data_out[25]) );
+  sky130_fd_sc_hd__o21ai_1 U95453 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[11]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[11]), 
+        .B1(MarmotCaravelChip_dut_io_pins_gpio_pins_11_o_oe), .Y(n77532) );
+  sky130_fd_sc_hd__a21oi_1 U95454 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[11]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[11]), 
+        .B1(n77532), .Y(la_data_out[11]) );
+  sky130_fd_sc_hd__o21ai_1 U95455 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[10]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[10]), 
+        .B1(MarmotCaravelChip_dut_io_pins_gpio_pins_10_o_oe), .Y(n77533) );
+  sky130_fd_sc_hd__a21oi_1 U95456 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[10]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[10]), 
+        .B1(n77533), .Y(la_data_out[10]) );
+  sky130_fd_sc_hd__o21ai_1 U95457 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[9]), 
+        .A2(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[9]), .B1(MarmotCaravelChip_dut_io_pins_gpio_pins_9_o_oe), .Y(n77534) );
+  sky130_fd_sc_hd__a21oi_1 U95458 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[9]), 
+        .A2(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[9]), .B1(n77534), .Y(la_data_out[9]) );
+  sky130_fd_sc_hd__o21ai_1 U95459 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[8]), 
+        .A2(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[8]), .B1(MarmotCaravelChip_dut_io_pins_gpio_pins_8_o_oe), .Y(n77535) );
+  sky130_fd_sc_hd__a21oi_1 U95460 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[8]), 
+        .A2(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[8]), .B1(n77535), .Y(la_data_out[8]) );
+  sky130_fd_sc_hd__o21ai_1 U95461 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[7]), 
+        .A2(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[7]), 
+        .B1(MarmotCaravelChip_dut_io_pins_gpio_pins_7_o_oe), .Y(n77536) );
+  sky130_fd_sc_hd__a21oi_1 U95462 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[7]), 
+        .A2(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[7]), 
+        .B1(n77536), .Y(la_data_out[7]) );
+  sky130_fd_sc_hd__o21ai_1 U95463 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[6]), 
+        .A2(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[6]), 
+        .B1(MarmotCaravelChip_dut_io_pins_gpio_pins_6_o_oe), .Y(n77537) );
+  sky130_fd_sc_hd__a21oi_1 U95464 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[6]), 
+        .A2(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[6]), 
+        .B1(n77537), .Y(la_data_out[6]) );
+  sky130_fd_sc_hd__o22ai_1 U95465 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .A2(n85781), .B1(n83875), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[2]), .Y(n77539) );
+  sky130_fd_sc_hd__o21ai_1 U95466 ( .A1(n77541), .A2(n77540), .B1(n77539), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_plic_fragmenter_out_a_bits_address[2]) );
+  sky130_fd_sc_hd__a22oi_1 U95467 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[3]), .B1(n77543), .B2(n77542), .Y(n77544) );
+  sky130_fd_sc_hd__o21ai_1 U95468 ( .A1(n77559), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .B1(n77544), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_plic_fragmenter_out_a_bits_address[3]) );
+  sky130_fd_sc_hd__o22ai_1 U95469 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .A2(n85782), .B1(n83875), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[4]), .Y(n77546) );
+  sky130_fd_sc_hd__o21ai_1 U95470 ( .A1(n77548), .A2(n77547), .B1(n77546), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_plic_fragmenter_out_a_bits_address[4]) );
+  sky130_fd_sc_hd__o22ai_1 U95471 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .A2(n85783), .B1(n83875), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[5]), .Y(n77549) );
+  sky130_fd_sc_hd__a21oi_1 U95474 ( .A1(n77552), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_ridx), .B1(n77551), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_N8) );
+  sky130_fd_sc_hd__o22ai_1 U95475 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_full), .A2(n85781), .B1(n83392), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_address[2]), .Y(n77553) );
+  sky130_fd_sc_hd__o21ai_1 U95476 ( .A1(n77555), .A2(n77554), .B1(n77553), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_auto_out_a_bits_address[2]) );
+  sky130_fd_sc_hd__a22oi_1 U95477 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_address[3]), .B1(n77557), .B2(n77556), .Y(n77558) );
+  sky130_fd_sc_hd__o22ai_1 U95479 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_full), .A2(n85782), .B1(n83392), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_address[4]), .Y(n77561) );
+  sky130_fd_sc_hd__o22ai_1 U95481 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_full), .A2(n85783), .B1(n83392), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_address[5]), .Y(n77564) );
+  sky130_fd_sc_hd__o21ai_1 U95482 ( .A1(n77565), .A2(n85784), .B1(n77564), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_auto_out_a_bits_address[5]) );
+  sky130_fd_sc_hd__o22ai_1 U95483 ( .A1(n36473), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_r[0]), .B1(
+        n83283), .B2(n85785), .Y(n83251) );
+  sky130_fd_sc_hd__nor2_1 U95484 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter[2]), 
+        .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter[3]), 
+        .Y(n77569) );
+  sky130_fd_sc_hd__nand2b_1 U95485 ( .A_N(n77567), .B(n77566), .Y(n84016) );
+  sky130_fd_sc_hd__a31oi_1 U95486 ( .A1(n77569), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter[0]), 
+        .A3(n77568), .B1(n84016), .Y(n77573) );
+  sky130_fd_sc_hd__or3_1 U95487 ( .A(n77572), .B(n77571), .C(n77570), .X(
+        n84066) );
+  sky130_fd_sc_hd__a21oi_1 U95488 ( .A1(n77573), .A2(n84034), .B1(n84066), .Y(
+        n77574) );
+  sky130_fd_sc_hd__o21ai_1 U95489 ( .A1(n83095), .A2(n84034), .B1(n77574), .Y(
+        n77590) );
+  sky130_fd_sc_hd__a21oi_1 U95490 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_sent_d), .A2(n83249), .B1(n36802), .Y(n77575) );
+  sky130_fd_sc_hd__o21ai_1 U95491 ( .A1(n83251), .A2(n77590), .B1(n77575), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N24) );
+  sky130_fd_sc_hd__o22ai_1 U95492 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_ram_sink_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_ram_sink[3]), .B1(n77576), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_ram_sink[1]), .Y(n77588) );
+  sky130_fd_sc_hd__o22ai_1 U95493 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_ram_sink_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_ram_sink[2]), .B1(n77576), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_ram_sink[0]), .Y(n77580) );
+  sky130_fd_sc_hd__nor2_1 U95494 ( .A(n77577), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_maybe_full), .Y(n82454) );
+  sky130_fd_sc_hd__nand2_1 U95495 ( .A(n77580), .B(n77594), .Y(n77587) );
+  sky130_fd_sc_hd__nand2_1 U95496 ( .A(n85787), .B(n85786), .Y(n77591) );
+  sky130_fd_sc_hd__a221oi_1 U95497 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_got_e), .A2(n83249), .B1(n77591), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N26), .C1(n36802), .Y(n77578) );
+  sky130_fd_sc_hd__o21ai_1 U95498 ( .A1(n77593), .A2(n77587), .B1(n77578), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N23) );
+  sky130_fd_sc_hd__a21oi_1 U95499 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_sent_d), .A2(n83178), .B1(n36802), .Y(n77579) );
+  sky130_fd_sc_hd__o21ai_1 U95500 ( .A1(n83177), .A2(n77590), .B1(n77579), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N24) );
+  sky130_fd_sc_hd__a31oi_1 U95502 ( .A1(n77588), .A2(n77594), .A3(n77592), 
+        .B1(n74033), .Y(n77581) );
+  sky130_fd_sc_hd__nand2_1 U95503 ( .A(n77582), .B(n77581), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N23) );
+  sky130_fd_sc_hd__o22ai_1 U95504 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N26), .A2(n77584), .B1(n83139), .B2(n77583), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N25) );
+  sky130_fd_sc_hd__a21oi_1 U95505 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_sent_d), .A2(n83137), .B1(n36848), .Y(n77585) );
+  sky130_fd_sc_hd__o21ai_1 U95506 ( .A1(n83138), .A2(n77590), .B1(n77585), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N24) );
+  sky130_fd_sc_hd__a221oi_1 U95507 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_got_e), .A2(n83137), .B1(n77591), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N26), .C1(n36802), .Y(n77586) );
+  sky130_fd_sc_hd__a21oi_1 U95509 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_sent_d), .A2(n83092), .B1(n83631), .Y(n77589) );
+  sky130_fd_sc_hd__a31oi_1 U95512 ( .A1(n77594), .A2(n77593), .A3(n77592), 
+        .B1(n36848), .Y(n77595) );
+  sky130_fd_sc_hd__nand2_1 U95513 ( .A(n77596), .B(n77595), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N23) );
+  sky130_fd_sc_hd__a221oi_1 U95514 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pma_checker_io_req_bits_size[0]), .A2(n78372), .B1(n78375), .B2(n78372), .C1(n85788), .Y(n77598) );
+  sky130_fd_sc_hd__nor3_1 U95515 ( .A(n77598), .B(n78374), .C(n77597), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N823) );
+  sky130_fd_sc_hd__nand2_1 U95516 ( .A(n77600), .B(n77599), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N579) );
+  sky130_fd_sc_hd__nor3b_1 U95517 ( .C_N(n77603), .A(n77602), .B(n77601), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_did_read_T_54) );
+  sky130_fd_sc_hd__nor3b_1 U95519 ( .C_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_cmd[2]), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_cmd[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_cmd[0]), .Y(n77605) );
+  sky130_fd_sc_hd__a211oi_1 U95520 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_cmd[0]), .A2(n77606), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_cmd[3]), .C1(n77605), .Y(n77608) );
+  sky130_fd_sc_hd__nor2_1 U95521 ( .A(n77608), .B(n77609), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_ma_st) );
+  sky130_fd_sc_hd__nor2_1 U95522 ( .A(n77610), .B(n77609), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_ma_ld) );
+  sky130_fd_sc_hd__xor2_1 U95523 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr[13]), .X(n77611) );
+  sky130_fd_sc_hd__xnor2_1 U95524 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr[15]), .B(n77611), .Y(n77614) );
+  sky130_fd_sc_hd__a21oi_1 U95526 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr[10]), .A2(n77614), .B1(n77613), .Y(n77615) );
+  sky130_fd_sc_hd__a21oi_1 U95527 ( .A1(n77616), .A2(n78379), .B1(n77615), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N4) );
+  sky130_fd_sc_hd__a22oi_1 U95528 ( .A1(n60177), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_way[1]), .B1(n78456), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_way[1]), .Y(n77617) );
+  sky130_fd_sc_hd__nand2_1 U95529 ( .A(n77630), .B(n77617), .Y(n77618) );
+  sky130_fd_sc_hd__a21oi_1 U95530 ( .A1(n78453), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_3_bits_way_en[1]), .B1(n77618), .Y(n77620) );
+  sky130_fd_sc_hd__o22ai_1 U95531 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[3]), .A2(n78459), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_49[3]), .B2(n77619), .Y(n77623) );
+  sky130_fd_sc_hd__o22ai_1 U95532 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[2]), .A2(n78459), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_49[2]), .B2(n77619), .Y(n77624) );
+  sky130_fd_sc_hd__o22ai_1 U95534 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[1]), .A2(n78459), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_49[1]), .B2(n77619), .Y(n77625) );
+  sky130_fd_sc_hd__o22ai_1 U95535 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_11[0]), .A2(n78459), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s1_hazard_T_49[0]), .B2(n77619), .Y(n77626) );
+  sky130_fd_sc_hd__a222oi_1 U95536 ( .A1(n77622), .A2(n78453), .B1(n78456), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_way[0]), .C1(n60177), .C2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_way[0]), .Y(n77627) );
+  sky130_fd_sc_hd__nand2_1 U95538 ( .A(n78453), .B(n77628), .Y(n77629) );
+  sky130_fd_sc_hd__nand2_1 U95539 ( .A(n77630), .B(n77629), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_data_data_arrays_0_data_arrays_0_ext_ram_web0_0_) );
+  sky130_fd_sc_hd__xor2_1 U95540 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__repl_way_v0_T[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__repl_way_v0_T[13]), .X(n77631) );
+  sky130_fd_sc_hd__xnor2_1 U95541 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__repl_way_v0_T[15]), .B(n77631), .Y(n77634) );
+  sky130_fd_sc_hd__a21oi_1 U95543 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__repl_way_v0_T[10]), .A2(n77634), .B1(n77633), .Y(n77635) );
+  sky130_fd_sc_hd__a21oi_1 U95544 ( .A1(n77636), .A2(n78462), .B1(n77635), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N4) );
+  sky130_fd_sc_hd__a21oi_1 U95545 ( .A1(n77639), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_valid), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_replay), .Y(n77640) );
+  sky130_fd_sc_hd__nor2_1 U95546 ( .A(n77641), .B(n77640), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N497) );
+  sky130_fd_sc_hd__nand3_1 U95547 ( .A(n77644), .B(n77646), .C(n82420), .Y(
+        n77645) );
+  sky130_fd_sc_hd__nor2_1 U95548 ( .A(n82418), .B(n77645), .Y(
+        MarmotCaravelChip_dut_sys_clint_N158) );
+  sky130_fd_sc_hd__nor2_1 U95549 ( .A(n77647), .B(n77645), .Y(
+        MarmotCaravelChip_dut_sys_clint_N155) );
+  sky130_fd_sc_hd__nor2_1 U95550 ( .A(n77648), .B(n77645), .Y(
+        MarmotCaravelChip_dut_sys_clint_N152) );
+  sky130_fd_sc_hd__nor2_1 U95551 ( .A(n77650), .B(n77645), .Y(
+        MarmotCaravelChip_dut_sys_clint_N149) );
+  sky130_fd_sc_hd__nand3_1 U95552 ( .A(n77646), .B(n82420), .C(n82416), .Y(
+        n77649) );
+  sky130_fd_sc_hd__nor2_1 U95553 ( .A(n82418), .B(n77649), .Y(
+        MarmotCaravelChip_dut_sys_clint_N146) );
+  sky130_fd_sc_hd__nor2_1 U95554 ( .A(n77647), .B(n77649), .Y(
+        MarmotCaravelChip_dut_sys_clint_N143) );
+  sky130_fd_sc_hd__nor2_1 U95555 ( .A(n77648), .B(n77649), .Y(
+        MarmotCaravelChip_dut_sys_clint_N140) );
+  sky130_fd_sc_hd__nor2_1 U95556 ( .A(n77650), .B(n77649), .Y(
+        MarmotCaravelChip_dut_sys_clint_N137) );
+  sky130_fd_sc_hd__a21oi_1 U95558 ( .A1(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_ridx), 
+        .A2(n77652), .B1(n77651), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_N8) );
+  sky130_fd_sc_hd__nor2_1 U95559 ( .A(n81512), .B(n81503), .Y(n84228) );
+  sky130_fd_sc_hd__nor2b_1 U95560 ( .B_N(n84228), .A(n81498), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_scale_io_regs_cfg_write_scale)
+         );
+  sky130_fd_sc_hd__nand2_1 U95561 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_auto_intsource_out_sync_1), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_502[1]), .Y(n81475) );
+  sky130_fd_sc_hd__clkinv_1 U95562 ( .A(n81475), .Y(n81476) );
+  sky130_fd_sc_hd__o211ai_1 U95563 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_502[2]), .A2(n81476), .B1(
+        n77653), .C1(n77655), .Y(n77656) );
+  sky130_fd_sc_hd__nand2_1 U95564 ( .A(n81442), .B(n77656), .Y(n36598) );
+  sky130_fd_sc_hd__clkinv_1 U95565 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wantSleep), .Y(n81477) );
+  sky130_fd_sc_hd__nor2_1 U95566 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_run), .B(n81477), .Y(
+        n77654) );
+  sky130_fd_sc_hd__o21bai_1 U95567 ( .A1(n77654), .A2(n77653), .B1_N(n36598), 
+        .Y(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N78) );
+  sky130_fd_sc_hd__a31oi_1 U95568 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_awake), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_wantSleep), .A3(n77655), 
+        .B1(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_reset), .Y(n81480) );
+  sky130_fd_sc_hd__nand2_1 U95569 ( .A(n78748), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_pc[0]), .Y(n78751) );
+  sky130_fd_sc_hd__clkinv_1 U95570 ( .A(n78751), .Y(n78752) );
+  sky130_fd_sc_hd__nand3_1 U95571 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_pc[1]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_pc[2]), .C(n78752), .Y(
+        n78753) );
+  sky130_fd_sc_hd__nand2_1 U95572 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_run), .B(n78753), .Y(
+        n77657) );
+  sky130_fd_sc_hd__nand3_1 U95573 ( .A(n81480), .B(n77657), .C(n77656), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N77) );
+  sky130_fd_sc_hd__nand3_1 U95574 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[6]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[4]), .C(n77658), .Y(
+        n81495) );
+  sky130_fd_sc_hd__nor2_1 U95575 ( .A(n81503), .B(n81495), .Y(n81636) );
+  sky130_fd_sc_hd__clkinv_1 U95576 ( .A(n81636), .Y(n81531) );
+  sky130_fd_sc_hd__nor3b_1 U95577 ( .C_N(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_796_0_), .A(n81498), .B(
+        n81531), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core__ie_T) );
+  sky130_fd_sc_hd__clkinv_1 U95578 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_back_io_deq_bits_mask[3]), .Y(
+        n77769) );
+  sky130_fd_sc_hd__nand2_1 U95579 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[3]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[2]), .Y(n77688) );
+  sky130_fd_sc_hd__clkinv_1 U95580 ( .A(n77688), .Y(n77751) );
+  sky130_fd_sc_hd__clkinv_1 U95581 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]), .Y(n81506) );
+  sky130_fd_sc_hd__o22ai_1 U95582 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[27]), .B1(n81506), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[28]), .Y(n77666) );
+  sky130_fd_sc_hd__clkinv_1 U95583 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[1]), .Y(n81585) );
+  sky130_fd_sc_hd__o22ai_1 U95584 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[29]), .B1(n81506), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[30]), .Y(n77659) );
+  sky130_fd_sc_hd__o22ai_1 U95585 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[1]), .A2(n77666), .B1(
+        n81585), .B2(n77659), .Y(n77661) );
+  sky130_fd_sc_hd__clkinv_1 U95586 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[23]), .Y(n82101) );
+  sky130_fd_sc_hd__clkinv_1 U95587 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[24]), .Y(n82128) );
+  sky130_fd_sc_hd__o22ai_1 U95588 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]), .A2(n82101), .B1(
+        n81506), .B2(n82128), .Y(n77670) );
+  sky130_fd_sc_hd__clkinv_1 U95589 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[25]), .Y(n82144) );
+  sky130_fd_sc_hd__clkinv_1 U95590 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[26]), .Y(n82151) );
+  sky130_fd_sc_hd__o22ai_1 U95591 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]), .A2(n82144), .B1(
+        n81506), .B2(n82151), .Y(n77665) );
+  sky130_fd_sc_hd__o22ai_1 U95592 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[1]), .A2(n77670), .B1(
+        n81585), .B2(n77665), .Y(n77750) );
+  sky130_fd_sc_hd__clkinv_1 U95593 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[3]), .Y(n81627) );
+  sky130_fd_sc_hd__nor2_1 U95594 ( .A(n81627), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[2]), .Y(n77753) );
+  sky130_fd_sc_hd__clkinv_1 U95595 ( .A(n77753), .Y(n77684) );
+  sky130_fd_sc_hd__o22ai_1 U95596 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[15]), .B1(n81506), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[16]), .Y(n77667) );
+  sky130_fd_sc_hd__o22ai_1 U95597 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[17]), .B1(n81506), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[18]), .Y(n77669) );
+  sky130_fd_sc_hd__o22ai_1 U95598 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[1]), .A2(n77667), .B1(
+        n81585), .B2(n77669), .Y(n77712) );
+  sky130_fd_sc_hd__clkinv_1 U95599 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[2]), .Y(n81593) );
+  sky130_fd_sc_hd__o22ai_1 U95600 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[19]), .B1(n81506), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[20]), .Y(n77668) );
+  sky130_fd_sc_hd__o22ai_1 U95601 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[21]), .B1(n81506), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[22]), .Y(n77671) );
+  sky130_fd_sc_hd__o22ai_1 U95602 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[1]), .A2(n77668), .B1(
+        n81585), .B2(n77671), .Y(n77754) );
+  sky130_fd_sc_hd__o22ai_1 U95603 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[2]), .A2(n77712), .B1(
+        n81593), .B2(n77754), .Y(n77745) );
+  sky130_fd_sc_hd__o22ai_1 U95604 ( .A1(n77750), .A2(n77684), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[3]), .B2(n77745), .Y(
+        n77660) );
+  sky130_fd_sc_hd__a21oi_1 U95605 ( .A1(n77751), .A2(n77661), .B1(n77660), .Y(
+        n81982) );
+  sky130_fd_sc_hd__o22ai_1 U95606 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[26]), .B1(n81506), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[27]), .Y(n77675) );
+  sky130_fd_sc_hd__o22ai_1 U95607 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[28]), .B1(n81506), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[29]), .Y(n77662) );
+  sky130_fd_sc_hd__o22ai_1 U95608 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[1]), .A2(n77675), .B1(
+        n81585), .B2(n77662), .Y(n77664) );
+  sky130_fd_sc_hd__o22ai_1 U95609 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[14]), .B1(n81506), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[15]), .Y(n77676) );
+  sky130_fd_sc_hd__o22ai_1 U95610 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[16]), .B1(n81506), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[17]), .Y(n77678) );
+  sky130_fd_sc_hd__o22ai_1 U95611 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[1]), .A2(n77676), .B1(
+        n81585), .B2(n77678), .Y(n77690) );
+  sky130_fd_sc_hd__o22ai_1 U95612 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[18]), .B1(n81506), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[19]), .Y(n77677) );
+  sky130_fd_sc_hd__o22ai_1 U95613 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[20]), .B1(n81506), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[21]), .Y(n77680) );
+  sky130_fd_sc_hd__o22ai_1 U95614 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[1]), .A2(n77677), .B1(
+        n81585), .B2(n77680), .Y(n77692) );
+  sky130_fd_sc_hd__o22ai_1 U95615 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[2]), .A2(n77690), .B1(
+        n81593), .B2(n77692), .Y(n77700) );
+  sky130_fd_sc_hd__clkinv_1 U95616 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[22]), .Y(n82083) );
+  sky130_fd_sc_hd__o22ai_1 U95617 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]), .A2(n82083), .B1(
+        n81506), .B2(n82101), .Y(n77679) );
+  sky130_fd_sc_hd__o22ai_1 U95618 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]), .A2(n82128), .B1(
+        n81506), .B2(n82144), .Y(n77674) );
+  sky130_fd_sc_hd__o22ai_1 U95619 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[1]), .A2(n77679), .B1(
+        n81585), .B2(n77674), .Y(n77691) );
+  sky130_fd_sc_hd__o22ai_1 U95620 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[3]), .A2(n77700), .B1(
+        n77691), .B2(n77684), .Y(n77663) );
+  sky130_fd_sc_hd__a21oi_1 U95621 ( .A1(n77751), .A2(n77664), .B1(n77663), .Y(
+        n81959) );
+  sky130_fd_sc_hd__o2bb2ai_1 U95622 ( .B1(n81585), .B2(n77666), .A1_N(n81585), 
+        .A2_N(n77665), .Y(n77673) );
+  sky130_fd_sc_hd__o22ai_1 U95623 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[13]), .B1(n81506), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[14]), .Y(n77710) );
+  sky130_fd_sc_hd__o22ai_1 U95624 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[1]), .A2(n77710), .B1(
+        n81585), .B2(n77667), .Y(n77683) );
+  sky130_fd_sc_hd__o22ai_1 U95625 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[1]), .A2(n77669), .B1(
+        n81585), .B2(n77668), .Y(n77685) );
+  sky130_fd_sc_hd__o22ai_1 U95626 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[2]), .A2(n77683), .B1(
+        n81593), .B2(n77685), .Y(n77703) );
+  sky130_fd_sc_hd__a2bb2oi_1 U95627 ( .B1(n81585), .B2(n77671), .A1_N(n81585), 
+        .A2_N(n77670), .Y(n77687) );
+  sky130_fd_sc_hd__o2bb2ai_1 U95628 ( .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[3]), .B2(n77703), 
+        .A1_N(n77687), .A2_N(n77753), .Y(n77672) );
+  sky130_fd_sc_hd__a21oi_1 U95629 ( .A1(n77751), .A2(n77673), .B1(n77672), .Y(
+        n81940) );
+  sky130_fd_sc_hd__o2bb2ai_1 U95630 ( .B1(n81585), .B2(n77675), .A1_N(n81585), 
+        .A2_N(n77674), .Y(n77682) );
+  sky130_fd_sc_hd__o22ai_1 U95631 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[12]), .B1(n81506), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[13]), .Y(n77689) );
+  sky130_fd_sc_hd__o22ai_1 U95632 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[1]), .A2(n77689), .B1(
+        n81585), .B2(n77676), .Y(n77695) );
+  sky130_fd_sc_hd__o22ai_1 U95633 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[1]), .A2(n77678), .B1(
+        n81585), .B2(n77677), .Y(n77696) );
+  sky130_fd_sc_hd__o22ai_1 U95634 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[2]), .A2(n77695), .B1(
+        n81593), .B2(n77696), .Y(n77707) );
+  sky130_fd_sc_hd__a2bb2oi_1 U95635 ( .B1(n81585), .B2(n77680), .A1_N(n81585), 
+        .A2_N(n77679), .Y(n77697) );
+  sky130_fd_sc_hd__o2bb2ai_1 U95636 ( .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[3]), .B2(n77707), 
+        .A1_N(n77697), .A2_N(n77753), .Y(n77681) );
+  sky130_fd_sc_hd__a21oi_1 U95637 ( .A1(n77751), .A2(n77682), .B1(n77681), .Y(
+        n81918) );
+  sky130_fd_sc_hd__o22ai_1 U95638 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[9]), .B1(n81506), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[10]), .Y(n77713) );
+  sky130_fd_sc_hd__o22ai_1 U95639 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[11]), .B1(n81506), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[12]), .Y(n77711) );
+  sky130_fd_sc_hd__o22ai_1 U95640 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[1]), .A2(n77713), .B1(
+        n81585), .B2(n77711), .Y(n77702) );
+  sky130_fd_sc_hd__o22ai_1 U95641 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[2]), .A2(n77702), .B1(
+        n81593), .B2(n77683), .Y(n77733) );
+  sky130_fd_sc_hd__a2bb2oi_1 U95642 ( .B1(n77733), .B2(n81627), .A1_N(n77685), 
+        .A2_N(n77684), .Y(n77686) );
+  sky130_fd_sc_hd__clkinv_1 U95644 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[10]), .Y(n81874) );
+  sky130_fd_sc_hd__clkinv_1 U95645 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[11]), .Y(n81888) );
+  sky130_fd_sc_hd__o22ai_1 U95646 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]), .A2(n81874), .B1(
+        n81506), .B2(n81888), .Y(n77694) );
+  sky130_fd_sc_hd__o2bb2ai_1 U95647 ( .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[1]), .B2(n77694), 
+        .A1_N(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[1]), .A2_N(n77689), .Y(n77699) );
+  sky130_fd_sc_hd__o2bb2ai_1 U95648 ( .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[2]), .B2(n77699), 
+        .A1_N(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[2]), .A2_N(n77690), .Y(n77720) );
+  sky130_fd_sc_hd__clkinv_1 U95649 ( .A(n77691), .Y(n77693) );
+  sky130_fd_sc_hd__a222oi_1 U95650 ( .A1(n77720), .A2(n81627), .B1(n77693), 
+        .B2(n77751), .C1(n77692), .C2(n77753), .Y(n81871) );
+  sky130_fd_sc_hd__o22ai_1 U95651 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[9]), .A2(n81853), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[10]), .B2(n81871), .Y(
+        n77764) );
+  sky130_fd_sc_hd__o2bb2ai_1 U95652 ( .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]), .B2(n81822), 
+        .A1_N(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]), .A2_N(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[9]), .Y(n77698) );
+  sky130_fd_sc_hd__o22ai_1 U95653 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[1]), .A2(n77698), .B1(
+        n81585), .B2(n77694), .Y(n77706) );
+  sky130_fd_sc_hd__o2bb2ai_1 U95654 ( .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[2]), .B2(n77706), 
+        .A1_N(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[2]), .A2_N(n77695), .Y(n77723) );
+  sky130_fd_sc_hd__a222oi_1 U95655 ( .A1(n77723), .A2(n81627), .B1(n77697), 
+        .B2(n77751), .C1(n77696), .C2(n77753), .Y(n81827) );
+  sky130_fd_sc_hd__nor2_1 U95656 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[3]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[2]), .Y(n77736) );
+  sky130_fd_sc_hd__clkinv_1 U95657 ( .A(n77736), .Y(n77748) );
+  sky130_fd_sc_hd__o22ai_1 U95658 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[6]), .B1(n81506), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[7]), .Y(n77705) );
+  sky130_fd_sc_hd__a2bb2oi_1 U95659 ( .B1(n81585), .B2(n77705), .A1_N(n81585), 
+        .A2_N(n77698), .Y(n77719) );
+  sky130_fd_sc_hd__nand2_1 U95660 ( .A(n81627), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[2]), .Y(n77715) );
+  sky130_fd_sc_hd__clkinv_1 U95661 ( .A(n77715), .Y(n77743) );
+  sky130_fd_sc_hd__a22oi_1 U95662 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[3]), .A2(n77700), .B1(
+        n77699), .B2(n77743), .Y(n77701) );
+  sky130_fd_sc_hd__a22oi_1 U95664 ( .A1(n81506), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[5]), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[6]), .Y(n77709) );
+  sky130_fd_sc_hd__o22ai_1 U95665 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[7]), .B1(n81506), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[8]), .Y(n77714) );
+  sky130_fd_sc_hd__a22oi_1 U95666 ( .A1(n81585), .A2(n77709), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[1]), .B2(n77714), .Y(
+        n77732) );
+  sky130_fd_sc_hd__a2bb2oi_1 U95667 ( .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[3]), .B2(n77703), 
+        .A1_N(n77702), .A2_N(n77715), .Y(n77704) );
+  sky130_fd_sc_hd__o21ai_1 U95668 ( .A1(n77748), .A2(n77732), .B1(n77704), .Y(
+        n81713) );
+  sky130_fd_sc_hd__o22ai_1 U95669 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[4]), .B1(n81506), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[5]), .Y(n77718) );
+  sky130_fd_sc_hd__o22ai_1 U95670 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[1]), .A2(n77718), .B1(
+        n81585), .B2(n77705), .Y(n77727) );
+  sky130_fd_sc_hd__a22oi_1 U95671 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[3]), .A2(n77707), .B1(
+        n77706), .B2(n77743), .Y(n77708) );
+  sky130_fd_sc_hd__o22ai_1 U95673 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[3]), .B1(n81506), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[4]), .Y(n77730) );
+  sky130_fd_sc_hd__o22ai_1 U95674 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[1]), .A2(n77730), .B1(
+        n81585), .B2(n77709), .Y(n77717) );
+  sky130_fd_sc_hd__a22o_1 U95675 ( .A1(n81585), .A2(n77711), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[1]), .B2(n77710), .X(
+        n77744) );
+  sky130_fd_sc_hd__o2bb2ai_1 U95676 ( .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[2]), .B2(n77744), 
+        .A1_N(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[2]), .A2_N(n77712), .Y(n77755) );
+  sky130_fd_sc_hd__o22ai_1 U95677 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[1]), .A2(n77714), .B1(
+        n81585), .B2(n77713), .Y(n77747) );
+  sky130_fd_sc_hd__o22a_1 U95678 ( .A1(n81627), .A2(n77755), .B1(n77715), .B2(
+        n77747), .X(n77716) );
+  sky130_fd_sc_hd__o22ai_1 U95680 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[2]), .B1(n81506), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[3]), .Y(n77724) );
+  sky130_fd_sc_hd__o22ai_1 U95681 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[1]), .A2(n77724), .B1(
+        n81585), .B2(n77718), .Y(n77722) );
+  sky130_fd_sc_hd__a22o_1 U95682 ( .A1(n77720), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[3]), .B1(n77719), .B2(
+        n77743), .X(n77721) );
+  sky130_fd_sc_hd__a21oi_1 U95683 ( .A1(n77736), .A2(n77722), .B1(n77721), .Y(
+        n81626) );
+  sky130_fd_sc_hd__clkinv_1 U95684 ( .A(n77723), .Y(n77729) );
+  sky130_fd_sc_hd__o22ai_1 U95685 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[0]), .B1(n81506), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[1]), .Y(n77725) );
+  sky130_fd_sc_hd__o22ai_1 U95686 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[1]), .A2(n77725), .B1(
+        n81585), .B2(n77724), .Y(n77726) );
+  sky130_fd_sc_hd__a22oi_1 U95687 ( .A1(n77743), .A2(n77727), .B1(n77736), 
+        .B2(n77726), .Y(n77728) );
+  sky130_fd_sc_hd__o22ai_1 U95689 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[0]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[1]), .B1(n81506), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[2]), .Y(n77731) );
+  sky130_fd_sc_hd__o22ai_1 U95690 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[1]), .A2(n77731), .B1(
+        n81585), .B2(n77730), .Y(n77735) );
+  sky130_fd_sc_hd__o2bb2ai_1 U95691 ( .B1(n77733), .B2(n81627), .A1_N(n77732), 
+        .A2_N(n77743), .Y(n77734) );
+  sky130_fd_sc_hd__a21oi_1 U95692 ( .A1(n77736), .A2(n77735), .B1(n77734), .Y(
+        n81587) );
+  sky130_fd_sc_hd__o21ai_1 U95693 ( .A1(n81587), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[1]), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[0]), .Y(n77737) );
+  sky130_fd_sc_hd__o2bb2ai_1 U95694 ( .B1(n81508), .B2(n77737), .A1_N(n81587), 
+        .A2_N(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[1]), .Y(n77738)
+         );
+  sky130_fd_sc_hd__fa_1 U95695 ( .A(n81626), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[2]), .CIN(n77738), 
+        .COUT(n77739), .SUM() );
+  sky130_fd_sc_hd__fa_1 U95696 ( .A(n81662), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[3]), .CIN(n77739), 
+        .COUT(n77740), .SUM() );
+  sky130_fd_sc_hd__fa_1 U95697 ( .A(n81685), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[4]), .CIN(n77740), 
+        .COUT(n77741), .SUM() );
+  sky130_fd_sc_hd__fa_1 U95698 ( .A(n81713), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[5]), .CIN(n77741), 
+        .COUT(n77742), .SUM() );
+  sky130_fd_sc_hd__maj3_1 U95699 ( .A(n81743), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[6]), .C(n77742), .X(
+        n77749) );
+  sky130_fd_sc_hd__a22oi_1 U95700 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_116[3]), .A2(n77745), .B1(
+        n77744), .B2(n77743), .Y(n77746) );
+  sky130_fd_sc_hd__o21ai_1 U95701 ( .A1(n77748), .A2(n77747), .B1(n77746), .Y(
+        n81772) );
+  sky130_fd_sc_hd__nand2_1 U95703 ( .A(n77749), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[7]), .Y(n77757) );
+  sky130_fd_sc_hd__clkinv_1 U95704 ( .A(n77750), .Y(n77752) );
+  sky130_fd_sc_hd__a222oi_1 U95705 ( .A1(n77755), .A2(n81627), .B1(n77754), 
+        .B2(n77753), .C1(n77752), .C2(n77751), .Y(n81891) );
+  sky130_fd_sc_hd__nor2_1 U95706 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[11]), .B(n81891), .Y(
+        n77756) );
+  sky130_fd_sc_hd__a21oi_1 U95707 ( .A1(n77758), .A2(n77757), .B1(n77756), .Y(
+        n77759) );
+  sky130_fd_sc_hd__a22oi_1 U95709 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[8]), .A2(n81827), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[9]), .B2(n81853), .Y(
+        n77760) );
+  sky130_fd_sc_hd__o2bb2ai_1 U95710 ( .B1(n77760), .B2(n77764), .A1_N(n81871), 
+        .A2_N(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[10]), .Y(n77761)
+         );
+  sky130_fd_sc_hd__a222oi_1 U95711 ( .A1(n81891), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[11]), .B1(n81891), .B2(
+        n77761), .C1(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[11]), .C2(
+        n77761), .Y(n77762) );
+  sky130_fd_sc_hd__fa_1 U95713 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[12]), .B(n81918), .CIN(
+        n77765), .COUT(n77766), .SUM() );
+  sky130_fd_sc_hd__fa_1 U95714 ( .A(n81940), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[13]), .CIN(n77766), 
+        .COUT(n77767), .SUM() );
+  sky130_fd_sc_hd__maj3_1 U95715 ( .A(n81959), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[14]), .C(n77767), .X(
+        n77768) );
+  sky130_fd_sc_hd__a222oi_1 U95716 ( .A1(n81982), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[15]), .B1(n81982), .B2(
+        n77768), .C1(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[15]), .C2(
+        n77768), .Y(n78758) );
+  sky130_fd_sc_hd__clkinv_1 U95717 ( .A(n78758), .Y(n84234) );
+  sky130_fd_sc_hd__clkinv_1 U95719 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[28]), .Y(n78844) );
+  sky130_fd_sc_hd__nand2_1 U95720 ( .A(n84234), .B(n78844), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog__ip_T) );
+  sky130_fd_sc_hd__nor2b_1 U95721 ( .B_N(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_back_io_deq_bits_mask[0]), .A(
+        n77770), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_wdog__scale_T) );
+  sky130_fd_sc_hd__o21ai_0 U95722 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_ridx), 
+        .A2(n77772), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_sink_ready), .Y(n77771) );
+  sky130_fd_sc_hd__a21oi_1 U95723 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_ridx), 
+        .A2(n77772), .B1(n77771), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleIn_0_d_source_N8) );
+  sky130_fd_sc_hd__clkinv_1 U95724 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_8[0]), .Y(n77775) );
+  sky130_fd_sc_hd__clkinv_1 U95725 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_out_prepend_8[1]), .Y(n77774) );
+  sky130_fd_sc_hd__o22ai_1 U95726 ( .A1(n77776), .A2(n77775), .B1(n77774), 
+        .B2(n77773), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_intsource_auto_in_0) );
+  sky130_fd_sc_hd__a221o_1 U95727 ( .A1(n78931), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_shifter_0_), .B1(n81398), .B2(io_out[6]), .C1(n36802), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N53) );
+  sky130_fd_sc_hd__nand2_1 U95728 ( .A(n78947), .B(n81385), .Y(n77780) );
+  sky130_fd_sc_hd__nand2_1 U95729 ( .A(n78951), .B(n77779), .Y(n78296) );
+  sky130_fd_sc_hd__nand3_1 U95730 ( .A(n37039), .B(n77780), .C(n78296), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N65) );
+  sky130_fd_sc_hd__nand2_1 U95731 ( .A(n37037), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_prepend_T_7_0_), .Y(n81381) );
+  sky130_fd_sc_hd__nor3_1 U95732 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_debounce[0]), .B(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_state), .C(
+        n81381), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N20) );
+  sky130_fd_sc_hd__nor2_1 U95733 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_debounce[1]), .B(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_debounce[0]), 
+        .Y(n77782) );
+  sky130_fd_sc_hd__o211ai_1 U95734 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_state), 
+        .A2(n77782), .B1(n77781), .C1(n77780), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N58) );
+  sky130_fd_sc_hd__maj3_1 U95735 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__sample_T[3]), .B(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__sample_T[2]), 
+        .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__sample_T[1]), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__shifter_T_1_7_) );
+  sky130_fd_sc_hd__clkinv_1 U95736 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_8[0]), .Y(n77786) );
+  sky130_fd_sc_hd__clkinv_1 U95737 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_8[1]), .Y(n77784) );
+  sky130_fd_sc_hd__o22ai_1 U95738 ( .A1(n77786), .A2(n77785), .B1(n77784), 
+        .B2(n77783), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_intsource_auto_in_0) );
+  sky130_fd_sc_hd__a221o_1 U95739 ( .A1(n79017), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_shifter_0_), .B1(n77787), .B2(MarmotCaravelChip_dut_sys_uart_1_txd), .C1(n37041), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N53) );
+  sky130_fd_sc_hd__nand2_1 U95740 ( .A(n81291), .B(n79036), .Y(n77790) );
+  sky130_fd_sc_hd__nand2_1 U95741 ( .A(n77789), .B(n77788), .Y(n78297) );
+  sky130_fd_sc_hd__nand3_1 U95742 ( .A(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .B(
+        n77790), .C(n78297), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N65) );
+  sky130_fd_sc_hd__nand2_1 U95743 ( .A(n86753), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_prepend_T_7_0_), .Y(n81287) );
+  sky130_fd_sc_hd__nor3_1 U95744 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_debounce[0]), .B(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_state), .C(
+        n81287), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N20) );
+  sky130_fd_sc_hd__nor2_1 U95745 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_debounce[1]), .B(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_debounce[0]), .Y(n77792) );
+  sky130_fd_sc_hd__o211ai_1 U95746 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_state), 
+        .A2(n77792), .B1(n77791), .C1(n77790), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N58) );
+  sky130_fd_sc_hd__maj3_1 U95747 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__sample_T[3]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__sample_T[2]), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__sample_T[1]), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__shifter_T_1_7_) );
+  sky130_fd_sc_hd__clkinv_1 U95748 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_8[0]), .Y(n77795) );
+  sky130_fd_sc_hd__clkinv_1 U95749 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_8[1]), .Y(n77794) );
+  sky130_fd_sc_hd__o22ai_1 U95750 ( .A1(n77796), .A2(n77795), .B1(n77794), 
+        .B2(n77793), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_intsource_auto_in_0) );
+  sky130_fd_sc_hd__nor3_1 U95751 ( .A(n79108), .B(
+        MarmotCaravelChip_dut_sys_uart_2_txd), .C(n36848), .Y(n77797) );
+  sky130_fd_sc_hd__a21oi_1 U95752 ( .A1(n81264), .A2(n77798), .B1(n77797), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N53) );
+  sky130_fd_sc_hd__nand2_1 U95753 ( .A(n81212), .B(n79127), .Y(n77800) );
+  sky130_fd_sc_hd__nand2_1 U95754 ( .A(n79129), .B(n77799), .Y(n78298) );
+  sky130_fd_sc_hd__nand3_1 U95755 ( .A(n49230), .B(n77800), .C(n78298), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N65) );
+  sky130_fd_sc_hd__nand2_1 U95756 ( .A(n49230), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_prepend_T_7_0_), .Y(n81208) );
+  sky130_fd_sc_hd__nor3_1 U95757 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_debounce[0]), .B(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_state), .C(
+        n81208), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N20) );
+  sky130_fd_sc_hd__nor2_1 U95758 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_debounce[1]), .B(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_debounce[0]), .Y(n77802) );
+  sky130_fd_sc_hd__o211ai_1 U95759 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_state), 
+        .A2(n77802), .B1(n77801), .C1(n77800), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N58) );
+  sky130_fd_sc_hd__maj3_1 U95760 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__sample_T[3]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__sample_T[2]), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__sample_T[1]), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__shifter_T_1_7_) );
+  sky130_fd_sc_hd__a22o_1 U95761 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_8[0]), .A2(n77804), .B1(n77803), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_out_prepend_8[1]), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_intsource_auto_in_0) );
+  sky130_fd_sc_hd__nor3_1 U95762 ( .A(n79199), .B(
+        MarmotCaravelChip_dut_sys_uart_3_txd), .C(n36848), .Y(n77805) );
+  sky130_fd_sc_hd__a21oi_1 U95763 ( .A1(n81190), .A2(n77806), .B1(n77805), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N53) );
+  sky130_fd_sc_hd__nand2_1 U95764 ( .A(n81145), .B(n79217), .Y(n77809) );
+  sky130_fd_sc_hd__nand2_1 U95765 ( .A(n77808), .B(n77807), .Y(n78299) );
+  sky130_fd_sc_hd__nand3_1 U95766 ( .A(n83116), .B(n77809), .C(n78299), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N65) );
+  sky130_fd_sc_hd__nand2_1 U95767 ( .A(n86753), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_prepend_T_7_0_), .Y(n81141) );
+  sky130_fd_sc_hd__nor3_1 U95768 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_debounce[0]), .B(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_state), .C(
+        n81141), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N20) );
+  sky130_fd_sc_hd__nor2_1 U95769 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_debounce[1]), .B(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_debounce[0]), .Y(n77811) );
+  sky130_fd_sc_hd__o211ai_1 U95770 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_state), 
+        .A2(n77811), .B1(n77810), .C1(n77809), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N58) );
+  sky130_fd_sc_hd__maj3_1 U95771 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__sample_T[3]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__sample_T[2]), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__sample_T[1]), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__shifter_T_1_7_) );
+  sky130_fd_sc_hd__a22o_1 U95772 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_8[0]), .A2(n77813), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_out_prepend_8[1]), .B2(n77812), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_intsource_auto_in_0) );
+  sky130_fd_sc_hd__nor3_1 U95773 ( .A(n79287), .B(
+        MarmotCaravelChip_dut_sys_uart_4_txd), .C(n36802), .Y(n77814) );
+  sky130_fd_sc_hd__a21oi_1 U95774 ( .A1(n81119), .A2(n77815), .B1(n77814), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N53) );
+  sky130_fd_sc_hd__nand2_1 U95775 ( .A(n81062), .B(n79305), .Y(n77818) );
+  sky130_fd_sc_hd__nand2_1 U95776 ( .A(n77817), .B(n77816), .Y(n78300) );
+  sky130_fd_sc_hd__nand3_1 U95777 ( .A(n86402), .B(n77818), .C(n78300), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N65) );
+  sky130_fd_sc_hd__nand2_1 U95778 ( .A(n83351), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_prepend_T_7_0_), .Y(n81058) );
+  sky130_fd_sc_hd__nor3_1 U95779 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_debounce[0]), .B(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_state), .C(
+        n81058), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N20) );
+  sky130_fd_sc_hd__nor2_1 U95780 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_debounce[1]), .B(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_debounce[0]), .Y(n77820) );
+  sky130_fd_sc_hd__o211ai_1 U95781 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_state), 
+        .A2(n77820), .B1(n77819), .C1(n77818), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N58) );
+  sky130_fd_sc_hd__maj3_1 U95782 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__sample_T[3]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__sample_T[2]), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__sample_T[1]), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__shifter_T_1_7_) );
+  sky130_fd_sc_hd__a22o_1 U95783 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_10[1]), .A2(n77822), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_10[0]), .B2(n77821), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_intsource_auto_in_0) );
+  sky130_fd_sc_hd__nand3_1 U95784 ( .A(n77823), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_state[1]), .C(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_state[0]), 
+        .Y(n77825) );
+  sky130_fd_sc_hd__nor2_1 U95785 ( .A(n77824), .B(n77825), .Y(n77868) );
+  sky130_fd_sc_hd__nand2_1 U95786 ( .A(n77841), .B(n77847), .Y(n77863) );
+  sky130_fd_sc_hd__o221ai_1 U95787 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_io_link_fmt_proto[0]), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_15[18]), .B1(n77828), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_15[19]), .C1(n77827), .Y(n77859) );
+  sky130_fd_sc_hd__nor2_1 U95788 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_io_link_fmt_proto[1]), .B(n77828), .Y(n77830) );
+  sky130_fd_sc_hd__o221ai_1 U95789 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_io_link_fmt_proto[1]), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_15[17]), .B1(n77827), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_15[19]), .C1(n77828), .Y(n77826) );
+  sky130_fd_sc_hd__nor2_1 U95790 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_io_link_fmt_proto[0]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_io_link_fmt_proto[1]), .Y(n77861) );
+  sky130_fd_sc_hd__nor2_1 U95791 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_io_link_fmt_proto[0]), .B(n77827), .Y(n77829) );
+  sky130_fd_sc_hd__a222oi_1 U95792 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_15[16]), .A2(n77861), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_15[17]), .B2(n77830), .C1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_15[18]), .C2(n77829), .Y(n77853) );
+  sky130_fd_sc_hd__o22ai_1 U95793 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_15[16]), .A2(n77831), .B1(n77830), .B2(n77829), .Y(n77852) );
+  sky130_fd_sc_hd__nor2_1 U95794 ( .A(n77853), .B(n77852), .Y(n77842) );
+  sky130_fd_sc_hd__nand2b_1 U95795 ( .A_N(n77843), .B(n77842), .Y(n77858) );
+  sky130_fd_sc_hd__a21oi_1 U95796 ( .A1(n77859), .A2(n77858), .B1(n77865), .Y(
+        n77832) );
+  sky130_fd_sc_hd__o21ai_1 U95798 ( .A1(n77862), .A2(n77863), .B1(n77833), .Y(
+        n77834) );
+  sky130_fd_sc_hd__a21oi_1 U95799 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[6]), .A2(n77868), .B1(n77834), .Y(n77840) );
+  sky130_fd_sc_hd__a21o_1 U95800 ( .A1(n80987), .A2(n77835), .B1(n79354), .X(
+        n77872) );
+  sky130_fd_sc_hd__a22oi_1 U95801 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T_16[2]), .A2(n79354), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_16[18]), .B2(n77869), .Y(n77839) );
+  sky130_fd_sc_hd__nor4_1 U95802 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_state[0]), 
+        .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_state[1]), 
+        .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_io_link_active), .D(n80984), .Y(n80979) );
+  sky130_fd_sc_hd__nor2_1 U95803 ( .A(n77837), .B(n77836), .Y(n79359) );
+  sky130_fd_sc_hd__a22oi_1 U95804 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T[2]), .A2(n80979), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend[18]), .B2(n79359), .Y(n77838) );
+  sky130_fd_sc_hd__o211ai_1 U95805 ( .A1(n77840), .A2(n77872), .B1(n77839), 
+        .C1(n77838), .Y(n79323) );
+  sky130_fd_sc_hd__xor2_1 U95806 ( .A(n77843), .B(n77842), .X(n77844) );
+  sky130_fd_sc_hd__o2bb2ai_1 U95807 ( .B1(n77865), .B2(n77844), .A1_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[5]), .A2_N(n77868), .Y(n77845) );
+  sky130_fd_sc_hd__a31oi_1 U95808 ( .A1(n77862), .A2(n77847), .A3(n77846), 
+        .B1(n77845), .Y(n77850) );
+  sky130_fd_sc_hd__a22oi_1 U95809 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T_16[1]), .A2(n79354), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_16[17]), .B2(n77869), .Y(n77849) );
+  sky130_fd_sc_hd__a22oi_1 U95810 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T[1]), .A2(n80979), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend[17]), .B2(n79359), .Y(n77848) );
+  sky130_fd_sc_hd__o211a_2 U95811 ( .A1(n77850), .A2(n77872), .B1(n77849), 
+        .C1(n77848), .X(n79322) );
+  sky130_fd_sc_hd__a21oi_1 U95813 ( .A1(n77853), .A2(n77852), .B1(n77851), .Y(
+        n77854) );
+  sky130_fd_sc_hd__a21oi_1 U95814 ( .A1(n77868), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[4]), .B1(n77854), .Y(n77857) );
+  sky130_fd_sc_hd__a22oi_1 U95815 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T_16[0]), .A2(n79354), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_16[16]), .B2(n77869), .Y(n77856) );
+  sky130_fd_sc_hd__a22oi_1 U95816 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T[0]), .A2(n80979), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend[16]), .B2(n79359), .Y(n77855) );
+  sky130_fd_sc_hd__o211a_2 U95817 ( .A1(n77857), .A2(n77872), .B1(n77856), 
+        .C1(n77855), .X(n79319) );
+  sky130_fd_sc_hd__nor2_1 U95818 ( .A(n77859), .B(n77858), .Y(n77860) );
+  sky130_fd_sc_hd__a21oi_1 U95819 ( .A1(n77861), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_15[19]), .B1(n77860), .Y(n77866) );
+  sky130_fd_sc_hd__o22ai_1 U95820 ( .A1(n77866), .A2(n77865), .B1(n77864), 
+        .B2(n77863), .Y(n77867) );
+  sky130_fd_sc_hd__a21oi_1 U95821 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[7]), .A2(n77868), .B1(n77867), .Y(n77873) );
+  sky130_fd_sc_hd__a22oi_1 U95822 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T_16[3]), .A2(n79354), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_16[19]), .B2(n77869), .Y(n77871) );
+  sky130_fd_sc_hd__a22oi_1 U95823 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T[3]), .A2(n80979), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend[19]), .B2(n79359), .Y(n77870) );
+  sky130_fd_sc_hd__o211a_2 U95824 ( .A1(n77873), .A2(n77872), .B1(n77871), 
+        .C1(n77870), .X(n79329) );
+  sky130_fd_sc_hd__nand4_1 U95825 ( .A(n80844), .B(n79322), .C(n79319), .D(
+        n79329), .Y(n77875) );
+  sky130_fd_sc_hd__o211ai_1 U95827 ( .A1(n79323), .A2(n77875), .B1(n86402), 
+        .C1(n77874), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N252)
+         );
+  sky130_fd_sc_hd__nor2_1 U95828 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_cref), 
+        .B(n77876), .Y(n79351) );
+  sky130_fd_sc_hd__a211o_1 U95829 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_cref), 
+        .A2(n77876), .B1(n79351), .C1(n36848), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N171)
+         );
+  sky130_fd_sc_hd__clkinv_1 U95830 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_10[1]), .Y(n77877) );
+  sky130_fd_sc_hd__o22ai_1 U95831 ( .A1(n77880), .A2(n77879), .B1(n77878), 
+        .B2(n77877), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_intsource_auto_in_0) );
+  sky130_fd_sc_hd__a21oi_1 U95832 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_state[1]), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_state[0]), .B1(n85789), .Y(n77923) );
+  sky130_fd_sc_hd__nor3_1 U95833 ( .A(n77928), .B(n80498), .C(n77901), .Y(
+        n77919) );
+  sky130_fd_sc_hd__nor2_1 U95834 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_io_link_fmt_proto[0]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_io_link_fmt_proto[1]), .Y(n77888) );
+  sky130_fd_sc_hd__o221ai_1 U95835 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_io_link_fmt_proto[0]), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_15[18]), .B1(n77883), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_15[19]), .C1(n77882), .Y(n77898) );
+  sky130_fd_sc_hd__nor2_1 U95836 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_io_link_fmt_proto[1]), .B(n77883), .Y(n77885) );
+  sky130_fd_sc_hd__o221ai_1 U95837 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_io_link_fmt_proto[1]), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_15[17]), .B1(n77882), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_15[19]), .C1(n77883), .Y(n77881) );
+  sky130_fd_sc_hd__nor2_1 U95838 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_io_link_fmt_proto[0]), .B(n77882), .Y(n77884) );
+  sky130_fd_sc_hd__a222oi_1 U95839 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_15[16]), .A2(n77888), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_15[17]), .B2(n77885), .C1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_15[18]), .C2(n77884), .Y(n77917) );
+  sky130_fd_sc_hd__o22ai_1 U95840 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_15[16]), .A2(n77886), .B1(n77885), .B2(n77884), .Y(n77916) );
+  sky130_fd_sc_hd__nor2_1 U95841 ( .A(n77917), .B(n77916), .Y(n77906) );
+  sky130_fd_sc_hd__nand2b_1 U95842 ( .A_N(n77907), .B(n77906), .Y(n77897) );
+  sky130_fd_sc_hd__nor2_1 U95843 ( .A(n77898), .B(n77897), .Y(n77887) );
+  sky130_fd_sc_hd__a21oi_1 U95844 ( .A1(n77888), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_15[19]), .B1(n77887), .Y(n77890) );
+  sky130_fd_sc_hd__nand3_1 U95845 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb_io_outer_cs_hold), .B(n77895), .C(n77901), .Y(n77909) );
+  sky130_fd_sc_hd__o22ai_1 U95846 ( .A1(n77890), .A2(n77931), .B1(n77889), 
+        .B2(n77909), .Y(n77891) );
+  sky130_fd_sc_hd__a21oi_1 U95847 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[7]), .A2(n77919), .B1(n77891), .Y(n77894) );
+  sky130_fd_sc_hd__a22oi_1 U95848 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T_16[3]), .A2(n80662), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_16[19]), .B2(n80668), .Y(n77893) );
+  sky130_fd_sc_hd__nor2_1 U95849 ( .A(n80665), .B(n80670), .Y(n79416) );
+  sky130_fd_sc_hd__a22oi_1 U95850 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T[3]), .A2(n79411), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend[19]), .B2(n79416), .Y(n77892) );
+  sky130_fd_sc_hd__o211ai_1 U95851 ( .A1(n77923), .A2(n77894), .B1(n77893), 
+        .C1(n77892), .Y(n79383) );
+  sky130_fd_sc_hd__nor2_1 U95852 ( .A(n77895), .B(n80498), .Y(n77902) );
+  sky130_fd_sc_hd__a21oi_1 U95853 ( .A1(n77898), .A2(n77897), .B1(n77931), .Y(
+        n77896) );
+  sky130_fd_sc_hd__a21bo_2 U95855 ( .A1(n77919), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[6]), .B1_N(n77899), .X(n77900) );
+  sky130_fd_sc_hd__a31oi_1 U95856 ( .A1(n77910), .A2(n77902), .A3(n77901), 
+        .B1(n77900), .Y(n77905) );
+  sky130_fd_sc_hd__a22oi_1 U95857 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T_16[2]), .A2(n80662), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_16[18]), .B2(n80668), .Y(n77904) );
+  sky130_fd_sc_hd__a22oi_1 U95858 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T[2]), .A2(n79411), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend[18]), .B2(n79416), .Y(n77903) );
+  sky130_fd_sc_hd__o211ai_1 U95859 ( .A1(n77923), .A2(n77905), .B1(n77904), 
+        .C1(n77903), .Y(n79379) );
+  sky130_fd_sc_hd__xor2_1 U95860 ( .A(n77907), .B(n77906), .X(n77908) );
+  sky130_fd_sc_hd__o22ai_1 U95861 ( .A1(n77910), .A2(n77909), .B1(n77931), 
+        .B2(n77908), .Y(n77911) );
+  sky130_fd_sc_hd__a21oi_1 U95862 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[5]), .A2(n77919), .B1(n77911), .Y(n77914) );
+  sky130_fd_sc_hd__a22oi_1 U95863 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T_16[1]), .A2(n80662), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_16[17]), .B2(n80668), .Y(n77913) );
+  sky130_fd_sc_hd__a22oi_1 U95864 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T[1]), .A2(n79411), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend[17]), .B2(n79416), .Y(n77912) );
+  sky130_fd_sc_hd__o211ai_1 U95865 ( .A1(n77923), .A2(n77914), .B1(n77913), 
+        .C1(n77912), .Y(n79375) );
+  sky130_fd_sc_hd__o21ai_1 U95866 ( .A1(n77917), .A2(n77916), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb__T_1_1_), .Y(n77915) );
+  sky130_fd_sc_hd__a21oi_1 U95867 ( .A1(n77917), .A2(n77916), .B1(n77915), .Y(
+        n77918) );
+  sky130_fd_sc_hd__a21oi_1 U95868 ( .A1(n77919), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[4]), .B1(n77918), .Y(n77922) );
+  sky130_fd_sc_hd__a22oi_1 U95869 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T_16[0]), .A2(n80662), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_16[16]), .B2(n80668), .Y(n77921) );
+  sky130_fd_sc_hd__a22oi_1 U95870 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T[0]), .A2(n79411), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend[16]), .B2(n79416), .Y(n77920) );
+  sky130_fd_sc_hd__o211ai_1 U95871 ( .A1(n77923), .A2(n77922), .B1(n77921), 
+        .C1(n77920), .Y(n79369) );
+  sky130_fd_sc_hd__nor4_1 U95872 ( .A(n79383), .B(n79379), .C(n79375), .D(
+        n79369), .Y(n77924) );
+  sky130_fd_sc_hd__o22ai_1 U95873 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_io_link_rx_valid), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_last_d), .B1(n77924), .B2(n80582), .Y(n77925) );
+  sky130_fd_sc_hd__nand2_1 U95874 ( .A(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .B(
+        n77925), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N252) );
+  sky130_fd_sc_hd__nor2_1 U95875 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_cref), .B(n77926), .Y(n79408) );
+  sky130_fd_sc_hd__a211o_1 U95876 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_cref), .A2(n77926), .B1(n79408), .C1(n37038), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N171) );
+  sky130_fd_sc_hd__o21ai_1 U95878 ( .A1(n77931), .A2(n77930), .B1(n77929), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb_io_outer_fmt_iodir) );
+  sky130_fd_sc_hd__nor2_1 U95879 ( .A(n77932), .B(n84176), .Y(n77936) );
+  sky130_fd_sc_hd__nor2_1 U95880 ( .A(n77936), .B(n74033), .Y(n77935) );
+  sky130_fd_sc_hd__o22ai_1 U95881 ( .A1(n81035), .A2(n77935), .B1(n77936), 
+        .B2(n77933), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N158) );
+  sky130_fd_sc_hd__a22oi_1 U95882 ( .A1(n77936), .A2(n81033), .B1(n77935), 
+        .B2(n77934), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N157) );
+  sky130_fd_sc_hd__a22o_1 U95883 ( .A1(n77938), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_4[0]), .B1(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_4[1]), 
+        .B2(n77937), .X(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_intsource_auto_in_0) );
+  sky130_fd_sc_hd__a22oi_1 U95884 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_10[1]), .A2(n80354), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_10[17]), .B2(n80355), .Y(n77946) );
+  sky130_fd_sc_hd__nor2_1 U95885 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_cs_assert), 
+        .B(n80381), .Y(n79460) );
+  sky130_fd_sc_hd__nor2_1 U95886 ( .A(n80353), .B(n80356), .Y(n79465) );
+  sky130_fd_sc_hd__a22oi_1 U95887 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_1[1]), .A2(n79460), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_1[17]), .B2(n79465), .Y(n77945) );
+  sky130_fd_sc_hd__nor2_1 U95888 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_io_link_fmt_proto[0]), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_io_link_fmt_proto[1]), .Y(n77958) );
+  sky130_fd_sc_hd__nor2_1 U95889 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_io_link_fmt_proto[1]), .B(n77954), .Y(n77942) );
+  sky130_fd_sc_hd__nor2_1 U95890 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_io_link_fmt_proto[0]), .B(n77953), .Y(n77939) );
+  sky130_fd_sc_hd__a222oi_1 U95891 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_9[16]), .A2(n77958), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_9[17]), .B2(n77942), .C1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_9[18]), .C2(n77939), .Y(n77949) );
+  sky130_fd_sc_hd__o22ai_1 U95892 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_9[16]), .A2(n77940), .B1(n77942), .B2(n77939), .Y(n77948) );
+  sky130_fd_sc_hd__nor2_1 U95893 ( .A(n77949), .B(n77948), .Y(n77956) );
+  sky130_fd_sc_hd__o221ai_1 U95894 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_io_link_fmt_proto[1]), .A2(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_9[17]), 
+        .B1(n77953), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_9[19]), .C1(n77954), .Y(n77941) );
+  sky130_fd_sc_hd__a21bo_2 U95895 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_9[18]), .A2(n77942), .B1_N(n77941), .X(n77955) );
+  sky130_fd_sc_hd__a21oi_1 U95896 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_state[0]), 
+        .A2(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_state[1]), .B1(n85790), .Y(n77962) );
+  sky130_fd_sc_hd__a21oi_1 U95897 ( .A1(n77956), .A2(n77955), .B1(n77962), .Y(
+        n77943) );
+  sky130_fd_sc_hd__o21ai_1 U95898 ( .A1(n77956), .A2(n77955), .B1(n77943), .Y(
+        n77944) );
+  sky130_fd_sc_hd__and3_1 U95899 ( .A(n77946), .B(n77945), .C(n77944), .X(
+        n79430) );
+  sky130_fd_sc_hd__a22oi_1 U95900 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_10[0]), .A2(n80354), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_10[16]), .B2(n80355), .Y(n77952) );
+  sky130_fd_sc_hd__a22oi_1 U95901 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_1[0]), .A2(n79460), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_1[16]), .B2(n79465), .Y(n77951) );
+  sky130_fd_sc_hd__a21oi_1 U95902 ( .A1(n77949), .A2(n77948), .B1(n77962), .Y(
+        n77947) );
+  sky130_fd_sc_hd__and3_1 U95904 ( .A(n77952), .B(n77951), .C(n77950), .X(
+        n79428) );
+  sky130_fd_sc_hd__o221ai_1 U95905 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_io_link_fmt_proto[0]), .A2(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_9[18]), 
+        .B1(n77954), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_9[19]), .C1(n77953), .Y(n77965) );
+  sky130_fd_sc_hd__nand2_1 U95906 ( .A(n77956), .B(n77955), .Y(n77964) );
+  sky130_fd_sc_hd__nor2_1 U95907 ( .A(n77965), .B(n77964), .Y(n77957) );
+  sky130_fd_sc_hd__a21oi_1 U95908 ( .A1(n77958), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_9[19]), .B1(n77957), .Y(n77961) );
+  sky130_fd_sc_hd__a22oi_1 U95909 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_10[3]), .A2(n80354), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_10[19]), .B2(n80355), .Y(n77960) );
+  sky130_fd_sc_hd__a22oi_1 U95910 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_1[3]), .A2(n79460), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_1[19]), .B2(n79465), .Y(n77959) );
+  sky130_fd_sc_hd__o211a_2 U95911 ( .A1(n77962), .A2(n77961), .B1(n77960), 
+        .C1(n77959), .X(n79436) );
+  sky130_fd_sc_hd__a22oi_1 U95912 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_10[2]), .A2(n80354), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_10[18]), .B2(n80355), .Y(n77968) );
+  sky130_fd_sc_hd__a22oi_1 U95913 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_1[2]), .A2(n79460), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_1[18]), .B2(n79465), .Y(n77967) );
+  sky130_fd_sc_hd__a21oi_1 U95914 ( .A1(n77965), .A2(n77964), .B1(n77962), .Y(
+        n77963) );
+  sky130_fd_sc_hd__o21ai_1 U95915 ( .A1(n77965), .A2(n77964), .B1(n77963), .Y(
+        n77966) );
+  sky130_fd_sc_hd__and3_1 U95916 ( .A(n77968), .B(n77967), .C(n77966), .X(
+        n79433) );
+  sky130_fd_sc_hd__nand4_1 U95917 ( .A(n79430), .B(n79428), .C(n79436), .D(
+        n79433), .Y(n77971) );
+  sky130_fd_sc_hd__nand2_1 U95918 ( .A(n37037), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N170), 
+        .Y(n79470) );
+  sky130_fd_sc_hd__o22ai_1 U95919 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_last_d), 
+        .A2(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_N12), 
+        .B1(n77969), .B2(n79470), .Y(n77970) );
+  sky130_fd_sc_hd__nand2_1 U95921 ( .A(n80251), .B(n80328), .Y(n77972) );
+  sky130_fd_sc_hd__nor2_1 U95922 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_cref), 
+        .B(n77972), .Y(n80248) );
+  sky130_fd_sc_hd__a211o_1 U95923 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_cref), 
+        .A2(n77972), .B1(n80248), .C1(n83631), .X(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N171) );
+  sky130_fd_sc_hd__a22oi_1 U95924 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[31]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[31]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[31]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[31]), 
+        .Y(n77975) );
+  sky130_fd_sc_hd__a22oi_1 U95925 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[31]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[31]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[31]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[31]), 
+        .Y(n77974) );
+  sky130_fd_sc_hd__o22ai_1 U95926 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[31]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[31]), .B1(n79987), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[31]), .Y(n77973) );
+  sky130_fd_sc_hd__nand3_1 U95927 ( .A(n77975), .B(n77974), .C(n77973), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_31) );
+  sky130_fd_sc_hd__a22oi_1 U95928 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[30]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[30]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[30]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[30]), 
+        .Y(n77978) );
+  sky130_fd_sc_hd__a22oi_1 U95929 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[30]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[30]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[30]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[30]), 
+        .Y(n77977) );
+  sky130_fd_sc_hd__o22ai_1 U95930 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[30]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[30]), .B1(n79985), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[30]), .Y(n77976) );
+  sky130_fd_sc_hd__nand3_1 U95931 ( .A(n77978), .B(n77977), .C(n77976), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_30) );
+  sky130_fd_sc_hd__a22oi_1 U95932 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[29]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[29]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[29]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[29]), 
+        .Y(n77981) );
+  sky130_fd_sc_hd__a22oi_1 U95933 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[29]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[29]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[29]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[29]), 
+        .Y(n77980) );
+  sky130_fd_sc_hd__o22ai_1 U95934 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[29]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[29]), .B1(n79983), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[29]), .Y(n77979) );
+  sky130_fd_sc_hd__nand3_1 U95935 ( .A(n77981), .B(n77980), .C(n77979), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_29) );
+  sky130_fd_sc_hd__a22oi_1 U95936 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[28]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[28]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[28]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[28]), 
+        .Y(n77984) );
+  sky130_fd_sc_hd__a22oi_1 U95937 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[28]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[28]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[28]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[28]), 
+        .Y(n77983) );
+  sky130_fd_sc_hd__o22ai_1 U95938 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[28]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[28]), .B1(n79981), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[28]), .Y(n77982) );
+  sky130_fd_sc_hd__nand3_1 U95939 ( .A(n77984), .B(n77983), .C(n77982), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_28) );
+  sky130_fd_sc_hd__a22oi_1 U95940 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[27]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[27]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[27]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[27]), 
+        .Y(n77987) );
+  sky130_fd_sc_hd__a22oi_1 U95941 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[27]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[27]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[27]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[27]), 
+        .Y(n77986) );
+  sky130_fd_sc_hd__o22ai_1 U95942 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[27]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[27]), .B1(n79979), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[27]), .Y(n77985) );
+  sky130_fd_sc_hd__nand3_1 U95943 ( .A(n77987), .B(n77986), .C(n77985), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_27) );
+  sky130_fd_sc_hd__a22oi_1 U95944 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[26]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[26]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[26]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[26]), 
+        .Y(n77990) );
+  sky130_fd_sc_hd__a22oi_1 U95945 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[26]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[26]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[26]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[26]), 
+        .Y(n77989) );
+  sky130_fd_sc_hd__o22ai_1 U95946 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[26]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[26]), .B1(n79976), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[26]), .Y(n77988) );
+  sky130_fd_sc_hd__nand3_1 U95947 ( .A(n77990), .B(n77989), .C(n77988), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_26) );
+  sky130_fd_sc_hd__a22oi_1 U95948 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[25]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[25]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[25]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[25]), 
+        .Y(n77993) );
+  sky130_fd_sc_hd__a22oi_1 U95949 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[25]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[25]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[25]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[25]), 
+        .Y(n77992) );
+  sky130_fd_sc_hd__o22ai_1 U95950 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[25]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[25]), .B1(n79974), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[25]), .Y(n77991) );
+  sky130_fd_sc_hd__nand3_1 U95951 ( .A(n77993), .B(n77992), .C(n77991), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_25) );
+  sky130_fd_sc_hd__a22oi_1 U95952 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[24]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[24]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[24]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[24]), 
+        .Y(n77996) );
+  sky130_fd_sc_hd__a22oi_1 U95953 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[24]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[24]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[24]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[24]), 
+        .Y(n77995) );
+  sky130_fd_sc_hd__o22ai_1 U95954 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[24]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[24]), .B1(n79972), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[24]), .Y(n77994) );
+  sky130_fd_sc_hd__nand3_1 U95955 ( .A(n77996), .B(n77995), .C(n77994), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_24) );
+  sky130_fd_sc_hd__o22ai_1 U95956 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[23]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[23]), .B1(n79970), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[23]), .Y(n77999) );
+  sky130_fd_sc_hd__a22oi_1 U95957 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[23]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[23]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[23]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[23]), 
+        .Y(n77998) );
+  sky130_fd_sc_hd__a22oi_1 U95958 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[23]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[23]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[23]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[23]), 
+        .Y(n77997) );
+  sky130_fd_sc_hd__nand3_1 U95959 ( .A(n77999), .B(n77998), .C(n77997), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_23) );
+  sky130_fd_sc_hd__o22ai_1 U95960 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[22]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[22]), .B1(n79968), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[22]), .Y(n78002) );
+  sky130_fd_sc_hd__a22oi_1 U95961 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[22]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[22]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[22]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[22]), 
+        .Y(n78001) );
+  sky130_fd_sc_hd__a22oi_1 U95962 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[22]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[22]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[22]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[22]), 
+        .Y(n78000) );
+  sky130_fd_sc_hd__nand3_1 U95963 ( .A(n78002), .B(n78001), .C(n78000), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_22) );
+  sky130_fd_sc_hd__a22oi_1 U95964 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[21]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[21]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[21]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[21]), 
+        .Y(n78005) );
+  sky130_fd_sc_hd__a22oi_1 U95965 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[21]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[21]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[21]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[21]), 
+        .Y(n78004) );
+  sky130_fd_sc_hd__o22ai_1 U95966 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[21]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[21]), .B1(n79966), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[21]), .Y(n78003) );
+  sky130_fd_sc_hd__nand3_1 U95967 ( .A(n78005), .B(n78004), .C(n78003), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_21) );
+  sky130_fd_sc_hd__a22oi_1 U95968 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[20]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[20]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[20]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[20]), 
+        .Y(n78008) );
+  sky130_fd_sc_hd__a22oi_1 U95969 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[20]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[20]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[20]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[20]), 
+        .Y(n78007) );
+  sky130_fd_sc_hd__o22ai_1 U95970 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[20]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[20]), .B1(n79964), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[20]), .Y(n78006) );
+  sky130_fd_sc_hd__nand3_1 U95971 ( .A(n78008), .B(n78007), .C(n78006), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_20) );
+  sky130_fd_sc_hd__a22oi_1 U95972 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[19]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[19]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[19]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[19]), 
+        .Y(n78011) );
+  sky130_fd_sc_hd__a22oi_1 U95973 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[19]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[19]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[19]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[19]), 
+        .Y(n78010) );
+  sky130_fd_sc_hd__o22ai_1 U95974 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[19]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[19]), .B1(n79962), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[19]), .Y(n78009) );
+  sky130_fd_sc_hd__nand3_1 U95975 ( .A(n78011), .B(n78010), .C(n78009), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_19) );
+  sky130_fd_sc_hd__a22oi_1 U95976 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[18]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[18]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[18]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[18]), 
+        .Y(n78014) );
+  sky130_fd_sc_hd__a22oi_1 U95977 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[18]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[18]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[18]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[18]), 
+        .Y(n78013) );
+  sky130_fd_sc_hd__o22ai_1 U95978 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[18]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[18]), .B1(n79960), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[18]), .Y(n78012) );
+  sky130_fd_sc_hd__nand3_1 U95979 ( .A(n78014), .B(n78013), .C(n78012), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_18) );
+  sky130_fd_sc_hd__a22oi_1 U95980 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[17]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[17]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[17]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[17]), 
+        .Y(n78017) );
+  sky130_fd_sc_hd__a22oi_1 U95981 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[17]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[17]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[17]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[17]), 
+        .Y(n78016) );
+  sky130_fd_sc_hd__o22ai_1 U95982 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[17]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[17]), .B1(n79958), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[17]), .Y(n78015) );
+  sky130_fd_sc_hd__nand3_1 U95983 ( .A(n78017), .B(n78016), .C(n78015), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_17) );
+  sky130_fd_sc_hd__a22oi_1 U95984 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[16]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[16]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[16]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[16]), 
+        .Y(n78021) );
+  sky130_fd_sc_hd__a22oi_1 U95985 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[16]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[16]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[16]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[16]), 
+        .Y(n78020) );
+  sky130_fd_sc_hd__o22ai_1 U95986 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[16]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[16]), .B1(n78018), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[16]), .Y(n78019) );
+  sky130_fd_sc_hd__nand3_1 U95987 ( .A(n78021), .B(n78020), .C(n78019), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_16) );
+  sky130_fd_sc_hd__a22oi_1 U95988 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[15]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[15]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[15]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[15]), 
+        .Y(n78025) );
+  sky130_fd_sc_hd__a22oi_1 U95989 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[15]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[15]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[15]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[15]), 
+        .Y(n78024) );
+  sky130_fd_sc_hd__o22ai_1 U95990 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[15]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[15]), .B1(n78022), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[15]), .Y(n78023) );
+  sky130_fd_sc_hd__nand3_1 U95991 ( .A(n78025), .B(n78024), .C(n78023), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_15) );
+  sky130_fd_sc_hd__a22oi_1 U95992 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[14]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[14]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[14]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[14]), 
+        .Y(n78029) );
+  sky130_fd_sc_hd__a22oi_1 U95993 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[14]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[14]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[14]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[14]), 
+        .Y(n78028) );
+  sky130_fd_sc_hd__o22ai_1 U95994 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[14]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[14]), .B1(n78026), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[14]), .Y(n78027) );
+  sky130_fd_sc_hd__nand3_1 U95995 ( .A(n78029), .B(n78028), .C(n78027), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_14) );
+  sky130_fd_sc_hd__a22oi_1 U95996 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[13]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[13]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[13]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[13]), 
+        .Y(n78033) );
+  sky130_fd_sc_hd__a22oi_1 U95997 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[13]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[13]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[13]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[13]), 
+        .Y(n78032) );
+  sky130_fd_sc_hd__o22ai_1 U95998 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[13]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[13]), .B1(n78030), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[13]), .Y(n78031) );
+  sky130_fd_sc_hd__nand3_1 U95999 ( .A(n78033), .B(n78032), .C(n78031), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_13) );
+  sky130_fd_sc_hd__a22oi_1 U96000 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[12]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[12]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[12]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[12]), 
+        .Y(n78036) );
+  sky130_fd_sc_hd__a22oi_1 U96001 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[12]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[12]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[12]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[12]), 
+        .Y(n78035) );
+  sky130_fd_sc_hd__o22ai_1 U96002 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[12]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[12]), .B1(n79946), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[12]), .Y(n78034) );
+  sky130_fd_sc_hd__nand3_1 U96003 ( .A(n78036), .B(n78035), .C(n78034), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_12) );
+  sky130_fd_sc_hd__a22oi_1 U96004 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[11]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[11]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[11]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[11]), 
+        .Y(n78039) );
+  sky130_fd_sc_hd__a22oi_1 U96005 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[11]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[11]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[11]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[11]), 
+        .Y(n78038) );
+  sky130_fd_sc_hd__o22ai_1 U96006 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[11]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[11]), .B1(n79944), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[11]), .Y(n78037) );
+  sky130_fd_sc_hd__nand3_1 U96007 ( .A(n78039), .B(n78038), .C(n78037), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_11) );
+  sky130_fd_sc_hd__a22oi_1 U96008 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[10]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[10]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[10]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[10]), 
+        .Y(n78042) );
+  sky130_fd_sc_hd__a22oi_1 U96009 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[10]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[10]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[10]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[10]), 
+        .Y(n78041) );
+  sky130_fd_sc_hd__o22ai_1 U96010 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[10]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[10]), .B1(n79942), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[10]), .Y(n78040) );
+  sky130_fd_sc_hd__nand3_1 U96011 ( .A(n78042), .B(n78041), .C(n78040), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_10) );
+  sky130_fd_sc_hd__a22oi_1 U96012 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[9]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[9]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[9]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[9]), 
+        .Y(n78045) );
+  sky130_fd_sc_hd__a22oi_1 U96013 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[9]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[9]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[9]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[9]), 
+        .Y(n78044) );
+  sky130_fd_sc_hd__o22ai_1 U96014 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[9]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[9]), .B1(n79940), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[9]), .Y(n78043) );
+  sky130_fd_sc_hd__nand3_1 U96015 ( .A(n78045), .B(n78044), .C(n78043), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_9) );
+  sky130_fd_sc_hd__a22oi_1 U96016 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[8]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[8]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[8]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[8]), 
+        .Y(n78048) );
+  sky130_fd_sc_hd__a22oi_1 U96017 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[8]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[8]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[8]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[8]), 
+        .Y(n78047) );
+  sky130_fd_sc_hd__o22ai_1 U96018 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[8]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[8]), .B1(n79938), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[8]), .Y(n78046) );
+  sky130_fd_sc_hd__nand3_1 U96019 ( .A(n78048), .B(n78047), .C(n78046), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_8) );
+  sky130_fd_sc_hd__a22oi_1 U96020 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[7]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[7]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[7]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[7]), 
+        .Y(n78052) );
+  sky130_fd_sc_hd__a22oi_1 U96021 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[7]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[7]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[7]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[7]), 
+        .Y(n78051) );
+  sky130_fd_sc_hd__o22ai_1 U96022 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[7]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[7]), .B1(n78049), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[7]), .Y(n78050) );
+  sky130_fd_sc_hd__nand3_1 U96023 ( .A(n78052), .B(n78051), .C(n78050), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_7) );
+  sky130_fd_sc_hd__a22oi_1 U96024 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[6]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[6]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[6]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[6]), 
+        .Y(n78056) );
+  sky130_fd_sc_hd__a22oi_1 U96025 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[6]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[6]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[6]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[6]), 
+        .Y(n78055) );
+  sky130_fd_sc_hd__o22ai_1 U96026 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[6]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[6]), .B1(n78053), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[6]), .Y(n78054) );
+  sky130_fd_sc_hd__nand3_1 U96027 ( .A(n78056), .B(n78055), .C(n78054), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_6) );
+  sky130_fd_sc_hd__a22oi_1 U96028 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[5]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[5]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[5]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[5]), 
+        .Y(n78059) );
+  sky130_fd_sc_hd__a22oi_1 U96029 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[5]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[5]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[5]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[5]), 
+        .Y(n78058) );
+  sky130_fd_sc_hd__o22ai_1 U96030 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[5]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[5]), .B1(n79931), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[5]), .Y(n78057) );
+  sky130_fd_sc_hd__nand3_1 U96031 ( .A(n78059), .B(n78058), .C(n78057), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_5) );
+  sky130_fd_sc_hd__a22oi_1 U96032 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[4]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[4]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[4]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[4]), 
+        .Y(n78062) );
+  sky130_fd_sc_hd__a22oi_1 U96033 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[4]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[4]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[4]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[4]), 
+        .Y(n78061) );
+  sky130_fd_sc_hd__o22ai_1 U96034 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[4]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[4]), .B1(n79929), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[4]), .Y(n78060) );
+  sky130_fd_sc_hd__nand3_1 U96035 ( .A(n78062), .B(n78061), .C(n78060), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_4) );
+  sky130_fd_sc_hd__a22oi_1 U96036 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[3]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[3]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[3]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[3]), 
+        .Y(n78065) );
+  sky130_fd_sc_hd__a22oi_1 U96037 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[3]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[3]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[3]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[3]), 
+        .Y(n78064) );
+  sky130_fd_sc_hd__o22ai_1 U96038 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[3]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[3]), .B1(n79927), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[3]), .Y(n78063) );
+  sky130_fd_sc_hd__nand3_1 U96039 ( .A(n78065), .B(n78064), .C(n78063), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_3) );
+  sky130_fd_sc_hd__a22oi_1 U96040 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[2]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[2]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[2]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[2]), 
+        .Y(n78068) );
+  sky130_fd_sc_hd__a22oi_1 U96041 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[2]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[2]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[2]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[2]), 
+        .Y(n78067) );
+  sky130_fd_sc_hd__o22ai_1 U96042 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[2]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[2]), .B1(n79925), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[2]), .Y(n78066) );
+  sky130_fd_sc_hd__nand3_1 U96043 ( .A(n78068), .B(n78067), .C(n78066), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_2) );
+  sky130_fd_sc_hd__a22oi_1 U96044 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[1]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[1]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[1]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[1]), 
+        .Y(n78071) );
+  sky130_fd_sc_hd__a22oi_1 U96045 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[1]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[1]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[1]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[1]), 
+        .Y(n78070) );
+  sky130_fd_sc_hd__o22ai_1 U96046 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[1]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[1]), .B1(n79923), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[1]), .Y(n78069) );
+  sky130_fd_sc_hd__nand3_1 U96047 ( .A(n78071), .B(n78070), .C(n78069), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_1) );
+  sky130_fd_sc_hd__a22oi_1 U96048 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[0]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIeReg[0]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[0]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIeReg[0]), 
+        .Y(n78074) );
+  sky130_fd_sc_hd__a22oi_1 U96049 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIeReg[0]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[0]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[0]), 
+        .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIeReg[0]), 
+        .Y(n78073) );
+  sky130_fd_sc_hd__o22ai_1 U96050 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[0]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruLowIeReg[0]), .B1(n79919), .B2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_passthruHighIeReg[0]), .Y(n78072) );
+  sky130_fd_sc_hd__nand3_1 U96051 ( .A(n78074), .B(n78073), .C(n78072), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_intsource_auto_in_0) );
+  sky130_fd_sc_hd__nor2_1 U96052 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_byteState[0]), 
+        .B(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N430), .Y(
+        n79736) );
+  sky130_fd_sc_hd__a21oi_1 U96053 ( .A1(n79729), .A2(n79492), .B1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cmdAck), .Y(
+        n79735) );
+  sky130_fd_sc_hd__a21oi_1 U96054 ( .A1(n78075), .A2(n79786), .B1(n79789), .Y(
+        n79726) );
+  sky130_fd_sc_hd__o21ai_1 U96055 ( .A1(n79784), .A2(n79486), .B1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmdAck), .Y(
+        n78076) );
+  sky130_fd_sc_hd__a22oi_1 U96056 ( .A1(n79736), .A2(n78077), .B1(n79726), 
+        .B2(n78076), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N538) );
+  sky130_fd_sc_hd__nor2_1 U96057 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_dSCL), .B(n79474), .Y(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N381) );
+  sky130_fd_sc_hd__nor2_1 U96058 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[1]), 
+        .B(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[0]), 
+        .Y(n78093) );
+  sky130_fd_sc_hd__nor2_1 U96059 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[4]), 
+        .B(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[3]), 
+        .Y(n79740) );
+  sky130_fd_sc_hd__a21oi_1 U96060 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[1]), 
+        .A2(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[0]), 
+        .B1(n79758), .Y(n78091) );
+  sky130_fd_sc_hd__nand2_1 U96061 ( .A(n78091), .B(n79755), .Y(n79761) );
+  sky130_fd_sc_hd__nor2_1 U96062 ( .A(n78093), .B(n79761), .Y(n79747) );
+  sky130_fd_sc_hd__nand2_1 U96063 ( .A(n78137), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[3]), 
+        .Y(n79756) );
+  sky130_fd_sc_hd__nor2_1 U96064 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[2]), 
+        .B(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[1]), 
+        .Y(n79739) );
+  sky130_fd_sc_hd__nand2_1 U96065 ( .A(n78078), .B(n79739), .Y(n79781) );
+  sky130_fd_sc_hd__nor2_1 U96066 ( .A(n78137), .B(n79781), .Y(n79794) );
+  sky130_fd_sc_hd__nor2_1 U96067 ( .A(n78084), .B(n79794), .Y(n79793) );
+  sky130_fd_sc_hd__o22ai_1 U96068 ( .A1(n79793), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_transmitBit), 
+        .B1(n78086), .B2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_sdaOen), .Y(
+        n78080) );
+  sky130_fd_sc_hd__nand2_1 U96069 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[2]), 
+        .B(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[1]), 
+        .Y(n78085) );
+  sky130_fd_sc_hd__nand2_1 U96070 ( .A(n78084), .B(n78085), .Y(n78087) );
+  sky130_fd_sc_hd__nand2_1 U96071 ( .A(n78084), .B(n79792), .Y(n79737) );
+  sky130_fd_sc_hd__o21ai_1 U96072 ( .A1(n79737), .A2(n79771), .B1(n79758), .Y(
+        n78079) );
+  sky130_fd_sc_hd__a21oi_1 U96073 ( .A1(n78080), .A2(n78087), .B1(n78079), .Y(
+        n78081) );
+  sky130_fd_sc_hd__o21ai_1 U96074 ( .A1(n79747), .A2(n78081), .B1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_clkEn), .Y(
+        n78083) );
+  sky130_fd_sc_hd__nor3_1 U96075 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[0]), 
+        .B(n79758), .C(n79771), .Y(n79766) );
+  sky130_fd_sc_hd__nand3_1 U96077 ( .A(n79487), .B(n78083), .C(n78082), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N369) );
+  sky130_fd_sc_hd__nor2_1 U96078 ( .A(n79758), .B(n79755), .Y(n78094) );
+  sky130_fd_sc_hd__nor3_1 U96079 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[2]), 
+        .B(n79758), .C(n78089), .Y(n79741) );
+  sky130_fd_sc_hd__nand4_1 U96080 ( .A(n79740), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[2]), 
+        .C(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[1]), 
+        .D(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[0]), 
+        .Y(n79774) );
+  sky130_fd_sc_hd__nand2_1 U96081 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[0]), 
+        .B(n78084), .Y(n79770) );
+  sky130_fd_sc_hd__o22ai_1 U96082 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[0]), 
+        .A2(n79738), .B1(n78085), .B2(n79770), .Y(n79780) );
+  sky130_fd_sc_hd__nor2_1 U96083 ( .A(n79755), .B(n79792), .Y(n79759) );
+  sky130_fd_sc_hd__o22ai_1 U96084 ( .A1(n79759), .A2(n78087), .B1(n85791), 
+        .B2(n78086), .Y(n78088) );
+  sky130_fd_sc_hd__o22ai_1 U96085 ( .A1(n78089), .A2(n79737), .B1(n79780), 
+        .B2(n78088), .Y(n78090) );
+  sky130_fd_sc_hd__a22oi_1 U96086 ( .A1(n78091), .A2(n79771), .B1(n79774), 
+        .B2(n78090), .Y(n78092) );
+  sky130_fd_sc_hd__a211oi_1 U96087 ( .A1(n78094), .A2(n78093), .B1(n79741), 
+        .C1(n78092), .Y(n78095) );
+  sky130_fd_sc_hd__o221ai_1 U96088 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_clkEn), .A2(
+        n85791), .B1(n83820), .B2(n78095), .C1(n79487), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N343) );
+  sky130_fd_sc_hd__o21ai_1 U96089 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__fSDA_T[2]), 
+        .A2(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__fSDA_T[1]), 
+        .B1(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__fSDA_T[3]), 
+        .Y(n78096) );
+  sky130_fd_sc_hd__o211ai_1 U96090 ( .A1(n79476), .A2(n79475), .B1(n36801), 
+        .C1(n78096), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N337) );
+  sky130_fd_sc_hd__o211ai_1 U96092 ( .A1(n79478), .A2(n79477), .B1(n86402), 
+        .C1(n78097), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N336) );
+  sky130_fd_sc_hd__a21oi_1 U96093 ( .A1(n78098), .A2(n79583), .B1(n79629), .Y(
+        n79584) );
+  sky130_fd_sc_hd__a21o_1 U96094 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_byteState[1]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_byteState[2]), 
+        .B1(n79591), .X(n78099) );
+  sky130_fd_sc_hd__a22oi_1 U96095 ( .A1(n78101), .A2(n78100), .B1(n79584), 
+        .B2(n78099), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N538) );
+  sky130_fd_sc_hd__nor2_1 U96096 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_dSCL), .B(
+        n79498), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N381) );
+  sky130_fd_sc_hd__nor2_1 U96097 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[4]), 
+        .B(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[3]), .Y(n79620) );
+  sky130_fd_sc_hd__nand2_1 U96098 ( .A(n78104), .B(n79620), .Y(n78110) );
+  sky130_fd_sc_hd__nor2_1 U96099 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[0]), 
+        .B(n78110), .Y(n78103) );
+  sky130_fd_sc_hd__nand2_1 U96100 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[1]), 
+        .B(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[0]), .Y(n78115) );
+  sky130_fd_sc_hd__nand2_1 U96101 ( .A(n79620), .B(n78115), .Y(n78102) );
+  sky130_fd_sc_hd__nor3_1 U96102 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[2]), 
+        .B(n78103), .C(n78102), .Y(n79600) );
+  sky130_fd_sc_hd__nor3_1 U96103 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[2]), 
+        .B(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[1]), .C(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[3]), .Y(
+        n79632) );
+  sky130_fd_sc_hd__nor2_1 U96104 ( .A(n79632), .B(n79633), .Y(n79597) );
+  sky130_fd_sc_hd__o22ai_1 U96105 ( .A1(n79597), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_transmitBit), 
+        .B1(n79621), .B2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_sdaOen), .Y(
+        n78106) );
+  sky130_fd_sc_hd__nand2_1 U96107 ( .A(n78104), .B(n79633), .Y(n78133) );
+  sky130_fd_sc_hd__nand2_1 U96108 ( .A(n78112), .B(n79598), .Y(n78114) );
+  sky130_fd_sc_hd__o21ai_1 U96109 ( .A1(n78133), .A2(n78114), .B1(n78111), .Y(
+        n78105) );
+  sky130_fd_sc_hd__a21oi_1 U96110 ( .A1(n78106), .A2(n78116), .B1(n78105), .Y(
+        n78107) );
+  sky130_fd_sc_hd__o21ai_1 U96111 ( .A1(n79600), .A2(n78107), .B1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_clkEn), .Y(
+        n78109) );
+  sky130_fd_sc_hd__nor2_1 U96112 ( .A(n78110), .B(n78114), .Y(n79595) );
+  sky130_fd_sc_hd__nand3_1 U96114 ( .A(n79588), .B(n78109), .C(n78108), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N369) );
+  sky130_fd_sc_hd__o21a_1 U96115 ( .A1(n78110), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[2]), 
+        .B1(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_clkEn), 
+        .X(n78123) );
+  sky130_fd_sc_hd__nor2_1 U96116 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[2]), 
+        .B(n78111), .Y(n78122) );
+  sky130_fd_sc_hd__nor2_1 U96117 ( .A(n78112), .B(n79598), .Y(n79612) );
+  sky130_fd_sc_hd__nor2_1 U96118 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[1]), 
+        .B(n79612), .Y(n78113) );
+  sky130_fd_sc_hd__a211oi_1 U96119 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[1]), 
+        .A2(n78114), .B1(n78113), .C1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[4]), 
+        .Y(n78119) );
+  sky130_fd_sc_hd__nor2_1 U96120 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[4]), 
+        .B(n78115), .Y(n79608) );
+  sky130_fd_sc_hd__a21oi_1 U96121 ( .A1(n79632), .A2(n79598), .B1(n79608), .Y(
+        n79622) );
+  sky130_fd_sc_hd__a221oi_1 U96123 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[4]), 
+        .A2(n78117), .B1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[0]), 
+        .B2(n78117), .C1(n79623), .Y(n78118) );
+  sky130_fd_sc_hd__or2_0 U96124 ( .A(n78119), .B(n78118), .X(n78120) );
+  sky130_fd_sc_hd__nand2_1 U96125 ( .A(n78123), .B(n78120), .Y(n78121) );
+  sky130_fd_sc_hd__o22ai_1 U96126 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_sclOen), .A2(
+        n78123), .B1(n78122), .B2(n78121), .Y(n78124) );
+  sky130_fd_sc_hd__nand2_1 U96127 ( .A(n79588), .B(n78124), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N343) );
+  sky130_fd_sc_hd__o21ai_1 U96128 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__fSDA_T[2]), 
+        .A2(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__fSDA_T[1]), .B1(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__fSDA_T[3]), .Y(
+        n78125) );
+  sky130_fd_sc_hd__o211ai_1 U96129 ( .A1(n79500), .A2(n79499), .B1(n37037), 
+        .C1(n78125), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N337) );
+  sky130_fd_sc_hd__o21ai_1 U96130 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__fSCL_T[2]), 
+        .A2(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__fSCL_T[1]), .B1(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__fSCL_T[3]), .Y(
+        n78126) );
+  sky130_fd_sc_hd__o211ai_1 U96131 ( .A1(n79502), .A2(n79501), .B1(n36801), 
+        .C1(n78126), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N336) );
+  sky130_fd_sc_hd__o22a_1 U96132 ( .A1(n86400), .A2(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_8), .B1(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_regs_9), .B2(n79530), 
+        .X(MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N18) );
+  sky130_fd_sc_hd__nor3_1 U96133 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_io_update_bits[1]), .B(n78128), .C(n78127), .Y(n78129) );
+  sky130_fd_sc_hd__a21oi_1 U96134 ( .A1(n78130), .A2(n84257), .B1(n78129), .Y(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_N6) );
+  sky130_fd_sc_hd__inv_2 U96135 ( .A(n79549), .Y(n79550) );
+  sky130_fd_sc_hd__o21a_1 U96136 ( .A1(n78132), .A2(n78304), .B1(n79550), .X(
+        n78131) );
+  sky130_fd_sc_hd__nand2_1 U96137 ( .A(n78131), .B(n79536), .Y(n84243) );
+  sky130_fd_sc_hd__a21oi_1 U96138 ( .A1(n78132), .A2(n78301), .B1(n84243), .Y(
+        MarmotCaravelChip_dut_sys_dtm_N81) );
+  sky130_fd_sc_hd__nor2_1 U96139 ( .A(n79598), .B(n78133), .Y(n79607) );
+  sky130_fd_sc_hd__a21oi_1 U96140 ( .A1(n79632), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[0]), 
+        .B1(n79607), .Y(n78135) );
+  sky130_fd_sc_hd__nand2_1 U96141 ( .A(n79588), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_clkEn), .Y(
+        n79619) );
+  sky130_fd_sc_hd__o21bai_1 U96142 ( .A1(n79612), .A2(n78111), .B1_N(n79619), 
+        .Y(n78134) );
+  sky130_fd_sc_hd__nor2_1 U96143 ( .A(n78135), .B(n78134), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N397) );
+  sky130_fd_sc_hd__nor2_1 U96145 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_stopCond), .B(
+        n78136), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N306) );
+  sky130_fd_sc_hd__nand2_1 U96146 ( .A(n79759), .B(n78137), .Y(n79769) );
+  sky130_fd_sc_hd__nand2_1 U96147 ( .A(n79770), .B(n79769), .Y(n79746) );
+  sky130_fd_sc_hd__a22oi_1 U96148 ( .A1(n79794), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[0]), 
+        .B1(n78089), .B2(n79746), .Y(n78138) );
+  sky130_fd_sc_hd__nand2_1 U96149 ( .A(n79487), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_clkEn), .Y(
+        n79775) );
+  sky130_fd_sc_hd__nor2_1 U96150 ( .A(n78138), .B(n79775), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N397) );
+  sky130_fd_sc_hd__nor2_1 U96152 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_stopCond), .B(
+        n78139), .Y(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N306) );
+  sky130_fd_sc_hd__nand2_1 U96153 ( .A(n86753), .B(n80152), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N247) );
+  sky130_fd_sc_hd__nor2_1 U96154 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_cen_cnt[0]), .B(n78140), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N191) );
+  sky130_fd_sc_hd__nand2_1 U96155 ( .A(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .B(
+        n80433), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N247) );
+  sky130_fd_sc_hd__nor2_1 U96156 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_state[1]), .B(n78141), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_N40)
+         );
+  sky130_fd_sc_hd__a21oi_1 U96157 ( .A1(n78142), .A2(n80669), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_N40), 
+        .Y(n78143) );
+  sky130_fd_sc_hd__o21ai_1 U96158 ( .A1(n78144), .A2(n80661), .B1(n78143), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_N47)
+         );
+  sky130_fd_sc_hd__a21o_1 U96159 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_io_link_rx_valid), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb__T_1_1_), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N44), .X(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_N12)
+         );
+  sky130_fd_sc_hd__nand2_1 U96160 ( .A(n86753), .B(n80755), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N247)
+         );
+  sky130_fd_sc_hd__nor2_1 U96161 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_state[1]), 
+        .B(n78147), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_N40) );
+  sky130_fd_sc_hd__nor2_1 U96162 ( .A(n79354), .B(n78145), .Y(n78146) );
+  sky130_fd_sc_hd__o22ai_1 U96163 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_state[1]), 
+        .A2(n78147), .B1(n78146), .B2(n80978), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_N47) );
+  sky130_fd_sc_hd__nand2_1 U96164 ( .A(n36846), .B(n78148), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N44)
+         );
+  sky130_fd_sc_hd__nand2_1 U96165 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_io_link_rx_valid), .B(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_arb__T_1_1_), .Y(
+        n78149) );
+  sky130_fd_sc_hd__nand2b_1 U96166 ( .A_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N44), 
+        .B(n78149), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_N12) );
+  sky130_fd_sc_hd__a21oi_1 U96167 ( .A1(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_55), .A2(n78150), 
+        .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_55_inFlight), .Y(n78154) );
+  sky130_fd_sc_hd__o21ai_0 U96168 ( .A1(n78152), .A2(n78151), .B1(n36846), .Y(
+        n78153) );
+  sky130_fd_sc_hd__nor2_1 U96169 ( .A(n78154), .B(n78153), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_55_N3) );
+  sky130_fd_sc_hd__o21ai_1 U96170 ( .A1(n78155), .A2(n78174), .B1(n36801), .Y(
+        n78172) );
+  sky130_fd_sc_hd__a21oi_1 U96171 ( .A1(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_14), .A2(n78156), 
+        .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_14_inFlight), .Y(n78157) );
+  sky130_fd_sc_hd__a21oi_1 U96172 ( .A1(n78178), .A2(n78172), .B1(n78157), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_14_N3) );
+  sky130_fd_sc_hd__a21oi_1 U96173 ( .A1(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_13), .A2(n78158), 
+        .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_13_inFlight), .Y(n78159) );
+  sky130_fd_sc_hd__a21oi_1 U96174 ( .A1(n78181), .A2(n78172), .B1(n78159), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_13_N3) );
+  sky130_fd_sc_hd__a21oi_1 U96175 ( .A1(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_12), .A2(n78160), 
+        .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_12_inFlight), .Y(n78161) );
+  sky130_fd_sc_hd__a21oi_1 U96176 ( .A1(n78184), .A2(n78172), .B1(n78161), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_12_N3) );
+  sky130_fd_sc_hd__a21oi_1 U96177 ( .A1(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_11), .A2(n78162), 
+        .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_11_inFlight), .Y(n78163) );
+  sky130_fd_sc_hd__a21oi_1 U96178 ( .A1(n78187), .A2(n78172), .B1(n78163), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_11_N3) );
+  sky130_fd_sc_hd__a21oi_1 U96179 ( .A1(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_10), .A2(n78164), 
+        .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_10_inFlight), .Y(n78165) );
+  sky130_fd_sc_hd__a21oi_1 U96180 ( .A1(n78190), .A2(n78172), .B1(n78165), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_10_N3) );
+  sky130_fd_sc_hd__a21oi_1 U96181 ( .A1(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_9), .A2(n78166), 
+        .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_9_inFlight), .Y(n78167) );
+  sky130_fd_sc_hd__a21oi_1 U96182 ( .A1(n78193), .A2(n78172), .B1(n78167), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_9_N3) );
+  sky130_fd_sc_hd__a21oi_1 U96183 ( .A1(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_8), .A2(n78168), 
+        .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_8_inFlight), .Y(n78169) );
+  sky130_fd_sc_hd__a21oi_1 U96184 ( .A1(n78197), .A2(n78172), .B1(n78169), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_8_N3) );
+  sky130_fd_sc_hd__a21oi_1 U96185 ( .A1(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_7), .A2(n78170), 
+        .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_7_inFlight), .Y(n78171) );
+  sky130_fd_sc_hd__a21oi_1 U96186 ( .A1(n78173), .A2(n78172), .B1(n78171), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_7_N3) );
+  sky130_fd_sc_hd__a21oi_1 U96188 ( .A1(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_6), .A2(n78176), 
+        .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_6_inFlight), .Y(n78177) );
+  sky130_fd_sc_hd__a21oi_1 U96189 ( .A1(n78178), .A2(n78196), .B1(n78177), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_6_N3) );
+  sky130_fd_sc_hd__a21oi_1 U96190 ( .A1(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_5), .A2(n78179), 
+        .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_5_inFlight), .Y(n78180) );
+  sky130_fd_sc_hd__a21oi_1 U96191 ( .A1(n78181), .A2(n78196), .B1(n78180), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_5_N3) );
+  sky130_fd_sc_hd__a21oi_1 U96192 ( .A1(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_4), .A2(n78182), 
+        .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_4_inFlight), .Y(n78183) );
+  sky130_fd_sc_hd__a21oi_1 U96193 ( .A1(n78184), .A2(n78196), .B1(n78183), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_4_N3) );
+  sky130_fd_sc_hd__a21oi_1 U96194 ( .A1(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_3), .A2(n78185), 
+        .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_3_inFlight), .Y(n78186) );
+  sky130_fd_sc_hd__a21oi_1 U96195 ( .A1(n78187), .A2(n78196), .B1(n78186), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_3_N3) );
+  sky130_fd_sc_hd__a21oi_1 U96196 ( .A1(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_2), .A2(n78188), 
+        .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_2_inFlight), .Y(n78189) );
+  sky130_fd_sc_hd__a21oi_1 U96197 ( .A1(n78190), .A2(n78196), .B1(n78189), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_2_N3) );
+  sky130_fd_sc_hd__a21oi_1 U96198 ( .A1(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_1), .A2(n78191), 
+        .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_1_inFlight), .Y(n78192) );
+  sky130_fd_sc_hd__a21oi_1 U96199 ( .A1(n78193), .A2(n78196), .B1(n78192), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_1_N3) );
+  sky130_fd_sc_hd__a21oi_1 U96200 ( .A1(
+        MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_0), .A2(n78194), 
+        .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_inFlight), .Y(n78195) );
+  sky130_fd_sc_hd__a21oi_1 U96201 ( .A1(n78197), .A2(n78196), .B1(n78195), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_N3)
+         );
+  sky130_fd_sc_hd__a211oi_1 U96202 ( .A1(n78200), .A2(n78199), .B1(n78198), 
+        .C1(n36802), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N595) );
+  sky130_fd_sc_hd__nand2_1 U96203 ( .A(n78403), .B(n78201), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N487) );
+  sky130_fd_sc_hd__nand2_1 U96204 ( .A(n78216), .B(n78215), .Y(n78213) );
+  sky130_fd_sc_hd__nor2b_1 U96205 ( .B_N(n78203), .A(n78202), .Y(n78222) );
+  sky130_fd_sc_hd__a22oi_1 U96206 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_size[1]), .A2(n78206), .B1(n78205), .B2(n78204), .Y(n78220) );
+  sky130_fd_sc_hd__nand2_1 U96207 ( .A(n78217), .B(n78220), .Y(n78214) );
+  sky130_fd_sc_hd__a21oi_1 U96208 ( .A1(n83027), .A2(n78208), .B1(n78214), .Y(
+        n78209) );
+  sky130_fd_sc_hd__o31a_1 U96209 ( .A1(n78223), .A2(n78208), .A3(n78207), .B1(
+        n83034), .X(n78225) );
+  sky130_fd_sc_hd__nor2_1 U96211 ( .A(n78403), .B(n78223), .Y(n78211) );
+  sky130_fd_sc_hd__o21ai_1 U96212 ( .A1(n78210), .A2(n78395), .B1(n36801), .Y(
+        n78228) );
+  sky130_fd_sc_hd__a211oi_1 U96213 ( .A1(n78213), .A2(n78212), .B1(n78211), 
+        .C1(n78228), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N480) );
+  sky130_fd_sc_hd__a21oi_1 U96214 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_state[1]), .A2(n83027), .B1(n78214), .Y(n78219) );
+  sky130_fd_sc_hd__o21ai_1 U96215 ( .A1(n78216), .A2(n85817), .B1(n78215), .Y(
+        n78230) );
+  sky130_fd_sc_hd__o21ai_1 U96216 ( .A1(n78217), .A2(n78224), .B1(n78225), .Y(
+        n78218) );
+  sky130_fd_sc_hd__a221oi_1 U96217 ( .A1(n78219), .A2(n78230), .B1(n78218), 
+        .B2(n78230), .C1(n78228), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N481) );
+  sky130_fd_sc_hd__nand2_1 U96218 ( .A(n83027), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_state[2]), .Y(n78221) );
+  sky130_fd_sc_hd__a21oi_1 U96219 ( .A1(n78221), .A2(n78220), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_probe), .Y(n78227) );
+  sky130_fd_sc_hd__o21ai_1 U96220 ( .A1(n78227), .A2(n78226), .B1(n78225), .Y(
+        n78229) );
+  sky130_fd_sc_hd__a21oi_1 U96221 ( .A1(n78230), .A2(n78229), .B1(n78228), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N482) );
+  sky130_fd_sc_hd__nand2_1 U96222 ( .A(n78231), .B(n86752), .Y(n78232) );
+  sky130_fd_sc_hd__o21ai_1 U96223 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_blockProbeAfterGrantCount[0]), .A2(n78232), .B1(n78378), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N453) );
+  sky130_fd_sc_hd__a22oi_1 U96224 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_blockProbeAfterGrantCount[2]), .A2(n78236), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_blockProbeAfterGrantCount[1]), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_blockProbeAfterGrantCount[0]), .Y(n78234) );
+  sky130_fd_sc_hd__a21oi_1 U96225 ( .A1(n78234), .A2(n78233), .B1(n83631), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N454) );
+  sky130_fd_sc_hd__o31ai_1 U96226 ( .A1(n78236), .A2(n78235), .A3(n36802), 
+        .B1(n78378), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N455) );
+  sky130_fd_sc_hd__a21o_1 U96227 ( .A1(n78238), .A2(n78237), .B1(n36802), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N493) );
+  sky130_fd_sc_hd__nor3_1 U96228 ( .A(n78240), .B(n82463), .C(n78239), .Y(
+        n78244) );
+  sky130_fd_sc_hd__nand2_1 U96229 ( .A(n82999), .B(n78241), .Y(n78243) );
+  sky130_fd_sc_hd__a31oi_1 U96231 ( .A1(n78244), .A2(n83031), .A3(n78243), 
+        .B1(n78242), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N632) );
+  sky130_fd_sc_hd__o32ai_1 U96233 ( .A1(n78264), .A2(n78249), .A3(n82488), 
+        .B1(n78248), .B2(n78247), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N309) );
+  sky130_fd_sc_hd__nand2_1 U96234 ( .A(n85794), .B(n78264), .Y(n78257) );
+  sky130_fd_sc_hd__nor2_1 U96235 ( .A(n78265), .B(n78264), .Y(n78260) );
+  sky130_fd_sc_hd__o221ai_1 U96236 ( .A1(n78276), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_mpp[0]), .B1(n78278), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_1_status_prv[0]), .C1(n78260), .Y(n78250) );
+  sky130_fd_sc_hd__nand3_1 U96237 ( .A(n83116), .B(n78257), .C(n78250), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N324) );
+  sky130_fd_sc_hd__nand3_1 U96238 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_1_status_prv[1]), .B(n78252), .C(n78251), .Y(n78253) );
+  sky130_fd_sc_hd__a21oi_1 U96240 ( .A1(n78260), .A2(n78255), .B1(n83631), .Y(
+        n78256) );
+  sky130_fd_sc_hd__o21ai_1 U96241 ( .A1(n78258), .A2(n78257), .B1(n78256), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N325) );
+  sky130_fd_sc_hd__o22ai_1 U96242 ( .A1(n78276), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_mpie), .B1(n78278), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_mie), .Y(n78261) );
+  sky130_fd_sc_hd__a22oi_1 U96243 ( .A1(n78261), .A2(n78260), .B1(n78259), 
+        .B2(n36578), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N331) );
+  sky130_fd_sc_hd__a221oi_1 U96244 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_spie), .A2(n78556), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_sie), .B2(n78557), .C1(n78262), .Y(n78263) );
+  sky130_fd_sc_hd__a22oi_1 U96245 ( .A1(n78263), .A2(n78559), .B1(n78553), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N315), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N335) );
+  sky130_fd_sc_hd__a21oi_1 U96246 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_mpie), .A2(n78265), .B1(n78264), .Y(n78267) );
+  sky130_fd_sc_hd__nand3b_1 U96247 ( .A_N(n78265), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_mie), .C(n78278), .Y(n78266) );
+  sky130_fd_sc_hd__a22oi_1 U96248 ( .A1(n78290), .A2(n36578), .B1(n78267), 
+        .B2(n78266), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N339) );
+  sky130_fd_sc_hd__o22ai_1 U96249 ( .A1(n78271), .A2(n78270), .B1(n78269), 
+        .B2(n78268), .Y(n78272) );
+  sky130_fd_sc_hd__a21oi_1 U96250 ( .A1(n78272), .A2(n78275), .B1(n83631), .Y(
+        n78273) );
+  sky130_fd_sc_hd__nand2_1 U96252 ( .A(n78282), .B(n59913), .Y(n78289) );
+  sky130_fd_sc_hd__nand2_1 U96253 ( .A(n86753), .B(n78289), .Y(n78277) );
+  sky130_fd_sc_hd__nor2_1 U96254 ( .A(n78276), .B(n78277), .Y(n78292) );
+  sky130_fd_sc_hd__nor2_1 U96255 ( .A(n78278), .B(n78277), .Y(n78291) );
+  sky130_fd_sc_hd__a22oi_1 U96256 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mcause[0]), .A2(n78292), .B1(n78279), .B2(n78291), .Y(n78280) );
+  sky130_fd_sc_hd__o21ai_1 U96257 ( .A1(n78281), .A2(n78289), .B1(n78280), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N853) );
+  sky130_fd_sc_hd__a22oi_1 U96258 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mcause[1]), .A2(n78292), .B1(n36653), .B2(n78291), .Y(n78283) );
+  sky130_fd_sc_hd__o21ai_0 U96259 ( .A1(n78295), .A2(n78541), .B1(n78283), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N854) );
+  sky130_fd_sc_hd__a22oi_1 U96260 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mcause[2]), .A2(n78292), .B1(n78284), .B2(n78291), .Y(n78285) );
+  sky130_fd_sc_hd__a22oi_1 U96262 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mcause[3]), .A2(n78292), .B1(n78291), .B2(n78287), .Y(n78288) );
+  sky130_fd_sc_hd__o21ai_1 U96263 ( .A1(n78290), .A2(n78289), .B1(n78288), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N856) );
+  sky130_fd_sc_hd__a22oi_1 U96264 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mcause[31]), .A2(n78292), .B1(n78496), .B2(n78291), .Y(n78293) );
+  sky130_fd_sc_hd__nor2_1 U96266 ( .A(n83631), .B(n78296), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N46) );
+  sky130_fd_sc_hd__nor2_1 U96267 ( .A(n36802), .B(n78297), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N46) );
+  sky130_fd_sc_hd__nor2_1 U96268 ( .A(n36802), .B(n78298), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N46) );
+  sky130_fd_sc_hd__nor2_1 U96269 ( .A(n36802), .B(n78299), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N46) );
+  sky130_fd_sc_hd__nor2_1 U96270 ( .A(n36848), .B(n78300), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N46) );
+  sky130_fd_sc_hd__o21ai_1 U96271 ( .A1(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_dmi2tl_auto_out_a_valid), 
+        .A2(n78301), .B1(n79527), .Y(n84247) );
+  sky130_fd_sc_hd__nand2_1 U96272 ( .A(n78308), .B(n84247), .Y(n79566) );
+  sky130_fd_sc_hd__a21oi_1 U96274 ( .A1(n79527), .A2(n79566), .B1(n78309), .Y(
+        MarmotCaravelChip_dut_sys_dtm_N88) );
+  sky130_fd_sc_hd__o22ai_1 U96275 ( .A1(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_addr[2]), .A2(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_addr[0]), .B1(n79548), 
+        .B2(MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_addr[1]), .Y(n78302)
+         );
+  sky130_fd_sc_hd__nand2_1 U96276 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_addr[4]), .B(n78302), 
+        .Y(n78303) );
+  sky130_fd_sc_hd__nor4_1 U96277 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_addr[5]), .B(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_addr[6]), .C(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_addr[3]), .D(n78303), 
+        .Y(n78306) );
+  sky130_fd_sc_hd__nor2_1 U96279 ( .A(n78306), .B(n79555), .Y(n78307) );
+  sky130_fd_sc_hd__nand3_1 U96280 ( .A(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_dmi2tl_auto_out_a_valid), 
+        .B(n78308), .C(n78307), .Y(n84249) );
+  sky130_fd_sc_hd__a21oi_1 U96281 ( .A1(n84250), .A2(n84249), .B1(n78309), .Y(
+        MarmotCaravelChip_dut_sys_dtm_N93) );
+  sky130_fd_sc_hd__nand2_1 U96282 ( .A(n86753), .B(
+        MarmotCaravelChip_dut_sys_rtc_sync), .Y(n78310) );
+  sky130_fd_sc_hd__nor2_1 U96283 ( .A(MarmotCaravelChip_dut_sys_rtc_last), .B(
+        n78310), .Y(MarmotCaravelChip_dut_sys_N5) );
+  sky130_fd_sc_hd__nor2_1 U96284 ( .A(n36848), .B(n78311), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N178) );
+  sky130_fd_sc_hd__nor2_1 U96285 ( .A(n78312), .B(n78313), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N172) );
+  sky130_fd_sc_hd__nor2_1 U96286 ( .A(n78314), .B(n78313), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N168) );
+  sky130_fd_sc_hd__nor2_1 U96287 ( .A(n36802), .B(n78315), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N165) );
+  sky130_fd_sc_hd__nor3_1 U96288 ( .A(n37041), .B(n78317), .C(n78316), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_N143) );
+  sky130_fd_sc_hd__a22o_1 U96289 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_source[0]), .B1(n78318), .B2(n85795), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_bundleIn_0_a_bits_source[7]) );
+  sky130_fd_sc_hd__a22o_1 U96290 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_saved_source[1]), .B1(n78318), .B2(n85796), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_bundleIn_0_a_bits_source[8]) );
+  sky130_fd_sc_hd__nor3_1 U96291 ( .A(n36802), .B(n83989), .C(n83902), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N107) );
+  sky130_fd_sc_hd__nand2_1 U96292 ( .A(n86753), .B(n78319), .Y(n78321) );
+  sky130_fd_sc_hd__nor2_1 U96293 ( .A(n83917), .B(n78321), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N106) );
+  sky130_fd_sc_hd__nor2_1 U96294 ( .A(n83906), .B(n78321), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N105) );
+  sky130_fd_sc_hd__nor2_1 U96295 ( .A(n83919), .B(n78321), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N104) );
+  sky130_fd_sc_hd__nor2_1 U96296 ( .A(n83912), .B(n78321), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N103) );
+  sky130_fd_sc_hd__nor2_1 U96297 ( .A(n83899), .B(n78321), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N102) );
+  sky130_fd_sc_hd__nor2_1 U96298 ( .A(n83892), .B(n78321), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N101) );
+  sky130_fd_sc_hd__nor2_1 U96299 ( .A(n83462), .B(n78321), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N100) );
+  sky130_fd_sc_hd__a22o_1 U96300 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_source[0]), .B1(n78323), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_source[2]), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_auto_out_a_bits_source[0]) );
+  sky130_fd_sc_hd__a22o_1 U96301 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_source[1]), .B1(n78323), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_source[3]), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_auto_out_a_bits_source[1]) );
+  sky130_fd_sc_hd__a22o_1 U96302 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_size[0]), .B1(n78323), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_size[3]), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_auto_out_a_bits_size[0]) );
+  sky130_fd_sc_hd__a22o_1 U96303 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_size[1]), .B1(n78323), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_size[4]), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_auto_out_a_bits_size[1]) );
+  sky130_fd_sc_hd__a22o_1 U96304 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_size[2]), .B1(n78323), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_size[5]), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_auto_out_a_bits_size[2]) );
+  sky130_fd_sc_hd__a22o_1 U96305 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_opcode[0]), .B1(n78323), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_opcode[3]), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_auto_out_a_bits_opcode[0]) );
+  sky130_fd_sc_hd__a22o_1 U96306 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_opcode[1]), .B1(n78323), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_opcode[4]), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_auto_out_a_bits_opcode[1]) );
+  sky130_fd_sc_hd__a22o_1 U96307 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_opcode[2]), .B1(n78323), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_opcode[5]), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_auto_out_a_bits_opcode[2]) );
+  sky130_fd_sc_hd__nand2_1 U96308 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[6]), .Y(n78324) );
+  sky130_fd_sc_hd__a22o_1 U96310 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[7]), .B1(n83875), .B2(n85806), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[7]) );
+  sky130_fd_sc_hd__nand2_1 U96311 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[8]), .Y(n78325) );
+  sky130_fd_sc_hd__o21ai_1 U96312 ( .A1(n78341), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .B1(n78325), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[8]) );
+  sky130_fd_sc_hd__a22o_1 U96313 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[9]), .B1(n83875), .B2(n85807), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[9]) );
+  sky130_fd_sc_hd__nand2_1 U96314 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[10]), .Y(n78326) );
+  sky130_fd_sc_hd__o21ai_1 U96315 ( .A1(n78343), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .B1(n78326), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[10]) );
+  sky130_fd_sc_hd__nand2_1 U96316 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[11]), .Y(n78327) );
+  sky130_fd_sc_hd__o21ai_1 U96317 ( .A1(n78345), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .B1(n78327), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[11]) );
+  sky130_fd_sc_hd__nand2_1 U96318 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[12]), .Y(n78328) );
+  sky130_fd_sc_hd__nand2_1 U96320 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[13]), .Y(n78330) );
+  sky130_fd_sc_hd__o21ai_1 U96321 ( .A1(n78331), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .B1(n78330), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[13]) );
+  sky130_fd_sc_hd__nand2_1 U96322 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[14]), .Y(n78332) );
+  sky130_fd_sc_hd__o21ai_1 U96323 ( .A1(n78333), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .B1(n78332), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[14]) );
+  sky130_fd_sc_hd__a22o_1 U96324 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[15]), .B1(n83875), .B2(n85797), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[15]) );
+  sky130_fd_sc_hd__nand2_1 U96325 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[16]), .Y(n78334) );
+  sky130_fd_sc_hd__o21ai_1 U96326 ( .A1(n78335), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .B1(n78334), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[16]) );
+  sky130_fd_sc_hd__a22o_1 U96327 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[17]), .B1(n83875), .B2(n85798), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[17]) );
+  sky130_fd_sc_hd__a22o_1 U96328 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[18]), .B1(n83875), .B2(n85799), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[18]) );
+  sky130_fd_sc_hd__a22o_1 U96329 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[19]), .B1(n83875), .B2(n85800), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[19]) );
+  sky130_fd_sc_hd__a22o_1 U96330 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[20]), .B1(n83875), .B2(n85801), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[20]) );
+  sky130_fd_sc_hd__a22o_1 U96331 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[21]), .B1(n83875), .B2(n85802), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[21]) );
+  sky130_fd_sc_hd__a22o_1 U96332 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[22]), .B1(n83875), .B2(n85803), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[22]) );
+  sky130_fd_sc_hd__a22o_1 U96333 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[23]), .B1(n83875), .B2(n85804), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[23]) );
+  sky130_fd_sc_hd__a22o_1 U96334 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[24]), .B1(n83875), .B2(n85805), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[24]) );
+  sky130_fd_sc_hd__nand2_1 U96335 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_address[25]), .Y(n78336) );
+  sky130_fd_sc_hd__o21ai_1 U96336 ( .A1(n78337), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .B1(n78336), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[25]) );
+  sky130_fd_sc_hd__a22o_1 U96337 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_source[0]), .B1(n83875), .B2(n85808), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_plic_fragmenter_out_a_bits_source[5]) );
+  sky130_fd_sc_hd__a22o_1 U96338 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_source[1]), .B1(n83875), .B2(n85809), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_plic_fragmenter_out_a_bits_source[6]) );
+  sky130_fd_sc_hd__nand2_1 U96339 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_address[6]), .Y(n78338) );
+  sky130_fd_sc_hd__a22o_1 U96341 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_address[7]), .B1(n83392), .B2(n85806), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_deq_bits_address[7]) );
+  sky130_fd_sc_hd__nand2_1 U96342 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_address[8]), .Y(n78340) );
+  sky130_fd_sc_hd__o21ai_1 U96343 ( .A1(n78341), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_full), .B1(n78340), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_deq_bits_address[8]) );
+  sky130_fd_sc_hd__a22o_1 U96344 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_address[9]), .B1(n83392), .B2(n85807), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_deq_bits_address[9]) );
+  sky130_fd_sc_hd__nand2_1 U96345 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_address[10]), .Y(n78342) );
+  sky130_fd_sc_hd__o21ai_1 U96346 ( .A1(n78343), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_full), .B1(n78342), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_deq_bits_address[10]) );
+  sky130_fd_sc_hd__nand2_1 U96347 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_address[11]), .Y(n78344) );
+  sky130_fd_sc_hd__a22o_1 U96349 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_source[0]), .B1(n83392), .B2(n85808), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_auto_out_a_bits_source[5]) );
+  sky130_fd_sc_hd__a22o_1 U96350 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_source[1]), .B1(n83392), .B2(n85809), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_auto_out_a_bits_source[6]) );
+  sky130_fd_sc_hd__a22o_1 U96351 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_opcode[0]), .B1(n83392), .B2(n85810), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_auto_out_a_bits_opcode[0]) );
+  sky130_fd_sc_hd__a22o_1 U96352 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_saved_opcode[1]), .B1(n83392), .B2(n85811), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_auto_out_a_bits_opcode[1]) );
+  sky130_fd_sc_hd__a22o_1 U96353 ( .A1(n78347), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_source[0]), .B1(n78346), .B2(n85812), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_bundleIn_0_a_bits_source[7]) );
+  sky130_fd_sc_hd__a22o_1 U96354 ( .A1(n78347), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_source[1]), .B1(n78346), .B2(n85813), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_bundleIn_0_a_bits_source[8]) );
+  sky130_fd_sc_hd__a22o_1 U96355 ( .A1(n78347), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_source[2]), .B1(n78346), .B2(n85814), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_bundleIn_0_a_bits_source[9]) );
+  sky130_fd_sc_hd__a22o_1 U96356 ( .A1(n78347), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_repeater_saved_source[3]), .B1(n78346), .B2(n85815), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_bundleIn_0_a_bits_source[10]) );
+  sky130_fd_sc_hd__nor3_1 U96357 ( .A(n60015), .B(n78349), .C(n78348), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N140) );
+  sky130_fd_sc_hd__nand2_1 U96358 ( .A(n49230), .B(n83111), .Y(n84074) );
+  sky130_fd_sc_hd__nor2_1 U96359 ( .A(n84079), .B(n84074), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N154) );
+  sky130_fd_sc_hd__nor2_1 U96360 ( .A(n84072), .B(n84074), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N153) );
+  sky130_fd_sc_hd__nor2_1 U96361 ( .A(n84085), .B(n84074), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N152) );
+  sky130_fd_sc_hd__nor2_1 U96362 ( .A(n83631), .B(n78350), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N151) );
+  sky130_fd_sc_hd__nor2_1 U96363 ( .A(n84070), .B(n84074), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N150) );
+  sky130_fd_sc_hd__nor2_1 U96364 ( .A(n36802), .B(n78351), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_N58) );
+  sky130_fd_sc_hd__nor2_1 U96365 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__metaArb_io_in_5_bits_addr_T_1[6]), .B(n36802), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N617) );
+  sky130_fd_sc_hd__ha_1 U96366 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_flushCounter_6_), .B(n78352), .COUT(n63869), .SUM(n78353) );
+  sky130_fd_sc_hd__nand2_1 U96367 ( .A(n36846), .B(n78354), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N623) );
+  sky130_fd_sc_hd__and3_1 U96368 ( .A(n83116), .B(n78356), .C(n78355), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N598) );
+  sky130_fd_sc_hd__a22oi_1 U96369 ( .A1(n86584), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_req_signed), .B1(n85816), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_uncachedReqs_0_signed), .Y(n78357) );
+  sky130_fd_sc_hd__o21ai_1 U96370 ( .A1(n78358), .A2(n78376), .B1(n78357), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N771) );
+  sky130_fd_sc_hd__a22oi_1 U96371 ( .A1(n85816), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_uncachedReqs_0_size[0]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pma_checker_io_req_bits_size[0]), .B2(n86584), .Y(n78359) );
+  sky130_fd_sc_hd__o21ai_1 U96372 ( .A1(n78360), .A2(n78376), .B1(n78359), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N765) );
+  sky130_fd_sc_hd__a22oi_1 U96373 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_size[1]), .A2(n78369), .B1(n85816), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_uncachedReqs_0_size[1]), .Y(n78361) );
+  sky130_fd_sc_hd__o21ai_1 U96374 ( .A1(n78362), .A2(n78374), .B1(n78361), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N766) );
+  sky130_fd_sc_hd__a22oi_1 U96375 ( .A1(n86584), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[0]), .B1(n85816), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_367[0]), .Y(n78363) );
+  sky130_fd_sc_hd__o21ai_1 U96376 ( .A1(n78364), .A2(n78376), .B1(n78363), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N707) );
+  sky130_fd_sc_hd__a22oi_1 U96377 ( .A1(n86584), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_vaddr[1]), .B1(n85816), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_367[1]), .Y(n78365) );
+  sky130_fd_sc_hd__o21ai_1 U96378 ( .A1(n78366), .A2(n78376), .B1(n78365), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N708) );
+  sky130_fd_sc_hd__o22ai_1 U96379 ( .A1(n78369), .A2(n78367), .B1(n78376), 
+        .B2(n83024), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N713) );
+  sky130_fd_sc_hd__o22ai_1 U96380 ( .A1(n78369), .A2(n78368), .B1(n78376), 
+        .B2(n83026), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N714) );
+  sky130_fd_sc_hd__o22ai_1 U96381 ( .A1(n78371), .A2(n78376), .B1(n78370), 
+        .B2(n78374), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N592) );
+  sky130_fd_sc_hd__o22ai_1 U96382 ( .A1(n78373), .A2(n78376), .B1(n78372), 
+        .B2(n78374), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N593) );
+  sky130_fd_sc_hd__o22ai_1 U96383 ( .A1(n78377), .A2(n78376), .B1(n78375), 
+        .B2(n78374), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N594) );
+  sky130_fd_sc_hd__nor2_1 U96384 ( .A(n78379), .B(n78378), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr_prng_N8) );
+  sky130_fd_sc_hd__o22ai_1 U96385 ( .A1(n78381), .A2(n78391), .B1(n78395), 
+        .B2(n78380), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_out_bits_data[1]) );
+  sky130_fd_sc_hd__o22ai_1 U96386 ( .A1(n78383), .A2(n78391), .B1(n78395), 
+        .B2(n78382), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_out_bits_data[4]) );
+  sky130_fd_sc_hd__o22ai_1 U96387 ( .A1(n78385), .A2(n78391), .B1(n78395), 
+        .B2(n78384), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_out_bits_data[6]) );
+  sky130_fd_sc_hd__o22ai_1 U96388 ( .A1(n78387), .A2(n78391), .B1(n78395), 
+        .B2(n78386), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_out_bits_data[10]) );
+  sky130_fd_sc_hd__o22ai_1 U96389 ( .A1(n78389), .A2(n78391), .B1(n78395), 
+        .B2(n78388), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_out_bits_data[15]) );
+  sky130_fd_sc_hd__o22ai_1 U96390 ( .A1(n78392), .A2(n78391), .B1(n78395), 
+        .B2(n78390), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_out_bits_data[17]) );
+  sky130_fd_sc_hd__o22ai_1 U96391 ( .A1(n36851), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_param_0__0_), .B1(n82466), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_ram_param_1__0_), .Y(n78393) );
+  sky130_fd_sc_hd__nor4_1 U96393 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_118[3]), .B(n85817), .C(n78395), .D(n78394), .Y(n78396) );
+  sky130_fd_sc_hd__a31oi_1 U96394 ( .A1(n78399), .A2(n78398), .A3(n78397), 
+        .B1(n78396), .Y(n78400) );
+  sky130_fd_sc_hd__o21ai_1 U96395 ( .A1(n78401), .A2(n78404), .B1(n78400), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_out_bits_data[19]) );
+  sky130_fd_sc_hd__nand2_1 U96396 ( .A(n78403), .B(n78402), .Y(n78406) );
+  sky130_fd_sc_hd__o21ai_1 U96397 ( .A1(n78406), .A2(n78405), .B1(n78404), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_out_bits_data[20]) );
+  sky130_fd_sc_hd__a32oi_1 U96398 ( .A1(n78411), .A2(n78410), .A3(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_3_bits_way_en[0]), .B1(n78409), .B2(n85818), .Y(n78407) );
+  sky130_fd_sc_hd__a32oi_1 U96399 ( .A1(n78411), .A2(n78410), .A3(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_3_bits_way_en[1]), .B1(n85819), .B2(n78409), .Y(n78413) );
+  sky130_fd_sc_hd__a22oi_1 U96400 ( .A1(n78453), .A2(n78416), .B1(n78456), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[18]), .Y(n78417) );
+  sky130_fd_sc_hd__a22oi_1 U96401 ( .A1(n78453), .A2(n78419), .B1(n78456), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[19]), .Y(n78420) );
+  sky130_fd_sc_hd__a22oi_1 U96402 ( .A1(n78453), .A2(n78422), .B1(n78456), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[20]), .Y(n78423) );
+  sky130_fd_sc_hd__a22oi_1 U96403 ( .A1(n78453), .A2(n78425), .B1(n78456), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[21]), .Y(n78426) );
+  sky130_fd_sc_hd__a22oi_1 U96404 ( .A1(n78453), .A2(n78428), .B1(n78456), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[22]), .Y(n78429) );
+  sky130_fd_sc_hd__a22oi_1 U96405 ( .A1(n78453), .A2(n78431), .B1(n78456), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[23]), .Y(n78432) );
+  sky130_fd_sc_hd__a22oi_1 U96406 ( .A1(n78453), .A2(n78434), .B1(n78456), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[24]), .Y(n78435) );
+  sky130_fd_sc_hd__a22oi_1 U96407 ( .A1(n78453), .A2(n78437), .B1(n78456), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[25]), .Y(n78438) );
+  sky130_fd_sc_hd__a22oi_1 U96408 ( .A1(n78453), .A2(n78440), .B1(n78456), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[26]), .Y(n78441) );
+  sky130_fd_sc_hd__a22oi_1 U96409 ( .A1(n78453), .A2(n78443), .B1(n78456), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[27]), .Y(n78444) );
+  sky130_fd_sc_hd__a22oi_1 U96410 ( .A1(n78453), .A2(n78446), .B1(n78456), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[28]), .Y(n78447) );
+  sky130_fd_sc_hd__a22oi_1 U96411 ( .A1(n78453), .A2(n78449), .B1(n78456), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[29]), .Y(n78450) );
+  sky130_fd_sc_hd__a22oi_1 U96412 ( .A1(n78453), .A2(n78452), .B1(n78456), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[30]), .Y(n78454) );
+  sky130_fd_sc_hd__a22oi_1 U96413 ( .A1(n78453), .A2(n78457), .B1(n78456), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore2_storegen_data[31]), .Y(n78458) );
+  sky130_fd_sc_hd__nor2_1 U96414 ( .A(n78462), .B(n78461), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_repl_way_v0_prng_N8) );
+  sky130_fd_sc_hd__nand2_1 U96415 ( .A(n78464), .B(n78471), .Y(n78466) );
+  sky130_fd_sc_hd__o21ai_1 U96416 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_count[0]), .A2(n78466), .B1(n78476), .Y(n78465) );
+  sky130_fd_sc_hd__a21oi_1 U96417 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_count[0]), .A2(n78466), .B1(n78465), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1679) );
+  sky130_fd_sc_hd__nand3_1 U96418 ( .A(n78468), .B(n78467), .C(n86753), .Y(
+        n78469) );
+  sky130_fd_sc_hd__nor2_1 U96419 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_count[0]), .B(n78469), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1678) );
+  sky130_fd_sc_hd__nand2_1 U96420 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_count[0]), .B(n78470), .Y(n78473) );
+  sky130_fd_sc_hd__o211ai_1 U96421 ( .A1(n78471), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_count[0]), .B1(n78473), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_count[2]), .Y(n78472) );
+  sky130_fd_sc_hd__a21o_1 U96423 ( .A1(n78476), .A2(n78475), .B1(n78474), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1680) );
+  sky130_fd_sc_hd__a22o_1 U96424 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_source[0]), .B1(n83823), .B2(n85820), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_auto_out_a_bits_source[6]) );
+  sky130_fd_sc_hd__a22o_1 U96425 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_source[1]), .B1(n83823), .B2(n85821), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_auto_out_a_bits_source[7]) );
+  sky130_fd_sc_hd__a22oi_1 U96426 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[22]), .A2(n38422), .B1(n78486), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[22]), .Y(n78477) );
+  sky130_fd_sc_hd__o21ai_0 U96427 ( .A1(n78504), .A2(n78488), .B1(n78477), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1165) );
+  sky130_fd_sc_hd__a22oi_1 U96428 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[23]), .A2(n38422), .B1(n78486), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[23]), .Y(n78478) );
+  sky130_fd_sc_hd__o21ai_1 U96429 ( .A1(n78506), .A2(n78488), .B1(n78478), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1166) );
+  sky130_fd_sc_hd__a22oi_1 U96430 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[24]), .A2(n38422), .B1(n78486), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[24]), .Y(n78479) );
+  sky130_fd_sc_hd__o21ai_1 U96431 ( .A1(n78508), .A2(n78488), .B1(n78479), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1167) );
+  sky130_fd_sc_hd__a22oi_1 U96432 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[25]), .A2(n38422), .B1(n78486), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[25]), .Y(n78480) );
+  sky130_fd_sc_hd__o21ai_1 U96433 ( .A1(n78530), .A2(n78488), .B1(n78480), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1168) );
+  sky130_fd_sc_hd__a22oi_1 U96434 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[26]), .A2(n38422), .B1(n78486), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[26]), .Y(n78481) );
+  sky130_fd_sc_hd__o21ai_1 U96435 ( .A1(n78511), .A2(n78488), .B1(n78481), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1169) );
+  sky130_fd_sc_hd__a22oi_1 U96436 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[27]), .A2(n38422), .B1(n78486), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[27]), .Y(n78482) );
+  sky130_fd_sc_hd__o21ai_1 U96437 ( .A1(n78513), .A2(n78488), .B1(n78482), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1170) );
+  sky130_fd_sc_hd__a22oi_1 U96438 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[28]), .A2(n38422), .B1(n78486), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[28]), .Y(n78483) );
+  sky130_fd_sc_hd__o21ai_1 U96439 ( .A1(n78515), .A2(n78488), .B1(n78483), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1171) );
+  sky130_fd_sc_hd__a22oi_1 U96440 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[29]), .A2(n38422), .B1(n78486), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[29]), .Y(n78484) );
+  sky130_fd_sc_hd__o21ai_1 U96441 ( .A1(n78517), .A2(n78488), .B1(n78484), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1172) );
+  sky130_fd_sc_hd__a22oi_1 U96442 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[30]), .A2(n38422), .B1(n78486), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[30]), .Y(n78485) );
+  sky130_fd_sc_hd__o21ai_1 U96443 ( .A1(n78519), .A2(n78488), .B1(n78485), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1173) );
+  sky130_fd_sc_hd__a22oi_1 U96444 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[31]), .A2(n38422), .B1(n78486), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_stval[31]), .Y(n78487) );
+  sky130_fd_sc_hd__o21ai_1 U96445 ( .A1(n78523), .A2(n78488), .B1(n78487), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1174) );
+  sky130_fd_sc_hd__a22oi_1 U96446 ( .A1(n78498), .A2(n85822), .B1(n78491), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_scause[2]), .Y(n78489) );
+  sky130_fd_sc_hd__a22oi_1 U96448 ( .A1(n78498), .A2(n85823), .B1(n78491), 
+        .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_scause[3]), .Y(n78492) );
+  sky130_fd_sc_hd__o21ai_1 U96449 ( .A1(n78493), .A2(n78495), .B1(n78492), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1082) );
+  sky130_fd_sc_hd__o22ai_1 U96450 ( .A1(n78496), .A2(n78495), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_scause[31]), .B2(n78494), .Y(n78497) );
+  sky130_fd_sc_hd__a21oi_1 U96451 ( .A1(n78498), .A2(n78523), .B1(n78497), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1110) );
+  sky130_fd_sc_hd__a22oi_1 U96452 ( .A1(n78500), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_sepc[25]), .B1(n78499), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[25]), .Y(n78501) );
+  sky130_fd_sc_hd__o21ai_1 U96453 ( .A1(n78530), .A2(n78502), .B1(n78501), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1040) );
+  sky130_fd_sc_hd__a22oi_1 U96454 ( .A1(n37199), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[22]), .B1(n78520), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[22]), .Y(n78503) );
+  sky130_fd_sc_hd__o21ai_0 U96455 ( .A1(n78504), .A2(n78522), .B1(n78503), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N939) );
+  sky130_fd_sc_hd__a22oi_1 U96456 ( .A1(n37199), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[23]), .B1(n78520), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[23]), .Y(n78505) );
+  sky130_fd_sc_hd__a22oi_1 U96458 ( .A1(n37199), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[24]), .B1(n78520), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[24]), .Y(n78507) );
+  sky130_fd_sc_hd__o21ai_1 U96459 ( .A1(n78508), .A2(n78522), .B1(n78507), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N941) );
+  sky130_fd_sc_hd__a22oi_1 U96460 ( .A1(n37199), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[25]), .B1(n78520), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[25]), .Y(n78509) );
+  sky130_fd_sc_hd__o21ai_1 U96461 ( .A1(n78530), .A2(n78522), .B1(n78509), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N942) );
+  sky130_fd_sc_hd__a22oi_1 U96462 ( .A1(n37199), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[26]), .B1(n78520), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[26]), .Y(n78510) );
+  sky130_fd_sc_hd__o21ai_1 U96463 ( .A1(n78511), .A2(n78522), .B1(n78510), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N943) );
+  sky130_fd_sc_hd__a22oi_1 U96464 ( .A1(n37199), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[27]), .B1(n78520), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[27]), .Y(n78512) );
+  sky130_fd_sc_hd__o21ai_1 U96465 ( .A1(n78513), .A2(n78522), .B1(n78512), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N944) );
+  sky130_fd_sc_hd__a22oi_1 U96466 ( .A1(n37199), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[28]), .B1(n78520), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[28]), .Y(n78514) );
+  sky130_fd_sc_hd__o21ai_1 U96467 ( .A1(n78515), .A2(n78522), .B1(n78514), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N945) );
+  sky130_fd_sc_hd__a22oi_1 U96468 ( .A1(n37199), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[29]), .B1(n78520), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[29]), .Y(n78516) );
+  sky130_fd_sc_hd__a22oi_1 U96470 ( .A1(n37199), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[30]), .B1(n78520), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[30]), .Y(n78518) );
+  sky130_fd_sc_hd__a22oi_1 U96472 ( .A1(n37199), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_wdata[31]), .B1(n78520), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_mtval[31]), .Y(n78521) );
+  sky130_fd_sc_hd__o21ai_1 U96473 ( .A1(n78523), .A2(n78522), .B1(n78521), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N948) );
+  sky130_fd_sc_hd__o21a_1 U96474 ( .A1(n78525), .A2(n78524), .B1(n59913), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N747) );
+  sky130_fd_sc_hd__a22oi_1 U96475 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_coreMonitorBundle_pc[25]), .A2(n78527), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_dpc[25]), .B2(n78526), .Y(n78528) );
+  sky130_fd_sc_hd__o21ai_1 U96476 ( .A1(n78530), .A2(n78529), .B1(n78528), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N442) );
+  sky130_fd_sc_hd__o21ai_1 U96477 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[0]), .A2(n78532), .B1(n78531), .Y(n78533) );
+  sky130_fd_sc_hd__o22ai_1 U96478 ( .A1(n78534), .A2(n78533), .B1(n82478), 
+        .B2(n78537), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1442) );
+  sky130_fd_sc_hd__a21o_1 U96479 ( .A1(n78536), .A2(n78535), .B1(n78534), .X(
+        n78538) );
+  sky130_fd_sc_hd__o22ai_1 U96480 ( .A1(n78539), .A2(n78538), .B1(n82476), 
+        .B2(n78537), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1445) );
+  sky130_fd_sc_hd__o21ai_1 U96481 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[1]), .A2(n78540), .B1(n78544), .Y(n78542) );
+  sky130_fd_sc_hd__o22ai_1 U96482 ( .A1(n78543), .A2(n78542), .B1(n78541), 
+        .B2(n78546), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1180) );
+  sky130_fd_sc_hd__o22ai_1 U96484 ( .A1(n78548), .A2(n78547), .B1(n82476), 
+        .B2(n78546), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1182) );
+  sky130_fd_sc_hd__o22ai_1 U96486 ( .A1(n78554), .A2(n78553), .B1(n78552), 
+        .B2(n78551), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1184) );
+  sky130_fd_sc_hd__o221ai_1 U96487 ( .A1(n78557), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_spp), .B1(n78556), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_1_status_prv[0]), .C1(n78555), .Y(n78558) );
+  sky130_fd_sc_hd__o22ai_1 U96488 ( .A1(n78560), .A2(n78559), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N315), .B2(n78558), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N327) );
+  sky130_fd_sc_hd__clkinv_1 U96489 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[3]), .Y(n81629) );
+  sky130_fd_sc_hd__nand2_1 U96490 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_prepend_23_12_), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[0]), .Y(n78733) );
+  sky130_fd_sc_hd__clkinv_1 U96491 ( .A(n78733), .Y(n78735) );
+  sky130_fd_sc_hd__and2_0 U96492 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[1]), .B(n78735), .X(
+        n78737) );
+  sky130_fd_sc_hd__nand2_1 U96493 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[2]), .B(n78737), .Y(
+        n78740) );
+  sky130_fd_sc_hd__nor2_1 U96494 ( .A(n81629), .B(n78740), .Y(n78743) );
+  sky130_fd_sc_hd__and2_0 U96495 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[4]), .B(n78743), .X(
+        n78746) );
+  sky130_fd_sc_hd__and2_0 U96496 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[5]), .B(n78746), .X(
+        n78660) );
+  sky130_fd_sc_hd__clkinv_1 U96498 ( .A(n78739), .Y(n78742) );
+  sky130_fd_sc_hd__nand2_1 U96499 ( .A(n78660), .B(n78742), .Y(n78651) );
+  sky130_fd_sc_hd__clkinv_1 U96500 ( .A(n78651), .Y(n78593) );
+  sky130_fd_sc_hd__clkinv_1 U96501 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[6]), .Y(n78562) );
+  sky130_fd_sc_hd__nor2_1 U96502 ( .A(n78660), .B(n78739), .Y(n78745) );
+  sky130_fd_sc_hd__o22ai_1 U96503 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[6]), .A2(n78593), .B1(
+        n78562), .B2(n78745), .Y(n78561) );
+  sky130_fd_sc_hd__o21ai_1 U96504 ( .A1(n36330), .A2(n78777), .B1(n78561), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N18) );
+  sky130_fd_sc_hd__nand3_1 U96505 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[7]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[6]), .C(n78660), .Y(
+        n78565) );
+  sky130_fd_sc_hd__nor3_1 U96506 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[7]), .B(n78562), .C(
+        n78651), .Y(n78563) );
+  sky130_fd_sc_hd__a31oi_1 U96507 ( .A1(n78742), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[7]), .A3(n78565), .B1(
+        n78563), .Y(n78564) );
+  sky130_fd_sc_hd__o21ai_1 U96508 ( .A1(n36330), .A2(n78782), .B1(n78564), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N19) );
+  sky130_fd_sc_hd__nor2_1 U96509 ( .A(n78566), .B(n78565), .Y(n78568) );
+  sky130_fd_sc_hd__a21o_1 U96510 ( .A1(n78566), .A2(n78565), .B1(n78739), .X(
+        n78567) );
+  sky130_fd_sc_hd__o22ai_1 U96511 ( .A1(n78568), .A2(n78567), .B1(n84208), 
+        .B2(n36330), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N20) );
+  sky130_fd_sc_hd__clkinv_1 U96512 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[9]), .Y(n78787) );
+  sky130_fd_sc_hd__nand2_1 U96513 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[9]), .B(n78568), .Y(
+        n78570) );
+  sky130_fd_sc_hd__o21ai_0 U96514 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[9]), .A2(n78568), .B1(
+        n78570), .Y(n78569) );
+  sky130_fd_sc_hd__o22ai_1 U96515 ( .A1(n78787), .A2(n36330), .B1(n78739), 
+        .B2(n78569), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N21) );
+  sky130_fd_sc_hd__nor2_1 U96516 ( .A(n81861), .B(n78570), .Y(n78572) );
+  sky130_fd_sc_hd__a21o_1 U96517 ( .A1(n81861), .A2(n78570), .B1(n78739), .X(
+        n78571) );
+  sky130_fd_sc_hd__clkinv_1 U96518 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[10]), .Y(n78789) );
+  sky130_fd_sc_hd__o22ai_1 U96519 ( .A1(n78572), .A2(n78571), .B1(n78789), 
+        .B2(n36330), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N22) );
+  sky130_fd_sc_hd__nor2_1 U96520 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[11]), .B(n78572), .Y(
+        n78573) );
+  sky130_fd_sc_hd__nand2_1 U96521 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[11]), .B(n78572), .Y(
+        n78575) );
+  sky130_fd_sc_hd__nand2_1 U96522 ( .A(n78742), .B(n78575), .Y(n78580) );
+  sky130_fd_sc_hd__clkinv_1 U96523 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[11]), .Y(n78792) );
+  sky130_fd_sc_hd__o22ai_1 U96524 ( .A1(n78573), .A2(n78580), .B1(n78792), 
+        .B2(n36330), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N23) );
+  sky130_fd_sc_hd__a21oi_1 U96525 ( .A1(n81900), .A2(n78575), .B1(n78739), .Y(
+        n78574) );
+  sky130_fd_sc_hd__o21ai_0 U96526 ( .A1(n81900), .A2(n78575), .B1(n78574), .Y(
+        n78576) );
+  sky130_fd_sc_hd__o21ai_1 U96527 ( .A1(n84232), .A2(n36330), .B1(n78576), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N24) );
+  sky130_fd_sc_hd__clkinv_1 U96528 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[13]), .Y(n84209) );
+  sky130_fd_sc_hd__nand2_1 U96529 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[7]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[6]), .Y(n78578) );
+  sky130_fd_sc_hd__nor3_1 U96530 ( .A(n78578), .B(n81861), .C(n78577), .Y(
+        n78579) );
+  sky130_fd_sc_hd__nand4_1 U96531 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[8]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[12]), .C(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[11]), .D(n78579), .Y(
+        n78589) );
+  sky130_fd_sc_hd__nor2_1 U96532 ( .A(n78589), .B(n78651), .Y(n78584) );
+  sky130_fd_sc_hd__o22ai_1 U96534 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[13]), .A2(n78584), .B1(
+        n81919), .B2(n78582), .Y(n78581) );
+  sky130_fd_sc_hd__o21ai_1 U96535 ( .A1(n84209), .A2(n36330), .B1(n78581), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N25) );
+  sky130_fd_sc_hd__nand2_1 U96536 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[14]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[13]), .Y(n78588) );
+  sky130_fd_sc_hd__a21oi_1 U96537 ( .A1(n78584), .A2(n78588), .B1(n78582), .Y(
+        n78587) );
+  sky130_fd_sc_hd__a21oi_1 U96538 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[13]), .A2(n78584), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[14]), .Y(n78583) );
+  sky130_fd_sc_hd__clkinv_1 U96539 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[14]), .Y(n78799) );
+  sky130_fd_sc_hd__o22ai_1 U96540 ( .A1(n78587), .A2(n78583), .B1(n78799), 
+        .B2(n36330), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N26) );
+  sky130_fd_sc_hd__nand3b_1 U96541 ( .A_N(n78588), .B(n78584), .C(n81973), .Y(
+        n78586) );
+  sky130_fd_sc_hd__nand2_1 U96542 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[15]), .B(n78644), .Y(
+        n78585) );
+  sky130_fd_sc_hd__o211ai_1 U96543 ( .A1(n78587), .A2(n81973), .B1(n78586), 
+        .C1(n78585), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N27) );
+  sky130_fd_sc_hd__clkinv_1 U96544 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[16]), .Y(n78805) );
+  sky130_fd_sc_hd__nand2_1 U96545 ( .A(n78587), .B(n78586), .Y(n78592) );
+  sky130_fd_sc_hd__nor3_1 U96546 ( .A(n81973), .B(n78589), .C(n78588), .Y(
+        n78594) );
+  sky130_fd_sc_hd__and3_1 U96547 ( .A(n81997), .B(n78594), .C(n78593), .X(
+        n78590) );
+  sky130_fd_sc_hd__a21oi_1 U96548 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[16]), .A2(n78592), .B1(
+        n78590), .Y(n78591) );
+  sky130_fd_sc_hd__a21oi_1 U96550 ( .A1(n81997), .A2(n78593), .B1(n78592), .Y(
+        n78597) );
+  sky130_fd_sc_hd__nand2_1 U96551 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[16]), .B(n78594), .Y(
+        n78598) );
+  sky130_fd_sc_hd__nor3_1 U96552 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[17]), .B(n78598), .C(
+        n78651), .Y(n78595) );
+  sky130_fd_sc_hd__a21oi_1 U96553 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[17]), .A2(n78644), .B1(
+        n78595), .Y(n78596) );
+  sky130_fd_sc_hd__o21ai_1 U96554 ( .A1(n78597), .A2(n82012), .B1(n78596), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N29) );
+  sky130_fd_sc_hd__clkinv_1 U96555 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[18]), .Y(n78814) );
+  sky130_fd_sc_hd__nor2_1 U96557 ( .A(n82012), .B(n78598), .Y(n78602) );
+  sky130_fd_sc_hd__nor2_1 U96558 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[18]), .B(n78651), .Y(
+        n78601) );
+  sky130_fd_sc_hd__a22oi_1 U96559 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[18]), .A2(n78600), .B1(
+        n78602), .B2(n78601), .Y(n78599) );
+  sky130_fd_sc_hd__o21ai_1 U96560 ( .A1(n36330), .A2(n78814), .B1(n78599), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N30) );
+  sky130_fd_sc_hd__nor2_1 U96561 ( .A(n78601), .B(n78600), .Y(n78605) );
+  sky130_fd_sc_hd__nand2_1 U96562 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[18]), .B(n78602), .Y(
+        n78606) );
+  sky130_fd_sc_hd__nor3_1 U96563 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[19]), .B(n78606), .C(
+        n78651), .Y(n78603) );
+  sky130_fd_sc_hd__a21oi_1 U96564 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[19]), .A2(n78644), .B1(
+        n78603), .Y(n78604) );
+  sky130_fd_sc_hd__o21ai_1 U96565 ( .A1(n78605), .A2(n82034), .B1(n78604), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N31) );
+  sky130_fd_sc_hd__clkinv_1 U96566 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[20]), .Y(n81485) );
+  sky130_fd_sc_hd__o21ai_1 U96567 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[19]), .A2(n78651), .B1(
+        n78605), .Y(n78608) );
+  sky130_fd_sc_hd__nor2_1 U96568 ( .A(n82034), .B(n78606), .Y(n78610) );
+  sky130_fd_sc_hd__nor2_1 U96569 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[20]), .B(n78651), .Y(
+        n78609) );
+  sky130_fd_sc_hd__a22oi_1 U96570 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[20]), .A2(n78608), .B1(
+        n78610), .B2(n78609), .Y(n78607) );
+  sky130_fd_sc_hd__o21ai_1 U96571 ( .A1(n36330), .A2(n81485), .B1(n78607), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N32) );
+  sky130_fd_sc_hd__nor2_1 U96572 ( .A(n78609), .B(n78608), .Y(n78613) );
+  sky130_fd_sc_hd__nand2_1 U96573 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[20]), .B(n78610), .Y(
+        n78614) );
+  sky130_fd_sc_hd__nor3_1 U96574 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[21]), .B(n78614), .C(
+        n78651), .Y(n78611) );
+  sky130_fd_sc_hd__a21oi_1 U96575 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[21]), .A2(n78644), .B1(
+        n78611), .Y(n78612) );
+  sky130_fd_sc_hd__o21ai_1 U96576 ( .A1(n78613), .A2(n82076), .B1(n78612), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N33) );
+  sky130_fd_sc_hd__clkinv_1 U96577 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[22]), .Y(n81486) );
+  sky130_fd_sc_hd__nor2_1 U96579 ( .A(n82076), .B(n78614), .Y(n78618) );
+  sky130_fd_sc_hd__nor2_1 U96580 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[22]), .B(n78651), .Y(
+        n78617) );
+  sky130_fd_sc_hd__a22oi_1 U96581 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[22]), .A2(n78616), .B1(
+        n78618), .B2(n78617), .Y(n78615) );
+  sky130_fd_sc_hd__o21ai_1 U96582 ( .A1(n36330), .A2(n81486), .B1(n78615), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N34) );
+  sky130_fd_sc_hd__nor2_1 U96583 ( .A(n78617), .B(n78616), .Y(n78621) );
+  sky130_fd_sc_hd__nand2_1 U96584 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[22]), .B(n78618), .Y(
+        n78622) );
+  sky130_fd_sc_hd__nor3_1 U96585 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[23]), .B(n78622), .C(
+        n78651), .Y(n78619) );
+  sky130_fd_sc_hd__a21oi_1 U96586 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[23]), .A2(n78644), .B1(
+        n78619), .Y(n78620) );
+  sky130_fd_sc_hd__o21ai_1 U96587 ( .A1(n78621), .A2(n82102), .B1(n78620), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N35) );
+  sky130_fd_sc_hd__clkinv_1 U96588 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[24]), .Y(n81482) );
+  sky130_fd_sc_hd__o21ai_1 U96589 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[23]), .A2(n78651), .B1(
+        n78621), .Y(n78624) );
+  sky130_fd_sc_hd__nor2_1 U96590 ( .A(n82102), .B(n78622), .Y(n78626) );
+  sky130_fd_sc_hd__nor2_1 U96591 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[24]), .B(n78651), .Y(
+        n78625) );
+  sky130_fd_sc_hd__a22oi_1 U96592 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[24]), .A2(n78624), .B1(
+        n78626), .B2(n78625), .Y(n78623) );
+  sky130_fd_sc_hd__o21ai_1 U96593 ( .A1(n36330), .A2(n81482), .B1(n78623), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N36) );
+  sky130_fd_sc_hd__nor2_1 U96594 ( .A(n78625), .B(n78624), .Y(n78629) );
+  sky130_fd_sc_hd__nand2_1 U96595 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[24]), .B(n78626), .Y(
+        n78630) );
+  sky130_fd_sc_hd__nor3_1 U96596 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[25]), .B(n78630), .C(
+        n78651), .Y(n78627) );
+  sky130_fd_sc_hd__a21oi_1 U96597 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[25]), .A2(n78644), .B1(
+        n78627), .Y(n78628) );
+  sky130_fd_sc_hd__o21ai_1 U96598 ( .A1(n78629), .A2(n82145), .B1(n78628), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N37) );
+  sky130_fd_sc_hd__clkinv_1 U96599 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[26]), .Y(n81484) );
+  sky130_fd_sc_hd__o21ai_1 U96600 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[25]), .A2(n78651), .B1(
+        n78629), .Y(n78632) );
+  sky130_fd_sc_hd__nor2_1 U96601 ( .A(n82145), .B(n78630), .Y(n78634) );
+  sky130_fd_sc_hd__nor2_1 U96602 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[26]), .B(n78651), .Y(
+        n78633) );
+  sky130_fd_sc_hd__a22oi_1 U96603 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[26]), .A2(n78632), .B1(
+        n78634), .B2(n78633), .Y(n78631) );
+  sky130_fd_sc_hd__o21ai_1 U96604 ( .A1(n36330), .A2(n81484), .B1(n78631), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N38) );
+  sky130_fd_sc_hd__nor2_1 U96605 ( .A(n78633), .B(n78632), .Y(n78637) );
+  sky130_fd_sc_hd__nand2_1 U96606 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[26]), .B(n78634), .Y(
+        n78638) );
+  sky130_fd_sc_hd__nor3_1 U96607 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[27]), .B(n78638), .C(
+        n78651), .Y(n78635) );
+  sky130_fd_sc_hd__a21oi_1 U96608 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[27]), .A2(n78644), .B1(
+        n78635), .Y(n78636) );
+  sky130_fd_sc_hd__o21ai_1 U96609 ( .A1(n78637), .A2(n82171), .B1(n78636), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N39) );
+  sky130_fd_sc_hd__nor2_1 U96611 ( .A(n82171), .B(n78638), .Y(n78642) );
+  sky130_fd_sc_hd__nor2_1 U96612 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[28]), .B(n78651), .Y(
+        n78641) );
+  sky130_fd_sc_hd__a22oi_1 U96613 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[28]), .A2(n78640), .B1(
+        n78642), .B2(n78641), .Y(n78639) );
+  sky130_fd_sc_hd__o21ai_1 U96614 ( .A1(n36330), .A2(n78844), .B1(n78639), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N40) );
+  sky130_fd_sc_hd__nor2_1 U96615 ( .A(n78641), .B(n78640), .Y(n78646) );
+  sky130_fd_sc_hd__clkinv_1 U96616 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[29]), .Y(n78648) );
+  sky130_fd_sc_hd__nand2_1 U96617 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[28]), .B(n78642), .Y(
+        n78647) );
+  sky130_fd_sc_hd__nor3_1 U96618 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[29]), .B(n78647), .C(
+        n78651), .Y(n78643) );
+  sky130_fd_sc_hd__a21oi_1 U96619 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[29]), .A2(n78644), .B1(
+        n78643), .Y(n78645) );
+  sky130_fd_sc_hd__clkinv_1 U96621 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[30]), .Y(n78851) );
+  sky130_fd_sc_hd__o21ai_1 U96622 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[29]), .A2(n78651), .B1(
+        n78646), .Y(n78653) );
+  sky130_fd_sc_hd__nor2_1 U96623 ( .A(n78648), .B(n78647), .Y(n78650) );
+  sky130_fd_sc_hd__nor2_1 U96624 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[30]), .B(n78651), .Y(
+        n78654) );
+  sky130_fd_sc_hd__a22oi_1 U96625 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[30]), .A2(n78653), .B1(
+        n78650), .B2(n78654), .Y(n78649) );
+  sky130_fd_sc_hd__o21ai_1 U96626 ( .A1(n36330), .A2(n78851), .B1(n78649), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N42) );
+  sky130_fd_sc_hd__clkinv_1 U96627 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[31]), .Y(n78656) );
+  sky130_fd_sc_hd__clkinv_1 U96628 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[31]), .Y(n78658) );
+  sky130_fd_sc_hd__nand2_1 U96629 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[30]), .B(n78650), .Y(
+        n78657) );
+  sky130_fd_sc_hd__nor2_1 U96630 ( .A(n78657), .B(n78651), .Y(n78652) );
+  sky130_fd_sc_hd__o32ai_1 U96631 ( .A1(n78658), .A2(n78654), .A3(n78653), 
+        .B1(n78652), .B2(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[31]), 
+        .Y(n78655) );
+  sky130_fd_sc_hd__o21ai_1 U96632 ( .A1(n78656), .A2(n36330), .B1(n78655), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N43) );
+  sky130_fd_sc_hd__nor2_1 U96633 ( .A(n78658), .B(n78657), .Y(n78664) );
+  sky130_fd_sc_hd__a21oi_1 U96635 ( .A1(n78664), .A2(n78660), .B1(n78659), .Y(
+        n78662) );
+  sky130_fd_sc_hd__nor2b_1 U96636 ( .B_N(n78660), .A(n78659), .Y(n78720) );
+  sky130_fd_sc_hd__clkinv_1 U96637 ( .A(n78720), .Y(n78725) );
+  sky130_fd_sc_hd__nor2_1 U96638 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[0]), .B(n78725), .Y(
+        n78663) );
+  sky130_fd_sc_hd__a22oi_1 U96639 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[0]), .A2(n78662), .B1(
+        n78664), .B2(n78663), .Y(n78661) );
+  sky130_fd_sc_hd__nor2_1 U96641 ( .A(n78663), .B(n78662), .Y(n78669) );
+  sky130_fd_sc_hd__clkinv_1 U96642 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[1]), .Y(n78667) );
+  sky130_fd_sc_hd__and2_0 U96643 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[0]), .B(n78664), .X(
+        n78668) );
+  sky130_fd_sc_hd__nor2_1 U96644 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[1]), .B(n78725), .Y(
+        n78665) );
+  sky130_fd_sc_hd__a22oi_1 U96645 ( .A1(n78668), .A2(n78665), .B1(n78726), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[1]), .Y(n78666) );
+  sky130_fd_sc_hd__o21ai_0 U96646 ( .A1(n78669), .A2(n78667), .B1(n78666), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N45) );
+  sky130_fd_sc_hd__nand2_1 U96647 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[1]), .B(n78668), .Y(
+        n78674) );
+  sky130_fd_sc_hd__nor2_1 U96648 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[2]), .B(n78725), .Y(
+        n78673) );
+  sky130_fd_sc_hd__clkinv_1 U96649 ( .A(n78673), .Y(n78671) );
+  sky130_fd_sc_hd__a22oi_1 U96651 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[2]), .A2(n78672), .B1(
+        n78726), .B2(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[2]), .Y(
+        n78670) );
+  sky130_fd_sc_hd__o21ai_0 U96652 ( .A1(n78674), .A2(n78671), .B1(n78670), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N46) );
+  sky130_fd_sc_hd__nor2_1 U96653 ( .A(n78673), .B(n78672), .Y(n78679) );
+  sky130_fd_sc_hd__clkinv_1 U96654 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[3]), .Y(n78677) );
+  sky130_fd_sc_hd__nor2b_1 U96655 ( .B_N(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[2]), .A(n78674), .Y(
+        n78678) );
+  sky130_fd_sc_hd__nor2_1 U96656 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[3]), .B(n78725), .Y(
+        n78675) );
+  sky130_fd_sc_hd__a22oi_1 U96657 ( .A1(n78678), .A2(n78675), .B1(n78726), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[3]), .Y(n78676) );
+  sky130_fd_sc_hd__o21ai_0 U96658 ( .A1(n78679), .A2(n78677), .B1(n78676), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N47) );
+  sky130_fd_sc_hd__nand2_1 U96659 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[3]), .B(n78678), .Y(
+        n78684) );
+  sky130_fd_sc_hd__nor2_1 U96660 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[4]), .B(n78725), .Y(
+        n78683) );
+  sky130_fd_sc_hd__clkinv_1 U96661 ( .A(n78683), .Y(n78681) );
+  sky130_fd_sc_hd__o21ai_1 U96662 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[3]), .A2(n78725), .B1(
+        n78679), .Y(n78682) );
+  sky130_fd_sc_hd__a22oi_1 U96663 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[4]), .A2(n78682), .B1(
+        n78726), .B2(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[4]), .Y(
+        n78680) );
+  sky130_fd_sc_hd__o21ai_0 U96664 ( .A1(n78684), .A2(n78681), .B1(n78680), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N48) );
+  sky130_fd_sc_hd__nor2_1 U96665 ( .A(n78683), .B(n78682), .Y(n78689) );
+  sky130_fd_sc_hd__clkinv_1 U96666 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[5]), .Y(n78687) );
+  sky130_fd_sc_hd__nor2b_1 U96667 ( .B_N(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[4]), .A(n78684), .Y(
+        n78688) );
+  sky130_fd_sc_hd__nor2_1 U96668 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[5]), .B(n78725), .Y(
+        n78685) );
+  sky130_fd_sc_hd__a22oi_1 U96669 ( .A1(n78688), .A2(n78685), .B1(n78726), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[5]), .Y(n78686) );
+  sky130_fd_sc_hd__o21ai_0 U96670 ( .A1(n78689), .A2(n78687), .B1(n78686), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N49) );
+  sky130_fd_sc_hd__nand2_1 U96671 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[5]), .B(n78688), .Y(
+        n78694) );
+  sky130_fd_sc_hd__nor2_1 U96672 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[6]), .B(n78725), .Y(
+        n78693) );
+  sky130_fd_sc_hd__clkinv_1 U96673 ( .A(n78693), .Y(n78691) );
+  sky130_fd_sc_hd__o21ai_1 U96674 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[5]), .A2(n78725), .B1(
+        n78689), .Y(n78692) );
+  sky130_fd_sc_hd__a22oi_1 U96675 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[6]), .A2(n78692), .B1(
+        n78726), .B2(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[6]), .Y(
+        n78690) );
+  sky130_fd_sc_hd__o21ai_0 U96676 ( .A1(n78694), .A2(n78691), .B1(n78690), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N50) );
+  sky130_fd_sc_hd__nor2_1 U96677 ( .A(n78693), .B(n78692), .Y(n78699) );
+  sky130_fd_sc_hd__clkinv_1 U96678 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[7]), .Y(n78697) );
+  sky130_fd_sc_hd__nor2b_1 U96679 ( .B_N(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[6]), .A(n78694), .Y(
+        n78698) );
+  sky130_fd_sc_hd__nor2_1 U96680 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[7]), .B(n78725), .Y(
+        n78695) );
+  sky130_fd_sc_hd__a22oi_1 U96681 ( .A1(n78698), .A2(n78695), .B1(n78726), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[7]), .Y(n78696) );
+  sky130_fd_sc_hd__o21ai_0 U96682 ( .A1(n78699), .A2(n78697), .B1(n78696), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N51) );
+  sky130_fd_sc_hd__nand2_1 U96683 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[7]), .B(n78698), .Y(
+        n78703) );
+  sky130_fd_sc_hd__clkinv_1 U96684 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[8]), .Y(n81815) );
+  sky130_fd_sc_hd__nand2_1 U96685 ( .A(n81815), .B(n78720), .Y(n78702) );
+  sky130_fd_sc_hd__a22oi_1 U96687 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[8]), .A2(n78701), .B1(
+        n78726), .B2(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[8]), .Y(
+        n78700) );
+  sky130_fd_sc_hd__o21ai_0 U96688 ( .A1(n78703), .A2(n78702), .B1(n78700), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N52) );
+  sky130_fd_sc_hd__nor2b_1 U96689 ( .B_N(n78702), .A(n78701), .Y(n78708) );
+  sky130_fd_sc_hd__clkinv_1 U96690 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[9]), .Y(n78706) );
+  sky130_fd_sc_hd__nor2_1 U96691 ( .A(n81815), .B(n78703), .Y(n78707) );
+  sky130_fd_sc_hd__nor2_1 U96692 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[9]), .B(n78725), .Y(
+        n78704) );
+  sky130_fd_sc_hd__a22oi_1 U96693 ( .A1(n78707), .A2(n78704), .B1(n78726), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[9]), .Y(n78705) );
+  sky130_fd_sc_hd__o21ai_0 U96694 ( .A1(n78708), .A2(n78706), .B1(n78705), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N53) );
+  sky130_fd_sc_hd__nand2_1 U96695 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[9]), .B(n78707), .Y(
+        n78712) );
+  sky130_fd_sc_hd__nand2_1 U96696 ( .A(n81862), .B(n78720), .Y(n78711) );
+  sky130_fd_sc_hd__a22oi_1 U96698 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[10]), .A2(n78710), .B1(
+        n78726), .B2(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[10]), .Y(
+        n78709) );
+  sky130_fd_sc_hd__o21ai_0 U96699 ( .A1(n78712), .A2(n78711), .B1(n78709), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N54) );
+  sky130_fd_sc_hd__nor2b_1 U96700 ( .B_N(n78711), .A(n78710), .Y(n78717) );
+  sky130_fd_sc_hd__nor2_1 U96701 ( .A(n81862), .B(n78712), .Y(n78716) );
+  sky130_fd_sc_hd__nor2_1 U96702 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[11]), .B(n78725), .Y(
+        n78713) );
+  sky130_fd_sc_hd__a22oi_1 U96703 ( .A1(n78716), .A2(n78713), .B1(n78726), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[11]), .Y(n78714) );
+  sky130_fd_sc_hd__o21ai_0 U96704 ( .A1(n78717), .A2(n78715), .B1(n78714), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N55) );
+  sky130_fd_sc_hd__nand3_1 U96705 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[11]), .B(n78716), .C(
+        n78720), .Y(n78721) );
+  sky130_fd_sc_hd__o21ai_1 U96706 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[11]), .A2(n78725), .B1(
+        n78717), .Y(n78719) );
+  sky130_fd_sc_hd__a22oi_1 U96707 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[12]), .A2(n78719), .B1(
+        n78726), .B2(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[12]), .Y(
+        n78718) );
+  sky130_fd_sc_hd__a21oi_1 U96709 ( .A1(n78720), .A2(n81901), .B1(n78719), .Y(
+        n78724) );
+  sky130_fd_sc_hd__nor2_1 U96710 ( .A(n81901), .B(n78721), .Y(n78723) );
+  sky130_fd_sc_hd__a22oi_1 U96711 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[13]), .A2(n78726), .B1(
+        n78723), .B2(n81920), .Y(n78722) );
+  sky130_fd_sc_hd__nand2_1 U96713 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[13]), .B(n78723), .Y(
+        n78728) );
+  sky130_fd_sc_hd__a22oi_1 U96715 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[14]), .A2(n78730), .B1(
+        n78726), .B2(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[14]), .Y(
+        n78727) );
+  sky130_fd_sc_hd__o21ai_1 U96716 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[14]), .A2(n78728), .B1(
+        n78727), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N58) );
+  sky130_fd_sc_hd__clkinv_1 U96717 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[15]), .Y(n78803) );
+  sky130_fd_sc_hd__nor2_1 U96718 ( .A(n78728), .B(n81946), .Y(n78731) );
+  sky130_fd_sc_hd__a21oi_1 U96719 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[14]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[15]), .B1(n78728), .Y(
+        n78729) );
+  sky130_fd_sc_hd__o22ai_1 U96720 ( .A1(n78731), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[15]), .B1(n78730), .B2(
+        n78729), .Y(n78732) );
+  sky130_fd_sc_hd__o21ai_1 U96721 ( .A1(n78803), .A2(n36331), .B1(n78732), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N59) );
+  sky130_fd_sc_hd__o21ai_0 U96722 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_prepend_23_12_), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[0]), .B1(n78733), .Y(
+        n78734) );
+  sky130_fd_sc_hd__o22ai_1 U96723 ( .A1(n78739), .A2(n78734), .B1(n36330), 
+        .B2(n81488), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N12) );
+  sky130_fd_sc_hd__o21ai_0 U96724 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[1]), .A2(n78735), .B1(
+        n78742), .Y(n78736) );
+  sky130_fd_sc_hd__o22ai_1 U96725 ( .A1(n78737), .A2(n78736), .B1(n78856), 
+        .B2(n36330), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N13) );
+  sky130_fd_sc_hd__o21ai_0 U96726 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[2]), .A2(n78737), .B1(
+        n78740), .Y(n78738) );
+  sky130_fd_sc_hd__o22ai_1 U96727 ( .A1(n78739), .A2(n78738), .B1(n36330), 
+        .B2(n78858), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N14) );
+  sky130_fd_sc_hd__a21o_1 U96728 ( .A1(n81629), .A2(n78740), .B1(n78739), .X(
+        n78741) );
+  sky130_fd_sc_hd__o22ai_1 U96729 ( .A1(n78743), .A2(n78741), .B1(n78864), 
+        .B2(n36330), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N15) );
+  sky130_fd_sc_hd__o21ai_0 U96730 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[4]), .A2(n78743), .B1(
+        n78742), .Y(n78744) );
+  sky130_fd_sc_hd__o22ai_1 U96731 ( .A1(n78746), .A2(n78744), .B1(n81487), 
+        .B2(n36330), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N16) );
+  sky130_fd_sc_hd__o21ai_0 U96732 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[5]), .A2(n78746), .B1(
+        n78745), .Y(n78747) );
+  sky130_fd_sc_hd__o21ai_1 U96733 ( .A1(n78775), .A2(n36330), .B1(n78747), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N17) );
+  sky130_fd_sc_hd__nand2_1 U96734 ( .A(n78864), .B(n81442), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N113) );
+  sky130_fd_sc_hd__clkinv_1 U96735 ( .A(n78748), .Y(n81443) );
+  sky130_fd_sc_hd__a211oi_1 U96736 ( .A1(n81443), .A2(n78749), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_reset), .C1(n78752), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N89) );
+  sky130_fd_sc_hd__a221oi_1 U96737 ( .A1(n78752), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_pc[1]), .B1(n78751), .B2(
+        n78750), .C1(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_reset), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N90) );
+  sky130_fd_sc_hd__nand2_1 U96738 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_pc[1]), .B(n78752), .Y(
+        n78756) );
+  sky130_fd_sc_hd__nand2_1 U96739 ( .A(n81442), .B(n78753), .Y(n78754) );
+  sky130_fd_sc_hd__a21oi_1 U96740 ( .A1(n78756), .A2(n78755), .B1(n78754), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N91) );
+  sky130_fd_sc_hd__nand2_1 U96741 ( .A(n84221), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_472_0_), .Y(n78757) );
+  sky130_fd_sc_hd__nor3_1 U96742 ( .A(n81504), .B(n84216), .C(n78757), .Y(
+        n78772) );
+  sky130_fd_sc_hd__nand2_1 U96743 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aonrst_catch__io_sync_reset_WIRE), .B(
+        n78772), .Y(n78867) );
+  sky130_fd_sc_hd__a21oi_1 U96744 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_prepend_7_9), .A2(n78758), 
+        .B1(n85911), .Y(n78771) );
+  sky130_fd_sc_hd__clkinv_1 U96745 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[19]), .Y(n81483) );
+  sky130_fd_sc_hd__nand4_1 U96746 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[0]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[27]), .C(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[26]), .D(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[24]), .Y(n78759) );
+  sky130_fd_sc_hd__nor4_1 U96747 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[6]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[1]), .C(n81483), .D(
+        n78759), .Y(n78769) );
+  sky130_fd_sc_hd__nor4_1 U96748 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[11]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[31]), .C(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[7]), .D(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[5]), .Y(n78765) );
+  sky130_fd_sc_hd__nor4_1 U96749 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[9]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[23]), .C(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[18]), .D(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[17]), .Y(n78764) );
+  sky130_fd_sc_hd__nor4_1 U96750 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[30]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[29]), .C(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[21]), .D(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[25]), .Y(n78763) );
+  sky130_fd_sc_hd__nand3_1 U96751 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[14]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[2]), .C(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[3]), .Y(n78761) );
+  sky130_fd_sc_hd__nand4_1 U96752 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[12]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[13]), .C(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[16]), .D(n78844), .Y(
+        n78760) );
+  sky130_fd_sc_hd__nor4_1 U96753 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[10]), .B(n78803), .C(
+        n78761), .D(n78760), .Y(n78762) );
+  sky130_fd_sc_hd__nand4_1 U96754 ( .A(n78765), .B(n78764), .C(n78763), .D(
+        n78762), .Y(n81493) );
+  sky130_fd_sc_hd__or4_1 U96755 ( .A(n84216), .B(n78766), .C(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[8]), .D(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[20]), .X(n78767) );
+  sky130_fd_sc_hd__nor4_1 U96756 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[22]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[4]), .C(n81493), .D(
+        n78767), .Y(n78768) );
+  sky130_fd_sc_hd__nand4_1 U96757 ( .A(n84221), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_472_0_), .C(n78769), .D(
+        n78768), .Y(n78770) );
+  sky130_fd_sc_hd__nand3b_1 U96758 ( .A_N(n78772), .B(n78771), .C(n78770), .Y(
+        n78863) );
+  sky130_fd_sc_hd__clkinv_1 U96759 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[2]), .Y(n81592) );
+  sky130_fd_sc_hd__clkinv_1 U96760 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_prepend_7[13]), .Y(n84211) );
+  sky130_fd_sc_hd__nor2_1 U96761 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog_countEn_corerstSynchronized), 
+        .B(n84211), .Y(n78773) );
+  sky130_fd_sc_hd__nor2_1 U96762 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_prepend_7[12]), .B(n78773), 
+        .Y(n78852) );
+  sky130_fd_sc_hd__clkinv_1 U96763 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[0]), .Y(n81505) );
+  sky130_fd_sc_hd__nor2_1 U96764 ( .A(n78852), .B(n81505), .Y(n78854) );
+  sky130_fd_sc_hd__nand2_1 U96765 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[1]), .B(n78854), .Y(
+        n78857) );
+  sky130_fd_sc_hd__nor2_1 U96766 ( .A(n81592), .B(n78857), .Y(n78861) );
+  sky130_fd_sc_hd__nand2_1 U96767 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[3]), .B(n78861), .Y(
+        n78860) );
+  sky130_fd_sc_hd__clkinv_1 U96768 ( .A(n78860), .Y(n78866) );
+  sky130_fd_sc_hd__and2_0 U96769 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[4]), .B(n78866), .X(
+        n78869) );
+  sky130_fd_sc_hd__nand2_1 U96770 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[5]), .B(n78869), .Y(
+        n78778) );
+  sky130_fd_sc_hd__o21ai_1 U96771 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[5]), .A2(n78869), .B1(
+        n78778), .Y(n78774) );
+  sky130_fd_sc_hd__o22ai_1 U96772 ( .A1(n78775), .A2(n78867), .B1(n78863), 
+        .B2(n78774), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N20) );
+  sky130_fd_sc_hd__clkinv_1 U96773 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[6]), .Y(n78779) );
+  sky130_fd_sc_hd__o2bb2ai_1 U96774 ( .B1(n78779), .B2(n78778), .A1_N(n78779), 
+        .A2_N(n78778), .Y(n78776) );
+  sky130_fd_sc_hd__o22ai_1 U96775 ( .A1(n78777), .A2(n78867), .B1(n78863), 
+        .B2(n78776), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N21) );
+  sky130_fd_sc_hd__nor2_1 U96776 ( .A(n78779), .B(n78778), .Y(n78780) );
+  sky130_fd_sc_hd__nand2_1 U96777 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[7]), .B(n78780), .Y(
+        n78784) );
+  sky130_fd_sc_hd__o21ai_1 U96778 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[7]), .A2(n78780), .B1(
+        n78784), .Y(n78781) );
+  sky130_fd_sc_hd__o22ai_1 U96779 ( .A1(n78782), .A2(n78867), .B1(n78863), 
+        .B2(n78781), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N22) );
+  sky130_fd_sc_hd__o2bb2ai_1 U96780 ( .B1(n81822), .B2(n78784), .A1_N(n81822), 
+        .A2_N(n78784), .Y(n78783) );
+  sky130_fd_sc_hd__o22ai_1 U96781 ( .A1(n84208), .A2(n78867), .B1(n78863), 
+        .B2(n78783), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N23) );
+  sky130_fd_sc_hd__nor2_1 U96782 ( .A(n81822), .B(n78784), .Y(n78785) );
+  sky130_fd_sc_hd__nand2_1 U96783 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[9]), .B(n78785), .Y(
+        n78835) );
+  sky130_fd_sc_hd__o21ai_1 U96784 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[9]), .A2(n78785), .B1(
+        n78835), .Y(n78786) );
+  sky130_fd_sc_hd__o22ai_1 U96785 ( .A1(n78787), .A2(n78867), .B1(n78863), 
+        .B2(n78786), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N24) );
+  sky130_fd_sc_hd__o2bb2ai_1 U96786 ( .B1(n81874), .B2(n78835), .A1_N(n81874), 
+        .A2_N(n78835), .Y(n78788) );
+  sky130_fd_sc_hd__o22ai_1 U96787 ( .A1(n78789), .A2(n78867), .B1(n78863), 
+        .B2(n78788), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N25) );
+  sky130_fd_sc_hd__nor2_1 U96788 ( .A(n81874), .B(n78835), .Y(n78790) );
+  sky130_fd_sc_hd__nand2_1 U96789 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[11]), .B(n78790), .Y(
+        n78793) );
+  sky130_fd_sc_hd__o22ai_1 U96791 ( .A1(n78792), .A2(n78867), .B1(n78863), 
+        .B2(n78791), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N26) );
+  sky130_fd_sc_hd__clkinv_1 U96792 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[12]), .Y(n81912) );
+  sky130_fd_sc_hd__nor2_1 U96793 ( .A(n81912), .B(n78793), .Y(n78795) );
+  sky130_fd_sc_hd__a21o_1 U96794 ( .A1(n81912), .A2(n78793), .B1(n78863), .X(
+        n78794) );
+  sky130_fd_sc_hd__o22ai_1 U96795 ( .A1(n78795), .A2(n78794), .B1(n84232), 
+        .B2(n78867), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N27) );
+  sky130_fd_sc_hd__nand2_1 U96796 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[13]), .B(n78795), .Y(
+        n78797) );
+  sky130_fd_sc_hd__o21ai_1 U96797 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[13]), .A2(n78795), .B1(
+        n78797), .Y(n78796) );
+  sky130_fd_sc_hd__o22ai_1 U96798 ( .A1(n84209), .A2(n78867), .B1(n78863), 
+        .B2(n78796), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N28) );
+  sky130_fd_sc_hd__clkinv_1 U96799 ( .A(n78797), .Y(n78798) );
+  sky130_fd_sc_hd__and2_0 U96800 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[14]), .B(n78798), .X(
+        n78801) );
+  sky130_fd_sc_hd__clkinv_1 U96801 ( .A(n78863), .Y(n78865) );
+  sky130_fd_sc_hd__o21ai_1 U96802 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[14]), .A2(n78798), .B1(
+        n78865), .Y(n78800) );
+  sky130_fd_sc_hd__o22ai_1 U96803 ( .A1(n78801), .A2(n78800), .B1(n78799), 
+        .B2(n78867), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N29) );
+  sky130_fd_sc_hd__nand2_1 U96804 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[15]), .B(n78801), .Y(
+        n78804) );
+  sky130_fd_sc_hd__o21ai_1 U96805 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[15]), .A2(n78801), .B1(
+        n78804), .Y(n78802) );
+  sky130_fd_sc_hd__o22ai_1 U96806 ( .A1(n78803), .A2(n78867), .B1(n78863), 
+        .B2(n78802), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N30) );
+  sky130_fd_sc_hd__clkinv_1 U96807 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[16]), .Y(n81996) );
+  sky130_fd_sc_hd__nor2_1 U96808 ( .A(n81996), .B(n78804), .Y(n78807) );
+  sky130_fd_sc_hd__clkinv_1 U96809 ( .A(n78804), .Y(n78811) );
+  sky130_fd_sc_hd__o21ai_1 U96810 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[16]), .A2(n78811), .B1(
+        n78865), .Y(n78806) );
+  sky130_fd_sc_hd__o22ai_1 U96811 ( .A1(n78807), .A2(n78806), .B1(n78867), 
+        .B2(n78805), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N31) );
+  sky130_fd_sc_hd__clkinv_1 U96812 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[17]), .Y(n78809) );
+  sky130_fd_sc_hd__nand2_1 U96813 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[17]), .B(n78807), .Y(
+        n78810) );
+  sky130_fd_sc_hd__o21ai_1 U96814 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[17]), .A2(n78807), .B1(
+        n78810), .Y(n78808) );
+  sky130_fd_sc_hd__o22ai_1 U96815 ( .A1(n78867), .A2(n78809), .B1(n78863), 
+        .B2(n78808), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N32) );
+  sky130_fd_sc_hd__clkinv_1 U96816 ( .A(n78810), .Y(n78812) );
+  sky130_fd_sc_hd__nand4_1 U96817 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[18]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[17]), .C(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[16]), .D(n78811), .Y(
+        n78815) );
+  sky130_fd_sc_hd__o22ai_1 U96819 ( .A1(n78867), .A2(n78814), .B1(n78863), 
+        .B2(n78813), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N33) );
+  sky130_fd_sc_hd__clkinv_1 U96820 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[19]), .Y(n82033) );
+  sky130_fd_sc_hd__nor2_1 U96821 ( .A(n82033), .B(n78815), .Y(n78817) );
+  sky130_fd_sc_hd__a21o_1 U96822 ( .A1(n82033), .A2(n78815), .B1(n78863), .X(
+        n78816) );
+  sky130_fd_sc_hd__o22ai_1 U96823 ( .A1(n78817), .A2(n78816), .B1(n78867), 
+        .B2(n81483), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N34) );
+  sky130_fd_sc_hd__nand2_1 U96824 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[20]), .B(n78817), .Y(
+        n78819) );
+  sky130_fd_sc_hd__o21ai_1 U96825 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[20]), .A2(n78817), .B1(
+        n78819), .Y(n78818) );
+  sky130_fd_sc_hd__o22ai_1 U96826 ( .A1(n78867), .A2(n81485), .B1(n78863), 
+        .B2(n78818), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N35) );
+  sky130_fd_sc_hd__clkinv_1 U96827 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[21]), .Y(n82075) );
+  sky130_fd_sc_hd__nor2_1 U96828 ( .A(n82075), .B(n78819), .Y(n78822) );
+  sky130_fd_sc_hd__a21o_1 U96829 ( .A1(n82075), .A2(n78819), .B1(n78863), .X(
+        n78821) );
+  sky130_fd_sc_hd__clkinv_1 U96830 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[21]), .Y(n78820) );
+  sky130_fd_sc_hd__o22ai_1 U96831 ( .A1(n78822), .A2(n78821), .B1(n78867), 
+        .B2(n78820), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N36) );
+  sky130_fd_sc_hd__nand2_1 U96832 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[22]), .B(n78822), .Y(
+        n78826) );
+  sky130_fd_sc_hd__o21ai_1 U96833 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[22]), .A2(n78822), .B1(
+        n78826), .Y(n78823) );
+  sky130_fd_sc_hd__o22ai_1 U96834 ( .A1(n78867), .A2(n81486), .B1(n78863), 
+        .B2(n78823), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N37) );
+  sky130_fd_sc_hd__clkinv_1 U96835 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[23]), .Y(n78825) );
+  sky130_fd_sc_hd__o2bb2ai_1 U96836 ( .B1(n82101), .B2(n78826), .A1_N(n82101), 
+        .A2_N(n78826), .Y(n78824) );
+  sky130_fd_sc_hd__o22ai_1 U96837 ( .A1(n78867), .A2(n78825), .B1(n78863), 
+        .B2(n78824), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N38) );
+  sky130_fd_sc_hd__nor2_1 U96838 ( .A(n82101), .B(n78826), .Y(n78827) );
+  sky130_fd_sc_hd__nand2_1 U96839 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[24]), .B(n78827), .Y(
+        n78831) );
+  sky130_fd_sc_hd__o22ai_1 U96841 ( .A1(n78867), .A2(n81482), .B1(n78863), 
+        .B2(n78828), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N39) );
+  sky130_fd_sc_hd__clkinv_1 U96842 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[25]), .Y(n78830) );
+  sky130_fd_sc_hd__o2bb2ai_1 U96843 ( .B1(n82144), .B2(n78831), .A1_N(n82144), 
+        .A2_N(n78831), .Y(n78829) );
+  sky130_fd_sc_hd__o22ai_1 U96844 ( .A1(n78867), .A2(n78830), .B1(n78863), 
+        .B2(n78829), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N40) );
+  sky130_fd_sc_hd__nor2_1 U96845 ( .A(n82144), .B(n78831), .Y(n78838) );
+  sky130_fd_sc_hd__and3_1 U96846 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[18]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[17]), .C(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[16]), .X(n78837) );
+  sky130_fd_sc_hd__nand4_1 U96847 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[23]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[14]), .C(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[13]), .D(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[12]), .Y(n78834) );
+  sky130_fd_sc_hd__nand4_1 U96848 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[15]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[26]), .C(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[25]), .D(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[24]), .Y(n78833) );
+  sky130_fd_sc_hd__nand4_1 U96849 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[22]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[21]), .C(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[20]), .D(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[19]), .Y(n78832) );
+  sky130_fd_sc_hd__nor4_1 U96850 ( .A(n78835), .B(n78834), .C(n78833), .D(
+        n78832), .Y(n78836) );
+  sky130_fd_sc_hd__nand4_1 U96851 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[11]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[10]), .C(n78837), .D(
+        n78836), .Y(n78840) );
+  sky130_fd_sc_hd__o21ai_1 U96852 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[26]), .A2(n78838), .B1(
+        n78840), .Y(n78839) );
+  sky130_fd_sc_hd__o22ai_1 U96853 ( .A1(n78867), .A2(n81484), .B1(n78863), 
+        .B2(n78839), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N41) );
+  sky130_fd_sc_hd__clkinv_1 U96854 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[27]), .Y(n82169) );
+  sky130_fd_sc_hd__nor2_1 U96855 ( .A(n82169), .B(n78840), .Y(n78842) );
+  sky130_fd_sc_hd__a21o_1 U96856 ( .A1(n82169), .A2(n78840), .B1(n78863), .X(
+        n78841) );
+  sky130_fd_sc_hd__clkinv_1 U96857 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[27]), .Y(n81489) );
+  sky130_fd_sc_hd__o22ai_1 U96858 ( .A1(n78842), .A2(n78841), .B1(n78867), 
+        .B2(n81489), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N42) );
+  sky130_fd_sc_hd__nand2_1 U96859 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[28]), .B(n78842), .Y(
+        n78845) );
+  sky130_fd_sc_hd__o21ai_1 U96860 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[28]), .A2(n78842), .B1(
+        n78845), .Y(n78843) );
+  sky130_fd_sc_hd__o22ai_1 U96861 ( .A1(n78867), .A2(n78844), .B1(n78863), 
+        .B2(n78843), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N43) );
+  sky130_fd_sc_hd__clkinv_1 U96862 ( .A(n78845), .Y(n78846) );
+  sky130_fd_sc_hd__and2_0 U96863 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[29]), .B(n78846), .X(
+        n78849) );
+  sky130_fd_sc_hd__clkinv_1 U96865 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[29]), .Y(n78847) );
+  sky130_fd_sc_hd__o22ai_1 U96866 ( .A1(n78849), .A2(n78848), .B1(n78847), 
+        .B2(n78867), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N44) );
+  sky130_fd_sc_hd__o2bb2ai_1 U96867 ( .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[30]), .B2(n78849), 
+        .A1_N(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[30]), .A2_N(
+        n78849), .Y(n78850) );
+  sky130_fd_sc_hd__o22ai_1 U96868 ( .A1(n78851), .A2(n78867), .B1(n78863), 
+        .B2(n78850), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N45) );
+  sky130_fd_sc_hd__a21o_1 U96869 ( .A1(n81505), .A2(n78852), .B1(n78863), .X(
+        n78853) );
+  sky130_fd_sc_hd__o22ai_1 U96870 ( .A1(n78854), .A2(n78853), .B1(n78867), 
+        .B2(n81488), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N15) );
+  sky130_fd_sc_hd__o21ai_1 U96871 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[1]), .A2(n78854), .B1(
+        n78857), .Y(n78855) );
+  sky130_fd_sc_hd__o22ai_1 U96872 ( .A1(n78867), .A2(n78856), .B1(n78863), 
+        .B2(n78855), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N16) );
+  sky130_fd_sc_hd__a21o_1 U96873 ( .A1(n81592), .A2(n78857), .B1(n78863), .X(
+        n78859) );
+  sky130_fd_sc_hd__o22ai_1 U96874 ( .A1(n78861), .A2(n78859), .B1(n78867), 
+        .B2(n78858), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N17) );
+  sky130_fd_sc_hd__o21ai_1 U96875 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[3]), .A2(n78861), .B1(
+        n78860), .Y(n78862) );
+  sky130_fd_sc_hd__o22ai_1 U96876 ( .A1(n78867), .A2(n78864), .B1(n78863), 
+        .B2(n78862), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N18) );
+  sky130_fd_sc_hd__o22ai_1 U96878 ( .A1(n78869), .A2(n78868), .B1(n78867), 
+        .B2(n81487), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_wdog_N19) );
+  sky130_fd_sc_hd__nor2_1 U96879 ( .A(n81386), .B(n78931), .Y(n78922) );
+  sky130_fd_sc_hd__a22o_1 U96880 ( .A1(n78931), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__shifter_T_3[0]), .B1(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_shifter_0_), 
+        .B2(n78922), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__GEN_4[0])
+         );
+  sky130_fd_sc_hd__nand2_1 U96881 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_io_deq_bits_MPORT_addr[0]), .Y(n81388) );
+  sky130_fd_sc_hd__a22oi_1 U96882 ( .A1(n78935), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[48]), 
+        .B1(n81390), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[32]), 
+        .Y(n78872) );
+  sky130_fd_sc_hd__nor2_1 U96883 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_io_deq_bits_MPORT_addr[0]), .Y(n81387) );
+  sky130_fd_sc_hd__nor2_1 U96884 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_io_deq_bits_MPORT_addr[0]), .B(n78870), .Y(n78934) );
+  sky130_fd_sc_hd__a22oi_1 U96885 ( .A1(n81387), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[56]), 
+        .B1(n78934), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[40]), 
+        .Y(n78871) );
+  sky130_fd_sc_hd__nand2_1 U96886 ( .A(n81386), .B(n81389), .Y(n78936) );
+  sky130_fd_sc_hd__a21oi_1 U96887 ( .A1(n78872), .A2(n78871), .B1(n78936), .Y(
+        n78873) );
+  sky130_fd_sc_hd__a21oi_1 U96888 ( .A1(n78922), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__shifter_T_3[0]), .B1(n78873), .Y(n78878) );
+  sky130_fd_sc_hd__a22oi_1 U96889 ( .A1(n78934), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[8]), 
+        .B1(n81390), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[0]), 
+        .Y(n78875) );
+  sky130_fd_sc_hd__a22oi_1 U96890 ( .A1(n78935), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[16]), 
+        .B1(n81387), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[24]), 
+        .Y(n78874) );
+  sky130_fd_sc_hd__nand2_1 U96891 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_io_deq_bits_MPORT_addr[2]), .B(n81386), .Y(n78928) );
+  sky130_fd_sc_hd__a21oi_1 U96892 ( .A1(n78875), .A2(n78874), .B1(n78928), .Y(
+        n78876) );
+  sky130_fd_sc_hd__a21oi_1 U96893 ( .A1(n78931), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__shifter_T_3[1]), .B1(n78876), .Y(n78877) );
+  sky130_fd_sc_hd__nand2_1 U96894 ( .A(n78878), .B(n78877), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__GEN_4[1])
+         );
+  sky130_fd_sc_hd__a22oi_1 U96895 ( .A1(n78935), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[49]), 
+        .B1(n81387), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[57]), 
+        .Y(n78880) );
+  sky130_fd_sc_hd__a22oi_1 U96896 ( .A1(n78934), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[41]), 
+        .B1(n81390), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[33]), 
+        .Y(n78879) );
+  sky130_fd_sc_hd__a21oi_1 U96897 ( .A1(n78880), .A2(n78879), .B1(n78936), .Y(
+        n78881) );
+  sky130_fd_sc_hd__a21oi_1 U96898 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__shifter_T_3[1]), .A2(n78922), .B1(n78881), .Y(n78886) );
+  sky130_fd_sc_hd__a22oi_1 U96899 ( .A1(n78935), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[17]), 
+        .B1(n81387), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[25]), 
+        .Y(n78883) );
+  sky130_fd_sc_hd__a22oi_1 U96900 ( .A1(n78934), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[9]), 
+        .B1(n81390), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[1]), 
+        .Y(n78882) );
+  sky130_fd_sc_hd__a21oi_1 U96901 ( .A1(n78883), .A2(n78882), .B1(n78928), .Y(
+        n78884) );
+  sky130_fd_sc_hd__a21oi_1 U96902 ( .A1(n78931), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__shifter_T_3[2]), .B1(n78884), .Y(n78885) );
+  sky130_fd_sc_hd__nand2_1 U96903 ( .A(n78886), .B(n78885), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__GEN_4[2])
+         );
+  sky130_fd_sc_hd__a22oi_1 U96904 ( .A1(n81387), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[58]), 
+        .B1(n81390), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[34]), 
+        .Y(n78888) );
+  sky130_fd_sc_hd__a22oi_1 U96905 ( .A1(n78935), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[50]), 
+        .B1(n78934), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[42]), 
+        .Y(n78887) );
+  sky130_fd_sc_hd__a21oi_1 U96906 ( .A1(n78888), .A2(n78887), .B1(n78936), .Y(
+        n78889) );
+  sky130_fd_sc_hd__a21oi_1 U96907 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__shifter_T_3[2]), .A2(n78922), .B1(n78889), .Y(n78894) );
+  sky130_fd_sc_hd__a22oi_1 U96908 ( .A1(n78934), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[10]), 
+        .B1(n81390), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[2]), 
+        .Y(n78891) );
+  sky130_fd_sc_hd__a22oi_1 U96909 ( .A1(n78935), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[18]), 
+        .B1(n81387), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[26]), 
+        .Y(n78890) );
+  sky130_fd_sc_hd__a21oi_1 U96910 ( .A1(n78891), .A2(n78890), .B1(n78928), .Y(
+        n78892) );
+  sky130_fd_sc_hd__a21oi_1 U96911 ( .A1(n78931), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__shifter_T_3[3]), .B1(n78892), .Y(n78893) );
+  sky130_fd_sc_hd__nand2_1 U96912 ( .A(n78894), .B(n78893), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__GEN_4[3])
+         );
+  sky130_fd_sc_hd__a22oi_1 U96913 ( .A1(n78935), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[51]), 
+        .B1(n81387), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[59]), 
+        .Y(n78896) );
+  sky130_fd_sc_hd__a22oi_1 U96914 ( .A1(n78934), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[43]), 
+        .B1(n81390), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[35]), 
+        .Y(n78895) );
+  sky130_fd_sc_hd__a21oi_1 U96915 ( .A1(n78896), .A2(n78895), .B1(n78936), .Y(
+        n78897) );
+  sky130_fd_sc_hd__a21oi_1 U96916 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__shifter_T_3[3]), .A2(n78922), .B1(n78897), .Y(n78902) );
+  sky130_fd_sc_hd__a22oi_1 U96917 ( .A1(n78934), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[11]), 
+        .B1(n81390), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[3]), 
+        .Y(n78899) );
+  sky130_fd_sc_hd__a22oi_1 U96918 ( .A1(n78935), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[19]), 
+        .B1(n81387), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[27]), 
+        .Y(n78898) );
+  sky130_fd_sc_hd__a21oi_1 U96919 ( .A1(n78899), .A2(n78898), .B1(n78928), .Y(
+        n78900) );
+  sky130_fd_sc_hd__a21oi_1 U96920 ( .A1(n78931), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__shifter_T_3[4]), .B1(n78900), .Y(n78901) );
+  sky130_fd_sc_hd__nand2_1 U96921 ( .A(n78902), .B(n78901), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__GEN_4[4])
+         );
+  sky130_fd_sc_hd__a22oi_1 U96922 ( .A1(n78935), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[52]), 
+        .B1(n78934), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[44]), 
+        .Y(n78904) );
+  sky130_fd_sc_hd__a22oi_1 U96923 ( .A1(n81387), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[60]), 
+        .B1(n81390), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[36]), 
+        .Y(n78903) );
+  sky130_fd_sc_hd__a21oi_1 U96924 ( .A1(n78904), .A2(n78903), .B1(n78936), .Y(
+        n78905) );
+  sky130_fd_sc_hd__a21oi_1 U96925 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__shifter_T_3[4]), .A2(n78922), .B1(n78905), .Y(n78910) );
+  sky130_fd_sc_hd__a22oi_1 U96926 ( .A1(n78935), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[20]), 
+        .B1(n78934), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[12]), 
+        .Y(n78907) );
+  sky130_fd_sc_hd__a22oi_1 U96927 ( .A1(n81387), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[28]), 
+        .B1(n81390), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[4]), 
+        .Y(n78906) );
+  sky130_fd_sc_hd__a21oi_1 U96928 ( .A1(n78907), .A2(n78906), .B1(n78928), .Y(
+        n78908) );
+  sky130_fd_sc_hd__a21oi_1 U96929 ( .A1(n78931), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__shifter_T_3[5]), .B1(n78908), .Y(n78909) );
+  sky130_fd_sc_hd__nand2_1 U96930 ( .A(n78910), .B(n78909), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__GEN_4[5])
+         );
+  sky130_fd_sc_hd__a22oi_1 U96931 ( .A1(n81387), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[29]), 
+        .B1(n78934), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[13]), 
+        .Y(n78912) );
+  sky130_fd_sc_hd__a22oi_1 U96932 ( .A1(n78935), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[21]), 
+        .B1(n81390), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[5]), 
+        .Y(n78911) );
+  sky130_fd_sc_hd__a21oi_1 U96933 ( .A1(n78912), .A2(n78911), .B1(n78928), .Y(
+        n78913) );
+  sky130_fd_sc_hd__a21oi_1 U96934 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__shifter_T_3[5]), .A2(n78922), .B1(n78913), .Y(n78918) );
+  sky130_fd_sc_hd__a22oi_1 U96935 ( .A1(n78935), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[53]), 
+        .B1(n81387), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[61]), 
+        .Y(n78915) );
+  sky130_fd_sc_hd__a22oi_1 U96936 ( .A1(n78934), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[45]), 
+        .B1(n81390), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[37]), 
+        .Y(n78914) );
+  sky130_fd_sc_hd__a21oi_1 U96937 ( .A1(n78915), .A2(n78914), .B1(n78936), .Y(
+        n78916) );
+  sky130_fd_sc_hd__a21oi_1 U96938 ( .A1(n78931), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__shifter_T_3[6]), .B1(n78916), .Y(n78917) );
+  sky130_fd_sc_hd__nand2_1 U96939 ( .A(n78918), .B(n78917), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__GEN_4[6])
+         );
+  sky130_fd_sc_hd__a22oi_1 U96940 ( .A1(n78934), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[14]), 
+        .B1(n81390), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[6]), 
+        .Y(n78920) );
+  sky130_fd_sc_hd__a22oi_1 U96941 ( .A1(n78935), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[22]), 
+        .B1(n81387), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[30]), 
+        .Y(n78919) );
+  sky130_fd_sc_hd__a21oi_1 U96942 ( .A1(n78920), .A2(n78919), .B1(n78928), .Y(
+        n78921) );
+  sky130_fd_sc_hd__a21oi_1 U96943 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__shifter_T_3[6]), .A2(n78922), .B1(n78921), .Y(n78927) );
+  sky130_fd_sc_hd__a22oi_1 U96944 ( .A1(n78934), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[46]), 
+        .B1(n81390), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[38]), 
+        .Y(n78924) );
+  sky130_fd_sc_hd__a22oi_1 U96945 ( .A1(n78935), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[54]), 
+        .B1(n81387), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[62]), 
+        .Y(n78923) );
+  sky130_fd_sc_hd__a21oi_1 U96946 ( .A1(n78924), .A2(n78923), .B1(n78936), .Y(
+        n78925) );
+  sky130_fd_sc_hd__a21oi_1 U96947 ( .A1(n78931), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__shifter_T_3[7]), .B1(n78925), .Y(n78926) );
+  sky130_fd_sc_hd__nand2_1 U96948 ( .A(n78927), .B(n78926), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__GEN_4[7])
+         );
+  sky130_fd_sc_hd__a22oi_1 U96949 ( .A1(n81387), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[63]), 
+        .B1(n81390), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[39]), 
+        .Y(n78939) );
+  sky130_fd_sc_hd__a22oi_1 U96950 ( .A1(n78935), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[23]), 
+        .B1(n81390), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[7]), 
+        .Y(n78930) );
+  sky130_fd_sc_hd__a22oi_1 U96951 ( .A1(n81387), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[31]), 
+        .B1(n78934), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[15]), 
+        .Y(n78929) );
+  sky130_fd_sc_hd__a21oi_1 U96952 ( .A1(n78930), .A2(n78929), .B1(n78928), .Y(
+        n78932) );
+  sky130_fd_sc_hd__a211oi_1 U96953 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__shifter_T_3[7]), .A2(n78933), .B1(n78932), .C1(n78931), .Y(n78938) );
+  sky130_fd_sc_hd__a22oi_1 U96954 ( .A1(n78935), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[55]), 
+        .B1(n78934), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram[47]), 
+        .Y(n78937) );
+  sky130_fd_sc_hd__a32oi_1 U96955 ( .A1(n78939), .A2(n78938), .A3(n78937), 
+        .B1(n78936), .B2(n78938), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm__GEN_4[8])
+         );
+  sky130_fd_sc_hd__nand2_1 U96956 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_state), 
+        .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[0]), .Y(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N30) );
+  sky130_fd_sc_hd__nor2_1 U96957 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[0]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[1]), .Y(n78942) );
+  sky130_fd_sc_hd__nand3b_1 U96958 ( .A_N(n78942), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_state), 
+        .C(n78940), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N31) );
+  sky130_fd_sc_hd__nand2_1 U96959 ( .A(n78942), .B(n78941), .Y(n78943) );
+  sky130_fd_sc_hd__o211ai_1 U96960 ( .A1(n78942), .A2(n78941), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_state), 
+        .C1(n78943), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N32) );
+  sky130_fd_sc_hd__nor2_1 U96961 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[3]), .B(n78943), .Y(n78950) );
+  sky130_fd_sc_hd__a211o_1 U96962 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[3]), .A2(n78943), .B1(n78950), .C1(n78947), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N33) );
+  sky130_fd_sc_hd__nand2_1 U96963 ( .A(n78950), .B(n78944), .Y(n78945) );
+  sky130_fd_sc_hd__o211ai_1 U96964 ( .A1(n78950), .A2(n78944), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_state), 
+        .C1(n78945), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N25) );
+  sky130_fd_sc_hd__nor2_1 U96965 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[5]), .B(n78945), .Y(n78949) );
+  sky130_fd_sc_hd__a21oi_1 U96966 ( .A1(n78945), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[5]), .B1(n78949), .Y(n78946) );
+  sky130_fd_sc_hd__nor2_1 U96967 ( .A(n78947), .B(n78946), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N26) );
+  sky130_fd_sc_hd__a21oi_1 U96969 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[6]), .A2(n78949), .B1(n78948), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N27) );
+  sky130_fd_sc_hd__nand2b_1 U96970 ( .A_N(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[6]), .B(n78949), .Y(n78952) );
+  sky130_fd_sc_hd__a22oi_1 U96971 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[7]), .A2(n78952), .B1(n78951), .B2(n78950), .Y(n78953) );
+  sky130_fd_sc_hd__nand2_1 U96972 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_state), 
+        .B(n78953), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N28) );
+  sky130_fd_sc_hd__nand2_1 U96973 ( .A(n78955), .B(n78954), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N24) );
+  sky130_fd_sc_hd__nor2_1 U96974 ( .A(n81293), .B(n79017), .Y(n79008) );
+  sky130_fd_sc_hd__a22o_1 U96975 ( .A1(n79017), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__shifter_T_3[0]), .B1(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_shifter_0_), .B2(n79008), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__GEN_4[0]) );
+  sky130_fd_sc_hd__nand2_1 U96976 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_io_deq_bits_MPORT_addr[0]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_io_deq_bits_MPORT_addr[1]), .Y(n81296) );
+  sky130_fd_sc_hd__nor2_1 U96977 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_io_deq_bits_MPORT_addr[0]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_io_deq_bits_MPORT_addr[1]), .Y(n81295) );
+  sky130_fd_sc_hd__a22oi_1 U96978 ( .A1(n81298), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[0]), 
+        .B1(n81295), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[24]), 
+        .Y(n78958) );
+  sky130_fd_sc_hd__nor2_1 U96979 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_io_deq_bits_MPORT_addr[0]), .B(n78956), .Y(n79021) );
+  sky130_fd_sc_hd__a22oi_1 U96980 ( .A1(n79021), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[8]), 
+        .B1(n79020), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[16]), 
+        .Y(n78957) );
+  sky130_fd_sc_hd__nand2_1 U96981 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_io_deq_bits_MPORT_addr[2]), .B(n81293), .Y(n79014) );
+  sky130_fd_sc_hd__a21oi_1 U96982 ( .A1(n78958), .A2(n78957), .B1(n79014), .Y(
+        n78959) );
+  sky130_fd_sc_hd__a21oi_1 U96983 ( .A1(n79008), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__shifter_T_3[0]), .B1(n78959), .Y(n78964) );
+  sky130_fd_sc_hd__a22oi_1 U96984 ( .A1(n79020), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[48]), 
+        .B1(n81295), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[56]), 
+        .Y(n78961) );
+  sky130_fd_sc_hd__a22oi_1 U96985 ( .A1(n81298), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[32]), 
+        .B1(n79021), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[40]), 
+        .Y(n78960) );
+  sky130_fd_sc_hd__nand2_1 U96986 ( .A(n81293), .B(n81297), .Y(n79022) );
+  sky130_fd_sc_hd__a21oi_1 U96987 ( .A1(n78961), .A2(n78960), .B1(n79022), .Y(
+        n78962) );
+  sky130_fd_sc_hd__a21oi_1 U96988 ( .A1(n79017), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__shifter_T_3[1]), .B1(n78962), .Y(n78963) );
+  sky130_fd_sc_hd__nand2_1 U96989 ( .A(n78964), .B(n78963), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__GEN_4[1]) );
+  sky130_fd_sc_hd__a22oi_1 U96990 ( .A1(n81298), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[1]), 
+        .B1(n81295), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[25]), 
+        .Y(n78966) );
+  sky130_fd_sc_hd__a22oi_1 U96991 ( .A1(n79021), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[9]), 
+        .B1(n79020), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[17]), 
+        .Y(n78965) );
+  sky130_fd_sc_hd__a21oi_1 U96992 ( .A1(n78966), .A2(n78965), .B1(n79014), .Y(
+        n78967) );
+  sky130_fd_sc_hd__a21oi_1 U96993 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__shifter_T_3[1]), .A2(n79008), .B1(n78967), .Y(n78972) );
+  sky130_fd_sc_hd__a22oi_1 U96994 ( .A1(n79021), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[41]), 
+        .B1(n79020), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[49]), 
+        .Y(n78969) );
+  sky130_fd_sc_hd__a22oi_1 U96995 ( .A1(n81298), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[33]), 
+        .B1(n81295), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[57]), 
+        .Y(n78968) );
+  sky130_fd_sc_hd__a21oi_1 U96996 ( .A1(n78969), .A2(n78968), .B1(n79022), .Y(
+        n78970) );
+  sky130_fd_sc_hd__a21oi_1 U96997 ( .A1(n79017), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__shifter_T_3[2]), .B1(n78970), .Y(n78971) );
+  sky130_fd_sc_hd__nand2_1 U96998 ( .A(n78972), .B(n78971), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__GEN_4[2]) );
+  sky130_fd_sc_hd__a22oi_1 U96999 ( .A1(n81298), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[2]), 
+        .B1(n81295), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[26]), 
+        .Y(n78974) );
+  sky130_fd_sc_hd__a22oi_1 U97000 ( .A1(n79021), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[10]), 
+        .B1(n79020), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[18]), 
+        .Y(n78973) );
+  sky130_fd_sc_hd__a21oi_1 U97001 ( .A1(n78974), .A2(n78973), .B1(n79014), .Y(
+        n78975) );
+  sky130_fd_sc_hd__a21oi_1 U97002 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__shifter_T_3[2]), .A2(n79008), .B1(n78975), .Y(n78980) );
+  sky130_fd_sc_hd__a22oi_1 U97003 ( .A1(n81298), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[34]), 
+        .B1(n81295), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[58]), 
+        .Y(n78977) );
+  sky130_fd_sc_hd__a22oi_1 U97004 ( .A1(n79021), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[42]), 
+        .B1(n79020), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[50]), 
+        .Y(n78976) );
+  sky130_fd_sc_hd__a21oi_1 U97005 ( .A1(n78977), .A2(n78976), .B1(n79022), .Y(
+        n78978) );
+  sky130_fd_sc_hd__a21oi_1 U97006 ( .A1(n79017), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__shifter_T_3[3]), .B1(n78978), .Y(n78979) );
+  sky130_fd_sc_hd__nand2_1 U97007 ( .A(n78980), .B(n78979), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__GEN_4[3]) );
+  sky130_fd_sc_hd__a22oi_1 U97008 ( .A1(n79020), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[51]), 
+        .B1(n81295), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[59]), 
+        .Y(n78982) );
+  sky130_fd_sc_hd__a22oi_1 U97009 ( .A1(n81298), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[35]), 
+        .B1(n79021), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[43]), 
+        .Y(n78981) );
+  sky130_fd_sc_hd__a21oi_1 U97010 ( .A1(n78982), .A2(n78981), .B1(n79022), .Y(
+        n78983) );
+  sky130_fd_sc_hd__a21oi_1 U97011 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__shifter_T_3[3]), .A2(n79008), .B1(n78983), .Y(n78988) );
+  sky130_fd_sc_hd__a22oi_1 U97012 ( .A1(n79020), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[19]), 
+        .B1(n81295), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[27]), 
+        .Y(n78985) );
+  sky130_fd_sc_hd__a22oi_1 U97013 ( .A1(n81298), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[3]), 
+        .B1(n79021), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[11]), 
+        .Y(n78984) );
+  sky130_fd_sc_hd__a21oi_1 U97014 ( .A1(n78985), .A2(n78984), .B1(n79014), .Y(
+        n78986) );
+  sky130_fd_sc_hd__a21oi_1 U97015 ( .A1(n79017), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__shifter_T_3[4]), .B1(n78986), .Y(n78987) );
+  sky130_fd_sc_hd__nand2_1 U97016 ( .A(n78988), .B(n78987), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__GEN_4[4]) );
+  sky130_fd_sc_hd__a22oi_1 U97017 ( .A1(n79020), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[20]), 
+        .B1(n81295), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[28]), 
+        .Y(n78990) );
+  sky130_fd_sc_hd__a22oi_1 U97018 ( .A1(n81298), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[4]), 
+        .B1(n79021), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[12]), 
+        .Y(n78989) );
+  sky130_fd_sc_hd__a21oi_1 U97019 ( .A1(n78990), .A2(n78989), .B1(n79014), .Y(
+        n78991) );
+  sky130_fd_sc_hd__a21oi_1 U97020 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__shifter_T_3[4]), .A2(n79008), .B1(n78991), .Y(n78996) );
+  sky130_fd_sc_hd__a22oi_1 U97021 ( .A1(n81298), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[36]), 
+        .B1(n81295), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[60]), 
+        .Y(n78993) );
+  sky130_fd_sc_hd__a22oi_1 U97022 ( .A1(n79021), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[44]), 
+        .B1(n79020), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[52]), 
+        .Y(n78992) );
+  sky130_fd_sc_hd__a21oi_1 U97023 ( .A1(n78993), .A2(n78992), .B1(n79022), .Y(
+        n78994) );
+  sky130_fd_sc_hd__a21oi_1 U97024 ( .A1(n79017), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__shifter_T_3[5]), .B1(n78994), .Y(n78995) );
+  sky130_fd_sc_hd__nand2_1 U97025 ( .A(n78996), .B(n78995), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__GEN_4[5]) );
+  sky130_fd_sc_hd__a22oi_1 U97026 ( .A1(n79020), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[53]), 
+        .B1(n81295), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[61]), 
+        .Y(n78998) );
+  sky130_fd_sc_hd__a22oi_1 U97027 ( .A1(n81298), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[37]), 
+        .B1(n79021), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[45]), 
+        .Y(n78997) );
+  sky130_fd_sc_hd__a21oi_1 U97028 ( .A1(n78998), .A2(n78997), .B1(n79022), .Y(
+        n78999) );
+  sky130_fd_sc_hd__a21oi_1 U97029 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__shifter_T_3[5]), .A2(n79008), .B1(n78999), .Y(n79004) );
+  sky130_fd_sc_hd__a22oi_1 U97030 ( .A1(n79021), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[13]), 
+        .B1(n79020), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[21]), 
+        .Y(n79001) );
+  sky130_fd_sc_hd__a22oi_1 U97031 ( .A1(n81298), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[5]), 
+        .B1(n81295), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[29]), 
+        .Y(n79000) );
+  sky130_fd_sc_hd__a21oi_1 U97032 ( .A1(n79001), .A2(n79000), .B1(n79014), .Y(
+        n79002) );
+  sky130_fd_sc_hd__a21oi_1 U97033 ( .A1(n79017), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__shifter_T_3[6]), .B1(n79002), .Y(n79003) );
+  sky130_fd_sc_hd__nand2_1 U97034 ( .A(n79004), .B(n79003), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__GEN_4[6]) );
+  sky130_fd_sc_hd__a22oi_1 U97035 ( .A1(n79021), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[14]), 
+        .B1(n81295), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[30]), 
+        .Y(n79006) );
+  sky130_fd_sc_hd__a22oi_1 U97036 ( .A1(n81298), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[6]), 
+        .B1(n79020), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[22]), 
+        .Y(n79005) );
+  sky130_fd_sc_hd__a21oi_1 U97037 ( .A1(n79006), .A2(n79005), .B1(n79014), .Y(
+        n79007) );
+  sky130_fd_sc_hd__a21oi_1 U97038 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__shifter_T_3[6]), .A2(n79008), .B1(n79007), .Y(n79013) );
+  sky130_fd_sc_hd__a22oi_1 U97039 ( .A1(n79021), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[46]), 
+        .B1(n81295), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[62]), 
+        .Y(n79010) );
+  sky130_fd_sc_hd__a22oi_1 U97040 ( .A1(n81298), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[38]), 
+        .B1(n79020), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[54]), 
+        .Y(n79009) );
+  sky130_fd_sc_hd__a21oi_1 U97041 ( .A1(n79010), .A2(n79009), .B1(n79022), .Y(
+        n79011) );
+  sky130_fd_sc_hd__a21oi_1 U97042 ( .A1(n79017), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__shifter_T_3[7]), .B1(n79011), .Y(n79012) );
+  sky130_fd_sc_hd__nand2_1 U97043 ( .A(n79013), .B(n79012), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__GEN_4[7]) );
+  sky130_fd_sc_hd__a22oi_1 U97044 ( .A1(n81298), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[39]), 
+        .B1(n81295), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[63]), 
+        .Y(n79025) );
+  sky130_fd_sc_hd__a22oi_1 U97045 ( .A1(n79021), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[15]), 
+        .B1(n81295), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[31]), 
+        .Y(n79016) );
+  sky130_fd_sc_hd__a22oi_1 U97046 ( .A1(n81298), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[7]), 
+        .B1(n79020), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[23]), 
+        .Y(n79015) );
+  sky130_fd_sc_hd__a21oi_1 U97047 ( .A1(n79016), .A2(n79015), .B1(n79014), .Y(
+        n79018) );
+  sky130_fd_sc_hd__a211oi_1 U97048 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__shifter_T_3[7]), .A2(n79019), .B1(n79018), .C1(n79017), .Y(n79024) );
+  sky130_fd_sc_hd__a22oi_1 U97049 ( .A1(n79021), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[47]), 
+        .B1(n79020), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram[55]), 
+        .Y(n79023) );
+  sky130_fd_sc_hd__a32oi_1 U97050 ( .A1(n79025), .A2(n79024), .A3(n79023), 
+        .B1(n79022), .B2(n79024), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm__GEN_4[8]) );
+  sky130_fd_sc_hd__nand2_1 U97051 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_state), 
+        .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[0]), .Y(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N30) );
+  sky130_fd_sc_hd__nor2_1 U97052 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[0]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[1]), .Y(n79028) );
+  sky130_fd_sc_hd__nand3b_1 U97053 ( .A_N(n79028), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_state), 
+        .C(n79026), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N31) );
+  sky130_fd_sc_hd__nor3_1 U97054 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[0]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[1]), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[2]), .Y(n79031) );
+  sky130_fd_sc_hd__nor2_1 U97055 ( .A(n79031), .B(n79036), .Y(n79027) );
+  sky130_fd_sc_hd__nand2_1 U97057 ( .A(n79031), .B(n79030), .Y(n79040) );
+  sky130_fd_sc_hd__o211ai_1 U97058 ( .A1(n79031), .A2(n79030), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_state), 
+        .C1(n79040), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N33) );
+  sky130_fd_sc_hd__nor2_1 U97059 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[4]), .B(n79040), .Y(n79034) );
+  sky130_fd_sc_hd__a211o_1 U97060 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[4]), .A2(n79040), .B1(n79034), .C1(n79036), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N25) );
+  sky130_fd_sc_hd__o21ai_1 U97061 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[5]), .A2(n79034), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_state), 
+        .Y(n79032) );
+  sky130_fd_sc_hd__a21oi_1 U97062 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[5]), .A2(n79034), .B1(n79032), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N26) );
+  sky130_fd_sc_hd__nor2_1 U97063 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[6]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[5]), .Y(n79033) );
+  sky130_fd_sc_hd__nand2_1 U97064 ( .A(n79033), .B(n79034), .Y(n79038) );
+  sky130_fd_sc_hd__a21oi_1 U97066 ( .A1(n79038), .A2(n79037), .B1(n79036), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N27) );
+  sky130_fd_sc_hd__nand2_1 U97067 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[7]), .B(n79038), .Y(n79039) );
+  sky130_fd_sc_hd__o211ai_1 U97068 ( .A1(n79041), .A2(n79040), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_state), 
+        .C1(n79039), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N28) );
+  sky130_fd_sc_hd__nand2_1 U97069 ( .A(n79043), .B(n79042), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N24) );
+  sky130_fd_sc_hd__nor2_1 U97070 ( .A(n79048), .B(n79108), .Y(n79097) );
+  sky130_fd_sc_hd__a22o_1 U97071 ( .A1(n79108), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__shifter_T_3[0]), .B1(n79097), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_shifter_0_), .X(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__GEN_4[0])
+         );
+  sky130_fd_sc_hd__nor2_1 U97072 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_io_deq_bits_MPORT_addr[0]), .Y(n81214) );
+  sky130_fd_sc_hd__nor2_1 U97073 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_io_deq_bits_MPORT_addr[0]), .B(n79044), .Y(n79103) );
+  sky130_fd_sc_hd__a22oi_1 U97074 ( .A1(n81214), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[56]), 
+        .B1(n79103), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[40]), 
+        .Y(n79046) );
+  sky130_fd_sc_hd__nand2_1 U97075 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_io_deq_bits_MPORT_addr[0]), .Y(n81215) );
+  sky130_fd_sc_hd__a22oi_1 U97076 ( .A1(n79104), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[48]), 
+        .B1(n81217), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[32]), 
+        .Y(n79045) );
+  sky130_fd_sc_hd__nand2_1 U97077 ( .A(n79048), .B(n81216), .Y(n79111) );
+  sky130_fd_sc_hd__a21oi_1 U97078 ( .A1(n79046), .A2(n79045), .B1(n79111), .Y(
+        n79047) );
+  sky130_fd_sc_hd__a21oi_1 U97079 ( .A1(n79097), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__shifter_T_3[0]), .B1(n79047), .Y(n79053) );
+  sky130_fd_sc_hd__a22oi_1 U97080 ( .A1(n79103), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[8]), 
+        .B1(n81217), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[0]), 
+        .Y(n79050) );
+  sky130_fd_sc_hd__a22oi_1 U97081 ( .A1(n81214), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[24]), 
+        .B1(n79104), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[16]), 
+        .Y(n79049) );
+  sky130_fd_sc_hd__nand2_1 U97082 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_io_deq_bits_MPORT_addr[2]), .B(n79048), .Y(n79105) );
+  sky130_fd_sc_hd__a21oi_1 U97083 ( .A1(n79050), .A2(n79049), .B1(n79105), .Y(
+        n79051) );
+  sky130_fd_sc_hd__a21oi_1 U97084 ( .A1(n79108), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__shifter_T_3[1]), .B1(n79051), .Y(n79052) );
+  sky130_fd_sc_hd__nand2_1 U97085 ( .A(n79053), .B(n79052), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__GEN_4[1]) );
+  sky130_fd_sc_hd__a22oi_1 U97086 ( .A1(n81214), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[57]), 
+        .B1(n79104), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[49]), 
+        .Y(n79055) );
+  sky130_fd_sc_hd__a22oi_1 U97087 ( .A1(n79103), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[41]), 
+        .B1(n81217), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[33]), 
+        .Y(n79054) );
+  sky130_fd_sc_hd__a21oi_1 U97088 ( .A1(n79055), .A2(n79054), .B1(n79111), .Y(
+        n79056) );
+  sky130_fd_sc_hd__a21oi_1 U97089 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__shifter_T_3[1]), .A2(n79097), .B1(n79056), .Y(n79061) );
+  sky130_fd_sc_hd__a22oi_1 U97090 ( .A1(n79104), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[17]), 
+        .B1(n79103), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[9]), 
+        .Y(n79058) );
+  sky130_fd_sc_hd__a22oi_1 U97091 ( .A1(n81214), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[25]), 
+        .B1(n81217), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[1]), 
+        .Y(n79057) );
+  sky130_fd_sc_hd__a21oi_1 U97092 ( .A1(n79058), .A2(n79057), .B1(n79105), .Y(
+        n79059) );
+  sky130_fd_sc_hd__a21oi_1 U97093 ( .A1(n79108), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__shifter_T_3[2]), .B1(n79059), .Y(n79060) );
+  sky130_fd_sc_hd__nand2_1 U97094 ( .A(n79061), .B(n79060), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__GEN_4[2]) );
+  sky130_fd_sc_hd__a22oi_1 U97095 ( .A1(n79103), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[42]), 
+        .B1(n81217), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[34]), 
+        .Y(n79063) );
+  sky130_fd_sc_hd__a22oi_1 U97096 ( .A1(n81214), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[58]), 
+        .B1(n79104), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[50]), 
+        .Y(n79062) );
+  sky130_fd_sc_hd__a21oi_1 U97097 ( .A1(n79063), .A2(n79062), .B1(n79111), .Y(
+        n79064) );
+  sky130_fd_sc_hd__a21oi_1 U97098 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__shifter_T_3[2]), .A2(n79097), .B1(n79064), .Y(n79069) );
+  sky130_fd_sc_hd__a22oi_1 U97099 ( .A1(n81214), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[26]), 
+        .B1(n79103), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[10]), 
+        .Y(n79066) );
+  sky130_fd_sc_hd__a22oi_1 U97100 ( .A1(n79104), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[18]), 
+        .B1(n81217), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[2]), 
+        .Y(n79065) );
+  sky130_fd_sc_hd__a21oi_1 U97101 ( .A1(n79066), .A2(n79065), .B1(n79105), .Y(
+        n79067) );
+  sky130_fd_sc_hd__a21oi_1 U97102 ( .A1(n79108), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__shifter_T_3[3]), .B1(n79067), .Y(n79068) );
+  sky130_fd_sc_hd__nand2_1 U97103 ( .A(n79069), .B(n79068), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__GEN_4[3]) );
+  sky130_fd_sc_hd__a22oi_1 U97104 ( .A1(n81214), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[59]), 
+        .B1(n81217), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[35]), 
+        .Y(n79071) );
+  sky130_fd_sc_hd__a22oi_1 U97105 ( .A1(n79104), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[51]), 
+        .B1(n79103), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[43]), 
+        .Y(n79070) );
+  sky130_fd_sc_hd__a21oi_1 U97106 ( .A1(n79071), .A2(n79070), .B1(n79111), .Y(
+        n79072) );
+  sky130_fd_sc_hd__a21oi_1 U97107 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__shifter_T_3[3]), .A2(n79097), .B1(n79072), .Y(n79077) );
+  sky130_fd_sc_hd__a22oi_1 U97108 ( .A1(n81214), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[27]), 
+        .B1(n81217), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[3]), 
+        .Y(n79074) );
+  sky130_fd_sc_hd__a22oi_1 U97109 ( .A1(n79104), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[19]), 
+        .B1(n79103), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[11]), 
+        .Y(n79073) );
+  sky130_fd_sc_hd__a21oi_1 U97110 ( .A1(n79074), .A2(n79073), .B1(n79105), .Y(
+        n79075) );
+  sky130_fd_sc_hd__a21oi_1 U97111 ( .A1(n79108), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__shifter_T_3[4]), .B1(n79075), .Y(n79076) );
+  sky130_fd_sc_hd__nand2_1 U97112 ( .A(n79077), .B(n79076), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__GEN_4[4]) );
+  sky130_fd_sc_hd__a22oi_1 U97113 ( .A1(n81214), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[60]), 
+        .B1(n79104), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[52]), 
+        .Y(n79079) );
+  sky130_fd_sc_hd__a22oi_1 U97114 ( .A1(n79103), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[44]), 
+        .B1(n81217), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[36]), 
+        .Y(n79078) );
+  sky130_fd_sc_hd__a21oi_1 U97115 ( .A1(n79079), .A2(n79078), .B1(n79111), .Y(
+        n79080) );
+  sky130_fd_sc_hd__a21oi_1 U97116 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__shifter_T_3[4]), .A2(n79097), .B1(n79080), .Y(n79085) );
+  sky130_fd_sc_hd__a22oi_1 U97117 ( .A1(n81214), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[28]), 
+        .B1(n81217), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[4]), 
+        .Y(n79082) );
+  sky130_fd_sc_hd__a22oi_1 U97118 ( .A1(n79104), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[20]), 
+        .B1(n79103), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[12]), 
+        .Y(n79081) );
+  sky130_fd_sc_hd__a21oi_1 U97119 ( .A1(n79082), .A2(n79081), .B1(n79105), .Y(
+        n79083) );
+  sky130_fd_sc_hd__a21oi_1 U97120 ( .A1(n79108), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__shifter_T_3[5]), .B1(n79083), .Y(n79084) );
+  sky130_fd_sc_hd__nand2_1 U97121 ( .A(n79085), .B(n79084), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__GEN_4[5]) );
+  sky130_fd_sc_hd__a22oi_1 U97122 ( .A1(n81214), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[29]), 
+        .B1(n81217), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[5]), 
+        .Y(n79087) );
+  sky130_fd_sc_hd__a22oi_1 U97123 ( .A1(n79104), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[21]), 
+        .B1(n79103), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[13]), 
+        .Y(n79086) );
+  sky130_fd_sc_hd__a21oi_1 U97124 ( .A1(n79087), .A2(n79086), .B1(n79105), .Y(
+        n79088) );
+  sky130_fd_sc_hd__a21oi_1 U97125 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__shifter_T_3[5]), .A2(n79097), .B1(n79088), .Y(n79093) );
+  sky130_fd_sc_hd__a22oi_1 U97126 ( .A1(n79104), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[53]), 
+        .B1(n81217), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[37]), 
+        .Y(n79090) );
+  sky130_fd_sc_hd__a22oi_1 U97127 ( .A1(n81214), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[61]), 
+        .B1(n79103), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[45]), 
+        .Y(n79089) );
+  sky130_fd_sc_hd__a21oi_1 U97128 ( .A1(n79090), .A2(n79089), .B1(n79111), .Y(
+        n79091) );
+  sky130_fd_sc_hd__a21oi_1 U97129 ( .A1(n79108), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__shifter_T_3[6]), .B1(n79091), .Y(n79092) );
+  sky130_fd_sc_hd__nand2_1 U97130 ( .A(n79093), .B(n79092), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__GEN_4[6]) );
+  sky130_fd_sc_hd__a22oi_1 U97131 ( .A1(n79104), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[54]), 
+        .B1(n79103), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[46]), 
+        .Y(n79095) );
+  sky130_fd_sc_hd__a22oi_1 U97132 ( .A1(n81214), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[62]), 
+        .B1(n81217), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[38]), 
+        .Y(n79094) );
+  sky130_fd_sc_hd__a21oi_1 U97133 ( .A1(n79095), .A2(n79094), .B1(n79111), .Y(
+        n79096) );
+  sky130_fd_sc_hd__a21oi_1 U97134 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__shifter_T_3[6]), .A2(n79097), .B1(n79096), .Y(n79102) );
+  sky130_fd_sc_hd__a22oi_1 U97135 ( .A1(n79104), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[22]), 
+        .B1(n81217), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[6]), 
+        .Y(n79099) );
+  sky130_fd_sc_hd__a22oi_1 U97136 ( .A1(n81214), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[30]), 
+        .B1(n79103), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[14]), 
+        .Y(n79098) );
+  sky130_fd_sc_hd__a21oi_1 U97137 ( .A1(n79099), .A2(n79098), .B1(n79105), .Y(
+        n79100) );
+  sky130_fd_sc_hd__a21oi_1 U97138 ( .A1(n79108), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__shifter_T_3[7]), .B1(n79100), .Y(n79101) );
+  sky130_fd_sc_hd__nand2_1 U97139 ( .A(n79102), .B(n79101), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__GEN_4[7]) );
+  sky130_fd_sc_hd__a22oi_1 U97140 ( .A1(n79104), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[55]), 
+        .B1(n79103), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[47]), 
+        .Y(n79114) );
+  sky130_fd_sc_hd__a22oi_1 U97141 ( .A1(n81214), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[31]), 
+        .B1(n79103), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[15]), 
+        .Y(n79107) );
+  sky130_fd_sc_hd__a22oi_1 U97142 ( .A1(n79104), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[23]), 
+        .B1(n81217), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[7]), 
+        .Y(n79106) );
+  sky130_fd_sc_hd__a21oi_1 U97143 ( .A1(n79107), .A2(n79106), .B1(n79105), .Y(
+        n79109) );
+  sky130_fd_sc_hd__a211oi_1 U97144 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__shifter_T_3[7]), .A2(n79110), .B1(n79109), .C1(n79108), .Y(n79113) );
+  sky130_fd_sc_hd__a22oi_1 U97145 ( .A1(n81214), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[63]), 
+        .B1(n81217), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram[39]), 
+        .Y(n79112) );
+  sky130_fd_sc_hd__a32oi_1 U97146 ( .A1(n79114), .A2(n79113), .A3(n79112), 
+        .B1(n79111), .B2(n79113), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm__GEN_4[8]) );
+  sky130_fd_sc_hd__nand2_1 U97147 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_state), 
+        .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[0]), .Y(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N30) );
+  sky130_fd_sc_hd__nor2_1 U97148 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[1]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[0]), .Y(n79117) );
+  sky130_fd_sc_hd__nand3b_1 U97149 ( .A_N(n79117), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_state), 
+        .C(n79115), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N31) );
+  sky130_fd_sc_hd__nand2_1 U97150 ( .A(n79117), .B(n79116), .Y(n79118) );
+  sky130_fd_sc_hd__o211ai_1 U97151 ( .A1(n79117), .A2(n79116), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_state), 
+        .C1(n79118), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N32) );
+  sky130_fd_sc_hd__nor2_1 U97152 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[3]), .B(n79118), .Y(n79128) );
+  sky130_fd_sc_hd__nor2_1 U97153 ( .A(n79128), .B(n79127), .Y(n79119) );
+  sky130_fd_sc_hd__nand2_1 U97155 ( .A(n79128), .B(n79122), .Y(n79123) );
+  sky130_fd_sc_hd__o211ai_1 U97156 ( .A1(n79128), .A2(n79122), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_state), 
+        .C1(n79123), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N25) );
+  sky130_fd_sc_hd__nor2_1 U97157 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[5]), .B(n79123), .Y(n79126) );
+  sky130_fd_sc_hd__a21oi_1 U97158 ( .A1(n79123), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[5]), .B1(n79126), .Y(n79124) );
+  sky130_fd_sc_hd__nor2_1 U97159 ( .A(n79127), .B(n79124), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N26) );
+  sky130_fd_sc_hd__a21oi_1 U97161 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[6]), .A2(n79126), .B1(n79125), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N27) );
+  sky130_fd_sc_hd__nor2b_1 U97162 ( .B_N(n79126), .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[6]), .Y(n79132) );
+  sky130_fd_sc_hd__a21oi_1 U97163 ( .A1(n79129), .A2(n79128), .B1(n79127), .Y(
+        n79130) );
+  sky130_fd_sc_hd__o21ai_1 U97164 ( .A1(n79132), .A2(n79131), .B1(n79130), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N28) );
+  sky130_fd_sc_hd__nand2_1 U97165 ( .A(n79134), .B(n79133), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N24) );
+  sky130_fd_sc_hd__nor2_1 U97166 ( .A(n79140), .B(n79199), .Y(n79189) );
+  sky130_fd_sc_hd__a22o_1 U97167 ( .A1(n79199), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__shifter_T_3[0]), .B1(n79189), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_shifter_0_), .X(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__GEN_4[0])
+         );
+  sky130_fd_sc_hd__nor2_1 U97168 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_io_deq_bits_MPORT_addr[0]), .B(n79135), .Y(n79195) );
+  sky130_fd_sc_hd__nor2_1 U97169 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_io_deq_bits_MPORT_addr[0]), .Y(n81147) );
+  sky130_fd_sc_hd__a22oi_1 U97170 ( .A1(n79195), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[8]), 
+        .B1(n81147), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[24]), 
+        .Y(n79138) );
+  sky130_fd_sc_hd__nand2_1 U97171 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_io_deq_bits_MPORT_addr[0]), .Y(n81148) );
+  sky130_fd_sc_hd__nor2_1 U97172 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_io_deq_bits_MPORT_addr[1]), .B(n79136), .Y(n79202) );
+  sky130_fd_sc_hd__a22oi_1 U97173 ( .A1(n81150), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[0]), 
+        .B1(n79202), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[16]), 
+        .Y(n79137) );
+  sky130_fd_sc_hd__nand2_1 U97174 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_io_deq_bits_MPORT_addr[2]), .B(n79140), .Y(n79203) );
+  sky130_fd_sc_hd__a21oi_1 U97175 ( .A1(n79138), .A2(n79137), .B1(n79203), .Y(
+        n79139) );
+  sky130_fd_sc_hd__a21oi_1 U97176 ( .A1(n79189), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__shifter_T_3[0]), .B1(n79139), .Y(n79145) );
+  sky130_fd_sc_hd__a22oi_1 U97177 ( .A1(n81147), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[56]), 
+        .B1(n79202), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[48]), 
+        .Y(n79142) );
+  sky130_fd_sc_hd__a22oi_1 U97178 ( .A1(n81150), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[32]), 
+        .B1(n79195), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[40]), 
+        .Y(n79141) );
+  sky130_fd_sc_hd__nand2_1 U97179 ( .A(n79140), .B(n81149), .Y(n79196) );
+  sky130_fd_sc_hd__a21oi_1 U97180 ( .A1(n79142), .A2(n79141), .B1(n79196), .Y(
+        n79143) );
+  sky130_fd_sc_hd__a21oi_1 U97181 ( .A1(n79199), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__shifter_T_3[1]), .B1(n79143), .Y(n79144) );
+  sky130_fd_sc_hd__nand2_1 U97182 ( .A(n79145), .B(n79144), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__GEN_4[1]) );
+  sky130_fd_sc_hd__a22oi_1 U97183 ( .A1(n79195), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[9]), 
+        .B1(n81147), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[25]), 
+        .Y(n79147) );
+  sky130_fd_sc_hd__a22oi_1 U97184 ( .A1(n81150), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[1]), 
+        .B1(n79202), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[17]), 
+        .Y(n79146) );
+  sky130_fd_sc_hd__a21oi_1 U97185 ( .A1(n79147), .A2(n79146), .B1(n79203), .Y(
+        n79148) );
+  sky130_fd_sc_hd__a21oi_1 U97186 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__shifter_T_3[1]), .A2(n79189), .B1(n79148), .Y(n79153) );
+  sky130_fd_sc_hd__a22oi_1 U97187 ( .A1(n79195), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[41]), 
+        .B1(n79202), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[49]), 
+        .Y(n79150) );
+  sky130_fd_sc_hd__a22oi_1 U97188 ( .A1(n81150), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[33]), 
+        .B1(n81147), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[57]), 
+        .Y(n79149) );
+  sky130_fd_sc_hd__a21oi_1 U97189 ( .A1(n79150), .A2(n79149), .B1(n79196), .Y(
+        n79151) );
+  sky130_fd_sc_hd__a21oi_1 U97190 ( .A1(n79199), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__shifter_T_3[2]), .B1(n79151), .Y(n79152) );
+  sky130_fd_sc_hd__nand2_1 U97191 ( .A(n79153), .B(n79152), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__GEN_4[2]) );
+  sky130_fd_sc_hd__a22oi_1 U97192 ( .A1(n81147), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[58]), 
+        .B1(n79202), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[50]), 
+        .Y(n79155) );
+  sky130_fd_sc_hd__a22oi_1 U97193 ( .A1(n81150), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[34]), 
+        .B1(n79195), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[42]), 
+        .Y(n79154) );
+  sky130_fd_sc_hd__a21oi_1 U97194 ( .A1(n79155), .A2(n79154), .B1(n79196), .Y(
+        n79156) );
+  sky130_fd_sc_hd__a21oi_1 U97195 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__shifter_T_3[2]), .A2(n79189), .B1(n79156), .Y(n79161) );
+  sky130_fd_sc_hd__a22oi_1 U97196 ( .A1(n79195), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[10]), 
+        .B1(n81150), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[2]), 
+        .Y(n79158) );
+  sky130_fd_sc_hd__a22oi_1 U97197 ( .A1(n81147), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[26]), 
+        .B1(n79202), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[18]), 
+        .Y(n79157) );
+  sky130_fd_sc_hd__a21oi_1 U97198 ( .A1(n79158), .A2(n79157), .B1(n79203), .Y(
+        n79159) );
+  sky130_fd_sc_hd__a21oi_1 U97199 ( .A1(n79199), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__shifter_T_3[3]), .B1(n79159), .Y(n79160) );
+  sky130_fd_sc_hd__nand2_1 U97200 ( .A(n79161), .B(n79160), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__GEN_4[3]) );
+  sky130_fd_sc_hd__a22oi_1 U97201 ( .A1(n81147), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[27]), 
+        .B1(n79202), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[19]), 
+        .Y(n79163) );
+  sky130_fd_sc_hd__a22oi_1 U97202 ( .A1(n79195), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[11]), 
+        .B1(n81150), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[3]), 
+        .Y(n79162) );
+  sky130_fd_sc_hd__a21oi_1 U97203 ( .A1(n79163), .A2(n79162), .B1(n79203), .Y(
+        n79164) );
+  sky130_fd_sc_hd__a21oi_1 U97204 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__shifter_T_3[3]), .A2(n79189), .B1(n79164), .Y(n79169) );
+  sky130_fd_sc_hd__a22oi_1 U97205 ( .A1(n81150), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[35]), 
+        .B1(n79195), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[43]), 
+        .Y(n79166) );
+  sky130_fd_sc_hd__a22oi_1 U97206 ( .A1(n81147), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[59]), 
+        .B1(n79202), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[51]), 
+        .Y(n79165) );
+  sky130_fd_sc_hd__a21oi_1 U97207 ( .A1(n79166), .A2(n79165), .B1(n79196), .Y(
+        n79167) );
+  sky130_fd_sc_hd__a21oi_1 U97208 ( .A1(n79199), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__shifter_T_3[4]), .B1(n79167), .Y(n79168) );
+  sky130_fd_sc_hd__nand2_1 U97209 ( .A(n79169), .B(n79168), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__GEN_4[4]) );
+  sky130_fd_sc_hd__a22oi_1 U97210 ( .A1(n79195), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[44]), 
+        .B1(n79202), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[52]), 
+        .Y(n79171) );
+  sky130_fd_sc_hd__a22oi_1 U97211 ( .A1(n81150), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[36]), 
+        .B1(n81147), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[60]), 
+        .Y(n79170) );
+  sky130_fd_sc_hd__a21oi_1 U97212 ( .A1(n79171), .A2(n79170), .B1(n79196), .Y(
+        n79172) );
+  sky130_fd_sc_hd__a21oi_1 U97213 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__shifter_T_3[4]), .A2(n79189), .B1(n79172), .Y(n79177) );
+  sky130_fd_sc_hd__a22oi_1 U97214 ( .A1(n79195), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[12]), 
+        .B1(n81147), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[28]), 
+        .Y(n79174) );
+  sky130_fd_sc_hd__a22oi_1 U97215 ( .A1(n81150), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[4]), 
+        .B1(n79202), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[20]), 
+        .Y(n79173) );
+  sky130_fd_sc_hd__a21oi_1 U97216 ( .A1(n79174), .A2(n79173), .B1(n79203), .Y(
+        n79175) );
+  sky130_fd_sc_hd__a21oi_1 U97217 ( .A1(n79199), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__shifter_T_3[5]), .B1(n79175), .Y(n79176) );
+  sky130_fd_sc_hd__nand2_1 U97218 ( .A(n79177), .B(n79176), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__GEN_4[5]) );
+  sky130_fd_sc_hd__a22oi_1 U97219 ( .A1(n81150), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[37]), 
+        .B1(n79195), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[45]), 
+        .Y(n79179) );
+  sky130_fd_sc_hd__a22oi_1 U97220 ( .A1(n81147), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[61]), 
+        .B1(n79202), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[53]), 
+        .Y(n79178) );
+  sky130_fd_sc_hd__a21oi_1 U97221 ( .A1(n79179), .A2(n79178), .B1(n79196), .Y(
+        n79180) );
+  sky130_fd_sc_hd__a21oi_1 U97222 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__shifter_T_3[5]), .A2(n79189), .B1(n79180), .Y(n79185) );
+  sky130_fd_sc_hd__a22oi_1 U97223 ( .A1(n79195), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[13]), 
+        .B1(n81150), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[5]), 
+        .Y(n79182) );
+  sky130_fd_sc_hd__a22oi_1 U97224 ( .A1(n81147), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[29]), 
+        .B1(n79202), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[21]), 
+        .Y(n79181) );
+  sky130_fd_sc_hd__a21oi_1 U97225 ( .A1(n79182), .A2(n79181), .B1(n79203), .Y(
+        n79183) );
+  sky130_fd_sc_hd__a21oi_1 U97226 ( .A1(n79199), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__shifter_T_3[6]), .B1(n79183), .Y(n79184) );
+  sky130_fd_sc_hd__nand2_1 U97227 ( .A(n79185), .B(n79184), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__GEN_4[6]) );
+  sky130_fd_sc_hd__a22oi_1 U97228 ( .A1(n81147), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[62]), 
+        .B1(n79202), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[54]), 
+        .Y(n79187) );
+  sky130_fd_sc_hd__a22oi_1 U97229 ( .A1(n81150), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[38]), 
+        .B1(n79195), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[46]), 
+        .Y(n79186) );
+  sky130_fd_sc_hd__a21oi_1 U97230 ( .A1(n79187), .A2(n79186), .B1(n79196), .Y(
+        n79188) );
+  sky130_fd_sc_hd__a21oi_1 U97231 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__shifter_T_3[6]), .A2(n79189), .B1(n79188), .Y(n79194) );
+  sky130_fd_sc_hd__a22oi_1 U97232 ( .A1(n81150), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[6]), 
+        .B1(n81147), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[30]), 
+        .Y(n79191) );
+  sky130_fd_sc_hd__a22oi_1 U97233 ( .A1(n79195), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[14]), 
+        .B1(n79202), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[22]), 
+        .Y(n79190) );
+  sky130_fd_sc_hd__a21oi_1 U97234 ( .A1(n79191), .A2(n79190), .B1(n79203), .Y(
+        n79192) );
+  sky130_fd_sc_hd__a21oi_1 U97235 ( .A1(n79199), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__shifter_T_3[7]), .B1(n79192), .Y(n79193) );
+  sky130_fd_sc_hd__nand2_1 U97236 ( .A(n79194), .B(n79193), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__GEN_4[7]) );
+  sky130_fd_sc_hd__a22oi_1 U97237 ( .A1(n79195), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[15]), 
+        .B1(n81147), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[31]), 
+        .Y(n79206) );
+  sky130_fd_sc_hd__a22oi_1 U97238 ( .A1(n81150), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[39]), 
+        .B1(n79195), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[47]), 
+        .Y(n79198) );
+  sky130_fd_sc_hd__a22oi_1 U97239 ( .A1(n81147), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[63]), 
+        .B1(n79202), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[55]), 
+        .Y(n79197) );
+  sky130_fd_sc_hd__a21oi_1 U97240 ( .A1(n79198), .A2(n79197), .B1(n79196), .Y(
+        n79200) );
+  sky130_fd_sc_hd__a211oi_1 U97241 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__shifter_T_3[7]), .A2(n79201), .B1(n79200), .C1(n79199), .Y(n79205) );
+  sky130_fd_sc_hd__a22oi_1 U97242 ( .A1(n81150), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[7]), 
+        .B1(n79202), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram[23]), 
+        .Y(n79204) );
+  sky130_fd_sc_hd__a32oi_1 U97243 ( .A1(n79206), .A2(n79205), .A3(n79204), 
+        .B1(n79203), .B2(n79205), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm__GEN_4[8]) );
+  sky130_fd_sc_hd__nand2_1 U97244 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_state), 
+        .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[0]), .Y(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N30) );
+  sky130_fd_sc_hd__nand2_1 U97245 ( .A(n79208), .B(n79207), .Y(n79210) );
+  sky130_fd_sc_hd__nand3_1 U97246 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_state), 
+        .B(n79209), .C(n79210), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N31) );
+  sky130_fd_sc_hd__nor2_1 U97247 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[2]), .B(n79210), .Y(n79212) );
+  sky130_fd_sc_hd__a211o_1 U97248 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[2]), .A2(n79210), .B1(n79212), .C1(n79217), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N32) );
+  sky130_fd_sc_hd__nand2_1 U97249 ( .A(n79212), .B(n79211), .Y(n79221) );
+  sky130_fd_sc_hd__o211ai_1 U97250 ( .A1(n79212), .A2(n79211), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_state), 
+        .C1(n79221), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N33) );
+  sky130_fd_sc_hd__nor2_1 U97251 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[4]), .B(n79221), .Y(n79215) );
+  sky130_fd_sc_hd__a211o_1 U97252 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[4]), .A2(n79221), .B1(n79215), .C1(n79217), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N25) );
+  sky130_fd_sc_hd__o21ai_1 U97253 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[5]), .A2(n79215), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_state), 
+        .Y(n79213) );
+  sky130_fd_sc_hd__a21oi_1 U97254 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[5]), .A2(n79215), .B1(n79213), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N26) );
+  sky130_fd_sc_hd__nor2_1 U97255 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[6]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[5]), .Y(n79214) );
+  sky130_fd_sc_hd__nand2_1 U97256 ( .A(n79214), .B(n79215), .Y(n79219) );
+  sky130_fd_sc_hd__a21oi_1 U97258 ( .A1(n79219), .A2(n79218), .B1(n79217), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N27) );
+  sky130_fd_sc_hd__nand2_1 U97259 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[7]), .B(n79219), .Y(n79220) );
+  sky130_fd_sc_hd__o211ai_1 U97260 ( .A1(n79222), .A2(n79221), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_state), 
+        .C1(n79220), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N28) );
+  sky130_fd_sc_hd__nand2_1 U97261 ( .A(n79224), .B(n79223), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N24) );
+  sky130_fd_sc_hd__nor2_1 U97262 ( .A(n79229), .B(n79287), .Y(n79278) );
+  sky130_fd_sc_hd__a22o_1 U97263 ( .A1(n79287), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__shifter_T_3[0]), .B1(n79278), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_shifter_0_), .X(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__GEN_4[0])
+         );
+  sky130_fd_sc_hd__nor2_1 U97264 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_io_deq_bits_MPORT_addr[0]), .Y(n81066) );
+  sky130_fd_sc_hd__nand2_1 U97265 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_io_deq_bits_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_io_deq_bits_MPORT_addr[0]), .Y(n81067) );
+  sky130_fd_sc_hd__a22oi_1 U97266 ( .A1(n81066), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[56]), 
+        .B1(n81069), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[32]), 
+        .Y(n79227) );
+  sky130_fd_sc_hd__nor2_1 U97267 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_io_deq_bits_MPORT_addr[0]), .B(n79225), .Y(n79289) );
+  sky130_fd_sc_hd__a22oi_1 U97268 ( .A1(n79290), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[48]), 
+        .B1(n79289), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[40]), 
+        .Y(n79226) );
+  sky130_fd_sc_hd__nand2_1 U97269 ( .A(n79229), .B(n81068), .Y(n79284) );
+  sky130_fd_sc_hd__a21oi_1 U97270 ( .A1(n79227), .A2(n79226), .B1(n79284), .Y(
+        n79228) );
+  sky130_fd_sc_hd__a21oi_1 U97271 ( .A1(n79278), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__shifter_T_3[0]), .B1(n79228), .Y(n79234) );
+  sky130_fd_sc_hd__a22oi_1 U97272 ( .A1(n81069), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[0]), 
+        .B1(n79289), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[8]), 
+        .Y(n79231) );
+  sky130_fd_sc_hd__a22oi_1 U97273 ( .A1(n81066), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[24]), 
+        .B1(n79290), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[16]), 
+        .Y(n79230) );
+  sky130_fd_sc_hd__nand2_1 U97274 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_io_deq_bits_MPORT_addr[2]), .B(n79229), .Y(n79291) );
+  sky130_fd_sc_hd__a21oi_1 U97275 ( .A1(n79231), .A2(n79230), .B1(n79291), .Y(
+        n79232) );
+  sky130_fd_sc_hd__a21oi_1 U97276 ( .A1(n79287), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__shifter_T_3[1]), .B1(n79232), .Y(n79233) );
+  sky130_fd_sc_hd__nand2_1 U97277 ( .A(n79234), .B(n79233), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__GEN_4[1]) );
+  sky130_fd_sc_hd__a22oi_1 U97278 ( .A1(n79290), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[49]), 
+        .B1(n79289), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[41]), 
+        .Y(n79236) );
+  sky130_fd_sc_hd__a22oi_1 U97279 ( .A1(n81066), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[57]), 
+        .B1(n81069), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[33]), 
+        .Y(n79235) );
+  sky130_fd_sc_hd__a21oi_1 U97280 ( .A1(n79236), .A2(n79235), .B1(n79284), .Y(
+        n79237) );
+  sky130_fd_sc_hd__a21oi_1 U97281 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__shifter_T_3[1]), .A2(n79278), .B1(n79237), .Y(n79242) );
+  sky130_fd_sc_hd__a22oi_1 U97282 ( .A1(n79290), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[17]), 
+        .B1(n81069), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[1]), 
+        .Y(n79239) );
+  sky130_fd_sc_hd__a22oi_1 U97283 ( .A1(n81066), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[25]), 
+        .B1(n79289), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[9]), 
+        .Y(n79238) );
+  sky130_fd_sc_hd__a21oi_1 U97284 ( .A1(n79239), .A2(n79238), .B1(n79291), .Y(
+        n79240) );
+  sky130_fd_sc_hd__a21oi_1 U97285 ( .A1(n79287), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__shifter_T_3[2]), .B1(n79240), .Y(n79241) );
+  sky130_fd_sc_hd__nand2_1 U97286 ( .A(n79242), .B(n79241), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__GEN_4[2]) );
+  sky130_fd_sc_hd__a22oi_1 U97287 ( .A1(n79290), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[18]), 
+        .B1(n81069), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[2]), 
+        .Y(n79244) );
+  sky130_fd_sc_hd__a22oi_1 U97288 ( .A1(n81066), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[26]), 
+        .B1(n79289), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[10]), 
+        .Y(n79243) );
+  sky130_fd_sc_hd__a21oi_1 U97289 ( .A1(n79244), .A2(n79243), .B1(n79291), .Y(
+        n79245) );
+  sky130_fd_sc_hd__a21oi_1 U97290 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__shifter_T_3[2]), .A2(n79278), .B1(n79245), .Y(n79250) );
+  sky130_fd_sc_hd__a22oi_1 U97291 ( .A1(n81066), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[58]), 
+        .B1(n79290), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[50]), 
+        .Y(n79247) );
+  sky130_fd_sc_hd__a22oi_1 U97292 ( .A1(n81069), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[34]), 
+        .B1(n79289), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[42]), 
+        .Y(n79246) );
+  sky130_fd_sc_hd__a21oi_1 U97293 ( .A1(n79247), .A2(n79246), .B1(n79284), .Y(
+        n79248) );
+  sky130_fd_sc_hd__a21oi_1 U97294 ( .A1(n79287), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__shifter_T_3[3]), .B1(n79248), .Y(n79249) );
+  sky130_fd_sc_hd__nand2_1 U97295 ( .A(n79250), .B(n79249), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__GEN_4[3]) );
+  sky130_fd_sc_hd__a22oi_1 U97296 ( .A1(n81069), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[35]), 
+        .B1(n79289), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[43]), 
+        .Y(n79252) );
+  sky130_fd_sc_hd__a22oi_1 U97297 ( .A1(n81066), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[59]), 
+        .B1(n79290), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[51]), 
+        .Y(n79251) );
+  sky130_fd_sc_hd__a21oi_1 U97298 ( .A1(n79252), .A2(n79251), .B1(n79284), .Y(
+        n79253) );
+  sky130_fd_sc_hd__a21oi_1 U97299 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__shifter_T_3[3]), .A2(n79278), .B1(n79253), .Y(n79258) );
+  sky130_fd_sc_hd__a22oi_1 U97300 ( .A1(n79290), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[19]), 
+        .B1(n79289), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[11]), 
+        .Y(n79255) );
+  sky130_fd_sc_hd__a22oi_1 U97301 ( .A1(n81066), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[27]), 
+        .B1(n81069), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[3]), 
+        .Y(n79254) );
+  sky130_fd_sc_hd__a21oi_1 U97302 ( .A1(n79255), .A2(n79254), .B1(n79291), .Y(
+        n79256) );
+  sky130_fd_sc_hd__a21oi_1 U97303 ( .A1(n79287), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__shifter_T_3[4]), .B1(n79256), .Y(n79257) );
+  sky130_fd_sc_hd__nand2_1 U97304 ( .A(n79258), .B(n79257), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__GEN_4[4]) );
+  sky130_fd_sc_hd__a22oi_1 U97305 ( .A1(n81066), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[60]), 
+        .B1(n81069), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[36]), 
+        .Y(n79260) );
+  sky130_fd_sc_hd__a22oi_1 U97306 ( .A1(n79290), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[52]), 
+        .B1(n79289), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[44]), 
+        .Y(n79259) );
+  sky130_fd_sc_hd__a21oi_1 U97307 ( .A1(n79260), .A2(n79259), .B1(n79284), .Y(
+        n79261) );
+  sky130_fd_sc_hd__a21oi_1 U97308 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__shifter_T_3[4]), .A2(n79278), .B1(n79261), .Y(n79266) );
+  sky130_fd_sc_hd__a22oi_1 U97309 ( .A1(n81066), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[28]), 
+        .B1(n79289), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[12]), 
+        .Y(n79263) );
+  sky130_fd_sc_hd__a22oi_1 U97310 ( .A1(n79290), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[20]), 
+        .B1(n81069), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[4]), 
+        .Y(n79262) );
+  sky130_fd_sc_hd__a21oi_1 U97311 ( .A1(n79263), .A2(n79262), .B1(n79291), .Y(
+        n79264) );
+  sky130_fd_sc_hd__a21oi_1 U97312 ( .A1(n79287), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__shifter_T_3[5]), .B1(n79264), .Y(n79265) );
+  sky130_fd_sc_hd__nand2_1 U97313 ( .A(n79266), .B(n79265), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__GEN_4[5]) );
+  sky130_fd_sc_hd__a22oi_1 U97314 ( .A1(n81069), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[5]), 
+        .B1(n79289), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[13]), 
+        .Y(n79268) );
+  sky130_fd_sc_hd__a22oi_1 U97315 ( .A1(n81066), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[29]), 
+        .B1(n79290), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[21]), 
+        .Y(n79267) );
+  sky130_fd_sc_hd__a21oi_1 U97316 ( .A1(n79268), .A2(n79267), .B1(n79291), .Y(
+        n79269) );
+  sky130_fd_sc_hd__a21oi_1 U97317 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__shifter_T_3[5]), .A2(n79278), .B1(n79269), .Y(n79274) );
+  sky130_fd_sc_hd__a22oi_1 U97318 ( .A1(n79290), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[53]), 
+        .B1(n79289), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[45]), 
+        .Y(n79271) );
+  sky130_fd_sc_hd__a22oi_1 U97319 ( .A1(n81066), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[61]), 
+        .B1(n81069), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[37]), 
+        .Y(n79270) );
+  sky130_fd_sc_hd__a21oi_1 U97320 ( .A1(n79271), .A2(n79270), .B1(n79284), .Y(
+        n79272) );
+  sky130_fd_sc_hd__a21oi_1 U97321 ( .A1(n79287), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__shifter_T_3[6]), .B1(n79272), .Y(n79273) );
+  sky130_fd_sc_hd__nand2_1 U97322 ( .A(n79274), .B(n79273), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__GEN_4[6]) );
+  sky130_fd_sc_hd__a22oi_1 U97323 ( .A1(n81066), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[62]), 
+        .B1(n81069), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[38]), 
+        .Y(n79276) );
+  sky130_fd_sc_hd__a22oi_1 U97324 ( .A1(n79290), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[54]), 
+        .B1(n79289), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[46]), 
+        .Y(n79275) );
+  sky130_fd_sc_hd__a21oi_1 U97325 ( .A1(n79276), .A2(n79275), .B1(n79284), .Y(
+        n79277) );
+  sky130_fd_sc_hd__a21oi_1 U97326 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__shifter_T_3[6]), .A2(n79278), .B1(n79277), .Y(n79283) );
+  sky130_fd_sc_hd__a22oi_1 U97327 ( .A1(n79290), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[22]), 
+        .B1(n79289), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[14]), 
+        .Y(n79280) );
+  sky130_fd_sc_hd__a22oi_1 U97328 ( .A1(n81066), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[30]), 
+        .B1(n81069), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[6]), 
+        .Y(n79279) );
+  sky130_fd_sc_hd__a21oi_1 U97329 ( .A1(n79280), .A2(n79279), .B1(n79291), .Y(
+        n79281) );
+  sky130_fd_sc_hd__a21oi_1 U97330 ( .A1(n79287), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__shifter_T_3[7]), .B1(n79281), .Y(n79282) );
+  sky130_fd_sc_hd__nand2_1 U97331 ( .A(n79283), .B(n79282), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__GEN_4[7]) );
+  sky130_fd_sc_hd__a22oi_1 U97332 ( .A1(n81066), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[31]), 
+        .B1(n81069), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[7]), 
+        .Y(n79294) );
+  sky130_fd_sc_hd__a22oi_1 U97333 ( .A1(n81066), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[63]), 
+        .B1(n79289), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[47]), 
+        .Y(n79286) );
+  sky130_fd_sc_hd__a22oi_1 U97334 ( .A1(n79290), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[55]), 
+        .B1(n81069), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[39]), 
+        .Y(n79285) );
+  sky130_fd_sc_hd__a21oi_1 U97335 ( .A1(n79286), .A2(n79285), .B1(n79284), .Y(
+        n79288) );
+  sky130_fd_sc_hd__a211oi_1 U97336 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__shifter_T_3[7]), .A2(n81063), .B1(n79288), .C1(n79287), .Y(n79293) );
+  sky130_fd_sc_hd__a22oi_1 U97337 ( .A1(n79290), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[23]), 
+        .B1(n79289), .B2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram[15]), 
+        .Y(n79292) );
+  sky130_fd_sc_hd__a32oi_1 U97338 ( .A1(n79294), .A2(n79293), .A3(n79292), 
+        .B1(n79291), .B2(n79293), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm__GEN_4[8]) );
+  sky130_fd_sc_hd__nand2_1 U97339 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_state), 
+        .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[0]), .Y(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N30) );
+  sky130_fd_sc_hd__nor2_1 U97340 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[0]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[1]), .Y(n79297) );
+  sky130_fd_sc_hd__nand3b_1 U97341 ( .A_N(n79297), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_state), 
+        .C(n79295), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N31) );
+  sky130_fd_sc_hd__nor3_1 U97342 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[2]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[0]), .C(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[1]), .Y(n79300) );
+  sky130_fd_sc_hd__nor2_1 U97343 ( .A(n79300), .B(n79305), .Y(n79296) );
+  sky130_fd_sc_hd__nand2_1 U97345 ( .A(n79300), .B(n79299), .Y(n79309) );
+  sky130_fd_sc_hd__o211ai_1 U97346 ( .A1(n79300), .A2(n79299), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_state), 
+        .C1(n79309), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N33) );
+  sky130_fd_sc_hd__nor2_1 U97347 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[4]), .B(n79309), .Y(n79303) );
+  sky130_fd_sc_hd__a211o_1 U97348 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[4]), .A2(n79309), .B1(n79303), .C1(n79305), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N25) );
+  sky130_fd_sc_hd__o21ai_1 U97349 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[5]), .A2(n79303), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_state), 
+        .Y(n79301) );
+  sky130_fd_sc_hd__a21oi_1 U97350 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[5]), .A2(n79303), .B1(n79301), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N26) );
+  sky130_fd_sc_hd__nor2_1 U97351 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[6]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[5]), .Y(n79302) );
+  sky130_fd_sc_hd__nand2_1 U97352 ( .A(n79302), .B(n79303), .Y(n79307) );
+  sky130_fd_sc_hd__a21oi_1 U97354 ( .A1(n79307), .A2(n79306), .B1(n79305), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N27) );
+  sky130_fd_sc_hd__nand2_1 U97355 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[7]), .B(n79307), .Y(n79308) );
+  sky130_fd_sc_hd__o211ai_1 U97356 ( .A1(n79310), .A2(n79309), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_state), 
+        .C1(n79308), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N28) );
+  sky130_fd_sc_hd__nand2_1 U97357 ( .A(n79312), .B(n79311), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N24) );
+  sky130_fd_sc_hd__and3_1 U97358 ( .A(n86753), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[0]), .C(n79313), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N172)
+         );
+  sky130_fd_sc_hd__nor3b_1 U97359 ( .C_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[0]), .A(n36848), .B(n79314), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N135)
+         );
+  sky130_fd_sc_hd__nand2_1 U97360 ( .A(n36801), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N170), 
+        .Y(n79365) );
+  sky130_fd_sc_hd__a31oi_1 U97361 ( .A1(n79317), .A2(n79320), .A3(n79316), 
+        .B1(n79315), .Y(n79318) );
+  sky130_fd_sc_hd__o22ai_1 U97362 ( .A1(n79319), .A2(n79365), .B1(n79318), 
+        .B2(n83631), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N114)
+         );
+  sky130_fd_sc_hd__o21bai_1 U97363 ( .A1(n80955), .A2(n79320), .B1_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[0]), .Y(n79334) );
+  sky130_fd_sc_hd__a21o_1 U97364 ( .A1(n79360), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt[1]), .B1(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[1]), 
+        .X(n79333) );
+  sky130_fd_sc_hd__o2bb2ai_1 U97365 ( .B1(n79334), .B2(n79333), .A1_N(n79334), 
+        .A2_N(n79333), .Y(n80957) );
+  sky130_fd_sc_hd__o22ai_1 U97366 ( .A1(n79351), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt[1]), .B1(n79363), .B2(n80957), .Y(n79321) );
+  sky130_fd_sc_hd__o22ai_1 U97367 ( .A1(n79322), .A2(n79365), .B1(n79321), 
+        .B2(n37041), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N115)
+         );
+  sky130_fd_sc_hd__a21o_1 U97368 ( .A1(n79360), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt[2]), .B1(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[2]), 
+        .X(n79335) );
+  sky130_fd_sc_hd__nor2_1 U97369 ( .A(n79334), .B(n79333), .Y(n79324) );
+  sky130_fd_sc_hd__xor2_1 U97370 ( .A(n79335), .B(n79324), .X(n80958) );
+  sky130_fd_sc_hd__o22ai_1 U97371 ( .A1(n79351), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt[2]), .B1(n79363), .B2(n80958), .Y(n79325) );
+  sky130_fd_sc_hd__o22ai_1 U97372 ( .A1(n79326), .A2(n79365), .B1(n79325), 
+        .B2(n36802), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N116)
+         );
+  sky130_fd_sc_hd__a21o_1 U97373 ( .A1(n79360), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt[3]), .B1(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[3]), 
+        .X(n79336) );
+  sky130_fd_sc_hd__nor3_1 U97374 ( .A(n79335), .B(n79334), .C(n79333), .Y(
+        n79327) );
+  sky130_fd_sc_hd__xor2_1 U97375 ( .A(n79336), .B(n79327), .X(n80959) );
+  sky130_fd_sc_hd__o22ai_1 U97376 ( .A1(n79351), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt[3]), .B1(n79363), .B2(n80959), .Y(n79328) );
+  sky130_fd_sc_hd__o22ai_1 U97377 ( .A1(n79329), .A2(n79365), .B1(n79328), 
+        .B2(n36802), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N117)
+         );
+  sky130_fd_sc_hd__a22oi_1 U97378 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T_16[4]), .A2(n79354), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T[4]), .B2(n80979), .Y(n79330) );
+  sky130_fd_sc_hd__a21oi_1 U97380 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend[20]), .A2(n79359), .B1(n79332), .Y(n79338) );
+  sky130_fd_sc_hd__a21o_1 U97381 ( .A1(n79360), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt[4]), .B1(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[4]), 
+        .X(n79343) );
+  sky130_fd_sc_hd__or4_1 U97382 ( .A(n79336), .B(n79335), .C(n79334), .D(
+        n79333), .X(n79342) );
+  sky130_fd_sc_hd__xnor2_1 U97383 ( .A(n79343), .B(n79342), .Y(n80960) );
+  sky130_fd_sc_hd__o22ai_1 U97384 ( .A1(n79351), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt[4]), .B1(n79363), .B2(n80960), .Y(n79337) );
+  sky130_fd_sc_hd__o22ai_1 U97385 ( .A1(n79338), .A2(n79365), .B1(n83631), 
+        .B2(n79337), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N118)
+         );
+  sky130_fd_sc_hd__a22oi_1 U97386 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T_16[5]), .A2(n79354), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T[5]), .B2(n80979), .Y(n79339) );
+  sky130_fd_sc_hd__o21ai_1 U97387 ( .A1(n79340), .A2(n79356), .B1(n79339), .Y(
+        n79341) );
+  sky130_fd_sc_hd__a21oi_1 U97388 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend[21]), .A2(n79359), .B1(n79341), .Y(n79345) );
+  sky130_fd_sc_hd__a21oi_1 U97389 ( .A1(n79360), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt[5]), .B1(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[5]), 
+        .Y(n79349) );
+  sky130_fd_sc_hd__nor2_1 U97390 ( .A(n79343), .B(n79342), .Y(n79350) );
+  sky130_fd_sc_hd__o2bb2ai_1 U97391 ( .B1(n79349), .B2(n79350), .A1_N(n79349), 
+        .A2_N(n79350), .Y(n80961) );
+  sky130_fd_sc_hd__o22ai_1 U97392 ( .A1(n79351), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt[5]), .B1(n79363), .B2(n80961), .Y(n79344) );
+  sky130_fd_sc_hd__o22ai_1 U97393 ( .A1(n79345), .A2(n79365), .B1(n36802), 
+        .B2(n79344), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N119)
+         );
+  sky130_fd_sc_hd__a22oi_1 U97394 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T[6]), .A2(n80979), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T_16[6]), .B2(n79354), .Y(n79346) );
+  sky130_fd_sc_hd__o21ai_1 U97395 ( .A1(n79347), .A2(n79356), .B1(n79346), .Y(
+        n79348) );
+  sky130_fd_sc_hd__a21oi_1 U97396 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend[22]), .A2(n79359), .B1(n79348), .Y(n79353) );
+  sky130_fd_sc_hd__a21o_1 U97397 ( .A1(n79360), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt[6]), .B1(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[6]), 
+        .X(n79362) );
+  sky130_fd_sc_hd__nand2_1 U97398 ( .A(n79350), .B(n79349), .Y(n79361) );
+  sky130_fd_sc_hd__xnor2_1 U97399 ( .A(n79362), .B(n79361), .Y(n80962) );
+  sky130_fd_sc_hd__o22ai_1 U97400 ( .A1(n79351), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt[6]), .B1(n79363), .B2(n80962), .Y(n79352) );
+  sky130_fd_sc_hd__o22ai_1 U97401 ( .A1(n79353), .A2(n79365), .B1(n60015), 
+        .B2(n79352), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N120)
+         );
+  sky130_fd_sc_hd__a22oi_1 U97402 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T[7]), .A2(n80979), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_prepend_T_16[7]), .B2(n79354), .Y(n79355) );
+  sky130_fd_sc_hd__a21oi_1 U97404 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend[23]), .A2(n79359), .B1(n79358), .Y(n79366) );
+  sky130_fd_sc_hd__nor2_1 U97405 ( .A(n79360), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[7]), .Y(n80964) );
+  sky130_fd_sc_hd__nor2_1 U97406 ( .A(n79362), .B(n79361), .Y(n80965) );
+  sky130_fd_sc_hd__o2bb2ai_1 U97407 ( .B1(n80964), .B2(n80965), .A1_N(n80964), 
+        .A2_N(n80965), .Y(n80963) );
+  sky130_fd_sc_hd__o22ai_1 U97409 ( .A1(n79366), .A2(n79365), .B1(n74033), 
+        .B2(n79364), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N121)
+         );
+  sky130_fd_sc_hd__and3_1 U97410 ( .A(n83351), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[0]), .C(n79367), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N172) );
+  sky130_fd_sc_hd__nor3b_1 U97411 ( .C_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[0]), .A(n83631), .B(n79368), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N135) );
+  sky130_fd_sc_hd__nand2_1 U97412 ( .A(n37040), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N170), .Y(n79422) );
+  sky130_fd_sc_hd__a31oi_1 U97413 ( .A1(n79372), .A2(n79376), .A3(n79371), 
+        .B1(n79370), .Y(n79373) );
+  sky130_fd_sc_hd__o22ai_1 U97414 ( .A1(n79374), .A2(n79422), .B1(n79373), 
+        .B2(n37041), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N114) );
+  sky130_fd_sc_hd__o21bai_1 U97415 ( .A1(n80639), .A2(n79376), .B1_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[0]), .Y(n79391) );
+  sky130_fd_sc_hd__a21o_1 U97416 ( .A1(n79417), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt[1]), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[1]), .X(n79390) );
+  sky130_fd_sc_hd__o2bb2ai_1 U97417 ( .B1(n79391), .B2(n79390), .A1_N(n79391), 
+        .A2_N(n79390), .Y(n80641) );
+  sky130_fd_sc_hd__o22ai_1 U97418 ( .A1(n79408), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt[1]), .B1(n79420), .B2(n80641), .Y(n79377) );
+  sky130_fd_sc_hd__o22ai_1 U97419 ( .A1(n79378), .A2(n79422), .B1(n79377), 
+        .B2(n83631), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N115) );
+  sky130_fd_sc_hd__a21o_1 U97420 ( .A1(n79417), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt[2]), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[2]), .X(n79392) );
+  sky130_fd_sc_hd__nor2_1 U97421 ( .A(n79391), .B(n79390), .Y(n79380) );
+  sky130_fd_sc_hd__xor2_1 U97422 ( .A(n79392), .B(n79380), .X(n80642) );
+  sky130_fd_sc_hd__o22ai_1 U97423 ( .A1(n79408), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt[2]), .B1(n79420), .B2(n80642), .Y(n79381) );
+  sky130_fd_sc_hd__o22ai_1 U97424 ( .A1(n79382), .A2(n79422), .B1(n79381), 
+        .B2(n36802), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N116) );
+  sky130_fd_sc_hd__a21o_1 U97425 ( .A1(n79417), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt[3]), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[3]), .X(n79393) );
+  sky130_fd_sc_hd__nor3_1 U97426 ( .A(n79392), .B(n79391), .C(n79390), .Y(
+        n79384) );
+  sky130_fd_sc_hd__xor2_1 U97427 ( .A(n79393), .B(n79384), .X(n80643) );
+  sky130_fd_sc_hd__o22ai_1 U97428 ( .A1(n79408), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt[3]), .B1(n79420), .B2(n80643), .Y(n79385) );
+  sky130_fd_sc_hd__o22ai_1 U97429 ( .A1(n79386), .A2(n79422), .B1(n79385), 
+        .B2(n37041), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N117) );
+  sky130_fd_sc_hd__a22oi_1 U97430 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T[4]), .A2(n79411), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T_16[4]), .B2(n80662), .Y(n79387) );
+  sky130_fd_sc_hd__o21ai_1 U97431 ( .A1(n79388), .A2(n79413), .B1(n79387), .Y(
+        n79389) );
+  sky130_fd_sc_hd__a21oi_1 U97432 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend[20]), .A2(n79416), .B1(n79389), .Y(n79395) );
+  sky130_fd_sc_hd__a21o_1 U97433 ( .A1(n79417), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt[4]), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[4]), .X(n79400) );
+  sky130_fd_sc_hd__or4_1 U97434 ( .A(n79393), .B(n79392), .C(n79391), .D(
+        n79390), .X(n79399) );
+  sky130_fd_sc_hd__xnor2_1 U97435 ( .A(n79400), .B(n79399), .Y(n80644) );
+  sky130_fd_sc_hd__o22ai_1 U97436 ( .A1(n79408), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt[4]), .B1(n79420), .B2(n80644), .Y(n79394) );
+  sky130_fd_sc_hd__o22ai_1 U97437 ( .A1(n79395), .A2(n79422), .B1(n36802), 
+        .B2(n79394), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N118) );
+  sky130_fd_sc_hd__a22oi_1 U97438 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T_16[5]), .A2(n80662), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T[5]), .B2(n79411), .Y(n79396) );
+  sky130_fd_sc_hd__o21ai_1 U97439 ( .A1(n79397), .A2(n79413), .B1(n79396), .Y(
+        n79398) );
+  sky130_fd_sc_hd__a21oi_1 U97440 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend[21]), .A2(n79416), .B1(n79398), .Y(n79402) );
+  sky130_fd_sc_hd__a21oi_1 U97441 ( .A1(n79417), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt[5]), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[5]), .Y(n79406) );
+  sky130_fd_sc_hd__nor2_1 U97442 ( .A(n79400), .B(n79399), .Y(n79407) );
+  sky130_fd_sc_hd__o2bb2ai_1 U97443 ( .B1(n79406), .B2(n79407), .A1_N(n79406), 
+        .A2_N(n79407), .Y(n80645) );
+  sky130_fd_sc_hd__o22ai_1 U97444 ( .A1(n79408), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt[5]), .B1(n79420), .B2(n80645), .Y(n79401) );
+  sky130_fd_sc_hd__o22ai_1 U97445 ( .A1(n79402), .A2(n79422), .B1(n36802), 
+        .B2(n79401), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N119) );
+  sky130_fd_sc_hd__a22oi_1 U97446 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T[6]), .A2(n79411), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T_16[6]), .B2(n80662), .Y(n79403) );
+  sky130_fd_sc_hd__a21oi_1 U97448 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend[22]), .A2(n79416), .B1(n79405), .Y(n79410) );
+  sky130_fd_sc_hd__a21o_1 U97449 ( .A1(n79417), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt[6]), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[6]), .X(n79419) );
+  sky130_fd_sc_hd__nand2_1 U97450 ( .A(n79407), .B(n79406), .Y(n79418) );
+  sky130_fd_sc_hd__xnor2_1 U97451 ( .A(n79419), .B(n79418), .Y(n80646) );
+  sky130_fd_sc_hd__o22ai_1 U97452 ( .A1(n79408), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt[6]), .B1(n79420), .B2(n80646), .Y(n79409) );
+  sky130_fd_sc_hd__o22ai_1 U97453 ( .A1(n79410), .A2(n79422), .B1(n74033), 
+        .B2(n79409), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N120) );
+  sky130_fd_sc_hd__a22oi_1 U97454 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T[7]), .A2(n79411), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_prepend_T_16[7]), .B2(n80662), .Y(n79412) );
+  sky130_fd_sc_hd__o21ai_1 U97455 ( .A1(n79414), .A2(n79413), .B1(n79412), .Y(
+        n79415) );
+  sky130_fd_sc_hd__a21oi_1 U97456 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend[23]), .A2(n79416), .B1(n79415), .Y(n79423) );
+  sky130_fd_sc_hd__nor2_1 U97457 ( .A(n79417), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[7]), .Y(n80648) );
+  sky130_fd_sc_hd__nor2_1 U97458 ( .A(n79419), .B(n79418), .Y(n80649) );
+  sky130_fd_sc_hd__o2bb2ai_1 U97459 ( .B1(n80648), .B2(n80649), .A1_N(n80648), 
+        .A2_N(n80649), .Y(n80647) );
+  sky130_fd_sc_hd__o22ai_1 U97461 ( .A1(n79423), .A2(n79422), .B1(n60015), 
+        .B2(n79421), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N121) );
+  sky130_fd_sc_hd__and3_1 U97462 ( .A(n49230), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[0]), .C(n79424), .X(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N172) );
+  sky130_fd_sc_hd__nor3b_1 U97463 ( .C_N(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[0]), .A(n37038), .B(n79425), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N135) );
+  sky130_fd_sc_hd__a21oi_1 U97464 ( .A1(n80248), .A2(n80250), .B1(n79426), .Y(
+        n79427) );
+  sky130_fd_sc_hd__o22ai_1 U97465 ( .A1(n79428), .A2(n79470), .B1(n79427), 
+        .B2(n60015), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N114) );
+  sky130_fd_sc_hd__o21bai_1 U97466 ( .A1(n80329), .A2(n80250), .B1_N(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[0]), 
+        .Y(n79441) );
+  sky130_fd_sc_hd__a21o_1 U97467 ( .A1(n80251), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt[1]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[1]), 
+        .X(n79440) );
+  sky130_fd_sc_hd__o2bb2ai_1 U97468 ( .B1(n79441), .B2(n79440), .A1_N(n79441), 
+        .A2_N(n79440), .Y(n80331) );
+  sky130_fd_sc_hd__o22ai_1 U97469 ( .A1(n80248), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt[1]), 
+        .B1(n79468), .B2(n80331), .Y(n79429) );
+  sky130_fd_sc_hd__o22ai_1 U97470 ( .A1(n79430), .A2(n79470), .B1(n83631), 
+        .B2(n79429), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N115) );
+  sky130_fd_sc_hd__a21o_1 U97471 ( .A1(n80251), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt[2]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[2]), 
+        .X(n79442) );
+  sky130_fd_sc_hd__nor2_1 U97472 ( .A(n79441), .B(n79440), .Y(n79431) );
+  sky130_fd_sc_hd__xor2_1 U97473 ( .A(n79442), .B(n79431), .X(n80332) );
+  sky130_fd_sc_hd__o22ai_1 U97474 ( .A1(n80248), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt[2]), 
+        .B1(n79468), .B2(n80332), .Y(n79432) );
+  sky130_fd_sc_hd__o22ai_1 U97475 ( .A1(n79433), .A2(n79470), .B1(n36802), 
+        .B2(n79432), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N116) );
+  sky130_fd_sc_hd__a21o_1 U97476 ( .A1(n80251), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt[3]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[3]), 
+        .X(n79443) );
+  sky130_fd_sc_hd__nor3_1 U97477 ( .A(n79442), .B(n79441), .C(n79440), .Y(
+        n79434) );
+  sky130_fd_sc_hd__xor2_1 U97478 ( .A(n79443), .B(n79434), .X(n80333) );
+  sky130_fd_sc_hd__o22ai_1 U97479 ( .A1(n80248), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt[3]), 
+        .B1(n79468), .B2(n80333), .Y(n79435) );
+  sky130_fd_sc_hd__o22ai_1 U97480 ( .A1(n79436), .A2(n79470), .B1(n36802), 
+        .B2(n79435), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N117) );
+  sky130_fd_sc_hd__a22oi_1 U97481 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_1[4]), .A2(n79460), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_10[4]), .B2(n80354), .Y(n79437) );
+  sky130_fd_sc_hd__o21ai_1 U97482 ( .A1(n79438), .A2(n79462), .B1(n79437), .Y(
+        n79439) );
+  sky130_fd_sc_hd__a21oi_1 U97483 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_1[20]), .A2(n79465), .B1(n79439), .Y(n79445) );
+  sky130_fd_sc_hd__a21o_1 U97484 ( .A1(n80251), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt[4]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[4]), 
+        .X(n79450) );
+  sky130_fd_sc_hd__or4_1 U97485 ( .A(n79443), .B(n79442), .C(n79441), .D(
+        n79440), .X(n79449) );
+  sky130_fd_sc_hd__xnor2_1 U97486 ( .A(n79450), .B(n79449), .Y(n80334) );
+  sky130_fd_sc_hd__o22ai_1 U97487 ( .A1(n80248), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt[4]), 
+        .B1(n79468), .B2(n80334), .Y(n79444) );
+  sky130_fd_sc_hd__o22ai_1 U97488 ( .A1(n79445), .A2(n79470), .B1(n36848), 
+        .B2(n79444), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N118) );
+  sky130_fd_sc_hd__a22oi_1 U97489 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_10[5]), .A2(n80354), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_1[5]), .B2(n79460), .Y(n79446) );
+  sky130_fd_sc_hd__a21oi_1 U97491 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_1[21]), .A2(n79465), .B1(n79448), .Y(n79452) );
+  sky130_fd_sc_hd__a21oi_1 U97492 ( .A1(n80251), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt[5]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[5]), 
+        .Y(n79456) );
+  sky130_fd_sc_hd__nor2_1 U97493 ( .A(n79450), .B(n79449), .Y(n79457) );
+  sky130_fd_sc_hd__o2bb2ai_1 U97494 ( .B1(n79456), .B2(n79457), .A1_N(n79456), 
+        .A2_N(n79457), .Y(n80335) );
+  sky130_fd_sc_hd__o22ai_1 U97495 ( .A1(n80248), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt[5]), 
+        .B1(n79468), .B2(n80335), .Y(n79451) );
+  sky130_fd_sc_hd__o22ai_1 U97496 ( .A1(n79452), .A2(n79470), .B1(n74033), 
+        .B2(n79451), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N119) );
+  sky130_fd_sc_hd__a22oi_1 U97497 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_10[6]), .A2(n80354), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_1[6]), .B2(n79460), .Y(n79453) );
+  sky130_fd_sc_hd__o21ai_1 U97498 ( .A1(n79454), .A2(n79462), .B1(n79453), .Y(
+        n79455) );
+  sky130_fd_sc_hd__a21oi_1 U97499 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_1[22]), .A2(n79465), .B1(n79455), .Y(n79459) );
+  sky130_fd_sc_hd__a21o_1 U97500 ( .A1(n80251), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt[6]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[6]), 
+        .X(n79467) );
+  sky130_fd_sc_hd__nand2_1 U97501 ( .A(n79457), .B(n79456), .Y(n79466) );
+  sky130_fd_sc_hd__xnor2_1 U97502 ( .A(n79467), .B(n79466), .Y(n80336) );
+  sky130_fd_sc_hd__o22ai_1 U97503 ( .A1(n80248), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt[6]), 
+        .B1(n79468), .B2(n80336), .Y(n79458) );
+  sky130_fd_sc_hd__o22ai_1 U97504 ( .A1(n79459), .A2(n79470), .B1(n36802), 
+        .B2(n79458), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N120) );
+  sky130_fd_sc_hd__a22oi_1 U97505 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_10[7]), .A2(n80354), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_prepend_T_1[7]), .B2(n79460), .Y(n79461) );
+  sky130_fd_sc_hd__o21ai_1 U97506 ( .A1(n79463), .A2(n79462), .B1(n79461), .Y(
+        n79464) );
+  sky130_fd_sc_hd__a21oi_1 U97507 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_1[23]), .A2(n79465), .B1(n79464), .Y(n79471) );
+  sky130_fd_sc_hd__nor2_1 U97508 ( .A(n80251), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[7]), 
+        .Y(n80338) );
+  sky130_fd_sc_hd__nor2_1 U97509 ( .A(n79467), .B(n79466), .Y(n80339) );
+  sky130_fd_sc_hd__o2bb2ai_1 U97510 ( .B1(n80338), .B2(n80339), .A1_N(n80338), 
+        .A2_N(n80339), .Y(n80337) );
+  sky130_fd_sc_hd__o22ai_1 U97512 ( .A1(n79471), .A2(n79470), .B1(n83631), 
+        .B2(n79469), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N121) );
+  sky130_fd_sc_hd__a221oi_1 U97513 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_sclOen), .A2(
+        n79472), .B1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_dSCLOen), .B2(
+        n79472), .C1(n85824), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N345) );
+  sky130_fd_sc_hd__nor4_1 U97514 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_dSDA), .B(n79474), .C(n83631), .D(n79473), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N342) );
+  sky130_fd_sc_hd__nor3b_1 U97515 ( .C_N(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_dSDA), .A(n79474), .B(n85825), .Y(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N341)
+         );
+  sky130_fd_sc_hd__nand2_1 U97516 ( .A(n37039), .B(n79475), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N334) );
+  sky130_fd_sc_hd__nand2_1 U97517 ( .A(n36846), .B(n79476), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N335) );
+  sky130_fd_sc_hd__nand2_1 U97518 ( .A(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .B(
+        n79477), .Y(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N331) );
+  sky130_fd_sc_hd__nand2_1 U97519 ( .A(n86753), .B(n79478), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N332) );
+  sky130_fd_sc_hd__nor3_1 U97520 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__nextCmd_T[7]), 
+        .B(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_byteState[0]), 
+        .C(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N430), .Y(
+        n79493) );
+  sky130_fd_sc_hd__nor2_1 U97521 ( .A(n79786), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N430), .Y(n79734) );
+  sky130_fd_sc_hd__a21oi_1 U97522 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__nextCmd_T[4]), 
+        .A2(n79493), .B1(n79734), .Y(n79490) );
+  sky130_fd_sc_hd__nor3_1 U97523 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCnt[2]), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCnt[1]), .C(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCnt[0]), .Y(
+        n79721) );
+  sky130_fd_sc_hd__nor2_1 U97524 ( .A(n79786), .B(n79495), .Y(n79733) );
+  sky130_fd_sc_hd__a21oi_1 U97525 ( .A1(n79786), .A2(n79495), .B1(n79733), .Y(
+        n79480) );
+  sky130_fd_sc_hd__nand3_1 U97526 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_byteState[1]), 
+        .B(n79487), .C(n79486), .Y(n79732) );
+  sky130_fd_sc_hd__o22ai_1 U97527 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__nextCmd_T[5]), 
+        .A2(n79490), .B1(n79479), .B2(n79732), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N389) );
+  sky130_fd_sc_hd__o21ai_1 U97529 ( .A1(n79480), .A2(n79732), .B1(n79489), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N390) );
+  sky130_fd_sc_hd__nand3b_1 U97530 ( .A_N(n79766), .B(n36801), .C(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_stopCond), .Y(
+        n79482) );
+  sky130_fd_sc_hd__nand2_1 U97531 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_sdaOen), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_sdaChk), .Y(
+        n79481) );
+  sky130_fd_sc_hd__o22ai_1 U97532 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmdStop), 
+        .A2(n79482), .B1(n79481), .B2(n85825), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N412) );
+  sky130_fd_sc_hd__a22o_1 U97533 ( .A1(n79483), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_transmitData[0]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__receivedData_T[0]), .B2(n79484), .X(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N275)
+         );
+  sky130_fd_sc_hd__a22o_1 U97534 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__receivedData_T[3]), .A2(n79484), .B1(n79483), .B2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_transmitData[3]), 
+        .X(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N278) );
+  sky130_fd_sc_hd__a22o_1 U97535 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__receivedData_T[4]), .A2(n79484), .B1(n79483), .B2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_transmitData[4]), 
+        .X(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N279) );
+  sky130_fd_sc_hd__nor2_1 U97536 ( .A(n79721), .B(n79732), .Y(n79485) );
+  sky130_fd_sc_hd__a21oi_1 U97537 ( .A1(n79485), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_byteState[0]), 
+        .B1(n85826), .Y(n79488) );
+  sky130_fd_sc_hd__nor2_1 U97538 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_byteState[1]), 
+        .B(n79486), .Y(n79785) );
+  sky130_fd_sc_hd__nand4_1 U97539 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__nextCmd_T[6]), 
+        .B(n79487), .C(n79785), .D(n79786), .Y(n79491) );
+  sky130_fd_sc_hd__o211ai_1 U97540 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__nextCmd_T[5]), 
+        .A2(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N430), .B1(
+        n79488), .C1(n79491), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N444) );
+  sky130_fd_sc_hd__o211ai_1 U97541 ( .A1(n79721), .A2(n79732), .B1(n79490), 
+        .C1(n79489), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N445) );
+  sky130_fd_sc_hd__a221oi_1 U97543 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_sclOen), .A2(
+        n79496), .B1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_dSCLOen), .B2(
+        n79496), .C1(n85827), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N345) );
+  sky130_fd_sc_hd__nor4_1 U97544 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_dSDA), .B(
+        n79498), .C(n36802), .D(n79497), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N342) );
+  sky130_fd_sc_hd__nor3b_1 U97545 ( .C_N(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_dSDA), .A(
+        n79498), .B(n85828), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N341) );
+  sky130_fd_sc_hd__nand2_1 U97546 ( .A(n86753), .B(n79499), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N334) );
+  sky130_fd_sc_hd__nand2_1 U97547 ( .A(n36801), .B(n79500), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N335) );
+  sky130_fd_sc_hd__nand2_1 U97548 ( .A(n83116), .B(n79501), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N331) );
+  sky130_fd_sc_hd__nand2_1 U97549 ( .A(n37040), .B(n79502), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N332) );
+  sky130_fd_sc_hd__a21oi_1 U97550 ( .A1(n79503), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_out_f_data[5]), 
+        .B1(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_arbLost), 
+        .Y(n79504) );
+  sky130_fd_sc_hd__nor2_1 U97551 ( .A(n83631), .B(n79504), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N307) );
+  sky130_fd_sc_hd__a21oi_1 U97552 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__nextCmd_T[4]), 
+        .A2(n79507), .B1(n79508), .Y(n79518) );
+  sky130_fd_sc_hd__nor3_1 U97553 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCnt[2]), 
+        .B(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCnt[1]), 
+        .C(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCnt[0]), 
+        .Y(n79578) );
+  sky130_fd_sc_hd__nor2_1 U97554 ( .A(n79583), .B(n79519), .Y(n79593) );
+  sky130_fd_sc_hd__a21oi_1 U97555 ( .A1(n79583), .A2(n79519), .B1(n79593), .Y(
+        n79509) );
+  sky130_fd_sc_hd__nand3_1 U97556 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_byteState[1]), 
+        .B(n79588), .C(n79626), .Y(n79592) );
+  sky130_fd_sc_hd__o22ai_1 U97557 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__nextCmd_T[5]), 
+        .A2(n79518), .B1(n79506), .B2(n79592), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N389) );
+  sky130_fd_sc_hd__o21ai_1 U97559 ( .A1(n79509), .A2(n79592), .B1(n79517), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N390) );
+  sky130_fd_sc_hd__nand3b_1 U97560 ( .A_N(n79595), .B(n36846), .C(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_stopCond), .Y(
+        n79511) );
+  sky130_fd_sc_hd__nand2_1 U97561 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_sdaOen), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_sdaChk), .Y(
+        n79510) );
+  sky130_fd_sc_hd__o22ai_1 U97562 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmdStop), 
+        .A2(n79511), .B1(n79510), .B2(n85828), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N412) );
+  sky130_fd_sc_hd__a22o_1 U97563 ( .A1(n79512), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_transmitData[0]), .B1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__receivedData_T[0]), .B2(n79513), .X(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N275) );
+  sky130_fd_sc_hd__a22o_1 U97564 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__receivedData_T[4]), .A2(n79513), .B1(n79512), .B2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_transmitData[4]), .X(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N279) );
+  sky130_fd_sc_hd__a22o_1 U97565 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__receivedData_T[5]), .A2(n79513), .B1(n79512), .B2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_transmitData[5]), .X(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N280) );
+  sky130_fd_sc_hd__a22o_1 U97566 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__receivedData_T[6]), .A2(n79513), .B1(n79512), .B2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_transmitData[6]), .X(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N281) );
+  sky130_fd_sc_hd__nor2_1 U97567 ( .A(n79578), .B(n79592), .Y(n79514) );
+  sky130_fd_sc_hd__a21oi_1 U97568 ( .A1(n79514), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_byteState[0]), 
+        .B1(n85829), .Y(n79516) );
+  sky130_fd_sc_hd__o211ai_1 U97569 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__nextCmd_T[5]), 
+        .A2(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N430), 
+        .B1(n79516), .C1(n79515), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N444) );
+  sky130_fd_sc_hd__o211ai_1 U97570 ( .A1(n79578), .A2(n79592), .B1(n79518), 
+        .C1(n79517), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N445) );
+  sky130_fd_sc_hd__o21bai_1 U97571 ( .A1(n79592), .A2(n79519), .B1_N(n85830), 
+        .Y(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N446) );
+  sky130_fd_sc_hd__a21o_1 U97572 ( .A1(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_lo_lo[7]), .A2(
+        n79524), .B1(n79523), .X(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N13) );
+  sky130_fd_sc_hd__a21o_1 U97573 ( .A1(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_lo_lo[6]), .A2(
+        n79524), .B1(n79523), .X(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N12) );
+  sky130_fd_sc_hd__a21o_1 U97574 ( .A1(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_lo_lo[5]), .A2(
+        n79524), .B1(n79523), .X(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N11) );
+  sky130_fd_sc_hd__a21o_1 U97575 ( .A1(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_lo_lo[1]), .A2(
+        n79524), .B1(n79523), .X(MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N7) );
+  sky130_fd_sc_hd__nor3_1 U97576 ( .A(n79533), .B(n79520), .C(n79521), .Y(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N41) );
+  sky130_fd_sc_hd__nor2_1 U97577 ( .A(n79522), .B(n79521), .Y(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N25) );
+  sky130_fd_sc_hd__a21o_1 U97578 ( .A1(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_lo[15]), .A2(
+        n79524), .B1(n79523), .X(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N24) );
+  sky130_fd_sc_hd__a21o_1 U97579 ( .A1(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_lo[13]), .A2(
+        n79524), .B1(n79523), .X(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N22) );
+  sky130_fd_sc_hd__nand2_1 U97580 ( .A(n79529), .B(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_lo[12]), .Y(
+        n79525) );
+  sky130_fd_sc_hd__a21oi_1 U97582 ( .A1(n79527), .A2(n84250), .B1(n79526), .Y(
+        n79528) );
+  sky130_fd_sc_hd__a21o_1 U97583 ( .A1(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_updateBits_lo[11]), .A2(
+        n79529), .B1(n79528), .X(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N20) );
+  sky130_fd_sc_hd__nor2_1 U97584 ( .A(n79533), .B(n79530), .Y(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_idcodeChain_N41) );
+  sky130_fd_sc_hd__nor2_1 U97585 ( .A(n79531), .B(n79533), .Y(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_N14) );
+  sky130_fd_sc_hd__nor2_1 U97586 ( .A(n79533), .B(n79532), .Y(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_bypassChain_N4) );
+  sky130_fd_sc_hd__o21ai_1 U97587 ( .A1(n79543), .A2(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_currState[0]), 
+        .B1(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_currState[2]), 
+        .Y(n79535) );
+  sky130_fd_sc_hd__nand2b_1 U97588 ( .A_N(n79535), .B(n85831), .Y(n79534) );
+  sky130_fd_sc_hd__o31ai_1 U97589 ( .A1(n79544), .A2(io_in[3]), .A3(n79541), 
+        .B1(n79534), .Y(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_stateMachine_N32) );
+  sky130_fd_sc_hd__a21oi_1 U97592 ( .A1(n79541), .A2(n79540), .B1(n79539), .Y(
+        n79542) );
+  sky130_fd_sc_hd__a31oi_1 U97593 ( .A1(n79544), .A2(io_in[3]), .A3(n79543), 
+        .B1(n79542), .Y(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_stateMachine_N34) );
+  sky130_fd_sc_hd__nand4_1 U97594 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_io_output_instruction[4]), .B(n79545), .C(n84251), .D(io_in[2]), .Y(n79546) );
+  sky130_fd_sc_hd__a22o_1 U97596 ( .A1(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_addr[5]), .A2(n79549), 
+        .B1(n84251), .B2(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_addr[6]), 
+        .X(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N163) );
+  sky130_fd_sc_hd__a22o_1 U97597 ( .A1(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_addr[4]), .A2(n79549), 
+        .B1(n84251), .B2(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_addr[5]), 
+        .X(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N159) );
+  sky130_fd_sc_hd__a22o_1 U97598 ( .A1(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_addr[3]), .A2(n79549), 
+        .B1(n84251), .B2(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_addr[4]), 
+        .X(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N155) );
+  sky130_fd_sc_hd__o22ai_1 U97599 ( .A1(n79548), .A2(n79550), .B1(n79565), 
+        .B2(n79574), .Y(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N151) );
+  sky130_fd_sc_hd__a22o_1 U97600 ( .A1(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_addr[1]), .A2(n79549), 
+        .B1(n84251), .B2(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_addr[2]), 
+        .X(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N147) );
+  sky130_fd_sc_hd__a22o_1 U97601 ( .A1(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_addr[0]), .A2(n79549), 
+        .B1(n84251), .B2(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_addr[1]), 
+        .X(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N143) );
+  sky130_fd_sc_hd__a21oi_1 U97602 ( .A1(n79552), .A2(n79551), .B1(n79550), .Y(
+        n79554) );
+  sky130_fd_sc_hd__nor2_1 U97603 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_addr[1]), .B(n79555), 
+        .Y(n79553) );
+  sky130_fd_sc_hd__nand2_1 U97604 ( .A(n79554), .B(n79553), .Y(n79564) );
+  sky130_fd_sc_hd__o22ai_1 U97605 ( .A1(n84245), .A2(n79564), .B1(n79565), 
+        .B2(n79573), .Y(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N139) );
+  sky130_fd_sc_hd__nor2_1 U97606 ( .A(n79565), .B(n79572), .Y(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N135) );
+  sky130_fd_sc_hd__nor2_1 U97607 ( .A(n79565), .B(n79571), .Y(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N131) );
+  sky130_fd_sc_hd__o21ai_1 U97608 ( .A1(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_addr[1]), .A2(n79555), 
+        .B1(n79554), .Y(n79562) );
+  sky130_fd_sc_hd__nand2_1 U97609 ( .A(n84251), .B(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[21]), 
+        .Y(n79556) );
+  sky130_fd_sc_hd__nand2_1 U97610 ( .A(n79562), .B(n79556), .Y(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N95) );
+  sky130_fd_sc_hd__nand2_1 U97611 ( .A(n84251), .B(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[17]), 
+        .Y(n79557) );
+  sky130_fd_sc_hd__nand2_1 U97612 ( .A(n79562), .B(n79557), .Y(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N79) );
+  sky130_fd_sc_hd__nand2_1 U97613 ( .A(n84251), .B(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[13]), 
+        .Y(n79558) );
+  sky130_fd_sc_hd__nand2_1 U97614 ( .A(n79562), .B(n79558), .Y(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N63) );
+  sky130_fd_sc_hd__nand2_1 U97615 ( .A(n84251), .B(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[10]), 
+        .Y(n79559) );
+  sky130_fd_sc_hd__nand2_1 U97616 ( .A(n79562), .B(n79559), .Y(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N51) );
+  sky130_fd_sc_hd__nand2_1 U97617 ( .A(n84251), .B(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[9]), 
+        .Y(n79560) );
+  sky130_fd_sc_hd__nand2_1 U97618 ( .A(n79562), .B(n79560), .Y(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N47) );
+  sky130_fd_sc_hd__nand2_1 U97619 ( .A(n84251), .B(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[8]), 
+        .Y(n79561) );
+  sky130_fd_sc_hd__nand2_1 U97620 ( .A(n79562), .B(n79561), .Y(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N43) );
+  sky130_fd_sc_hd__nand2_1 U97621 ( .A(n84251), .B(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_io_update_bits_data[2]), 
+        .Y(n79563) );
+  sky130_fd_sc_hd__o21ai_1 U97622 ( .A1(n79564), .A2(n84238), .B1(n79563), .Y(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N19) );
+  sky130_fd_sc_hd__nor2_1 U97623 ( .A(n79565), .B(n79570), .Y(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N15) );
+  sky130_fd_sc_hd__o21ai_1 U97624 ( .A1(n79565), .A2(n79569), .B1(n79566), .Y(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N11) );
+  sky130_fd_sc_hd__o211ai_1 U97625 ( .A1(n79568), .A2(n79565), .B1(n84249), 
+        .C1(n79566), .Y(MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N7) );
+  sky130_fd_sc_hd__nor2_1 U97626 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_downgradeOpReg), .B(n79567), .Y(
+        MarmotCaravelChip_dut_sys_dtm_N76) );
+  sky130_fd_sc_hd__nor2_1 U97627 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_downgradeOpReg), .B(n79568), .Y(
+        MarmotCaravelChip_dut_sys_dtm_N77) );
+  sky130_fd_sc_hd__nor2_1 U97628 ( .A(n79575), .B(n79569), .Y(
+        MarmotCaravelChip_dut_sys_dtm_N41) );
+  sky130_fd_sc_hd__nor2_1 U97629 ( .A(n79575), .B(n79570), .Y(
+        MarmotCaravelChip_dut_sys_dtm_N42) );
+  sky130_fd_sc_hd__nor2_1 U97630 ( .A(n79575), .B(n79571), .Y(
+        MarmotCaravelChip_dut_sys_dtm_N71) );
+  sky130_fd_sc_hd__nor2_1 U97631 ( .A(n79575), .B(n79572), .Y(
+        MarmotCaravelChip_dut_sys_dtm_N72) );
+  sky130_fd_sc_hd__nor2_1 U97632 ( .A(n79575), .B(n79573), .Y(
+        MarmotCaravelChip_dut_sys_dtm_N31) );
+  sky130_fd_sc_hd__nor2_1 U97633 ( .A(n79575), .B(n79574), .Y(
+        MarmotCaravelChip_dut_sys_dtm_N34) );
+  sky130_fd_sc_hd__nand2_1 U97634 ( .A(n86753), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_shift), .Y(
+        n79581) );
+  sky130_fd_sc_hd__o21ai_1 U97635 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCnt[0]), 
+        .A2(n79581), .B1(n79580), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N436) );
+  sky130_fd_sc_hd__nor2_1 U97636 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCnt[1]), 
+        .B(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCnt[0]), 
+        .Y(n79577) );
+  sky130_fd_sc_hd__a21oi_1 U97637 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCnt[0]), 
+        .A2(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCnt[1]), 
+        .B1(n79577), .Y(n79576) );
+  sky130_fd_sc_hd__o21ai_1 U97638 ( .A1(n79576), .A2(n79581), .B1(n79580), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N437) );
+  sky130_fd_sc_hd__a21oi_1 U97639 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCnt[2]), 
+        .A2(n79579), .B1(n79578), .Y(n79582) );
+  sky130_fd_sc_hd__nand3_1 U97641 ( .A(n79625), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmdAck), 
+        .C(n79583), .Y(n79630) );
+  sky130_fd_sc_hd__a21oi_1 U97643 ( .A1(n79586), .A2(n79630), .B1(n79585), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N431) );
+  sky130_fd_sc_hd__nor2_1 U97644 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_byteState[0]), 
+        .B(n79587), .Y(n79590) );
+  sky130_fd_sc_hd__nand2_1 U97645 ( .A(n79588), .B(n79625), .Y(n79589) );
+  sky130_fd_sc_hd__nor3_1 U97646 ( .A(n79590), .B(n79589), .C(n79591), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N426) );
+  sky130_fd_sc_hd__nor3_1 U97647 ( .A(n79593), .B(n79592), .C(n79591), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N421) );
+  sky130_fd_sc_hd__nor2_1 U97648 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmd[3]), 
+        .B(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmd[1]), 
+        .Y(n79594) );
+  sky130_fd_sc_hd__nand2_1 U97649 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmd[0]), 
+        .B(n79594), .Y(n79596) );
+  sky130_fd_sc_hd__o22ai_1 U97651 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[0]), 
+        .A2(n79621), .B1(n79598), .B2(n79597), .Y(n79599) );
+  sky130_fd_sc_hd__nor3_1 U97652 ( .A(n79616), .B(n79619), .C(n79599), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N407) );
+  sky130_fd_sc_hd__nand2_1 U97653 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[0]), 
+        .B(n79633), .Y(n79601) );
+  sky130_fd_sc_hd__a21oi_1 U97654 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[1]), 
+        .A2(n79601), .B1(n79600), .Y(n79606) );
+  sky130_fd_sc_hd__nor4b_1 U97655 ( .D_N(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmd[1]), 
+        .A(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmd[2]), 
+        .B(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmd[0]), 
+        .C(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmd[3]), 
+        .Y(n79624) );
+  sky130_fd_sc_hd__nor2_1 U97656 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmd[2]), 
+        .B(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmd[3]), 
+        .Y(n79602) );
+  sky130_fd_sc_hd__a2111oi_0 U97657 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmd[3]), 
+        .A2(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmd[2]), 
+        .B1(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmd[1]), 
+        .C1(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmd[0]), 
+        .D1(n79602), .Y(n79617) );
+  sky130_fd_sc_hd__nor2_1 U97658 ( .A(n79619), .B(n79603), .Y(n79604) );
+  sky130_fd_sc_hd__a21oi_1 U97661 ( .A1(n79612), .A2(n79633), .B1(n79607), .Y(
+        n79614) );
+  sky130_fd_sc_hd__o21ai_1 U97662 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[2]), 
+        .A2(n79608), .B1(n79614), .Y(n79611) );
+  sky130_fd_sc_hd__nor4b_1 U97663 ( .D_N(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmd[2]), 
+        .A(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmd[3]), 
+        .B(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmd[0]), 
+        .C(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmd[1]), 
+        .Y(n79609) );
+  sky130_fd_sc_hd__o21ai_1 U97664 ( .A1(n79624), .A2(n79609), .B1(n79616), .Y(
+        n79610) );
+  sky130_fd_sc_hd__a21oi_1 U97665 ( .A1(n79611), .A2(n79610), .B1(n79619), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N409) );
+  sky130_fd_sc_hd__nand3_1 U97666 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[1]), 
+        .B(n79620), .C(n79612), .Y(n79613) );
+  sky130_fd_sc_hd__a21bo_2 U97667 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitState[3]), 
+        .A2(n79614), .B1_N(n79613), .X(n79615) );
+  sky130_fd_sc_hd__a21oi_1 U97668 ( .A1(n79617), .A2(n79616), .B1(n79615), .Y(
+        n79618) );
+  sky130_fd_sc_hd__nor2_1 U97669 ( .A(n79619), .B(n79618), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N410) );
+  sky130_fd_sc_hd__a211o_1 U97670 ( .A1(n79622), .A2(n79621), .B1(n79620), 
+        .C1(n79619), .X(n79634) );
+  sky130_fd_sc_hd__nor2_1 U97671 ( .A(n79623), .B(n79634), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N411) );
+  sky130_fd_sc_hd__and3_1 U97672 ( .A(n36801), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_clkEn), .C(
+        n79624), .X(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N394) );
+  sky130_fd_sc_hd__a31oi_1 U97673 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_byteState[1]), 
+        .A2(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmdAck), 
+        .A3(n79626), .B1(n79625), .Y(n79627) );
+  sky130_fd_sc_hd__nor2_1 U97674 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_byteState[0]), 
+        .B(n79627), .Y(n79628) );
+  sky130_fd_sc_hd__mux2i_1 U97675 ( .A0(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__receivedData_T[8]), .A1(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__nextCmd_T[3]), 
+        .S(n79628), .Y(n79631) );
+  sky130_fd_sc_hd__a21oi_1 U97676 ( .A1(n79631), .A2(n79630), .B1(n79629), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N380) );
+  sky130_fd_sc_hd__nor2_1 U97677 ( .A(n79632), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_sdaChk), .Y(
+        n79635) );
+  sky130_fd_sc_hd__nor3_1 U97678 ( .A(n79635), .B(n79634), .C(n79633), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N375) );
+  sky130_fd_sc_hd__a21oi_1 U97679 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[2]), .A2(
+        n79638), .B1(n79637), .Y(n79639) );
+  sky130_fd_sc_hd__o22ai_1 U97680 ( .A1(n79639), .A2(n79657), .B1(n79663), 
+        .B2(n79662), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N355) );
+  sky130_fd_sc_hd__a21oi_1 U97681 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[3]), .A2(
+        n79641), .B1(n79640), .Y(n79642) );
+  sky130_fd_sc_hd__o22ai_1 U97682 ( .A1(n79642), .A2(n79657), .B1(n79664), 
+        .B2(n79662), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N356) );
+  sky130_fd_sc_hd__a21oi_1 U97683 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[5]), .A2(
+        n79644), .B1(n79643), .Y(n79645) );
+  sky130_fd_sc_hd__o22ai_1 U97684 ( .A1(n79645), .A2(n79657), .B1(n79673), 
+        .B2(n79662), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N358) );
+  sky130_fd_sc_hd__a21oi_1 U97685 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[7]), .A2(
+        n79647), .B1(n79646), .Y(n79648) );
+  sky130_fd_sc_hd__o22ai_1 U97686 ( .A1(n79648), .A2(n79657), .B1(n79681), 
+        .B2(n79662), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N360) );
+  sky130_fd_sc_hd__a21oi_1 U97687 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[9]), .A2(
+        n79650), .B1(n79649), .Y(n79651) );
+  sky130_fd_sc_hd__o22ai_1 U97688 ( .A1(n79651), .A2(n79657), .B1(n79689), 
+        .B2(n79662), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N362) );
+  sky130_fd_sc_hd__a21oi_1 U97689 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[11]), .A2(
+        n79653), .B1(n79652), .Y(n79654) );
+  sky130_fd_sc_hd__o22ai_1 U97690 ( .A1(n79654), .A2(n79657), .B1(n79697), 
+        .B2(n79662), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N364) );
+  sky130_fd_sc_hd__a21oi_1 U97691 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[13]), .A2(
+        n79656), .B1(n79655), .Y(n79658) );
+  sky130_fd_sc_hd__o22ai_1 U97692 ( .A1(n79658), .A2(n79657), .B1(n79705), 
+        .B2(n79662), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N366) );
+  sky130_fd_sc_hd__nand3_1 U97693 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_cnt[15]), .B(
+        n79660), .C(n79659), .Y(n79661) );
+  sky130_fd_sc_hd__nor3_1 U97695 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[0]), 
+        .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[2]), 
+        .C(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[1]), 
+        .Y(n79667) );
+  sky130_fd_sc_hd__nor2_1 U97696 ( .A(n79672), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[3]), 
+        .Y(n79671) );
+  sky130_fd_sc_hd__nor2_1 U97697 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[4]), 
+        .B(n79676), .Y(n79675) );
+  sky130_fd_sc_hd__nor2_1 U97698 ( .A(n79680), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[5]), 
+        .Y(n79679) );
+  sky130_fd_sc_hd__nor2_1 U97699 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[6]), 
+        .B(n79684), .Y(n79683) );
+  sky130_fd_sc_hd__nor2_1 U97700 ( .A(n79688), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[7]), 
+        .Y(n79687) );
+  sky130_fd_sc_hd__nor2_1 U97701 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[8]), 
+        .B(n79692), .Y(n79691) );
+  sky130_fd_sc_hd__nor2_1 U97702 ( .A(n79696), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[9]), 
+        .Y(n79695) );
+  sky130_fd_sc_hd__nor2_1 U97703 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[10]), 
+        .B(n79700), .Y(n79699) );
+  sky130_fd_sc_hd__nor2_1 U97704 ( .A(n79704), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[11]), 
+        .Y(n79703) );
+  sky130_fd_sc_hd__nor2_1 U97705 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[12]), 
+        .B(n79707), .Y(n79715) );
+  sky130_fd_sc_hd__nand2b_1 U97706 ( .A_N(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[13]), 
+        .B(n79715), .Y(n79716) );
+  sky130_fd_sc_hd__nand2_1 U97707 ( .A(n79711), .B(n79716), .Y(n79709) );
+  sky130_fd_sc_hd__o22ai_1 U97708 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[0]), 
+        .A2(n79709), .B1(n79663), .B2(n79712), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N316) );
+  sky130_fd_sc_hd__nor2_1 U97709 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[0]), 
+        .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[1]), 
+        .Y(n79666) );
+  sky130_fd_sc_hd__a21oi_1 U97710 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[1]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[0]), 
+        .B1(n79666), .Y(n79665) );
+  sky130_fd_sc_hd__o22ai_1 U97711 ( .A1(n79665), .A2(n79709), .B1(n79664), 
+        .B2(n79712), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N317) );
+  sky130_fd_sc_hd__clkinv_1 U97712 ( .A(n79666), .Y(n79668) );
+  sky130_fd_sc_hd__a21oi_1 U97713 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[2]), 
+        .A2(n79668), .B1(n79667), .Y(n79670) );
+  sky130_fd_sc_hd__o22ai_1 U97714 ( .A1(n79670), .A2(n79709), .B1(n79669), 
+        .B2(n79712), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N318) );
+  sky130_fd_sc_hd__a21oi_1 U97715 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[3]), 
+        .A2(n79672), .B1(n79671), .Y(n79674) );
+  sky130_fd_sc_hd__o22ai_1 U97716 ( .A1(n79674), .A2(n79709), .B1(n79673), 
+        .B2(n79712), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N319) );
+  sky130_fd_sc_hd__a21oi_1 U97717 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[4]), 
+        .A2(n79676), .B1(n79675), .Y(n79678) );
+  sky130_fd_sc_hd__o22ai_1 U97718 ( .A1(n79678), .A2(n79709), .B1(n79677), 
+        .B2(n79712), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N320) );
+  sky130_fd_sc_hd__a21oi_1 U97719 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[5]), 
+        .A2(n79680), .B1(n79679), .Y(n79682) );
+  sky130_fd_sc_hd__o22ai_1 U97720 ( .A1(n79682), .A2(n79709), .B1(n79681), 
+        .B2(n79712), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N321) );
+  sky130_fd_sc_hd__a21oi_1 U97721 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[6]), 
+        .A2(n79684), .B1(n79683), .Y(n79686) );
+  sky130_fd_sc_hd__o22ai_1 U97722 ( .A1(n79686), .A2(n79709), .B1(n79685), 
+        .B2(n79712), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N322) );
+  sky130_fd_sc_hd__a21oi_1 U97723 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[7]), 
+        .A2(n79688), .B1(n79687), .Y(n79690) );
+  sky130_fd_sc_hd__o22ai_1 U97724 ( .A1(n79690), .A2(n79709), .B1(n79689), 
+        .B2(n79712), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N323) );
+  sky130_fd_sc_hd__a21oi_1 U97725 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[8]), 
+        .A2(n79692), .B1(n79691), .Y(n79694) );
+  sky130_fd_sc_hd__o22ai_1 U97726 ( .A1(n79694), .A2(n79709), .B1(n79693), 
+        .B2(n79712), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N324) );
+  sky130_fd_sc_hd__a21oi_1 U97727 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[9]), 
+        .A2(n79696), .B1(n79695), .Y(n79698) );
+  sky130_fd_sc_hd__o22ai_1 U97728 ( .A1(n79698), .A2(n79709), .B1(n79697), 
+        .B2(n79712), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N325) );
+  sky130_fd_sc_hd__a21oi_1 U97729 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[10]), 
+        .A2(n79700), .B1(n79699), .Y(n79702) );
+  sky130_fd_sc_hd__o22ai_1 U97730 ( .A1(n79702), .A2(n79709), .B1(n79701), 
+        .B2(n79712), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N326) );
+  sky130_fd_sc_hd__a21oi_1 U97731 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[11]), 
+        .A2(n79704), .B1(n79703), .Y(n79706) );
+  sky130_fd_sc_hd__o22ai_1 U97732 ( .A1(n79706), .A2(n79709), .B1(n79705), 
+        .B2(n79712), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N327) );
+  sky130_fd_sc_hd__a21oi_1 U97733 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[12]), 
+        .A2(n79707), .B1(n79715), .Y(n79710) );
+  sky130_fd_sc_hd__o22ai_1 U97734 ( .A1(n79710), .A2(n79709), .B1(n79708), 
+        .B2(n79712), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N328) );
+  sky130_fd_sc_hd__nand2_1 U97735 ( .A(n79711), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_filterCnt[13]), 
+        .Y(n79714) );
+  sky130_fd_sc_hd__o22ai_1 U97736 ( .A1(n79715), .A2(n79714), .B1(n79713), 
+        .B2(n79712), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N329) );
+  sky130_fd_sc_hd__nand2_1 U97737 ( .A(n83116), .B(n79716), .Y(n36642) );
+  sky130_fd_sc_hd__nor2_1 U97738 ( .A(n81033), .B(n79717), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N247) );
+  sky130_fd_sc_hd__nor2_1 U97739 ( .A(n80046), .B(n79717), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N251) );
+  sky130_fd_sc_hd__nor2_1 U97740 ( .A(n81436), .B(n79717), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N252) );
+  sky130_fd_sc_hd__nor2_1 U97741 ( .A(n79995), .B(n79718), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N239) );
+  sky130_fd_sc_hd__nor2_1 U97742 ( .A(n81436), .B(n79718), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N241) );
+  sky130_fd_sc_hd__nand2_1 U97743 ( .A(n86402), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_shift), .Y(
+        n79724) );
+  sky130_fd_sc_hd__nor2_1 U97745 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCnt[1]), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCnt[0]), .Y(
+        n79720) );
+  sky130_fd_sc_hd__a21oi_1 U97746 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCnt[0]), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCnt[1]), .B1(
+        n79720), .Y(n79719) );
+  sky130_fd_sc_hd__o21ai_1 U97747 ( .A1(n79719), .A2(n79724), .B1(n79723), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N437) );
+  sky130_fd_sc_hd__clkinv_1 U97748 ( .A(n79720), .Y(n79722) );
+  sky130_fd_sc_hd__a21oi_1 U97749 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCnt[2]), .A2(
+        n79722), .B1(n79721), .Y(n79725) );
+  sky130_fd_sc_hd__nand3_1 U97751 ( .A(n79785), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmdAck), .C(
+        n79786), .Y(n79790) );
+  sky130_fd_sc_hd__a21oi_1 U97753 ( .A1(n79728), .A2(n79790), .B1(n79727), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N431) );
+  sky130_fd_sc_hd__nor2_1 U97754 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_byteState[0]), 
+        .B(n79729), .Y(n79730) );
+  sky130_fd_sc_hd__nor4b_1 U97755 ( .D_N(n79785), .A(n79730), .B(n79789), .C(
+        n79731), .Y(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N426) );
+  sky130_fd_sc_hd__nor3_1 U97756 ( .A(n79733), .B(n79732), .C(n79731), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N421) );
+  sky130_fd_sc_hd__a22o_1 U97757 ( .A1(n79736), .A2(n79735), .B1(n79734), .B2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmdAck), .X(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N416) );
+  sky130_fd_sc_hd__nor2_1 U97758 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmd[3]), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmd[1]), .Y(
+        n79751) );
+  sky130_fd_sc_hd__nand4_1 U97759 ( .A(n79766), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmd[0]), .C(
+        n79751), .D(n79750), .Y(n79745) );
+  sky130_fd_sc_hd__o21ai_1 U97760 ( .A1(n79759), .A2(n79758), .B1(n79737), .Y(
+        n79776) );
+  sky130_fd_sc_hd__nand2_1 U97761 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[0]), 
+        .B(n79793), .Y(n79779) );
+  sky130_fd_sc_hd__o22ai_1 U97762 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[0]), 
+        .A2(n79738), .B1(n79779), .B2(n79746), .Y(n79743) );
+  sky130_fd_sc_hd__nand2_1 U97763 ( .A(n79740), .B(n79739), .Y(n79742) );
+  sky130_fd_sc_hd__nand2_1 U97764 ( .A(n79741), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[0]), 
+        .Y(n79748) );
+  sky130_fd_sc_hd__o211ai_1 U97765 ( .A1(n79776), .A2(n79743), .B1(n79742), 
+        .C1(n79748), .Y(n79744) );
+  sky130_fd_sc_hd__a21oi_1 U97766 ( .A1(n79745), .A2(n79744), .B1(n79775), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N407) );
+  sky130_fd_sc_hd__a31oi_1 U97767 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[1]), 
+        .A2(n79778), .A3(n79748), .B1(n79747), .Y(n79754) );
+  sky130_fd_sc_hd__nor2_1 U97768 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmd[2]), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmd[0]), .Y(
+        n79749) );
+  sky130_fd_sc_hd__nand3_1 U97769 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmd[1]), .B(
+        n79749), .C(n79752), .Y(n79783) );
+  sky130_fd_sc_hd__nor3b_1 U97770 ( .C_N(n79751), .A(n79750), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmd[0]), .Y(
+        n79767) );
+  sky130_fd_sc_hd__nor2b_1 U97771 ( .B_N(n79783), .A(n79767), .Y(n79765) );
+  sky130_fd_sc_hd__nor4_1 U97772 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmd[2]), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmd[0]), .C(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmd[1]), .D(
+        n79752), .Y(n79768) );
+  sky130_fd_sc_hd__nor2b_1 U97773 ( .B_N(n79765), .A(n79768), .Y(n79753) );
+  sky130_fd_sc_hd__nand2b_1 U97774 ( .A_N(n79775), .B(n79766), .Y(n79764) );
+  sky130_fd_sc_hd__o22ai_1 U97775 ( .A1(n79754), .A2(n79775), .B1(n79753), 
+        .B2(n79764), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N408) );
+  sky130_fd_sc_hd__nand2_1 U97776 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[1]), 
+        .B(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[0]), 
+        .Y(n79757) );
+  sky130_fd_sc_hd__nor2_1 U97778 ( .A(n79759), .B(n79758), .Y(n79760) );
+  sky130_fd_sc_hd__a22oi_1 U97779 ( .A1(n79762), .A2(n79769), .B1(n79761), 
+        .B2(n79760), .Y(n79763) );
+  sky130_fd_sc_hd__o22ai_1 U97780 ( .A1(n79765), .A2(n79764), .B1(n79775), 
+        .B2(n79763), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N409) );
+  sky130_fd_sc_hd__o21ai_1 U97781 ( .A1(n79768), .A2(n79767), .B1(n79766), .Y(
+        n79773) );
+  sky130_fd_sc_hd__o211ai_1 U97782 ( .A1(n79771), .A2(n79770), .B1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[3]), 
+        .C1(n79769), .Y(n79772) );
+  sky130_fd_sc_hd__a31oi_1 U97783 ( .A1(n79774), .A2(n79773), .A3(n79772), 
+        .B1(n79775), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N410) );
+  sky130_fd_sc_hd__nor2_1 U97784 ( .A(n79776), .B(n79775), .Y(n79777) );
+  sky130_fd_sc_hd__a21oi_1 U97786 ( .A1(n79781), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitState[4]), 
+        .B1(n79780), .Y(n79782) );
+  sky130_fd_sc_hd__nor2_1 U97787 ( .A(n79796), .B(n79782), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N411) );
+  sky130_fd_sc_hd__nor3_1 U97788 ( .A(n74033), .B(n83820), .C(n79783), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N394) );
+  sky130_fd_sc_hd__nor2_1 U97789 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_byteState[2]), 
+        .B(n79784), .Y(n79787) );
+  sky130_fd_sc_hd__a32oi_1 U97790 ( .A1(n79787), .A2(n79786), .A3(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCmdAck), .B1(
+        n79785), .B2(n79786), .Y(n79788) );
+  sky130_fd_sc_hd__mux2i_1 U97791 ( .A0(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__nextCmd_T[3]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__receivedData_T[8]), .S(n79788), .Y(n79791) );
+  sky130_fd_sc_hd__a21oi_1 U97792 ( .A1(n79791), .A2(n79790), .B1(n79789), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N380) );
+  sky130_fd_sc_hd__o22ai_1 U97793 ( .A1(n79794), .A2(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_sdaChk), .B1(
+        n79793), .B2(n79792), .Y(n79795) );
+  sky130_fd_sc_hd__nor2_1 U97794 ( .A(n79796), .B(n79795), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N375) );
+  sky130_fd_sc_hd__a21oi_1 U97795 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[2]), .A2(
+        n79799), .B1(n79798), .Y(n79800) );
+  sky130_fd_sc_hd__o22ai_1 U97796 ( .A1(n79800), .A2(n79818), .B1(n79824), 
+        .B2(n79823), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N355) );
+  sky130_fd_sc_hd__a21oi_1 U97797 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[3]), .A2(
+        n79802), .B1(n79801), .Y(n79803) );
+  sky130_fd_sc_hd__o22ai_1 U97798 ( .A1(n79803), .A2(n79818), .B1(n79825), 
+        .B2(n79823), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N356) );
+  sky130_fd_sc_hd__a21oi_1 U97799 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[5]), .A2(
+        n79805), .B1(n79804), .Y(n79806) );
+  sky130_fd_sc_hd__o22ai_1 U97800 ( .A1(n79806), .A2(n79818), .B1(n79834), 
+        .B2(n79823), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N358) );
+  sky130_fd_sc_hd__a21oi_1 U97801 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[7]), .A2(
+        n79808), .B1(n79807), .Y(n79809) );
+  sky130_fd_sc_hd__o22ai_1 U97802 ( .A1(n79809), .A2(n79818), .B1(n79842), 
+        .B2(n79823), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N360) );
+  sky130_fd_sc_hd__a21oi_1 U97803 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[9]), .A2(
+        n79811), .B1(n79810), .Y(n79812) );
+  sky130_fd_sc_hd__o22ai_1 U97804 ( .A1(n79812), .A2(n79818), .B1(n79850), 
+        .B2(n79823), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N362) );
+  sky130_fd_sc_hd__a21oi_1 U97805 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[11]), .A2(
+        n79814), .B1(n79813), .Y(n79815) );
+  sky130_fd_sc_hd__o22ai_1 U97806 ( .A1(n79815), .A2(n79818), .B1(n79858), 
+        .B2(n79823), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N364) );
+  sky130_fd_sc_hd__a21oi_1 U97807 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[13]), .A2(
+        n79817), .B1(n79816), .Y(n79819) );
+  sky130_fd_sc_hd__o22ai_1 U97808 ( .A1(n79819), .A2(n79818), .B1(n79866), 
+        .B2(n79823), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N366) );
+  sky130_fd_sc_hd__nand3_1 U97809 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[15]), .B(
+        n79821), .C(n79820), .Y(n79822) );
+  sky130_fd_sc_hd__nor3_1 U97811 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[0]), 
+        .B(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[2]), 
+        .C(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[1]), 
+        .Y(n79828) );
+  sky130_fd_sc_hd__nor2_1 U97812 ( .A(n79833), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[3]), 
+        .Y(n79832) );
+  sky130_fd_sc_hd__nor2_1 U97813 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[4]), 
+        .B(n79837), .Y(n79836) );
+  sky130_fd_sc_hd__nor2_1 U97814 ( .A(n79841), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[5]), 
+        .Y(n79840) );
+  sky130_fd_sc_hd__nor2_1 U97815 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[6]), 
+        .B(n79845), .Y(n79844) );
+  sky130_fd_sc_hd__nor2_1 U97816 ( .A(n79849), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[7]), 
+        .Y(n79848) );
+  sky130_fd_sc_hd__nor2_1 U97817 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[8]), 
+        .B(n79853), .Y(n79852) );
+  sky130_fd_sc_hd__nor2_1 U97818 ( .A(n79857), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[9]), 
+        .Y(n79856) );
+  sky130_fd_sc_hd__nor2_1 U97819 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[10]), 
+        .B(n79861), .Y(n79860) );
+  sky130_fd_sc_hd__nor2_1 U97820 ( .A(n79865), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[11]), 
+        .Y(n79864) );
+  sky130_fd_sc_hd__nor2_1 U97821 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[12]), 
+        .B(n79868), .Y(n79875) );
+  sky130_fd_sc_hd__nand2b_1 U97822 ( .A_N(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[13]), 
+        .B(n79875), .Y(n79876) );
+  sky130_fd_sc_hd__nand3_1 U97823 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_out_prepend_1[7]), .B(n86753), .C(n79876), .Y(n79870) );
+  sky130_fd_sc_hd__nand3b_1 U97824 ( .A_N(n79876), .B(n86753), .C(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_out_prepend_1[7]), .Y(n79872) );
+  sky130_fd_sc_hd__o22ai_1 U97825 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[0]), 
+        .A2(n79870), .B1(n79824), .B2(n79872), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N316) );
+  sky130_fd_sc_hd__nor2_1 U97826 ( .A(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[0]), 
+        .B(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[1]), 
+        .Y(n79827) );
+  sky130_fd_sc_hd__a21oi_1 U97827 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[1]), 
+        .A2(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[0]), .B1(n79827), .Y(n79826) );
+  sky130_fd_sc_hd__o22ai_1 U97828 ( .A1(n79826), .A2(n79870), .B1(n79825), 
+        .B2(n79872), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N317) );
+  sky130_fd_sc_hd__a21oi_1 U97829 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[2]), 
+        .A2(n79829), .B1(n79828), .Y(n79831) );
+  sky130_fd_sc_hd__o22ai_1 U97830 ( .A1(n79831), .A2(n79870), .B1(n79830), 
+        .B2(n79872), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N318) );
+  sky130_fd_sc_hd__a21oi_1 U97831 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[3]), 
+        .A2(n79833), .B1(n79832), .Y(n79835) );
+  sky130_fd_sc_hd__o22ai_1 U97832 ( .A1(n79835), .A2(n79870), .B1(n79834), 
+        .B2(n79872), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N319) );
+  sky130_fd_sc_hd__a21oi_1 U97833 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[4]), 
+        .A2(n79837), .B1(n79836), .Y(n79839) );
+  sky130_fd_sc_hd__o22ai_1 U97834 ( .A1(n79839), .A2(n79870), .B1(n79838), 
+        .B2(n79872), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N320) );
+  sky130_fd_sc_hd__a21oi_1 U97835 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[5]), 
+        .A2(n79841), .B1(n79840), .Y(n79843) );
+  sky130_fd_sc_hd__o22ai_1 U97836 ( .A1(n79843), .A2(n79870), .B1(n79842), 
+        .B2(n79872), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N321) );
+  sky130_fd_sc_hd__a21oi_1 U97837 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[6]), 
+        .A2(n79845), .B1(n79844), .Y(n79847) );
+  sky130_fd_sc_hd__o22ai_1 U97838 ( .A1(n79847), .A2(n79870), .B1(n79846), 
+        .B2(n79872), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N322) );
+  sky130_fd_sc_hd__a21oi_1 U97839 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[7]), 
+        .A2(n79849), .B1(n79848), .Y(n79851) );
+  sky130_fd_sc_hd__o22ai_1 U97840 ( .A1(n79851), .A2(n79870), .B1(n79850), 
+        .B2(n79872), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N323) );
+  sky130_fd_sc_hd__a21oi_1 U97841 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[8]), 
+        .A2(n79853), .B1(n79852), .Y(n79855) );
+  sky130_fd_sc_hd__o22ai_1 U97842 ( .A1(n79855), .A2(n79870), .B1(n79854), 
+        .B2(n79872), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N324) );
+  sky130_fd_sc_hd__a21oi_1 U97843 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[9]), 
+        .A2(n79857), .B1(n79856), .Y(n79859) );
+  sky130_fd_sc_hd__o22ai_1 U97844 ( .A1(n79859), .A2(n79870), .B1(n79858), 
+        .B2(n79872), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N325) );
+  sky130_fd_sc_hd__a21oi_1 U97845 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[10]), 
+        .A2(n79861), .B1(n79860), .Y(n79863) );
+  sky130_fd_sc_hd__o22ai_1 U97846 ( .A1(n79863), .A2(n79870), .B1(n79862), 
+        .B2(n79872), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N326) );
+  sky130_fd_sc_hd__a21oi_1 U97847 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[11]), 
+        .A2(n79865), .B1(n79864), .Y(n79867) );
+  sky130_fd_sc_hd__o22ai_1 U97848 ( .A1(n79867), .A2(n79870), .B1(n79866), 
+        .B2(n79872), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N327) );
+  sky130_fd_sc_hd__a21oi_1 U97849 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[12]), 
+        .A2(n79868), .B1(n79875), .Y(n79871) );
+  sky130_fd_sc_hd__o22ai_1 U97850 ( .A1(n79871), .A2(n79870), .B1(n79869), 
+        .B2(n79872), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N328) );
+  sky130_fd_sc_hd__nand3_1 U97851 ( .A(n79910), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_out_prepend_1[7]), .C(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_filterCnt[13]), .Y(
+        n79874) );
+  sky130_fd_sc_hd__o22ai_1 U97852 ( .A1(n79875), .A2(n79874), .B1(n79873), 
+        .B2(n79872), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N329) );
+  sky130_fd_sc_hd__nand2_1 U97853 ( .A(n37040), .B(n79876), .Y(n36637) );
+  sky130_fd_sc_hd__nor2_1 U97854 ( .A(n79877), .B(n79995), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N250) );
+  sky130_fd_sc_hd__nor2_1 U97855 ( .A(n79995), .B(n79878), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N239) );
+  sky130_fd_sc_hd__nor2_1 U97856 ( .A(n80046), .B(n79878), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N240) );
+  sky130_fd_sc_hd__nor2_1 U97857 ( .A(n81355), .B(n79879), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N641) );
+  sky130_fd_sc_hd__nor2_1 U97858 ( .A(n81349), .B(n79879), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N642) );
+  sky130_fd_sc_hd__nor2_1 U97859 ( .A(n81040), .B(n79879), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N643) );
+  sky130_fd_sc_hd__nor2_1 U97860 ( .A(n81359), .B(n79879), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N651) );
+  sky130_fd_sc_hd__nor2_1 U97861 ( .A(n81360), .B(n79879), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N653) );
+  sky130_fd_sc_hd__nor2_1 U97862 ( .A(n81362), .B(n79879), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N654) );
+  sky130_fd_sc_hd__nor2_1 U97863 ( .A(n81355), .B(n79880), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N606) );
+  sky130_fd_sc_hd__nor2_1 U97864 ( .A(n81349), .B(n79880), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N607) );
+  sky130_fd_sc_hd__nor2_1 U97865 ( .A(n81040), .B(n79880), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N608) );
+  sky130_fd_sc_hd__nor2_1 U97866 ( .A(n81359), .B(n79880), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N616) );
+  sky130_fd_sc_hd__nor2_1 U97867 ( .A(n81362), .B(n79880), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N619) );
+  sky130_fd_sc_hd__nor2_1 U97868 ( .A(n81355), .B(n79881), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N571) );
+  sky130_fd_sc_hd__nor2_1 U97869 ( .A(n81349), .B(n79881), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N572) );
+  sky130_fd_sc_hd__nor2_1 U97870 ( .A(n81040), .B(n79881), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N573) );
+  sky130_fd_sc_hd__nor2_1 U97871 ( .A(n81360), .B(n79881), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N583) );
+  sky130_fd_sc_hd__nor2_1 U97872 ( .A(n81362), .B(n79881), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N584) );
+  sky130_fd_sc_hd__nor2_1 U97873 ( .A(n81355), .B(n79882), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N536) );
+  sky130_fd_sc_hd__nor2_1 U97874 ( .A(n81349), .B(n79882), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N537) );
+  sky130_fd_sc_hd__nor2_1 U97875 ( .A(n81040), .B(n79882), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N538) );
+  sky130_fd_sc_hd__nor2_1 U97876 ( .A(n81357), .B(n79882), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N539) );
+  sky130_fd_sc_hd__nor2_1 U97877 ( .A(n81359), .B(n79882), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N546) );
+  sky130_fd_sc_hd__nor2_1 U97878 ( .A(n81360), .B(n79882), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N548) );
+  sky130_fd_sc_hd__nor2_1 U97879 ( .A(n81362), .B(n79882), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N549) );
+  sky130_fd_sc_hd__nand2_1 U97880 ( .A(n86752), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[0]), 
+        .Y(n80045) );
+  sky130_fd_sc_hd__o21ai_0 U97881 ( .A1(n81033), .A2(n73517), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[0]), 
+        .Y(n79883) );
+  sky130_fd_sc_hd__o21ai_1 U97882 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_0), 
+        .A2(n80045), .B1(n79883), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N501) );
+  sky130_fd_sc_hd__o21ai_0 U97883 ( .A1(n79995), .A2(n73517), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[3]), 
+        .Y(n79884) );
+  sky130_fd_sc_hd__o21ai_1 U97884 ( .A1(
+        MarmotCaravelChip_dut_sys_iof_0_iof_0_3_i_ival), .A2(n79885), .B1(
+        n79884), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N504) );
+  sky130_fd_sc_hd__nand2_1 U97885 ( .A(n36846), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[4]), 
+        .Y(n80047) );
+  sky130_fd_sc_hd__o21ai_0 U97886 ( .A1(n80046), .A2(n73517), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[4]), 
+        .Y(n79886) );
+  sky130_fd_sc_hd__o21ai_1 U97887 ( .A1(
+        MarmotCaravelChip_dut_sys_iof_0_iof_0_4_i_ival), .A2(n80047), .B1(
+        n79886), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N505) );
+  sky130_fd_sc_hd__nand2_1 U97888 ( .A(n36801), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[5]), 
+        .Y(n80050) );
+  sky130_fd_sc_hd__o21ai_0 U97889 ( .A1(n81436), .A2(n73517), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[5]), 
+        .Y(n79887) );
+  sky130_fd_sc_hd__o21ai_1 U97890 ( .A1(
+        MarmotCaravelChip_dut_sys_iof_0_iof_0_5_i_ival), .A2(n80050), .B1(
+        n79887), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N506) );
+  sky130_fd_sc_hd__o21ai_0 U97891 ( .A1(n81191), .A2(n73517), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[6]), 
+        .Y(n79888) );
+  sky130_fd_sc_hd__o21ai_1 U97892 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_6), 
+        .A2(n79889), .B1(n79888), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N507) );
+  sky130_fd_sc_hd__o21ai_0 U97893 ( .A1(n79935), .A2(n73517), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[7]), 
+        .Y(n79890) );
+  sky130_fd_sc_hd__o21ai_1 U97894 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_7), 
+        .A2(n79891), .B1(n79890), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N508) );
+  sky130_fd_sc_hd__nand2_1 U97895 ( .A(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[8]), 
+        .Y(n80052) );
+  sky130_fd_sc_hd__o21ai_0 U97896 ( .A1(n81437), .A2(n73517), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[8]), 
+        .Y(n79892) );
+  sky130_fd_sc_hd__o21ai_1 U97897 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_8), 
+        .A2(n80052), .B1(n79892), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N509) );
+  sky130_fd_sc_hd__nand2_1 U97898 ( .A(n86752), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[10]), 
+        .Y(n80057) );
+  sky130_fd_sc_hd__nor2_1 U97899 ( .A(n83631), .B(n85832), .Y(n80056) );
+  sky130_fd_sc_hd__o21ai_0 U97900 ( .A1(n73517), .A2(n80056), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[10]), 
+        .Y(n79893) );
+  sky130_fd_sc_hd__o21ai_1 U97901 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_10), 
+        .A2(n80057), .B1(n79893), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N511) );
+  sky130_fd_sc_hd__o21ai_0 U97902 ( .A1(n73517), .A2(n80008), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[11]), 
+        .Y(n79894) );
+  sky130_fd_sc_hd__o21ai_1 U97903 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_11), 
+        .A2(n79895), .B1(n79894), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N512) );
+  sky130_fd_sc_hd__nand2_1 U97904 ( .A(n79910), .B(n80120), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N333) );
+  sky130_fd_sc_hd__nand2_1 U97905 ( .A(n37040), .B(n80121), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N330) );
+  sky130_fd_sc_hd__o21ai_0 U97906 ( .A1(n73517), .A2(n79948), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[13]), 
+        .Y(n79896) );
+  sky130_fd_sc_hd__nand2_1 U97908 ( .A(n37037), .B(n80122), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N333) );
+  sky130_fd_sc_hd__o21ai_0 U97909 ( .A1(n73517), .A2(n79951), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[14]), 
+        .Y(n79898) );
+  sky130_fd_sc_hd__nand2_1 U97911 ( .A(n36801), .B(n80123), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N330) );
+  sky130_fd_sc_hd__nand2_1 U97912 ( .A(n49230), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[18]), 
+        .Y(n80068) );
+  sky130_fd_sc_hd__nor2_1 U97913 ( .A(n36802), .B(n85833), .Y(n80067) );
+  sky130_fd_sc_hd__o21ai_0 U97914 ( .A1(n73517), .A2(n80067), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[18]), 
+        .Y(n79900) );
+  sky130_fd_sc_hd__o21ai_1 U97915 ( .A1(
+        MarmotCaravelChip_dut_sys_iof_0_iof_0_18_i_ival), .A2(n80068), .B1(
+        n79900), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N519) );
+  sky130_fd_sc_hd__nand2_1 U97916 ( .A(n37039), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[19]), 
+        .Y(n80070) );
+  sky130_fd_sc_hd__o21ai_0 U97917 ( .A1(n73517), .A2(n80425), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[19]), 
+        .Y(n79901) );
+  sky130_fd_sc_hd__o21ai_1 U97918 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_19), 
+        .A2(n80070), .B1(n79901), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N520) );
+  sky130_fd_sc_hd__nand2_1 U97919 ( .A(n83116), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[20]), 
+        .Y(n80073) );
+  sky130_fd_sc_hd__o21ai_0 U97920 ( .A1(n73517), .A2(n80072), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[20]), 
+        .Y(n79902) );
+  sky130_fd_sc_hd__o21ai_1 U97921 ( .A1(
+        MarmotCaravelChip_dut_sys_iof_0_iof_0_20_i_ival), .A2(n80073), .B1(
+        n79902), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N521) );
+  sky130_fd_sc_hd__nand2_1 U97922 ( .A(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[21]), 
+        .Y(n80076) );
+  sky130_fd_sc_hd__o21ai_0 U97923 ( .A1(n73517), .A2(n80075), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[21]), 
+        .Y(n79903) );
+  sky130_fd_sc_hd__o21ai_1 U97924 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg_21), 
+        .A2(n80076), .B1(n79903), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N522) );
+  sky130_fd_sc_hd__nand2_1 U97925 ( .A(n49230), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[22]), 
+        .Y(n80079) );
+  sky130_fd_sc_hd__o21ai_0 U97926 ( .A1(n73517), .A2(n80078), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[22]), 
+        .Y(n79904) );
+  sky130_fd_sc_hd__o21ai_1 U97927 ( .A1(
+        MarmotCaravelChip_dut_sys_iof_0_iof_0_22_i_ival), .A2(n80079), .B1(
+        n79904), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N523) );
+  sky130_fd_sc_hd__nand2_1 U97928 ( .A(n86753), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[23]), 
+        .Y(n80082) );
+  sky130_fd_sc_hd__o21ai_0 U97929 ( .A1(n73517), .A2(n80081), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[23]), 
+        .Y(n79905) );
+  sky130_fd_sc_hd__o21ai_1 U97930 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg[23]), 
+        .A2(n80082), .B1(n79905), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N524) );
+  sky130_fd_sc_hd__nand2_1 U97931 ( .A(n86753), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[24]), 
+        .Y(n80085) );
+  sky130_fd_sc_hd__nor2_1 U97932 ( .A(n36848), .B(n85834), .Y(n80084) );
+  sky130_fd_sc_hd__o21ai_0 U97933 ( .A1(n73517), .A2(n80084), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[24]), 
+        .Y(n79906) );
+  sky130_fd_sc_hd__o21ai_1 U97934 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg[24]), 
+        .A2(n80085), .B1(n79906), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N525) );
+  sky130_fd_sc_hd__nand2_1 U97935 ( .A(n36801), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[25]), 
+        .Y(n80088) );
+  sky130_fd_sc_hd__nor2_1 U97936 ( .A(n37041), .B(n85835), .Y(n80087) );
+  sky130_fd_sc_hd__o21ai_0 U97937 ( .A1(n73517), .A2(n80087), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[25]), 
+        .Y(n79907) );
+  sky130_fd_sc_hd__o21ai_1 U97938 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg[25]), 
+        .A2(n80088), .B1(n79907), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N526) );
+  sky130_fd_sc_hd__nand2_1 U97939 ( .A(n36846), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[26]), 
+        .Y(n80091) );
+  sky130_fd_sc_hd__nor2_1 U97940 ( .A(n36848), .B(n85836), .Y(n80090) );
+  sky130_fd_sc_hd__o21ai_0 U97941 ( .A1(n73517), .A2(n80090), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[26]), 
+        .Y(n79908) );
+  sky130_fd_sc_hd__nand2_1 U97943 ( .A(n86753), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[27]), 
+        .Y(n80094) );
+  sky130_fd_sc_hd__nor2_1 U97944 ( .A(n36802), .B(n85837), .Y(n80093) );
+  sky130_fd_sc_hd__o21ai_0 U97945 ( .A1(n73517), .A2(n80093), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[27]), 
+        .Y(n79909) );
+  sky130_fd_sc_hd__o21ai_1 U97946 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg[27]), 
+        .A2(n80094), .B1(n79909), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N528) );
+  sky130_fd_sc_hd__nand2_1 U97947 ( .A(n86753), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[28]), 
+        .Y(n80097) );
+  sky130_fd_sc_hd__o21ai_0 U97948 ( .A1(n73517), .A2(n80096), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[28]), 
+        .Y(n79912) );
+  sky130_fd_sc_hd__o21ai_1 U97949 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg[28]), 
+        .A2(n80097), .B1(n79912), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N529) );
+  sky130_fd_sc_hd__nand2_1 U97950 ( .A(n36801), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[29]), 
+        .Y(n80100) );
+  sky130_fd_sc_hd__nor2_1 U97951 ( .A(n60015), .B(n79913), .Y(n80099) );
+  sky130_fd_sc_hd__o21ai_0 U97952 ( .A1(n73517), .A2(n80099), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[29]), 
+        .Y(n79914) );
+  sky130_fd_sc_hd__nand2_1 U97954 ( .A(n86753), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[30]), 
+        .Y(n80103) );
+  sky130_fd_sc_hd__nor2_1 U97955 ( .A(n36848), .B(n79915), .Y(n80102) );
+  sky130_fd_sc_hd__o21ai_0 U97956 ( .A1(n73517), .A2(n80102), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[30]), 
+        .Y(n79916) );
+  sky130_fd_sc_hd__o21ai_1 U97957 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg[30]), 
+        .A2(n80103), .B1(n79916), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N531) );
+  sky130_fd_sc_hd__nand2_1 U97958 ( .A(n36801), .B(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_valueReg[31]), 
+        .Y(n80106) );
+  sky130_fd_sc_hd__nor2_1 U97959 ( .A(n36848), .B(n79917), .Y(n80105) );
+  sky130_fd_sc_hd__o21ai_0 U97960 ( .A1(n73517), .A2(n80105), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_fallIpReg[31]), 
+        .Y(n79918) );
+  sky130_fd_sc_hd__o21ai_1 U97961 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg[31]), 
+        .A2(n80106), .B1(n79918), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N532) );
+  sky130_fd_sc_hd__nand2_1 U97962 ( .A(n37040), .B(n79919), .Y(n79990) );
+  sky130_fd_sc_hd__o21ai_0 U97963 ( .A1(n81033), .A2(n79977), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[0]), 
+        .Y(n79922) );
+  sky130_fd_sc_hd__o21ai_1 U97964 ( .A1(n80112), .A2(n79990), .B1(n79922), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N468) );
+  sky130_fd_sc_hd__nand2_1 U97965 ( .A(n86753), .B(n79923), .Y(n79991) );
+  sky130_fd_sc_hd__o21ai_0 U97966 ( .A1(n81035), .A2(n79977), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[1]), 
+        .Y(n79924) );
+  sky130_fd_sc_hd__o21ai_1 U97967 ( .A1(n80113), .A2(n79991), .B1(n79924), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N469) );
+  sky130_fd_sc_hd__nand2_1 U97968 ( .A(n36801), .B(n79925), .Y(n79993) );
+  sky130_fd_sc_hd__o21ai_0 U97969 ( .A1(n81356), .A2(n79977), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[2]), 
+        .Y(n79926) );
+  sky130_fd_sc_hd__o21ai_1 U97970 ( .A1(n80188), .A2(n79993), .B1(n79926), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N470) );
+  sky130_fd_sc_hd__nand2_1 U97971 ( .A(n36801), .B(n79927), .Y(n79996) );
+  sky130_fd_sc_hd__o21ai_0 U97972 ( .A1(n79995), .A2(n79977), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[3]), 
+        .Y(n79928) );
+  sky130_fd_sc_hd__o21ai_1 U97973 ( .A1(n80198), .A2(n79996), .B1(n79928), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N471) );
+  sky130_fd_sc_hd__nand2_1 U97974 ( .A(n36801), .B(n79929), .Y(n79998) );
+  sky130_fd_sc_hd__o21ai_0 U97975 ( .A1(n80046), .A2(n79977), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[4]), 
+        .Y(n79930) );
+  sky130_fd_sc_hd__nor2_1 U97977 ( .A(n36848), .B(n80209), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N230) );
+  sky130_fd_sc_hd__nand2_1 U97978 ( .A(n83116), .B(n79931), .Y(n80000) );
+  sky130_fd_sc_hd__o21ai_0 U97979 ( .A1(n81436), .A2(n79977), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[5]), 
+        .Y(n79932) );
+  sky130_fd_sc_hd__o21ai_1 U97980 ( .A1(n80218), .A2(n80000), .B1(n79932), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N473) );
+  sky130_fd_sc_hd__nor2_1 U97981 ( .A(n74033), .B(n80218), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N231) );
+  sky130_fd_sc_hd__o21ai_0 U97982 ( .A1(n81191), .A2(n79977), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[6]), 
+        .Y(n79933) );
+  sky130_fd_sc_hd__o21ai_1 U97983 ( .A1(n80114), .A2(n79934), .B1(n79933), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N474) );
+  sky130_fd_sc_hd__o21ai_0 U97984 ( .A1(n79935), .A2(n79977), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[7]), 
+        .Y(n79936) );
+  sky130_fd_sc_hd__nand2_1 U97986 ( .A(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .B(
+        n79938), .Y(n80002) );
+  sky130_fd_sc_hd__o21ai_0 U97987 ( .A1(n81437), .A2(n79977), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[8]), 
+        .Y(n79939) );
+  sky130_fd_sc_hd__nand2_1 U97989 ( .A(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .B(
+        n79940), .Y(n80004) );
+  sky130_fd_sc_hd__o21ai_0 U97990 ( .A1(n81438), .A2(n79977), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[9]), 
+        .Y(n79941) );
+  sky130_fd_sc_hd__o21ai_1 U97991 ( .A1(n80117), .A2(n80004), .B1(n79941), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N477) );
+  sky130_fd_sc_hd__nand2_1 U97992 ( .A(n86753), .B(n79942), .Y(n80006) );
+  sky130_fd_sc_hd__o21ai_0 U97993 ( .A1(n80056), .A2(n79977), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[10]), 
+        .Y(n79943) );
+  sky130_fd_sc_hd__nand2_1 U97995 ( .A(n49230), .B(n79944), .Y(n80009) );
+  sky130_fd_sc_hd__o21ai_0 U97996 ( .A1(n80008), .A2(n79977), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[11]), 
+        .Y(n79945) );
+  sky130_fd_sc_hd__nand2_1 U97998 ( .A(n36801), .B(n79946), .Y(n80011) );
+  sky130_fd_sc_hd__o21ai_0 U97999 ( .A1(n80059), .A2(n79977), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[12]), 
+        .Y(n79947) );
+  sky130_fd_sc_hd__o21ai_1 U98000 ( .A1(n80120), .A2(n80011), .B1(n79947), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N480) );
+  sky130_fd_sc_hd__o21ai_0 U98001 ( .A1(n79948), .A2(n79977), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[13]), 
+        .Y(n79949) );
+  sky130_fd_sc_hd__o21ai_1 U98002 ( .A1(n80121), .A2(n79950), .B1(n79949), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N481) );
+  sky130_fd_sc_hd__o21ai_0 U98003 ( .A1(n79951), .A2(n79977), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[14]), 
+        .Y(n79952) );
+  sky130_fd_sc_hd__o21ai_1 U98004 ( .A1(n80122), .A2(n79953), .B1(n79952), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N482) );
+  sky130_fd_sc_hd__o21ai_0 U98005 ( .A1(n80062), .A2(n79977), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[15]), 
+        .Y(n79954) );
+  sky130_fd_sc_hd__o21ai_1 U98006 ( .A1(n80123), .A2(n79955), .B1(n79954), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N483) );
+  sky130_fd_sc_hd__o21ai_0 U98007 ( .A1(n80729), .A2(n79977), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[16]), 
+        .Y(n79956) );
+  sky130_fd_sc_hd__o21ai_1 U98008 ( .A1(n81291), .A2(n79957), .B1(n79956), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N484) );
+  sky130_fd_sc_hd__nor2_1 U98009 ( .A(n36802), .B(n81291), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N242) );
+  sky130_fd_sc_hd__nand2_1 U98010 ( .A(n37037), .B(n79958), .Y(n80013) );
+  sky130_fd_sc_hd__o21ai_0 U98011 ( .A1(n81019), .A2(n79977), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[17]), 
+        .Y(n79959) );
+  sky130_fd_sc_hd__nand2_1 U98013 ( .A(n86753), .B(n79960), .Y(n80015) );
+  sky130_fd_sc_hd__o21ai_0 U98014 ( .A1(n80067), .A2(n79977), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[18]), 
+        .Y(n79961) );
+  sky130_fd_sc_hd__o21ai_1 U98015 ( .A1(n81212), .A2(n80015), .B1(n79961), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N486) );
+  sky130_fd_sc_hd__nor2_1 U98016 ( .A(n83631), .B(n81212), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N244) );
+  sky130_fd_sc_hd__nand2_1 U98017 ( .A(n86402), .B(n79962), .Y(n80018) );
+  sky130_fd_sc_hd__o21ai_0 U98018 ( .A1(n80425), .A2(n79977), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[19]), 
+        .Y(n79963) );
+  sky130_fd_sc_hd__o21ai_1 U98019 ( .A1(n80125), .A2(n80018), .B1(n79963), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N487) );
+  sky130_fd_sc_hd__nand2_1 U98020 ( .A(n36801), .B(n79964), .Y(n80020) );
+  sky130_fd_sc_hd__o21ai_0 U98021 ( .A1(n80072), .A2(n79977), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[20]), 
+        .Y(n79965) );
+  sky130_fd_sc_hd__o21ai_1 U98022 ( .A1(n81145), .A2(n80020), .B1(n79965), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N488) );
+  sky130_fd_sc_hd__nor2_1 U98023 ( .A(n37038), .B(n81145), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N246) );
+  sky130_fd_sc_hd__nand2_1 U98024 ( .A(n86753), .B(n79966), .Y(n80022) );
+  sky130_fd_sc_hd__o21ai_0 U98025 ( .A1(n80075), .A2(n79977), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[21]), 
+        .Y(n79967) );
+  sky130_fd_sc_hd__nand2_1 U98027 ( .A(n37039), .B(n79968), .Y(n80024) );
+  sky130_fd_sc_hd__o21ai_0 U98028 ( .A1(n80078), .A2(n79977), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[22]), 
+        .Y(n79969) );
+  sky130_fd_sc_hd__o21ai_1 U98029 ( .A1(n81062), .A2(n80024), .B1(n79969), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N490) );
+  sky130_fd_sc_hd__nor2_1 U98030 ( .A(n37041), .B(n81062), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N248) );
+  sky130_fd_sc_hd__nand2_1 U98031 ( .A(n83351), .B(n79970), .Y(n80026) );
+  sky130_fd_sc_hd__o21ai_0 U98032 ( .A1(n80081), .A2(n79977), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[23]), 
+        .Y(n79971) );
+  sky130_fd_sc_hd__nand2_1 U98034 ( .A(n86753), .B(n79972), .Y(n80028) );
+  sky130_fd_sc_hd__o21ai_0 U98035 ( .A1(n80084), .A2(n79977), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[24]), 
+        .Y(n79973) );
+  sky130_fd_sc_hd__nand2_1 U98037 ( .A(n49230), .B(n79974), .Y(n80030) );
+  sky130_fd_sc_hd__o21ai_0 U98038 ( .A1(n80087), .A2(n79977), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[25]), 
+        .Y(n79975) );
+  sky130_fd_sc_hd__nand2_1 U98040 ( .A(n36846), .B(n79976), .Y(n80032) );
+  sky130_fd_sc_hd__o21ai_0 U98041 ( .A1(n80090), .A2(n79977), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[26]), 
+        .Y(n79978) );
+  sky130_fd_sc_hd__o21ai_1 U98042 ( .A1(n80130), .A2(n80032), .B1(n79978), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N494) );
+  sky130_fd_sc_hd__nand2_1 U98043 ( .A(n86753), .B(n79979), .Y(n80034) );
+  sky130_fd_sc_hd__o21ai_0 U98044 ( .A1(n80093), .A2(n79977), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[27]), 
+        .Y(n79980) );
+  sky130_fd_sc_hd__nand2_1 U98046 ( .A(n36846), .B(n79981), .Y(n80036) );
+  sky130_fd_sc_hd__o21ai_0 U98047 ( .A1(n80096), .A2(n79977), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[28]), 
+        .Y(n79982) );
+  sky130_fd_sc_hd__nand2_1 U98049 ( .A(n83116), .B(n79983), .Y(n80038) );
+  sky130_fd_sc_hd__o21ai_0 U98050 ( .A1(n80099), .A2(n79977), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[29]), 
+        .Y(n79984) );
+  sky130_fd_sc_hd__o21ai_1 U98051 ( .A1(n80133), .A2(n80038), .B1(n79984), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N497) );
+  sky130_fd_sc_hd__nand2_1 U98052 ( .A(n86753), .B(n79985), .Y(n80040) );
+  sky130_fd_sc_hd__o21ai_0 U98053 ( .A1(n80102), .A2(n79977), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[30]), 
+        .Y(n79986) );
+  sky130_fd_sc_hd__nand2_1 U98055 ( .A(n86753), .B(n79987), .Y(n80042) );
+  sky130_fd_sc_hd__o21ai_0 U98056 ( .A1(n80105), .A2(n79977), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_riseIpReg[31]), 
+        .Y(n79988) );
+  sky130_fd_sc_hd__o21ai_1 U98057 ( .A1(n80135), .A2(n80042), .B1(n79988), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N499) );
+  sky130_fd_sc_hd__o21ai_0 U98058 ( .A1(n81033), .A2(n80017), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[0]), 
+        .Y(n79989) );
+  sky130_fd_sc_hd__nand2_1 U98059 ( .A(n79990), .B(n79989), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N435) );
+  sky130_fd_sc_hd__o21ai_0 U98060 ( .A1(n81035), .A2(n80017), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[1]), 
+        .Y(n79992) );
+  sky130_fd_sc_hd__nand2_1 U98061 ( .A(n79992), .B(n79991), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N436) );
+  sky130_fd_sc_hd__o21ai_0 U98062 ( .A1(n81356), .A2(n80017), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[2]), 
+        .Y(n79994) );
+  sky130_fd_sc_hd__nand2_1 U98063 ( .A(n79994), .B(n79993), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N437) );
+  sky130_fd_sc_hd__o21ai_0 U98064 ( .A1(n79995), .A2(n80017), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[3]), 
+        .Y(n79997) );
+  sky130_fd_sc_hd__nand2_1 U98065 ( .A(n79997), .B(n79996), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N438) );
+  sky130_fd_sc_hd__o21ai_0 U98066 ( .A1(n80046), .A2(n80017), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[4]), 
+        .Y(n79999) );
+  sky130_fd_sc_hd__nand2_1 U98067 ( .A(n79999), .B(n79998), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N439) );
+  sky130_fd_sc_hd__o21ai_0 U98068 ( .A1(n81436), .A2(n80017), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[5]), 
+        .Y(n80001) );
+  sky130_fd_sc_hd__nand2_1 U98069 ( .A(n80001), .B(n80000), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N440) );
+  sky130_fd_sc_hd__o21ai_0 U98070 ( .A1(n81437), .A2(n80017), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[8]), 
+        .Y(n80003) );
+  sky130_fd_sc_hd__nand2_1 U98071 ( .A(n80003), .B(n80002), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N443) );
+  sky130_fd_sc_hd__o21ai_0 U98072 ( .A1(n81438), .A2(n80017), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[9]), 
+        .Y(n80005) );
+  sky130_fd_sc_hd__nand2_1 U98073 ( .A(n80005), .B(n80004), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N444) );
+  sky130_fd_sc_hd__o21ai_0 U98074 ( .A1(n80056), .A2(n80017), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[10]), 
+        .Y(n80007) );
+  sky130_fd_sc_hd__nand2_1 U98075 ( .A(n80007), .B(n80006), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N445) );
+  sky130_fd_sc_hd__o21ai_0 U98076 ( .A1(n80008), .A2(n80017), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[11]), 
+        .Y(n80010) );
+  sky130_fd_sc_hd__nand2_1 U98077 ( .A(n80010), .B(n80009), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N446) );
+  sky130_fd_sc_hd__o21ai_0 U98078 ( .A1(n80059), .A2(n80017), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[12]), 
+        .Y(n80012) );
+  sky130_fd_sc_hd__nand2_1 U98079 ( .A(n80012), .B(n80011), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N447) );
+  sky130_fd_sc_hd__o21ai_0 U98080 ( .A1(n81019), .A2(n80017), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[17]), 
+        .Y(n80014) );
+  sky130_fd_sc_hd__nand2_1 U98081 ( .A(n80014), .B(n80013), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N452) );
+  sky130_fd_sc_hd__o21ai_0 U98082 ( .A1(n80067), .A2(n80017), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[18]), 
+        .Y(n80016) );
+  sky130_fd_sc_hd__nand2_1 U98083 ( .A(n80016), .B(n80015), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N453) );
+  sky130_fd_sc_hd__o21ai_0 U98084 ( .A1(n80425), .A2(n80017), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[19]), 
+        .Y(n80019) );
+  sky130_fd_sc_hd__nand2_1 U98085 ( .A(n80019), .B(n80018), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N454) );
+  sky130_fd_sc_hd__o21ai_0 U98086 ( .A1(n80072), .A2(n80017), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[20]), 
+        .Y(n80021) );
+  sky130_fd_sc_hd__nand2_1 U98087 ( .A(n80021), .B(n80020), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N455) );
+  sky130_fd_sc_hd__o21ai_0 U98088 ( .A1(n80075), .A2(n80017), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[21]), 
+        .Y(n80023) );
+  sky130_fd_sc_hd__nand2_1 U98089 ( .A(n80023), .B(n80022), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N456) );
+  sky130_fd_sc_hd__o21ai_0 U98090 ( .A1(n80078), .A2(n80017), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[22]), 
+        .Y(n80025) );
+  sky130_fd_sc_hd__nand2_1 U98091 ( .A(n80025), .B(n80024), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N457) );
+  sky130_fd_sc_hd__o21ai_0 U98092 ( .A1(n80081), .A2(n80017), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[23]), 
+        .Y(n80027) );
+  sky130_fd_sc_hd__nand2_1 U98093 ( .A(n80027), .B(n80026), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N458) );
+  sky130_fd_sc_hd__o21ai_0 U98094 ( .A1(n80084), .A2(n80017), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[24]), 
+        .Y(n80029) );
+  sky130_fd_sc_hd__nand2_1 U98095 ( .A(n80029), .B(n80028), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N459) );
+  sky130_fd_sc_hd__o21ai_0 U98096 ( .A1(n80087), .A2(n80017), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[25]), 
+        .Y(n80031) );
+  sky130_fd_sc_hd__nand2_1 U98097 ( .A(n80031), .B(n80030), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N460) );
+  sky130_fd_sc_hd__o21ai_0 U98098 ( .A1(n80090), .A2(n80017), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[26]), 
+        .Y(n80033) );
+  sky130_fd_sc_hd__nand2_1 U98099 ( .A(n80033), .B(n80032), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N461) );
+  sky130_fd_sc_hd__o21ai_0 U98100 ( .A1(n80093), .A2(n80017), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[27]), 
+        .Y(n80035) );
+  sky130_fd_sc_hd__nand2_1 U98101 ( .A(n80035), .B(n80034), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N462) );
+  sky130_fd_sc_hd__o21ai_0 U98102 ( .A1(n80096), .A2(n80017), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[28]), 
+        .Y(n80037) );
+  sky130_fd_sc_hd__nand2_1 U98103 ( .A(n80037), .B(n80036), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N463) );
+  sky130_fd_sc_hd__o21ai_0 U98104 ( .A1(n80099), .A2(n80017), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[29]), 
+        .Y(n80039) );
+  sky130_fd_sc_hd__nand2_1 U98105 ( .A(n80039), .B(n80038), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N464) );
+  sky130_fd_sc_hd__o21ai_0 U98106 ( .A1(n80102), .A2(n80017), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[30]), 
+        .Y(n80041) );
+  sky130_fd_sc_hd__nand2_1 U98107 ( .A(n80041), .B(n80040), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N465) );
+  sky130_fd_sc_hd__o21ai_0 U98108 ( .A1(n80105), .A2(n80017), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_lowIpReg[31]), 
+        .Y(n80043) );
+  sky130_fd_sc_hd__nand2_1 U98109 ( .A(n80043), .B(n80042), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N466) );
+  sky130_fd_sc_hd__o21ai_0 U98110 ( .A1(n81033), .A2(n80049), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[0]), 
+        .Y(n80044) );
+  sky130_fd_sc_hd__nand2_1 U98111 ( .A(n80045), .B(n80044), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N402) );
+  sky130_fd_sc_hd__o21ai_0 U98112 ( .A1(n80046), .A2(n80049), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[4]), 
+        .Y(n80048) );
+  sky130_fd_sc_hd__nand2_1 U98113 ( .A(n80048), .B(n80047), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N406) );
+  sky130_fd_sc_hd__o21ai_0 U98114 ( .A1(n81436), .A2(n80049), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[5]), 
+        .Y(n80051) );
+  sky130_fd_sc_hd__nand2_1 U98115 ( .A(n80051), .B(n80050), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N407) );
+  sky130_fd_sc_hd__o21ai_0 U98116 ( .A1(n81437), .A2(n80049), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[8]), 
+        .Y(n80053) );
+  sky130_fd_sc_hd__nand2_1 U98117 ( .A(n80053), .B(n80052), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N410) );
+  sky130_fd_sc_hd__o21ai_0 U98118 ( .A1(n81438), .A2(n80049), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[9]), 
+        .Y(n80055) );
+  sky130_fd_sc_hd__nand2_1 U98119 ( .A(n80055), .B(n80054), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N411) );
+  sky130_fd_sc_hd__o21ai_0 U98120 ( .A1(n80056), .A2(n80049), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[10]), 
+        .Y(n80058) );
+  sky130_fd_sc_hd__nand2_1 U98121 ( .A(n80058), .B(n80057), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N412) );
+  sky130_fd_sc_hd__o21ai_0 U98122 ( .A1(n80059), .A2(n80049), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[12]), 
+        .Y(n80061) );
+  sky130_fd_sc_hd__nand2_1 U98123 ( .A(n80061), .B(n80060), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N414) );
+  sky130_fd_sc_hd__o21ai_0 U98124 ( .A1(n80062), .A2(n80049), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[15]), 
+        .Y(n80064) );
+  sky130_fd_sc_hd__nand2_1 U98125 ( .A(n80064), .B(n80063), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N417) );
+  sky130_fd_sc_hd__o21ai_0 U98126 ( .A1(n80729), .A2(n80049), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[16]), 
+        .Y(n80066) );
+  sky130_fd_sc_hd__nand2_1 U98127 ( .A(n80066), .B(n80065), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N418) );
+  sky130_fd_sc_hd__o21ai_0 U98128 ( .A1(n80067), .A2(n80049), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[18]), 
+        .Y(n80069) );
+  sky130_fd_sc_hd__nand2_1 U98129 ( .A(n80069), .B(n80068), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N420) );
+  sky130_fd_sc_hd__o21ai_0 U98130 ( .A1(n80425), .A2(n80049), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[19]), 
+        .Y(n80071) );
+  sky130_fd_sc_hd__nand2_1 U98131 ( .A(n80071), .B(n80070), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N421) );
+  sky130_fd_sc_hd__o21ai_0 U98132 ( .A1(n80072), .A2(n80049), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[20]), 
+        .Y(n80074) );
+  sky130_fd_sc_hd__nand2_1 U98133 ( .A(n80074), .B(n80073), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N422) );
+  sky130_fd_sc_hd__o21ai_0 U98134 ( .A1(n80075), .A2(n80049), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[21]), 
+        .Y(n80077) );
+  sky130_fd_sc_hd__nand2_1 U98135 ( .A(n80077), .B(n80076), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N423) );
+  sky130_fd_sc_hd__o21ai_0 U98136 ( .A1(n80078), .A2(n80049), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[22]), 
+        .Y(n80080) );
+  sky130_fd_sc_hd__nand2_1 U98137 ( .A(n80080), .B(n80079), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N424) );
+  sky130_fd_sc_hd__o21ai_0 U98138 ( .A1(n80081), .A2(n80049), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[23]), 
+        .Y(n80083) );
+  sky130_fd_sc_hd__nand2_1 U98139 ( .A(n80083), .B(n80082), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N425) );
+  sky130_fd_sc_hd__o21ai_0 U98140 ( .A1(n80084), .A2(n80049), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[24]), 
+        .Y(n80086) );
+  sky130_fd_sc_hd__nand2_1 U98141 ( .A(n80086), .B(n80085), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N426) );
+  sky130_fd_sc_hd__o21ai_0 U98142 ( .A1(n80087), .A2(n80049), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[25]), 
+        .Y(n80089) );
+  sky130_fd_sc_hd__nand2_1 U98143 ( .A(n80089), .B(n80088), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N427) );
+  sky130_fd_sc_hd__o21ai_0 U98144 ( .A1(n80090), .A2(n80049), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[26]), 
+        .Y(n80092) );
+  sky130_fd_sc_hd__nand2_1 U98145 ( .A(n80092), .B(n80091), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N428) );
+  sky130_fd_sc_hd__o21ai_0 U98146 ( .A1(n80093), .A2(n80049), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[27]), 
+        .Y(n80095) );
+  sky130_fd_sc_hd__nand2_1 U98147 ( .A(n80095), .B(n80094), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N429) );
+  sky130_fd_sc_hd__o21ai_0 U98148 ( .A1(n80096), .A2(n80049), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[28]), 
+        .Y(n80098) );
+  sky130_fd_sc_hd__nand2_1 U98149 ( .A(n80098), .B(n80097), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N430) );
+  sky130_fd_sc_hd__o21ai_0 U98150 ( .A1(n80099), .A2(n80049), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[29]), 
+        .Y(n80101) );
+  sky130_fd_sc_hd__nand2_1 U98151 ( .A(n80101), .B(n80100), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N431) );
+  sky130_fd_sc_hd__o21ai_0 U98152 ( .A1(n80102), .A2(n80049), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[30]), 
+        .Y(n80104) );
+  sky130_fd_sc_hd__nand2_1 U98153 ( .A(n80104), .B(n80103), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N432) );
+  sky130_fd_sc_hd__o21ai_0 U98154 ( .A1(n80105), .A2(n80049), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_highIpReg[31]), 
+        .Y(n80107) );
+  sky130_fd_sc_hd__nand2_1 U98155 ( .A(n80107), .B(n80106), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N433) );
+  sky130_fd_sc_hd__nor2_1 U98156 ( .A(n81357), .B(n80108), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N372) );
+  sky130_fd_sc_hd__nor2_1 U98157 ( .A(n81037), .B(n80108), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N378) );
+  sky130_fd_sc_hd__nor2_1 U98158 ( .A(n81359), .B(n80108), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N379) );
+  sky130_fd_sc_hd__nor2_1 U98159 ( .A(n81360), .B(n80108), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N381) );
+  sky130_fd_sc_hd__nor2_1 U98160 ( .A(n81362), .B(n80108), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N382) );
+  sky130_fd_sc_hd__nor2_1 U98161 ( .A(n81355), .B(n80109), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N334) );
+  sky130_fd_sc_hd__nor2_1 U98162 ( .A(n81349), .B(n80109), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N335) );
+  sky130_fd_sc_hd__nor2_1 U98163 ( .A(n81040), .B(n80109), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N336) );
+  sky130_fd_sc_hd__nor2_1 U98164 ( .A(n81357), .B(n80109), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N337) );
+  sky130_fd_sc_hd__nor2_1 U98165 ( .A(n81037), .B(n80109), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N343) );
+  sky130_fd_sc_hd__nor2_1 U98166 ( .A(n81359), .B(n80109), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N344) );
+  sky130_fd_sc_hd__nor2_1 U98167 ( .A(n81360), .B(n80109), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N346) );
+  sky130_fd_sc_hd__nor2_1 U98168 ( .A(n81362), .B(n80109), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N347) );
+  sky130_fd_sc_hd__nor2_1 U98169 ( .A(n81037), .B(n80110), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N307) );
+  sky130_fd_sc_hd__nor2_1 U98170 ( .A(n81359), .B(n80110), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N308) );
+  sky130_fd_sc_hd__nor2_1 U98171 ( .A(n81360), .B(n80110), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N310) );
+  sky130_fd_sc_hd__nor2_1 U98172 ( .A(n81037), .B(n80111), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N271) );
+  sky130_fd_sc_hd__nor2_1 U98173 ( .A(n81359), .B(n80111), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N272) );
+  sky130_fd_sc_hd__nor2_1 U98174 ( .A(n81360), .B(n80111), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N274) );
+  sky130_fd_sc_hd__nor2_1 U98175 ( .A(n36802), .B(n80112), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N226) );
+  sky130_fd_sc_hd__nor2_1 U98176 ( .A(n37038), .B(n80113), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N227) );
+  sky130_fd_sc_hd__nor2_1 U98177 ( .A(n36802), .B(n80188), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N228) );
+  sky130_fd_sc_hd__nor2_1 U98178 ( .A(n83631), .B(n80198), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N229) );
+  sky130_fd_sc_hd__nor2_1 U98179 ( .A(n36848), .B(n80114), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N232) );
+  sky130_fd_sc_hd__nor2_1 U98180 ( .A(n74033), .B(n80115), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N233) );
+  sky130_fd_sc_hd__nor2_1 U98181 ( .A(n36802), .B(n80116), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N234) );
+  sky130_fd_sc_hd__nor2_1 U98182 ( .A(n36802), .B(n80117), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N235) );
+  sky130_fd_sc_hd__nor2_1 U98183 ( .A(n36802), .B(n80118), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N236) );
+  sky130_fd_sc_hd__nor2_1 U98184 ( .A(n36848), .B(n80119), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N237) );
+  sky130_fd_sc_hd__nor2_1 U98185 ( .A(n37041), .B(n80120), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N238) );
+  sky130_fd_sc_hd__nor2_1 U98186 ( .A(n36848), .B(n80121), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N239) );
+  sky130_fd_sc_hd__nor2_1 U98187 ( .A(n37038), .B(n80122), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N240) );
+  sky130_fd_sc_hd__nor2_1 U98188 ( .A(n37038), .B(n80123), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N241) );
+  sky130_fd_sc_hd__nor2_1 U98189 ( .A(n83631), .B(n80124), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N243) );
+  sky130_fd_sc_hd__nor2_1 U98190 ( .A(n60015), .B(n80125), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N245) );
+  sky130_fd_sc_hd__nor2_1 U98191 ( .A(n83631), .B(n80126), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N247) );
+  sky130_fd_sc_hd__nor2_1 U98192 ( .A(n36802), .B(n80127), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N249) );
+  sky130_fd_sc_hd__nor2_1 U98193 ( .A(n83631), .B(n80128), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N250) );
+  sky130_fd_sc_hd__nor2_1 U98194 ( .A(n60015), .B(n80129), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N251) );
+  sky130_fd_sc_hd__nor2_1 U98195 ( .A(n36802), .B(n80130), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N252) );
+  sky130_fd_sc_hd__nor2_1 U98196 ( .A(n74033), .B(n80131), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N253) );
+  sky130_fd_sc_hd__nor2_1 U98197 ( .A(n37041), .B(n80132), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N254) );
+  sky130_fd_sc_hd__nor2_1 U98198 ( .A(n37038), .B(n80133), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N255) );
+  sky130_fd_sc_hd__nor2_1 U98199 ( .A(n36802), .B(n80134), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N256) );
+  sky130_fd_sc_hd__nor2_1 U98200 ( .A(n74033), .B(n80135), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N257) );
+  sky130_fd_sc_hd__nor2_1 U98201 ( .A(n81355), .B(n80136), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N192) );
+  sky130_fd_sc_hd__nor2_1 U98202 ( .A(n81349), .B(n80136), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N193) );
+  sky130_fd_sc_hd__nor2_1 U98203 ( .A(n81040), .B(n80136), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N194) );
+  sky130_fd_sc_hd__nor2_1 U98204 ( .A(n81357), .B(n80136), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N195) );
+  sky130_fd_sc_hd__nor2_1 U98205 ( .A(n81037), .B(n80136), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N201) );
+  sky130_fd_sc_hd__nor2_1 U98206 ( .A(n81359), .B(n80136), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N202) );
+  sky130_fd_sc_hd__nor2_1 U98207 ( .A(n81360), .B(n80136), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N204) );
+  sky130_fd_sc_hd__nor2_1 U98208 ( .A(n81362), .B(n80136), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N205) );
+  sky130_fd_sc_hd__nor2_1 U98209 ( .A(n81355), .B(n80137), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N156) );
+  sky130_fd_sc_hd__nor2_1 U98210 ( .A(n81349), .B(n80137), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N157) );
+  sky130_fd_sc_hd__nor2_1 U98211 ( .A(n81040), .B(n80137), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N158) );
+  sky130_fd_sc_hd__nor2_1 U98212 ( .A(n81357), .B(n80137), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N159) );
+  sky130_fd_sc_hd__nor2_1 U98213 ( .A(n81037), .B(n80137), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N165) );
+  sky130_fd_sc_hd__nor2_1 U98214 ( .A(n81359), .B(n80137), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N166) );
+  sky130_fd_sc_hd__nor2_1 U98215 ( .A(n81360), .B(n80137), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N168) );
+  sky130_fd_sc_hd__nor2_1 U98216 ( .A(n81362), .B(n80137), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N169) );
+  sky130_fd_sc_hd__nand2_1 U98217 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[4]), 
+        .B(n80404), .Y(n80140) );
+  sky130_fd_sc_hd__a21oi_1 U98218 ( .A1(n80170), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[12]), .B1(n80403), .Y(n80139) );
+  sky130_fd_sc_hd__a22oi_1 U98219 ( .A1(n80401), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[28]), .B1(n80169), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[20]), .Y(n80138) );
+  sky130_fd_sc_hd__nand3_1 U98220 ( .A(n80140), .B(n80139), .C(n80138), .Y(
+        n80144) );
+  sky130_fd_sc_hd__nand2_1 U98221 ( .A(n80404), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[36]), .Y(n80142) );
+  sky130_fd_sc_hd__a22oi_1 U98222 ( .A1(n80401), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[60]), .B1(n80169), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[52]), .Y(n80141) );
+  sky130_fd_sc_hd__nand3_1 U98223 ( .A(n80142), .B(n80403), .C(n80141), .Y(
+        n80143) );
+  sky130_fd_sc_hd__a32oi_1 U98224 ( .A1(n80170), .A2(n80144), .A3(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[44]), .B1(n80143), .B2(n80144), .Y(n80221) );
+  sky130_fd_sc_hd__nand2_1 U98225 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[43]), .B(n80170), .Y(n80147) );
+  sky130_fd_sc_hd__a21oi_1 U98226 ( .A1(n80404), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[35]), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[2]), .Y(n80146) );
+  sky130_fd_sc_hd__a22oi_1 U98227 ( .A1(n80401), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[59]), .B1(n80169), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[51]), .Y(n80145) );
+  sky130_fd_sc_hd__nand3_1 U98228 ( .A(n80147), .B(n80146), .C(n80145), .Y(
+        n80151) );
+  sky130_fd_sc_hd__nand2_1 U98229 ( .A(n80169), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[19]), .Y(n80149) );
+  sky130_fd_sc_hd__a22oi_1 U98230 ( .A1(n80404), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[3]), 
+        .B1(n80401), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[27]), .Y(n80148) );
+  sky130_fd_sc_hd__nand3_1 U98231 ( .A(n80149), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[2]), .C(n80148), .Y(n80150) );
+  sky130_fd_sc_hd__a32oi_1 U98232 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[11]), .A2(n80151), .A3(n80170), .B1(n80150), .B2(n80151), .Y(n80223) );
+  sky130_fd_sc_hd__o22ai_1 U98233 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_io_link_fmt_endian), .A2(n80221), .B1(n80222), .B2(n80223), .Y(n80230) );
+  sky130_fd_sc_hd__o22ai_1 U98234 ( .A1(n80176), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[3]), .B1(n80249), .B2(n80230), .Y(n80157) );
+  sky130_fd_sc_hd__or3_1 U98235 ( .A(n36848), .B(n80153), .C(n80152), .X(
+        n80180) );
+  sky130_fd_sc_hd__o22ai_1 U98236 ( .A1(n80155), .A2(n80154), .B1(n80178), 
+        .B2(n80177), .Y(n80156) );
+  sky130_fd_sc_hd__o22ai_1 U98237 ( .A1(n80182), .A2(n80157), .B1(n80180), 
+        .B2(n80156), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N248) );
+  sky130_fd_sc_hd__nand2_1 U98238 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[21]), .B(n80169), .Y(n80160) );
+  sky130_fd_sc_hd__a21oi_1 U98239 ( .A1(n80401), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[29]), .B1(n80403), .Y(n80159) );
+  sky130_fd_sc_hd__a22oi_1 U98240 ( .A1(n80170), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[13]), .B1(n80404), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[5]), 
+        .Y(n80158) );
+  sky130_fd_sc_hd__nand3_1 U98241 ( .A(n80160), .B(n80159), .C(n80158), .Y(
+        n80165) );
+  sky130_fd_sc_hd__nand2_1 U98242 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[45]), .B(n80170), .Y(n80163) );
+  sky130_fd_sc_hd__a21oi_1 U98243 ( .A1(n80404), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[37]), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[2]), .Y(n80162) );
+  sky130_fd_sc_hd__a22oi_1 U98244 ( .A1(n80401), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[61]), .B1(n80169), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[53]), .Y(n80161) );
+  sky130_fd_sc_hd__nand3_1 U98245 ( .A(n80163), .B(n80162), .C(n80161), .Y(
+        n80164) );
+  sky130_fd_sc_hd__nand2_1 U98246 ( .A(n80165), .B(n80164), .Y(n80211) );
+  sky130_fd_sc_hd__nand2_1 U98247 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[18]), .B(n80169), .Y(n80168) );
+  sky130_fd_sc_hd__a21oi_1 U98248 ( .A1(n80404), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[2]), 
+        .B1(n80403), .Y(n80167) );
+  sky130_fd_sc_hd__a22oi_1 U98249 ( .A1(n80170), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[10]), .B1(n80401), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[26]), .Y(n80166) );
+  sky130_fd_sc_hd__nand3_1 U98250 ( .A(n80168), .B(n80167), .C(n80166), .Y(
+        n80175) );
+  sky130_fd_sc_hd__nand2_1 U98251 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[58]), .B(n80401), .Y(n80173) );
+  sky130_fd_sc_hd__a21oi_1 U98252 ( .A1(n80169), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[50]), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[2]), .Y(n80172) );
+  sky130_fd_sc_hd__a22oi_1 U98253 ( .A1(n80170), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[42]), .B1(n80404), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram[34]), .Y(n80171) );
+  sky130_fd_sc_hd__nand3_1 U98254 ( .A(n80173), .B(n80172), .C(n80171), .Y(
+        n80174) );
+  sky130_fd_sc_hd__nand2_1 U98255 ( .A(n80175), .B(n80174), .Y(n80212) );
+  sky130_fd_sc_hd__o22ai_1 U98256 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_io_link_fmt_endian), .A2(n80211), .B1(n80222), .B2(n80212), .Y(n80233) );
+  sky130_fd_sc_hd__o22ai_1 U98257 ( .A1(n80176), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[2]), .B1(n80249), .B2(n80233), .Y(n80181) );
+  sky130_fd_sc_hd__nand2_1 U98258 ( .A(n80178), .B(n80177), .Y(n80179) );
+  sky130_fd_sc_hd__o22ai_1 U98259 ( .A1(n80182), .A2(n80181), .B1(n80180), 
+        .B2(n80179), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N249) );
+  sky130_fd_sc_hd__o22ai_1 U98260 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_io_link_fmt_endian), .A2(n80184), .B1(n80222), .B2(n80183), .Y(n80192) );
+  sky130_fd_sc_hd__nor2_1 U98261 ( .A(n80207), .B(n80185), .Y(n80228) );
+  sky130_fd_sc_hd__a21oi_1 U98263 ( .A1(
+        MarmotCaravelChip_dut_sys_iof_0_iof_0_3_i_ival), .A2(n80241), .B1(
+        n80208), .Y(n80187) );
+  sky130_fd_sc_hd__o21ai_1 U98264 ( .A1(n80196), .A2(n80188), .B1(n80187), .Y(
+        n80189) );
+  sky130_fd_sc_hd__nand3_1 U98265 ( .A(n80190), .B(n80189), .C(n80400), .Y(
+        n80191) );
+  sky130_fd_sc_hd__nor2_1 U98267 ( .A(n80193), .B(n80197), .Y(n80205) );
+  sky130_fd_sc_hd__nand2_1 U98268 ( .A(n80195), .B(n80328), .Y(n80194) );
+  sky130_fd_sc_hd__a221oi_1 U98269 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_sample_d), .A2(n80198), .B1(n80208), .B2(n80197), .C1(n80196), .Y(n80199) );
+  sky130_fd_sc_hd__a21oi_1 U98270 ( .A1(n80205), .A2(n80229), .B1(n80199), .Y(
+        n80204) );
+  sky130_fd_sc_hd__o22ai_1 U98271 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_io_link_fmt_endian), .A2(n80201), .B1(n80222), .B2(n80200), .Y(n80203) );
+  sky130_fd_sc_hd__nor2_1 U98272 ( .A(n80399), .B(n80229), .Y(n80206) );
+  sky130_fd_sc_hd__nand3_1 U98273 ( .A(n80206), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[7]), .C(n80241), .Y(n80202) );
+  sky130_fd_sc_hd__o221ai_1 U98274 ( .A1(n80399), .A2(n80204), .B1(n80400), 
+        .B2(n80203), .C1(n80202), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N238) );
+  sky130_fd_sc_hd__a21oi_1 U98275 ( .A1(n80240), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[7]), .B1(n80205), .Y(n80216) );
+  sky130_fd_sc_hd__nand2_1 U98276 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_sample_d), .B(n80239), .Y(n80217) );
+  sky130_fd_sc_hd__o22ai_1 U98277 ( .A1(n80220), .A2(n80210), .B1(n80209), 
+        .B2(n80217), .Y(n80214) );
+  sky130_fd_sc_hd__o22ai_1 U98278 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_io_link_fmt_endian), .A2(n80212), .B1(n80222), .B2(n80211), .Y(n80213) );
+  sky130_fd_sc_hd__o22ai_1 U98279 ( .A1(n80399), .A2(n80214), .B1(n80400), 
+        .B2(n80213), .Y(n80215) );
+  sky130_fd_sc_hd__o21ai_1 U98280 ( .A1(n80216), .A2(n80245), .B1(n80215), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N239) );
+  sky130_fd_sc_hd__a22oi_1 U98281 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[5]), .A2(n80241), .B1(n80240), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[6]), .Y(n80227) );
+  sky130_fd_sc_hd__o22ai_1 U98282 ( .A1(n80220), .A2(n80219), .B1(n80218), 
+        .B2(n80217), .Y(n80225) );
+  sky130_fd_sc_hd__o22ai_1 U98283 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_io_link_fmt_endian), .A2(n80223), .B1(n80222), .B2(n80221), .Y(n80224) );
+  sky130_fd_sc_hd__o22ai_1 U98284 ( .A1(n80399), .A2(n80225), .B1(n80400), 
+        .B2(n80224), .Y(n80226) );
+  sky130_fd_sc_hd__o21ai_1 U98285 ( .A1(n80227), .A2(n80245), .B1(n80226), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N240) );
+  sky130_fd_sc_hd__a222oi_1 U98286 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[7]), .A2(n80239), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[5]), .B2(n80240), .C1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[4]), .C2(n80241), .Y(n80232) );
+  sky130_fd_sc_hd__a22oi_1 U98287 ( .A1(n80399), .A2(n80230), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[3]), .B2(n80243), .Y(n80231) );
+  sky130_fd_sc_hd__a222oi_1 U98289 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[3]), .A2(n80241), .B1(n80239), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[6]), .C1(n80240), .C2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[4]), .Y(n80235) );
+  sky130_fd_sc_hd__a22oi_1 U98290 ( .A1(n80399), .A2(n80233), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[2]), .B2(n80243), .Y(n80234) );
+  sky130_fd_sc_hd__o21ai_1 U98291 ( .A1(n80235), .A2(n80245), .B1(n80234), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N242) );
+  sky130_fd_sc_hd__a222oi_1 U98292 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[3]), .A2(n80240), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[2]), .B2(n80241), .C1(n80239), .C2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[5]), .Y(n80238) );
+  sky130_fd_sc_hd__a2bb2oi_1 U98293 ( .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[1]), .B2(n80243), .A1_N(n80236), .A2_N(n80400), .Y(n80237) );
+  sky130_fd_sc_hd__o21ai_1 U98294 ( .A1(n80238), .A2(n80245), .B1(n80237), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N243) );
+  sky130_fd_sc_hd__a222oi_1 U98295 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[1]), .A2(n80241), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[2]), .B2(n80240), .C1(n80239), .C2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[4]), .Y(n80246) );
+  sky130_fd_sc_hd__a2bb2oi_1 U98296 ( .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy__io_rx_bits_T_9[0]), .B2(n80243), .A1_N(n80242), .A2_N(n80400), .Y(n80244) );
+  sky130_fd_sc_hd__nand2_1 U98298 ( .A(n80400), .B(n80247), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N102) );
+  sky130_fd_sc_hd__nand2_1 U98299 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_xfr), 
+        .B(n80248), .Y(n80253) );
+  sky130_fd_sc_hd__o22ai_1 U98300 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_pha), .A2(n80253), .B1(n80250), .B2(n80249), .Y(n80261) );
+  sky130_fd_sc_hd__nor2_1 U98301 ( .A(n80250), .B(n80249), .Y(n80259) );
+  sky130_fd_sc_hd__nand3_1 U98302 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_xfr), 
+        .B(n80251), .C(n80328), .Y(n80255) );
+  sky130_fd_sc_hd__clkinv_1 U98303 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_pha), .Y(n80252) );
+  sky130_fd_sc_hd__a221oi_1 U98304 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_pha), .A2(n80253), .B1(n80252), .B2(n80256), .C1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_pol), .Y(n80254) );
+  sky130_fd_sc_hd__a21oi_1 U98305 ( .A1(MarmotCaravelChip_dut_sys_spi_0_sck), 
+        .A2(n80255), .B1(n80254), .Y(n80258) );
+  sky130_fd_sc_hd__nand2_1 U98306 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_pol), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_pha), .Y(n80257) );
+  sky130_fd_sc_hd__o22ai_1 U98307 ( .A1(n80259), .A2(n80258), .B1(n80257), 
+        .B2(n80256), .Y(n80260) );
+  sky130_fd_sc_hd__a21oi_1 U98308 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_pol), .A2(n80261), .B1(n80260), .Y(n80265) );
+  sky130_fd_sc_hd__nor2_1 U98309 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_pol), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_pha), .Y(n80262) );
+  sky130_fd_sc_hd__a211oi_1 U98310 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_pol), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_pha), .B1(n80262), .C1(n80400), .Y(n80263) );
+  sky130_fd_sc_hd__a21oi_1 U98311 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N268), 
+        .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend_3[1]), .B1(n80263), .Y(n80264) );
+  sky130_fd_sc_hd__o21ai_1 U98312 ( .A1(n80265), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N102), 
+        .B1(n80264), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N209) );
+  sky130_fd_sc_hd__nor3_1 U98313 ( .A(n80267), .B(n37038), .C(n80266), .Y(
+        n80280) );
+  sky130_fd_sc_hd__o22ai_1 U98314 ( .A1(n80276), .A2(n80280), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[0]), .B2(n80268), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N193) );
+  sky130_fd_sc_hd__o2bb2ai_1 U98315 ( .B1(n80270), .B2(n80269), .A1_N(n80270), 
+        .A2_N(n80269), .Y(n80271) );
+  sky130_fd_sc_hd__a21oi_1 U98316 ( .A1(n36995), .A2(n80271), .B1(n74033), .Y(
+        n80284) );
+  sky130_fd_sc_hd__o2bb2ai_1 U98317 ( .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[0]), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[1]), .A1_N(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[0]), .A2_N(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr_last[1]), .Y(n80273) );
+  sky130_fd_sc_hd__nand2_1 U98318 ( .A(n80274), .B(n80273), .Y(n80275) );
+  sky130_fd_sc_hd__o21ai_1 U98319 ( .A1(n80276), .A2(n80284), .B1(n80275), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N194) );
+  sky130_fd_sc_hd__nor2_1 U98320 ( .A(n80278), .B(n80277), .Y(n80282) );
+  sky130_fd_sc_hd__o22ai_1 U98321 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[0]), .A2(n80285), .B1(n80280), .B2(n80279), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N156) );
+  sky130_fd_sc_hd__o2bb2ai_1 U98322 ( .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[0]), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[1]), .A1_N(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[0]), .A2_N(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[1]), .Y(n80281) );
+  sky130_fd_sc_hd__nand2_1 U98323 ( .A(n80282), .B(n80281), .Y(n80283) );
+  sky130_fd_sc_hd__nand2_1 U98324 ( .A(n80284), .B(n80283), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N157) );
+  sky130_fd_sc_hd__nor2_1 U98325 ( .A(n36802), .B(n80285), .Y(n80325) );
+  sky130_fd_sc_hd__nor2_1 U98326 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[0]), .B(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[1]), .Y(n80286) );
+  sky130_fd_sc_hd__xor2_1 U98327 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[2]), .B(n80286), .X(n80287) );
+  sky130_fd_sc_hd__nand2_1 U98328 ( .A(n80325), .B(n80287), .Y(n80288) );
+  sky130_fd_sc_hd__nand2_1 U98329 ( .A(n80289), .B(n80288), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N158) );
+  sky130_fd_sc_hd__nor3_1 U98330 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[2]), .B(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[0]), .C(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[1]), .Y(n80290) );
+  sky130_fd_sc_hd__xor2_1 U98331 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[3]), .B(n80290), .X(n80291) );
+  sky130_fd_sc_hd__nand2_1 U98332 ( .A(n80325), .B(n80291), .Y(n80292) );
+  sky130_fd_sc_hd__nand2_1 U98333 ( .A(n80293), .B(n80292), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N159) );
+  sky130_fd_sc_hd__or4_1 U98334 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[3]), .B(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[2]), .C(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[0]), .D(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[1]), .X(n80297) );
+  sky130_fd_sc_hd__xnor2_1 U98335 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[4]), .B(n80297), .Y(n80294) );
+  sky130_fd_sc_hd__nand2_1 U98336 ( .A(n80325), .B(n80294), .Y(n80295) );
+  sky130_fd_sc_hd__nand2_1 U98337 ( .A(n80296), .B(n80295), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N160) );
+  sky130_fd_sc_hd__nor2_1 U98338 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[4]), .B(n80297), .Y(n80302) );
+  sky130_fd_sc_hd__o2bb2ai_1 U98339 ( .B1(n80301), .B2(n80302), .A1_N(n80301), 
+        .A2_N(n80302), .Y(n80298) );
+  sky130_fd_sc_hd__nand2_1 U98340 ( .A(n80325), .B(n80298), .Y(n80299) );
+  sky130_fd_sc_hd__nand2_1 U98341 ( .A(n80300), .B(n80299), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N161) );
+  sky130_fd_sc_hd__nand2_1 U98342 ( .A(n80302), .B(n80301), .Y(n80306) );
+  sky130_fd_sc_hd__xnor2_1 U98343 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[6]), .B(n80306), .Y(n80303) );
+  sky130_fd_sc_hd__nand2_1 U98344 ( .A(n80325), .B(n80303), .Y(n80304) );
+  sky130_fd_sc_hd__nand2_1 U98345 ( .A(n80305), .B(n80304), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N162) );
+  sky130_fd_sc_hd__nor2_1 U98346 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[6]), .B(n80306), .Y(n80311) );
+  sky130_fd_sc_hd__o2bb2ai_1 U98347 ( .B1(n80310), .B2(n80311), .A1_N(n80310), 
+        .A2_N(n80311), .Y(n80307) );
+  sky130_fd_sc_hd__nand2_1 U98348 ( .A(n80325), .B(n80307), .Y(n80308) );
+  sky130_fd_sc_hd__nand2_1 U98349 ( .A(n80309), .B(n80308), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N163) );
+  sky130_fd_sc_hd__nand2_1 U98350 ( .A(n80311), .B(n80310), .Y(n80315) );
+  sky130_fd_sc_hd__xnor2_1 U98351 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[8]), .B(n80315), .Y(n80312) );
+  sky130_fd_sc_hd__nand2_1 U98352 ( .A(n80325), .B(n80312), .Y(n80313) );
+  sky130_fd_sc_hd__nand2_1 U98353 ( .A(n80314), .B(n80313), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N164) );
+  sky130_fd_sc_hd__nor2_1 U98354 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[8]), .B(n80315), .Y(n80320) );
+  sky130_fd_sc_hd__o2bb2ai_1 U98355 ( .B1(n80319), .B2(n80320), .A1_N(n80319), 
+        .A2_N(n80320), .Y(n80316) );
+  sky130_fd_sc_hd__nand2_1 U98356 ( .A(n80325), .B(n80316), .Y(n80317) );
+  sky130_fd_sc_hd__nand2_1 U98357 ( .A(n80318), .B(n80317), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N165) );
+  sky130_fd_sc_hd__nand2_1 U98358 ( .A(n80320), .B(n80319), .Y(n80324) );
+  sky130_fd_sc_hd__xnor2_1 U98359 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_del_cntr[10]), .B(n80324), .Y(n80321) );
+  sky130_fd_sc_hd__nand2_1 U98360 ( .A(n80325), .B(n80321), .Y(n80322) );
+  sky130_fd_sc_hd__nand2_1 U98361 ( .A(n80323), .B(n80322), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N166) );
+  sky130_fd_sc_hd__nand2_1 U98362 ( .A(n80325), .B(n38463), .Y(n80326) );
+  sky130_fd_sc_hd__nand2_1 U98363 ( .A(n80327), .B(n80326), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N167) );
+  sky130_fd_sc_hd__nand2_1 U98364 ( .A(n80329), .B(n80328), .Y(n80347) );
+  sky130_fd_sc_hd__nand2_1 U98365 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div[0]), .B(n80347), .Y(n80330) );
+  sky130_fd_sc_hd__a22o_1 U98367 ( .A1(n80348), .A2(n80331), .B1(n80347), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div[1]), .X(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N124) );
+  sky130_fd_sc_hd__a22o_1 U98368 ( .A1(n80348), .A2(n80332), .B1(n80347), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div[2]), .X(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N125) );
+  sky130_fd_sc_hd__a22o_1 U98369 ( .A1(n80348), .A2(n80333), .B1(n80347), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div[3]), .X(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N126) );
+  sky130_fd_sc_hd__a22o_1 U98370 ( .A1(n80348), .A2(n80334), .B1(n80347), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div[4]), .X(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N127) );
+  sky130_fd_sc_hd__a22o_1 U98371 ( .A1(n80348), .A2(n80335), .B1(n80347), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div[5]), .X(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N128) );
+  sky130_fd_sc_hd__a22o_1 U98372 ( .A1(n80348), .A2(n80336), .B1(n80347), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div[6]), .X(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N129) );
+  sky130_fd_sc_hd__a22o_1 U98373 ( .A1(n80348), .A2(n80337), .B1(n80347), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div[7]), .X(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N130) );
+  sky130_fd_sc_hd__nand2_1 U98374 ( .A(n80339), .B(n80338), .Y(n80341) );
+  sky130_fd_sc_hd__xnor2_1 U98375 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[8]), 
+        .B(n80341), .Y(n80340) );
+  sky130_fd_sc_hd__a22o_1 U98376 ( .A1(n80348), .A2(n80340), .B1(n80347), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div[8]), .X(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N131) );
+  sky130_fd_sc_hd__nor2_1 U98377 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[8]), 
+        .B(n80341), .Y(n80344) );
+  sky130_fd_sc_hd__o2bb2ai_1 U98378 ( .B1(n80343), .B2(n80344), .A1_N(n80343), 
+        .A2_N(n80344), .Y(n80342) );
+  sky130_fd_sc_hd__a22o_1 U98379 ( .A1(n80348), .A2(n80342), .B1(n80347), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div[9]), .X(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N132) );
+  sky130_fd_sc_hd__nand2_1 U98380 ( .A(n80344), .B(n80343), .Y(n80346) );
+  sky130_fd_sc_hd__xnor2_1 U98381 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[10]), .B(n80346), .Y(n80345) );
+  sky130_fd_sc_hd__a22o_1 U98382 ( .A1(n80348), .A2(n80345), .B1(n80347), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div[10]), .X(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N133) );
+  sky130_fd_sc_hd__a22o_1 U98383 ( .A1(n80348), .A2(n38460), .B1(n80347), .B2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_ctrl_sck_div[11]), .X(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N134) );
+  sky130_fd_sc_hd__nand2_1 U98384 ( .A(n86752), .B(n80349), .Y(n80359) );
+  sky130_fd_sc_hd__nor3_1 U98385 ( .A(n80351), .B(n80350), .C(n80359), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_N86) );
+  sky130_fd_sc_hd__nand3_1 U98386 ( .A(n36846), .B(n80354), .C(n80369), .Y(
+        n80352) );
+  sky130_fd_sc_hd__o31ai_1 U98387 ( .A1(n80353), .A2(n80369), .A3(n80359), 
+        .B1(n80352), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_N87) );
+  sky130_fd_sc_hd__nor2_1 U98388 ( .A(n74033), .B(n80354), .Y(n80360) );
+  sky130_fd_sc_hd__a21oi_1 U98389 ( .A1(n84119), .A2(n80355), .B1(n80367), .Y(
+        n80358) );
+  sky130_fd_sc_hd__nand3_1 U98390 ( .A(n80358), .B(n80357), .C(n80356), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_N85) );
+  sky130_fd_sc_hd__a21oi_1 U98391 ( .A1(n80369), .A2(n84122), .B1(n80359), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_N77) );
+  sky130_fd_sc_hd__nand2b_1 U98392 ( .A_N(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_state[0]), 
+        .B(n84122), .Y(n80361) );
+  sky130_fd_sc_hd__a32oi_1 U98394 ( .A1(n80400), .A2(n80363), .A3(n80411), 
+        .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0__out_out_bits_data_WIRE_1_6[1]), .B2(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_cs_mode[1]), 
+        .Y(n80364) );
+  sky130_fd_sc_hd__a21oi_1 U98395 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_cs_mode[0]), 
+        .A2(n80410), .B1(n80364), .Y(n80365) );
+  sky130_fd_sc_hd__o21ai_1 U98396 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_cs_mode[0]), 
+        .A2(n80410), .B1(n80365), .Y(n80366) );
+  sky130_fd_sc_hd__a21oi_1 U98397 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_cs_assert), 
+        .A2(n80366), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_clear), .Y(
+        n80368) );
+  sky130_fd_sc_hd__nor2_1 U98398 ( .A(n80368), .B(n80367), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_N70) );
+  sky130_fd_sc_hd__nor3_1 U98399 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_cs_assert), 
+        .B(n80381), .C(n80369), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_N62) );
+  sky130_fd_sc_hd__nand2_1 U98400 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_cs_id), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac__GEN_35_0_), 
+        .Y(n80371) );
+  sky130_fd_sc_hd__a21oi_1 U98402 ( .A1(n80372), .A2(n80371), .B1(n80370), .Y(
+        n80373) );
+  sky130_fd_sc_hd__a21oi_1 U98403 ( .A1(n84121), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend[1]), 
+        .B1(n80373), .Y(n80374) );
+  sky130_fd_sc_hd__nor2b_1 U98405 ( .B_N(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac__GEN_35_0_), 
+        .A(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_cs_id), 
+        .Y(n80377) );
+  sky130_fd_sc_hd__a21oi_1 U98407 ( .A1(MarmotCaravelChip_dut_sys_spi_0_cs_0), 
+        .A2(n80377), .B1(n80376), .Y(n80378) );
+  sky130_fd_sc_hd__a21oi_1 U98408 ( .A1(n84121), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_out_prepend[0]), 
+        .B1(n80378), .Y(n80379) );
+  sky130_fd_sc_hd__o21ai_1 U98409 ( .A1(n80381), .A2(n80380), .B1(n80379), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_N51) );
+  sky130_fd_sc_hd__nand2_1 U98410 ( .A(n86753), .B(n80382), .Y(n36195) );
+  sky130_fd_sc_hd__nor2_1 U98411 ( .A(n80392), .B(n36195), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N52) );
+  sky130_fd_sc_hd__nor3_1 U98412 ( .A(n80386), .B(n80383), .C(n80387), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N46) );
+  sky130_fd_sc_hd__a221oi_1 U98413 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[2]), .A2(n80386), .B1(n80385), .B2(n80384), .C1(n80387), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N47) );
+  sky130_fd_sc_hd__nor2_1 U98414 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[0]), .B(n80387), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N45) );
+  sky130_fd_sc_hd__nand2_1 U98415 ( .A(n80388), .B(n80395), .Y(n80397) );
+  sky130_fd_sc_hd__nor2_1 U98416 ( .A(n83631), .B(n80397), .Y(n80389) );
+  sky130_fd_sc_hd__a22o_1 U98417 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_MPORT_addr[1]), .A2(n85838), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_MPORT_addr[0]), .B2(n80389), .X(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N40) );
+  sky130_fd_sc_hd__nor4_1 U98420 ( .A(n80395), .B(n80396), .C(n80393), .D(
+        n80392), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N28) );
+  sky130_fd_sc_hd__nor3_1 U98421 ( .A(n80395), .B(n80393), .C(n80394), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N29) );
+  sky130_fd_sc_hd__nor3_1 U98422 ( .A(n80396), .B(n80393), .C(n80397), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N30) );
+  sky130_fd_sc_hd__nor3_1 U98423 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_MPORT_addr[0]), .B(n80393), .C(n80397), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N31) );
+  sky130_fd_sc_hd__nor3_1 U98424 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_MPORT_addr[2]), .B(n80395), .C(n80394), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N33) );
+  sky130_fd_sc_hd__nor3_1 U98425 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_MPORT_addr[2]), .B(n80396), .C(n80397), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N34) );
+  sky130_fd_sc_hd__nor3_1 U98426 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_MPORT_addr[0]), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_MPORT_addr[2]), .C(n80397), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N35) );
+  sky130_fd_sc_hd__nor2_1 U98427 ( .A(n80399), .B(n80398), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N52) );
+  sky130_fd_sc_hd__nand2_1 U98428 ( .A(n86752), .B(n80400), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N44) );
+  sky130_fd_sc_hd__nor3_1 U98429 ( .A(n80404), .B(n80401), .C(n80412), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N46) );
+  sky130_fd_sc_hd__a221oi_1 U98430 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[2]), .A2(n80404), .B1(n80403), .B2(n80402), .C1(n80412), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N47) );
+  sky130_fd_sc_hd__nor2_1 U98431 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[0]), .B(n80412), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N45) );
+  sky130_fd_sc_hd__a21oi_1 U98432 ( .A1(n36801), .A2(n59507), .B1(n85839), .Y(
+        n80405) );
+  sky130_fd_sc_hd__o2bb2ai_1 U98433 ( .B1(n80405), .B2(n80408), .A1_N(n49230), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N32), 
+        .Y(MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N41)
+         );
+  sky130_fd_sc_hd__nor3_2 U98434 ( .A(n80408), .B(n80407), .C(n80409), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N28) );
+  sky130_fd_sc_hd__nor3_2 U98435 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_MPORT_addr[0]), .B(n80408), .C(n80409), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N29) );
+  sky130_fd_sc_hd__nor3_2 U98436 ( .A(n80408), .B(n80407), .C(n80406), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N30) );
+  sky130_fd_sc_hd__nor3_2 U98437 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_MPORT_addr[2]), .B(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_MPORT_addr[0]), .C(n80409), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_N33) );
+  sky130_fd_sc_hd__nor2_1 U98438 ( .A(n36848), .B(n80410), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_N16) );
+  sky130_fd_sc_hd__nor2_1 U98439 ( .A(n83631), .B(n80411), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_N17) );
+  sky130_fd_sc_hd__nor2_1 U98440 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_io_link_fmt_iodir), .B(n80412), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_N13) );
+  sky130_fd_sc_hd__nor3_1 U98441 ( .A(n84174), .B(n84176), .C(n81349), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N252) );
+  sky130_fd_sc_hd__nor3_1 U98442 ( .A(n84174), .B(n84176), .C(n81355), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N248) );
+  sky130_fd_sc_hd__nor2_1 U98443 ( .A(n81033), .B(n80413), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N240) );
+  sky130_fd_sc_hd__nor2_1 U98444 ( .A(n81035), .B(n80413), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N241) );
+  sky130_fd_sc_hd__nor2_1 U98445 ( .A(n81040), .B(n80414), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N242) );
+  sky130_fd_sc_hd__nor2_1 U98446 ( .A(n81029), .B(n80414), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N244) );
+  sky130_fd_sc_hd__nor2_1 U98447 ( .A(n81355), .B(n80415), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N225) );
+  sky130_fd_sc_hd__nor2_1 U98448 ( .A(n81349), .B(n80415), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N226) );
+  sky130_fd_sc_hd__nor2_1 U98449 ( .A(n81359), .B(n80415), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N235) );
+  sky130_fd_sc_hd__nor2_1 U98450 ( .A(n81355), .B(n80416), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N218) );
+  sky130_fd_sc_hd__nor2_1 U98451 ( .A(n81349), .B(n80416), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N219) );
+  sky130_fd_sc_hd__nor2_1 U98452 ( .A(n81040), .B(n80416), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N220) );
+  sky130_fd_sc_hd__nor2_1 U98453 ( .A(n81355), .B(n80417), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N211) );
+  sky130_fd_sc_hd__nor2_1 U98454 ( .A(n81349), .B(n80417), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N212) );
+  sky130_fd_sc_hd__nor2_1 U98455 ( .A(n81357), .B(n80417), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N214) );
+  sky130_fd_sc_hd__nor2b_1 U98456 ( .B_N(n36194), .A(n81033), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N189) );
+  sky130_fd_sc_hd__nor2_1 U98457 ( .A(n81349), .B(n80418), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N190) );
+  sky130_fd_sc_hd__nor2_1 U98458 ( .A(n81357), .B(n80418), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N192) );
+  sky130_fd_sc_hd__nor2b_1 U98459 ( .B_N(n36184), .A(n80729), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N178) );
+  sky130_fd_sc_hd__nor2b_1 U98460 ( .B_N(n36193), .A(n81033), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N167) );
+  sky130_fd_sc_hd__nor2_1 U98461 ( .A(n81349), .B(n80419), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N168) );
+  sky130_fd_sc_hd__nor2_1 U98462 ( .A(n81040), .B(n80419), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N169) );
+  sky130_fd_sc_hd__nor2_1 U98463 ( .A(n81357), .B(n80419), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N170) );
+  sky130_fd_sc_hd__nor3_1 U98464 ( .A(n84177), .B(n84176), .C(n81355), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N162) );
+  sky130_fd_sc_hd__nor3_1 U98465 ( .A(n84177), .B(n84176), .C(n81349), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N163) );
+  sky130_fd_sc_hd__nor3_1 U98466 ( .A(n84173), .B(n84176), .C(n81355), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N156) );
+  sky130_fd_sc_hd__nand2_1 U98467 ( .A(n80421), .B(n80420), .Y(n84175) );
+  sky130_fd_sc_hd__nor2_1 U98468 ( .A(n81355), .B(n84175), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N152) );
+  sky130_fd_sc_hd__nor2_1 U98469 ( .A(n81349), .B(n84175), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N148) );
+  sky130_fd_sc_hd__nor2_1 U98470 ( .A(n81033), .B(n80422), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N133) );
+  sky130_fd_sc_hd__nor2_1 U98471 ( .A(n81035), .B(n80422), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N134) );
+  sky130_fd_sc_hd__nor2_1 U98472 ( .A(n81040), .B(n80423), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N135) );
+  sky130_fd_sc_hd__nor2_1 U98473 ( .A(n81357), .B(n80423), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N136) );
+  sky130_fd_sc_hd__nor2_1 U98474 ( .A(n81359), .B(n80423), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N143) );
+  sky130_fd_sc_hd__nor2b_1 U98476 ( .B_N(n36183), .A(n80425), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N129) );
+  sky130_fd_sc_hd__nor2_1 U98477 ( .A(n81357), .B(n80426), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N122) );
+  sky130_fd_sc_hd__nor2_1 U98478 ( .A(n81355), .B(n80426), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N111) );
+  sky130_fd_sc_hd__nor2_1 U98479 ( .A(n81349), .B(n80426), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_N112) );
+  sky130_fd_sc_hd__a22o_1 U98481 ( .A1(n80432), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_5[2]), .B1(n80431), .B2(n80430), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N172) );
+  sky130_fd_sc_hd__o22ai_1 U98482 ( .A1(n80574), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_fmt_proto[0]), .B1(n80510), .B2(n85840), .Y(n80505) );
+  sky130_fd_sc_hd__a22oi_1 U98483 ( .A1(n80574), .A2(n85841), .B1(n80510), 
+        .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_fmt_proto[1]), .Y(n80436) );
+  sky130_fd_sc_hd__or4_1 U98484 ( .A(n37041), .B(n80511), .C(n80433), .D(
+        n80436), .X(n80516) );
+  sky130_fd_sc_hd__o22ai_1 U98485 ( .A1(n85843), .A2(n80435), .B1(n80532), 
+        .B2(n80434), .Y(n80537) );
+  sky130_fd_sc_hd__o22ai_1 U98486 ( .A1(n80574), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy__io_rx_bits_T_9[3]), .B1(n80510), .B2(n80537), .Y(n80507) );
+  sky130_fd_sc_hd__nand3_1 U98487 ( .A(n79910), .B(n80436), .C(n85842), .Y(
+        n80513) );
+  sky130_fd_sc_hd__a222oi_1 U98488 ( .A1(n80477), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[7]), .B1(n80478), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[23]), .C1(n80476), .C2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[15]), .Y(n80447) );
+  sky130_fd_sc_hd__a22oi_1 U98489 ( .A1(n80712), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[7]), .B1(n80709), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[31]), .Y(n80438) );
+  sky130_fd_sc_hd__a22oi_1 U98490 ( .A1(n80489), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[23]), .B1(n80490), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[15]), .Y(n80437) );
+  sky130_fd_sc_hd__a21oi_1 U98491 ( .A1(n80438), .A2(n80437), .B1(n80491), .Y(
+        n80445) );
+  sky130_fd_sc_hd__a22oi_1 U98492 ( .A1(n80490), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[47]), .B1(n80709), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[63]), .Y(n80440) );
+  sky130_fd_sc_hd__a22oi_1 U98493 ( .A1(n80712), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[39]), .B1(n80489), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[55]), .Y(n80439) );
+  sky130_fd_sc_hd__a21oi_1 U98494 ( .A1(n80440), .A2(n80439), .B1(n80486), .Y(
+        n80444) );
+  sky130_fd_sc_hd__a22oi_1 U98495 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[7]), .A2(n80495), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[23]), .B2(n80494), .Y(n80442) );
+  sky130_fd_sc_hd__a22oi_1 U98496 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[31]), .A2(n80497), .B1(n80496), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_wdata[7]), .Y(n80441) );
+  sky130_fd_sc_hd__a21oi_1 U98497 ( .A1(n80442), .A2(n80441), .B1(n80498), .Y(
+        n80443) );
+  sky130_fd_sc_hd__nor3_1 U98498 ( .A(n80445), .B(n80444), .C(n80443), .Y(
+        n80446) );
+  sky130_fd_sc_hd__a22oi_1 U98500 ( .A1(n80490), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[40]), .B1(n80709), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[56]), .Y(n80449) );
+  sky130_fd_sc_hd__a22oi_1 U98501 ( .A1(n80712), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[32]), .B1(n80489), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[48]), .Y(n80448) );
+  sky130_fd_sc_hd__a21oi_1 U98502 ( .A1(n80449), .A2(n80448), .B1(n80486), .Y(
+        n80463) );
+  sky130_fd_sc_hd__a22oi_1 U98503 ( .A1(n80490), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[8]), .B1(n80709), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[24]), .Y(n80451) );
+  sky130_fd_sc_hd__a22oi_1 U98504 ( .A1(n80712), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[0]), .B1(n80489), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[16]), .Y(n80450) );
+  sky130_fd_sc_hd__a21oi_1 U98505 ( .A1(n80451), .A2(n80450), .B1(n80491), .Y(
+        n80462) );
+  sky130_fd_sc_hd__nand2_1 U98506 ( .A(n80475), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[24]), .Y(n80455) );
+  sky130_fd_sc_hd__nand2_1 U98507 ( .A(n80476), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[8]), .Y(n80454) );
+  sky130_fd_sc_hd__nand2_1 U98508 ( .A(n80477), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[0]), .Y(n80453) );
+  sky130_fd_sc_hd__nand2_1 U98509 ( .A(n80478), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[16]), .Y(n80452) );
+  sky130_fd_sc_hd__nand4_1 U98510 ( .A(n80455), .B(n80454), .C(n80453), .D(
+        n80452), .Y(n80456) );
+  sky130_fd_sc_hd__nand2b_1 U98511 ( .A_N(n80484), .B(n80456), .Y(n80457) );
+  sky130_fd_sc_hd__a22oi_1 U98512 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[0]), .A2(n80495), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[16]), .B2(n80494), .Y(n80459) );
+  sky130_fd_sc_hd__a22oi_1 U98513 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[24]), .A2(n80497), .B1(n80496), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_wdata[0]), .Y(n80458) );
+  sky130_fd_sc_hd__a21oi_1 U98514 ( .A1(n80459), .A2(n80458), .B1(n80498), .Y(
+        n80460) );
+  sky130_fd_sc_hd__or4_1 U98515 ( .A(n80463), .B(n80462), .C(n80461), .D(
+        n80460), .X(n80523) );
+  sky130_fd_sc_hd__o22ai_1 U98516 ( .A1(n85843), .A2(n80522), .B1(n80532), 
+        .B2(n80523), .Y(n80570) );
+  sky130_fd_sc_hd__o22ai_1 U98517 ( .A1(n80574), .A2(n80562), .B1(n80510), 
+        .B2(n80570), .Y(n80517) );
+  sky130_fd_sc_hd__a222oi_1 U98518 ( .A1(n80477), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[6]), .B1(n80478), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[22]), .C1(n80476), .C2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[14]), .Y(n80474) );
+  sky130_fd_sc_hd__a22oi_1 U98519 ( .A1(n80712), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[38]), .B1(n80490), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[46]), .Y(n80465) );
+  sky130_fd_sc_hd__a22oi_1 U98520 ( .A1(n80489), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[54]), .B1(n80709), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[62]), .Y(n80464) );
+  sky130_fd_sc_hd__a21oi_1 U98521 ( .A1(n80465), .A2(n80464), .B1(n80486), .Y(
+        n80472) );
+  sky130_fd_sc_hd__a22oi_1 U98522 ( .A1(n80489), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[22]), .B1(n80709), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[30]), .Y(n80467) );
+  sky130_fd_sc_hd__a22oi_1 U98523 ( .A1(n80712), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[6]), .B1(n80490), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[14]), .Y(n80466) );
+  sky130_fd_sc_hd__a21oi_1 U98524 ( .A1(n80467), .A2(n80466), .B1(n80491), .Y(
+        n80471) );
+  sky130_fd_sc_hd__a22oi_1 U98525 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[6]), .A2(n80495), .B1(n80496), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_wdata[6]), .Y(n80469) );
+  sky130_fd_sc_hd__a22oi_1 U98526 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[22]), .A2(n80494), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[30]), .B2(n80497), .Y(n80468) );
+  sky130_fd_sc_hd__a21oi_1 U98527 ( .A1(n80469), .A2(n80468), .B1(n80498), .Y(
+        n80470) );
+  sky130_fd_sc_hd__nor3_1 U98528 ( .A(n80472), .B(n80471), .C(n80470), .Y(
+        n80473) );
+  sky130_fd_sc_hd__nand2_1 U98530 ( .A(n80475), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[25]), .Y(n80482) );
+  sky130_fd_sc_hd__nand2_1 U98531 ( .A(n80476), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[9]), .Y(n80481) );
+  sky130_fd_sc_hd__nand2_1 U98532 ( .A(n80477), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[1]), .Y(n80480) );
+  sky130_fd_sc_hd__nand2_1 U98533 ( .A(n80478), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[17]), .Y(n80479) );
+  sky130_fd_sc_hd__nand4_1 U98534 ( .A(n80482), .B(n80481), .C(n80480), .D(
+        n80479), .Y(n80483) );
+  sky130_fd_sc_hd__nand2b_1 U98535 ( .A_N(n80484), .B(n80483), .Y(n80485) );
+  sky130_fd_sc_hd__a22oi_1 U98536 ( .A1(n80489), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[49]), .B1(n80709), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[57]), .Y(n80488) );
+  sky130_fd_sc_hd__a22oi_1 U98537 ( .A1(n80712), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[33]), .B1(n80490), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[41]), .Y(n80487) );
+  sky130_fd_sc_hd__a21oi_1 U98538 ( .A1(n80488), .A2(n80487), .B1(n80486), .Y(
+        n80503) );
+  sky130_fd_sc_hd__a22oi_1 U98539 ( .A1(n80712), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[1]), .B1(n80489), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[17]), .Y(n80493) );
+  sky130_fd_sc_hd__a22oi_1 U98540 ( .A1(n80490), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[9]), .B1(n80709), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram[25]), .Y(n80492) );
+  sky130_fd_sc_hd__a21oi_1 U98541 ( .A1(n80493), .A2(n80492), .B1(n80491), .Y(
+        n80502) );
+  sky130_fd_sc_hd__a22oi_1 U98542 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[1]), .A2(n80495), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[17]), .B2(n80494), .Y(n80500) );
+  sky130_fd_sc_hd__a22oi_1 U98543 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_7[25]), .A2(n80497), .B1(n80496), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_wdata[1]), .Y(n80499) );
+  sky130_fd_sc_hd__a21oi_1 U98544 ( .A1(n80500), .A2(n80499), .B1(n80498), .Y(
+        n80501) );
+  sky130_fd_sc_hd__or4_1 U98545 ( .A(n80504), .B(n80503), .C(n80502), .D(
+        n80501), .X(n80533) );
+  sky130_fd_sc_hd__o22ai_1 U98546 ( .A1(n85843), .A2(n80531), .B1(n80532), 
+        .B2(n80533), .Y(n80558) );
+  sky130_fd_sc_hd__o22ai_1 U98547 ( .A1(n80574), .A2(n80566), .B1(n80510), 
+        .B2(n80558), .Y(n80515) );
+  sky130_fd_sc_hd__o22ai_1 U98548 ( .A1(n80511), .A2(n80517), .B1(n80505), 
+        .B2(n80515), .Y(n80506) );
+  sky130_fd_sc_hd__o22ai_1 U98549 ( .A1(n80516), .A2(n80507), .B1(n80513), 
+        .B2(n80506), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N248) );
+  sky130_fd_sc_hd__o22ai_1 U98550 ( .A1(n85843), .A2(n80509), .B1(n80532), 
+        .B2(n80508), .Y(n80547) );
+  sky130_fd_sc_hd__o22ai_1 U98551 ( .A1(n80574), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy__io_rx_bits_T_9[2]), .B1(n80510), .B2(n80547), .Y(n80514) );
+  sky130_fd_sc_hd__nand2_1 U98552 ( .A(n80511), .B(n80517), .Y(n80512) );
+  sky130_fd_sc_hd__o22ai_1 U98553 ( .A1(n80516), .A2(n80514), .B1(n80513), 
+        .B2(n80512), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N249) );
+  sky130_fd_sc_hd__nor2b_1 U98554 ( .B_N(n80515), .A(n80516), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N250) );
+  sky130_fd_sc_hd__nor2b_1 U98555 ( .B_N(n80517), .A(n80516), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N251) );
+  sky130_fd_sc_hd__nor2_1 U98556 ( .A(n80527), .B(n80518), .Y(n80529) );
+  sky130_fd_sc_hd__nor2_1 U98557 ( .A(n80542), .B(n80541), .Y(n80520) );
+  sky130_fd_sc_hd__nand2_1 U98558 ( .A(n80526), .B(
+        MarmotCaravelChip_dut_sys_qspi_ram_0_dq_1_i_spi_dq_1_sync_io_q), .Y(
+        n80519) );
+  sky130_fd_sc_hd__o32ai_1 U98559 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_sample_d), .A2(n80520), .A3(n80539), .B1(n80519), .B2(n80518), .Y(n80521) );
+  sky130_fd_sc_hd__a21oi_1 U98560 ( .A1(n80529), .A2(
+        MarmotCaravelChip_dut_sys_qspi_ram_0_dq_0_i_spi_dq_0_sync_io_q), .B1(
+        n80521), .Y(n80525) );
+  sky130_fd_sc_hd__o22ai_1 U98561 ( .A1(n85843), .A2(n80523), .B1(n80532), 
+        .B2(n80522), .Y(n80524) );
+  sky130_fd_sc_hd__o22ai_1 U98562 ( .A1(n80536), .A2(n80525), .B1(n80582), 
+        .B2(n80524), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N237) );
+  sky130_fd_sc_hd__o2bb2ai_1 U98563 ( .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_sample_d), .B2(n80527), .A1_N(n80526), .A2_N(n80540), .Y(n80530) );
+  sky130_fd_sc_hd__a222oi_1 U98564 ( .A1(n80530), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy__io_rx_bits_T_9[6]), .B1(MarmotCaravelChip_dut_sys_qspi_ram_0_dq_1_i_spi_dq_1_sync_io_q), .B2(
+        n80529), .C1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy__io_rx_bits_T_9[7]), .C2(n80528), .Y(n80535) );
+  sky130_fd_sc_hd__o22ai_1 U98565 ( .A1(n85843), .A2(n80533), .B1(n80532), 
+        .B2(n80531), .Y(n80534) );
+  sky130_fd_sc_hd__o22ai_1 U98566 ( .A1(n80536), .A2(n80535), .B1(n80582), 
+        .B2(n80534), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N238) );
+  sky130_fd_sc_hd__nand2_1 U98567 ( .A(n80542), .B(n80538), .Y(n80563) );
+  sky130_fd_sc_hd__o22ai_1 U98568 ( .A1(n80539), .A2(n80563), .B1(n80554), 
+        .B2(n80559), .Y(n80544) );
+  sky130_fd_sc_hd__o22ai_1 U98570 ( .A1(n80553), .A2(n80561), .B1(n80564), 
+        .B2(n80565), .Y(n80543) );
+  sky130_fd_sc_hd__o21ai_1 U98572 ( .A1(n80582), .A2(n80546), .B1(n80545), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N241) );
+  sky130_fd_sc_hd__o22ai_1 U98573 ( .A1(n80560), .A2(n80561), .B1(n80564), 
+        .B2(n80559), .Y(n80550) );
+  sky130_fd_sc_hd__o22ai_1 U98574 ( .A1(n80548), .A2(n80563), .B1(n80553), 
+        .B2(n80565), .Y(n80549) );
+  sky130_fd_sc_hd__o21ai_1 U98576 ( .A1(n80582), .A2(n80552), .B1(n80551), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N242) );
+  sky130_fd_sc_hd__o22ai_1 U98577 ( .A1(n80554), .A2(n80563), .B1(n80553), 
+        .B2(n80559), .Y(n80556) );
+  sky130_fd_sc_hd__o22ai_1 U98578 ( .A1(n80566), .A2(n80561), .B1(n80560), 
+        .B2(n80565), .Y(n80555) );
+  sky130_fd_sc_hd__o21ai_0 U98580 ( .A1(n80582), .A2(n80558), .B1(n80557), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N243) );
+  sky130_fd_sc_hd__o22ai_1 U98581 ( .A1(n80562), .A2(n80561), .B1(n80560), 
+        .B2(n80559), .Y(n80568) );
+  sky130_fd_sc_hd__o22ai_1 U98582 ( .A1(n80566), .A2(n80565), .B1(n80564), 
+        .B2(n80563), .Y(n80567) );
+  sky130_fd_sc_hd__o21ai_1 U98583 ( .A1(n80568), .A2(n80567), .B1(n80582), .Y(
+        n80569) );
+  sky130_fd_sc_hd__o21ai_0 U98584 ( .A1(n80582), .A2(n80570), .B1(n80569), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N244) );
+  sky130_fd_sc_hd__xnor2_1 U98585 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_pha), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_pol), .Y(n80581) );
+  sky130_fd_sc_hd__xnor2_1 U98586 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_cref), .B(n80581), .Y(n80571) );
+  sky130_fd_sc_hd__o22ai_1 U98587 ( .A1(n80573), .A2(io_out[21]), .B1(n80572), 
+        .B2(n80571), .Y(n80578) );
+  sky130_fd_sc_hd__nand2_1 U98588 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt[0]), .B(n80574), .Y(n80577) );
+  sky130_fd_sc_hd__o21ai_1 U98589 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_pol), .A2(n80577), .B1(n80575), .Y(n80576) );
+  sky130_fd_sc_hd__a21oi_1 U98590 ( .A1(n80578), .A2(n80577), .B1(n80576), .Y(
+        n80579) );
+  sky130_fd_sc_hd__a21oi_1 U98591 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N268), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_9[1]), .B1(n80579), .Y(n80580) );
+  sky130_fd_sc_hd__o21ai_1 U98592 ( .A1(n80582), .A2(n80581), .B1(n80580), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N209) );
+  sky130_fd_sc_hd__nor3_1 U98593 ( .A(n80584), .B(n74033), .C(n80583), .Y(
+        n80590) );
+  sky130_fd_sc_hd__o22ai_1 U98594 ( .A1(n80586), .A2(n80590), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr_last[0]), .B2(n80585), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N193) );
+  sky130_fd_sc_hd__nor2_1 U98595 ( .A(n80588), .B(n80587), .Y(n80592) );
+  sky130_fd_sc_hd__o22ai_1 U98596 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[0]), .A2(n80595), .B1(n80590), .B2(n80589), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N156) );
+  sky130_fd_sc_hd__o2bb2ai_1 U98597 ( .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[0]), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[1]), .A1_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[0]), .A2_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[1]), .Y(n80591) );
+  sky130_fd_sc_hd__nand2_1 U98598 ( .A(n80592), .B(n80591), .Y(n80593) );
+  sky130_fd_sc_hd__nand2_1 U98599 ( .A(n80594), .B(n80593), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N157) );
+  sky130_fd_sc_hd__nor2_1 U98600 ( .A(n36802), .B(n80595), .Y(n80635) );
+  sky130_fd_sc_hd__nor2_1 U98601 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[0]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[1]), .Y(n80596) );
+  sky130_fd_sc_hd__xor2_1 U98602 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[2]), .B(n80596), .X(n80597) );
+  sky130_fd_sc_hd__nand2_1 U98603 ( .A(n80635), .B(n80597), .Y(n80598) );
+  sky130_fd_sc_hd__nand2_1 U98604 ( .A(n80599), .B(n80598), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N158) );
+  sky130_fd_sc_hd__nor3_1 U98605 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[2]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[0]), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[1]), .Y(n80600) );
+  sky130_fd_sc_hd__xor2_1 U98606 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[3]), .B(n80600), .X(n80601) );
+  sky130_fd_sc_hd__nand2_1 U98607 ( .A(n80635), .B(n80601), .Y(n80602) );
+  sky130_fd_sc_hd__nand2_1 U98608 ( .A(n80603), .B(n80602), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N159) );
+  sky130_fd_sc_hd__or4_1 U98609 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[3]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[2]), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[0]), .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[1]), .X(n80607) );
+  sky130_fd_sc_hd__xnor2_1 U98610 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[4]), .B(n80607), .Y(n80604) );
+  sky130_fd_sc_hd__nand2_1 U98611 ( .A(n80635), .B(n80604), .Y(n80605) );
+  sky130_fd_sc_hd__nand2_1 U98612 ( .A(n80606), .B(n80605), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N160) );
+  sky130_fd_sc_hd__nor2_1 U98613 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[4]), .B(n80607), .Y(n80612) );
+  sky130_fd_sc_hd__o2bb2ai_1 U98614 ( .B1(n80611), .B2(n80612), .A1_N(n80611), 
+        .A2_N(n80612), .Y(n80608) );
+  sky130_fd_sc_hd__nand2_1 U98615 ( .A(n80635), .B(n80608), .Y(n80609) );
+  sky130_fd_sc_hd__nand2_1 U98616 ( .A(n80610), .B(n80609), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N161) );
+  sky130_fd_sc_hd__nand2_1 U98617 ( .A(n80612), .B(n80611), .Y(n80616) );
+  sky130_fd_sc_hd__xnor2_1 U98618 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[6]), .B(n80616), .Y(n80613) );
+  sky130_fd_sc_hd__nand2_1 U98619 ( .A(n80635), .B(n80613), .Y(n80614) );
+  sky130_fd_sc_hd__nand2_1 U98620 ( .A(n80615), .B(n80614), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N162) );
+  sky130_fd_sc_hd__nor2_1 U98621 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[6]), .B(n80616), .Y(n80621) );
+  sky130_fd_sc_hd__o2bb2ai_1 U98622 ( .B1(n80620), .B2(n80621), .A1_N(n80620), 
+        .A2_N(n80621), .Y(n80617) );
+  sky130_fd_sc_hd__nand2_1 U98623 ( .A(n80635), .B(n80617), .Y(n80618) );
+  sky130_fd_sc_hd__nand2_1 U98624 ( .A(n80619), .B(n80618), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N163) );
+  sky130_fd_sc_hd__nand2_1 U98625 ( .A(n80621), .B(n80620), .Y(n80625) );
+  sky130_fd_sc_hd__xnor2_1 U98626 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[8]), .B(n80625), .Y(n80622) );
+  sky130_fd_sc_hd__nand2_1 U98627 ( .A(n80635), .B(n80622), .Y(n80623) );
+  sky130_fd_sc_hd__nand2_1 U98628 ( .A(n80624), .B(n80623), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N164) );
+  sky130_fd_sc_hd__nor2_1 U98629 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[8]), .B(n80625), .Y(n80630) );
+  sky130_fd_sc_hd__o2bb2ai_1 U98630 ( .B1(n80629), .B2(n80630), .A1_N(n80629), 
+        .A2_N(n80630), .Y(n80626) );
+  sky130_fd_sc_hd__nand2_1 U98631 ( .A(n80635), .B(n80626), .Y(n80627) );
+  sky130_fd_sc_hd__nand2_1 U98632 ( .A(n80628), .B(n80627), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N165) );
+  sky130_fd_sc_hd__nand2_1 U98633 ( .A(n80630), .B(n80629), .Y(n80634) );
+  sky130_fd_sc_hd__xnor2_1 U98634 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_del_cntr[10]), .B(n80634), .Y(n80631) );
+  sky130_fd_sc_hd__nand2_1 U98635 ( .A(n80635), .B(n80631), .Y(n80632) );
+  sky130_fd_sc_hd__nand2_1 U98636 ( .A(n80633), .B(n80632), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N166) );
+  sky130_fd_sc_hd__nand2_1 U98637 ( .A(n80635), .B(n38412), .Y(n80636) );
+  sky130_fd_sc_hd__nand2_1 U98638 ( .A(n80637), .B(n80636), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N167) );
+  sky130_fd_sc_hd__nand2_1 U98639 ( .A(n80639), .B(n80638), .Y(n80657) );
+  sky130_fd_sc_hd__nand2_1 U98640 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div[0]), .B(n80657), .Y(n80640) );
+  sky130_fd_sc_hd__a22o_1 U98642 ( .A1(n80658), .A2(n80641), .B1(n80657), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div[1]), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N124) );
+  sky130_fd_sc_hd__a22o_1 U98643 ( .A1(n80658), .A2(n80642), .B1(n80657), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div[2]), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N125) );
+  sky130_fd_sc_hd__a22o_1 U98644 ( .A1(n80658), .A2(n80643), .B1(n80657), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div[3]), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N126) );
+  sky130_fd_sc_hd__a22o_1 U98645 ( .A1(n80658), .A2(n80644), .B1(n80657), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div[4]), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N127) );
+  sky130_fd_sc_hd__a22o_1 U98646 ( .A1(n80658), .A2(n80645), .B1(n80657), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div[5]), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N128) );
+  sky130_fd_sc_hd__a22o_1 U98647 ( .A1(n80658), .A2(n80646), .B1(n80657), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div[6]), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N129) );
+  sky130_fd_sc_hd__a22o_1 U98648 ( .A1(n80658), .A2(n80647), .B1(n80657), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div[7]), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N130) );
+  sky130_fd_sc_hd__nand2_1 U98649 ( .A(n80649), .B(n80648), .Y(n80651) );
+  sky130_fd_sc_hd__xnor2_1 U98650 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[8]), .B(n80651), .Y(n80650) );
+  sky130_fd_sc_hd__a22o_1 U98651 ( .A1(n80658), .A2(n80650), .B1(n80657), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div[8]), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N131) );
+  sky130_fd_sc_hd__nor2_1 U98652 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[8]), .B(n80651), .Y(n80654) );
+  sky130_fd_sc_hd__o2bb2ai_1 U98653 ( .B1(n80653), .B2(n80654), .A1_N(n80653), 
+        .A2_N(n80654), .Y(n80652) );
+  sky130_fd_sc_hd__a22o_1 U98654 ( .A1(n80658), .A2(n80652), .B1(n80657), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div[9]), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N132) );
+  sky130_fd_sc_hd__nand2_1 U98655 ( .A(n80654), .B(n80653), .Y(n80656) );
+  sky130_fd_sc_hd__xnor2_1 U98656 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[10]), .B(n80656), .Y(n80655) );
+  sky130_fd_sc_hd__a22o_1 U98657 ( .A1(n80658), .A2(n80655), .B1(n80657), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div[10]), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N133) );
+  sky130_fd_sc_hd__a22o_1 U98658 ( .A1(n80658), .A2(n38386), .B1(n80657), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_ctrl_sck_div[11]), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N134) );
+  sky130_fd_sc_hd__nand3_1 U98659 ( .A(n83116), .B(n80673), .C(n80669), .Y(
+        n80664) );
+  sky130_fd_sc_hd__nor3b_1 U98660 ( .C_N(n80660), .A(n80659), .B(n80664), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_N75)
+         );
+  sky130_fd_sc_hd__nand3_1 U98661 ( .A(n49230), .B(n80662), .C(n80661), .Y(
+        n80663) );
+  sky130_fd_sc_hd__a211oi_1 U98663 ( .A1(n80669), .A2(n80668), .B1(n80667), 
+        .C1(n80666), .Y(n80671) );
+  sky130_fd_sc_hd__nand2_1 U98664 ( .A(n80671), .B(n80670), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_N74)
+         );
+  sky130_fd_sc_hd__nor2b_1 U98665 ( .B_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac__GEN_31_0_), .A(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_cs_id), 
+        .Y(n80672) );
+  sky130_fd_sc_hd__xnor2_1 U98666 ( .A(io_out[20]), .B(n80672), .Y(n80678) );
+  sky130_fd_sc_hd__o221ai_1 U98667 ( .A1(n80676), .A2(n80675), .B1(n80674), 
+        .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_5_0_), .C1(n80673), .Y(n80677) );
+  sky130_fd_sc_hd__o21ai_1 U98668 ( .A1(n80679), .A2(n80678), .B1(n80677), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_N48)
+         );
+  sky130_fd_sc_hd__nand2_1 U98669 ( .A(n83116), .B(n80699), .Y(n36630) );
+  sky130_fd_sc_hd__nand4_1 U98670 ( .A(n80684), .B(n80683), .C(n80682), .D(
+        n83653), .Y(n80685) );
+  sky130_fd_sc_hd__nor2_1 U98671 ( .A(n80685), .B(n84169), .Y(n80689) );
+  sky130_fd_sc_hd__a21oi_1 U98672 ( .A1(n80689), .A2(n80699), .B1(n36848), .Y(
+        n80686) );
+  sky130_fd_sc_hd__nand2_1 U98674 ( .A(n36801), .B(n80687), .Y(n36178) );
+  sky130_fd_sc_hd__nor2_1 U98675 ( .A(n80699), .B(n36178), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N52) );
+  sky130_fd_sc_hd__nor4_1 U98676 ( .A(n80692), .B(n80688), .C(n83631), .D(
+        n80687), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N46) );
+  sky130_fd_sc_hd__nand2_1 U98677 ( .A(n36801), .B(n80689), .Y(n80693) );
+  sky130_fd_sc_hd__a221oi_1 U98678 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[2]), .A2(n80692), .B1(n80691), .B2(n80690), .C1(n80693), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N47) );
+  sky130_fd_sc_hd__nor2_1 U98679 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[0]), .B(n80693), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N45) );
+  sky130_fd_sc_hd__nor3_1 U98680 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_MPORT_addr[1]), .B(n37041), .C(n80699), .Y(n80694) );
+  sky130_fd_sc_hd__a32o_1 U98681 ( .A1(n36801), .A2(n80704), .A3(n80697), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_MPORT_addr[0]), .B2(n80694), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N40) );
+  sky130_fd_sc_hd__nand2_1 U98684 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_MPORT_addr[2]), .B(n80697), .Y(n80698) );
+  sky130_fd_sc_hd__nor2_1 U98685 ( .A(n80704), .B(n80698), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N28) );
+  sky130_fd_sc_hd__nor2_1 U98686 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_MPORT_addr[0]), .B(n80698), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N29) );
+  sky130_fd_sc_hd__nor2_1 U98687 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_MPORT_addr[1]), .B(n80699), .Y(n80703) );
+  sky130_fd_sc_hd__nand2_1 U98688 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_MPORT_addr[2]), .B(n80703), .Y(n80700) );
+  sky130_fd_sc_hd__nor2_1 U98689 ( .A(n80704), .B(n80700), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N30) );
+  sky130_fd_sc_hd__nor2_1 U98690 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_MPORT_addr[0]), .B(n80700), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N31) );
+  sky130_fd_sc_hd__nor2_1 U98691 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_MPORT_addr[0]), .B(n80701), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N33) );
+  sky130_fd_sc_hd__nand2_1 U98692 ( .A(n80703), .B(n80702), .Y(n80705) );
+  sky130_fd_sc_hd__nor2_1 U98693 ( .A(n80704), .B(n80705), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N34) );
+  sky130_fd_sc_hd__nor2_1 U98694 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_MPORT_addr[0]), .B(n80705), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N35) );
+  sky130_fd_sc_hd__nor2_1 U98695 ( .A(n36802), .B(n80707), .Y(n84187) );
+  sky130_fd_sc_hd__nor2_1 U98696 ( .A(n36802), .B(n80706), .Y(n80708) );
+  sky130_fd_sc_hd__o22ai_1 U98697 ( .A1(n84187), .A2(n80708), .B1(n80707), 
+        .B2(n80706), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N51) );
+  sky130_fd_sc_hd__nor2b_1 U98698 ( .B_N(n80707), .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N44), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N52) );
+  sky130_fd_sc_hd__nor3_1 U98699 ( .A(n80712), .B(n80709), .C(n80717), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N46) );
+  sky130_fd_sc_hd__a221oi_1 U98700 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_io_deq_bits_MPORT_addr[2]), .A2(n80712), .B1(n80711), .B2(n80710), .C1(n80717), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N47) );
+  sky130_fd_sc_hd__nor2_1 U98701 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_io_deq_bits_MPORT_addr[0]), .B(n80717), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N45) );
+  sky130_fd_sc_hd__o21ai_0 U98703 ( .A1(n80715), .A2(n37041), .B1(n80714), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_N41) );
+  sky130_fd_sc_hd__nor2_1 U98704 ( .A(n36802), .B(n80716), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_N17) );
+  sky130_fd_sc_hd__nor2_1 U98705 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_io_link_fmt_iodir), .B(n80717), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_N13) );
+  sky130_fd_sc_hd__nor2_1 U98706 ( .A(n81037), .B(n80718), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N332) );
+  sky130_fd_sc_hd__nor2_1 U98707 ( .A(n81035), .B(n80719), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N302) );
+  sky130_fd_sc_hd__nor2_1 U98708 ( .A(n81355), .B(n80720), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N286) );
+  sky130_fd_sc_hd__nor2b_1 U98709 ( .B_N(n36177), .A(n81035), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N287) );
+  sky130_fd_sc_hd__nor2_1 U98710 ( .A(n81357), .B(n80720), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N289) );
+  sky130_fd_sc_hd__nor2_1 U98711 ( .A(n80729), .B(n80721), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N274) );
+  sky130_fd_sc_hd__nor2_1 U98712 ( .A(n81019), .B(n80721), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N275) );
+  sky130_fd_sc_hd__nand2_1 U98713 ( .A(n80722), .B(n80736), .Y(n84181) );
+  sky130_fd_sc_hd__nor2_1 U98714 ( .A(n81349), .B(n84181), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N265) );
+  sky130_fd_sc_hd__nor2_1 U98715 ( .A(n81355), .B(n84181), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N261) );
+  sky130_fd_sc_hd__nor2_1 U98716 ( .A(n81033), .B(n80723), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N253) );
+  sky130_fd_sc_hd__nor2_1 U98717 ( .A(n81035), .B(n80723), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N254) );
+  sky130_fd_sc_hd__nor2_1 U98718 ( .A(n81040), .B(n80724), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N255) );
+  sky130_fd_sc_hd__nor2_1 U98719 ( .A(n81357), .B(n80724), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N256) );
+  sky130_fd_sc_hd__nor2_1 U98720 ( .A(n81029), .B(n80724), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N257) );
+  sky130_fd_sc_hd__nor2_1 U98721 ( .A(n81355), .B(n80725), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N238) );
+  sky130_fd_sc_hd__nor2_1 U98722 ( .A(n81349), .B(n80725), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N239) );
+  sky130_fd_sc_hd__nor2_1 U98723 ( .A(n81040), .B(n80725), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N240) );
+  sky130_fd_sc_hd__nor2_1 U98724 ( .A(n81357), .B(n80725), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N241) );
+  sky130_fd_sc_hd__nor2_1 U98725 ( .A(n81359), .B(n80725), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N248) );
+  sky130_fd_sc_hd__nand2_1 U98726 ( .A(n80726), .B(n80736), .Y(n84183) );
+  sky130_fd_sc_hd__nor2_1 U98727 ( .A(n81355), .B(n84183), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N231) );
+  sky130_fd_sc_hd__nor2_1 U98728 ( .A(n81349), .B(n84183), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N232) );
+  sky130_fd_sc_hd__nor2_1 U98729 ( .A(n81040), .B(n84183), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N233) );
+  sky130_fd_sc_hd__nor2_1 U98730 ( .A(n81357), .B(n84183), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N234) );
+  sky130_fd_sc_hd__nand2_1 U98731 ( .A(n80727), .B(n80736), .Y(n84184) );
+  sky130_fd_sc_hd__nor2_1 U98732 ( .A(n81355), .B(n84184), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N224) );
+  sky130_fd_sc_hd__nor2_1 U98733 ( .A(n81349), .B(n84184), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N225) );
+  sky130_fd_sc_hd__nor2_1 U98734 ( .A(n81040), .B(n84184), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N226) );
+  sky130_fd_sc_hd__nor2_1 U98735 ( .A(n81357), .B(n84184), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N227) );
+  sky130_fd_sc_hd__nor2b_1 U98736 ( .B_N(n36176), .A(n81033), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N202) );
+  sky130_fd_sc_hd__nor2_1 U98737 ( .A(n81349), .B(n80728), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N203) );
+  sky130_fd_sc_hd__nor2_1 U98738 ( .A(n81040), .B(n80728), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N204) );
+  sky130_fd_sc_hd__nor2_1 U98739 ( .A(n81357), .B(n80728), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N205) );
+  sky130_fd_sc_hd__nor2b_1 U98740 ( .B_N(n36162), .A(n80729), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N191) );
+  sky130_fd_sc_hd__nor2b_1 U98741 ( .B_N(n36175), .A(n81033), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N180) );
+  sky130_fd_sc_hd__nor2_1 U98742 ( .A(n81349), .B(n80730), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N181) );
+  sky130_fd_sc_hd__nor2_1 U98743 ( .A(n81040), .B(n80730), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N182) );
+  sky130_fd_sc_hd__nor2_1 U98744 ( .A(n81357), .B(n80730), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N183) );
+  sky130_fd_sc_hd__nand2_1 U98745 ( .A(n80731), .B(n80736), .Y(n84186) );
+  sky130_fd_sc_hd__nor2_1 U98746 ( .A(n81355), .B(n84186), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N175) );
+  sky130_fd_sc_hd__nor2_1 U98747 ( .A(n81349), .B(n84186), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N176) );
+  sky130_fd_sc_hd__nand2_1 U98748 ( .A(n80732), .B(n80736), .Y(n84180) );
+  sky130_fd_sc_hd__nor2_1 U98749 ( .A(n81355), .B(n84180), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N170) );
+  sky130_fd_sc_hd__nand2_1 U98750 ( .A(n80733), .B(n80736), .Y(n84182) );
+  sky130_fd_sc_hd__nor2_1 U98751 ( .A(n81355), .B(n84182), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N166) );
+  sky130_fd_sc_hd__nor2_1 U98752 ( .A(n81349), .B(n84182), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N162) );
+  sky130_fd_sc_hd__nor2_1 U98753 ( .A(n81033), .B(n80734), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N147) );
+  sky130_fd_sc_hd__nor2_1 U98754 ( .A(n81035), .B(n80734), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N148) );
+  sky130_fd_sc_hd__nor2_1 U98755 ( .A(n81040), .B(n80735), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N149) );
+  sky130_fd_sc_hd__nor2_1 U98756 ( .A(n81359), .B(n80735), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N157) );
+  sky130_fd_sc_hd__nand2_1 U98757 ( .A(n80737), .B(n80736), .Y(n84185) );
+  sky130_fd_sc_hd__nor2_1 U98758 ( .A(n81357), .B(n84185), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N135) );
+  sky130_fd_sc_hd__nor2_1 U98759 ( .A(n81040), .B(n84185), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N130) );
+  sky130_fd_sc_hd__nor2_1 U98760 ( .A(n81355), .B(n84185), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N124) );
+  sky130_fd_sc_hd__nor2_1 U98761 ( .A(n81349), .B(n84185), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_N125) );
+  sky130_fd_sc_hd__nand3_1 U98762 ( .A(n80739), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_arb_io_outer_cs_hold), .C(n80738), .Y(n80741) );
+  sky130_fd_sc_hd__nor4_1 U98763 ( .A(n80740), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_cnt[0]), 
+        .C(n80745), .D(n80744), .Y(n80746) );
+  sky130_fd_sc_hd__a21oi_1 U98764 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_cnt[0]), 
+        .A2(n80741), .B1(n80746), .Y(n80743) );
+  sky130_fd_sc_hd__clkinv_1 U98765 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[1]), .Y(n80742) );
+  sky130_fd_sc_hd__o22ai_1 U98766 ( .A1(n80751), .A2(n80743), .B1(n80750), 
+        .B2(n80742), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_N107) );
+  sky130_fd_sc_hd__nor3_1 U98767 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_cnt[0]), 
+        .B(n80745), .C(n80744), .Y(n80748) );
+  sky130_fd_sc_hd__nand2_1 U98768 ( .A(n80746), .B(n80747), .Y(n80752) );
+  sky130_fd_sc_hd__o21ai_1 U98769 ( .A1(n80748), .A2(n80747), .B1(n80752), .Y(
+        n80749) );
+  sky130_fd_sc_hd__a22o_1 U98770 ( .A1(n80751), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_5[2]), .B1(n80750), .B2(n80749), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_N108) );
+  sky130_fd_sc_hd__a21oi_1 U98771 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_cnt[2]), 
+        .A2(n80752), .B1(n80751), .Y(n80753) );
+  sky130_fd_sc_hd__a21oi_1 U98772 ( .A1(n80751), .A2(n80754), .B1(n80753), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_N109) );
+  sky130_fd_sc_hd__o22ai_1 U98773 ( .A1(n80882), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_fmt_proto[0]), .B1(n80821), .B2(n85846), .Y(n80816) );
+  sky130_fd_sc_hd__a22oi_1 U98774 ( .A1(n80882), .A2(n85847), .B1(n80821), 
+        .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_fmt_proto[1]), .Y(n80758) );
+  sky130_fd_sc_hd__or4_1 U98775 ( .A(n36848), .B(n80822), .C(n80755), .D(
+        n80758), .X(n80827) );
+  sky130_fd_sc_hd__o22ai_1 U98776 ( .A1(n85849), .A2(n80757), .B1(n80840), 
+        .B2(n80756), .Y(n80845) );
+  sky130_fd_sc_hd__o22ai_1 U98777 ( .A1(n80882), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy__io_rx_bits_T_9[3]), .B1(n80821), .B2(n80845), .Y(n80818) );
+  sky130_fd_sc_hd__nand3_1 U98778 ( .A(n83116), .B(n80758), .C(n85848), .Y(
+        n80824) );
+  sky130_fd_sc_hd__a222oi_1 U98779 ( .A1(n80793), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[23]), .B1(n80791), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[7]), .C1(n80794), .C2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[15]), .Y(n80767) );
+  sky130_fd_sc_hd__a22oi_1 U98780 ( .A1(n81009), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[7]), .B1(n81006), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[31]), .Y(n80760) );
+  sky130_fd_sc_hd__a22oi_1 U98781 ( .A1(n80807), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[15]), .B1(n80808), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[23]), .Y(n80759) );
+  sky130_fd_sc_hd__a21oi_1 U98782 ( .A1(n80760), .A2(n80759), .B1(n80809), .Y(
+        n80761) );
+  sky130_fd_sc_hd__a21oi_1 U98783 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[31]), .A2(n80813), .B1(n80761), .Y(n80766) );
+  sky130_fd_sc_hd__a22oi_1 U98784 ( .A1(n81009), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[39]), .B1(n80807), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[47]), .Y(n80763) );
+  sky130_fd_sc_hd__a22oi_1 U98785 ( .A1(n80808), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[55]), .B1(n81006), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[63]), .Y(n80762) );
+  sky130_fd_sc_hd__a21oi_1 U98786 ( .A1(n80763), .A2(n80762), .B1(n80801), .Y(
+        n80764) );
+  sky130_fd_sc_hd__a21oi_1 U98787 ( .A1(n80806), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[23]), .B1(n80764), .Y(n80765) );
+  sky130_fd_sc_hd__o211ai_1 U98788 ( .A1(n80767), .A2(n80789), .B1(n80766), 
+        .C1(n80765), .Y(n80830) );
+  sky130_fd_sc_hd__a22oi_1 U98789 ( .A1(n81009), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[0]), .B1(n81006), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[24]), .Y(n80769) );
+  sky130_fd_sc_hd__a22oi_1 U98790 ( .A1(n80807), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[8]), .B1(n80808), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[16]), .Y(n80768) );
+  sky130_fd_sc_hd__a21oi_1 U98791 ( .A1(n80769), .A2(n80768), .B1(n80809), .Y(
+        n80780) );
+  sky130_fd_sc_hd__a22oi_1 U98792 ( .A1(n81009), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[32]), .B1(n81006), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[56]), .Y(n80771) );
+  sky130_fd_sc_hd__a22oi_1 U98793 ( .A1(n80807), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[40]), .B1(n80808), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[48]), .Y(n80770) );
+  sky130_fd_sc_hd__a21oi_1 U98794 ( .A1(n80771), .A2(n80770), .B1(n80801), .Y(
+        n80779) );
+  sky130_fd_sc_hd__nand2_1 U98795 ( .A(n80791), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[0]), .Y(n80775) );
+  sky130_fd_sc_hd__nand2_1 U98796 ( .A(n80792), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[24]), .Y(n80774) );
+  sky130_fd_sc_hd__nand2_1 U98797 ( .A(n80793), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[16]), .Y(n80773) );
+  sky130_fd_sc_hd__nand2_1 U98798 ( .A(n80794), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[8]), .Y(n80772) );
+  sky130_fd_sc_hd__nand4_1 U98799 ( .A(n80775), .B(n80774), .C(n80773), .D(
+        n80772), .Y(n80776) );
+  sky130_fd_sc_hd__a22o_1 U98800 ( .A1(n80813), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[24]), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[16]), .B2(n80806), .X(n80777) );
+  sky130_fd_sc_hd__or4_1 U98801 ( .A(n80780), .B(n80779), .C(n80778), .D(
+        n80777), .X(n80831) );
+  sky130_fd_sc_hd__o22ai_1 U98802 ( .A1(n85849), .A2(n80830), .B1(n80840), 
+        .B2(n80831), .Y(n80878) );
+  sky130_fd_sc_hd__o22ai_1 U98803 ( .A1(n80882), .A2(n80874), .B1(n80821), 
+        .B2(n80878), .Y(n80828) );
+  sky130_fd_sc_hd__a222oi_1 U98804 ( .A1(n80793), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[22]), .B1(n80791), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[6]), .C1(n80794), .C2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[14]), .Y(n80790) );
+  sky130_fd_sc_hd__a22oi_1 U98805 ( .A1(n80807), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[14]), .B1(n81006), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[30]), .Y(n80782) );
+  sky130_fd_sc_hd__a22oi_1 U98806 ( .A1(n81009), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[6]), .B1(n80808), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[22]), .Y(n80781) );
+  sky130_fd_sc_hd__a21oi_1 U98807 ( .A1(n80782), .A2(n80781), .B1(n80809), .Y(
+        n80783) );
+  sky130_fd_sc_hd__a21oi_1 U98808 ( .A1(n80806), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[22]), .B1(n80783), .Y(n80788) );
+  sky130_fd_sc_hd__a22oi_1 U98809 ( .A1(n81009), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[38]), .B1(n80808), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[54]), .Y(n80785) );
+  sky130_fd_sc_hd__a22oi_1 U98810 ( .A1(n80807), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[46]), .B1(n81006), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[62]), .Y(n80784) );
+  sky130_fd_sc_hd__a21oi_1 U98811 ( .A1(n80785), .A2(n80784), .B1(n80801), .Y(
+        n80786) );
+  sky130_fd_sc_hd__a21oi_1 U98812 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[30]), .A2(n80813), .B1(n80786), .Y(n80787) );
+  sky130_fd_sc_hd__o211ai_1 U98813 ( .A1(n80790), .A2(n80789), .B1(n80788), 
+        .C1(n80787), .Y(n80839) );
+  sky130_fd_sc_hd__nand2_1 U98814 ( .A(n80791), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[1]), .Y(n80798) );
+  sky130_fd_sc_hd__nand2_1 U98815 ( .A(n80792), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[25]), .Y(n80797) );
+  sky130_fd_sc_hd__nand2_1 U98816 ( .A(n80793), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[17]), .Y(n80796) );
+  sky130_fd_sc_hd__nand2_1 U98817 ( .A(n80794), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_flash_io_addr_bits_hold[9]), .Y(n80795) );
+  sky130_fd_sc_hd__nand4_1 U98818 ( .A(n80798), .B(n80797), .C(n80796), .D(
+        n80795), .Y(n80800) );
+  sky130_fd_sc_hd__a22oi_1 U98819 ( .A1(n80807), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[41]), .B1(n80808), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[49]), .Y(n80803) );
+  sky130_fd_sc_hd__a22oi_1 U98820 ( .A1(n81009), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[33]), .B1(n81006), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[57]), .Y(n80802) );
+  sky130_fd_sc_hd__a21oi_1 U98821 ( .A1(n80803), .A2(n80802), .B1(n80801), .Y(
+        n80804) );
+  sky130_fd_sc_hd__a211oi_1 U98822 ( .A1(n80806), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[17]), .B1(n80805), .C1(n80804), .Y(n80815) );
+  sky130_fd_sc_hd__a22oi_1 U98823 ( .A1(n80807), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[9]), .B1(n81006), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[25]), .Y(n80811) );
+  sky130_fd_sc_hd__a22oi_1 U98824 ( .A1(n81009), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[1]), .B1(n80808), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram[17]), .Y(n80810) );
+  sky130_fd_sc_hd__a21oi_1 U98825 ( .A1(n80811), .A2(n80810), .B1(n80809), .Y(
+        n80812) );
+  sky130_fd_sc_hd__a21oi_1 U98826 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_7[25]), .A2(n80813), .B1(n80812), .Y(n80814) );
+  sky130_fd_sc_hd__nand2_1 U98827 ( .A(n80815), .B(n80814), .Y(n80841) );
+  sky130_fd_sc_hd__o22ai_1 U98828 ( .A1(n85849), .A2(n80839), .B1(n80840), 
+        .B2(n80841), .Y(n80866) );
+  sky130_fd_sc_hd__o22ai_1 U98829 ( .A1(n80882), .A2(n80872), .B1(n80821), 
+        .B2(n80866), .Y(n80826) );
+  sky130_fd_sc_hd__o22ai_1 U98830 ( .A1(n80822), .A2(n80828), .B1(n80816), 
+        .B2(n80826), .Y(n80817) );
+  sky130_fd_sc_hd__o22ai_1 U98831 ( .A1(n80827), .A2(n80818), .B1(n80824), 
+        .B2(n80817), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N248)
+         );
+  sky130_fd_sc_hd__o22ai_1 U98832 ( .A1(n85849), .A2(n80820), .B1(n80840), 
+        .B2(n80819), .Y(n80855) );
+  sky130_fd_sc_hd__o22ai_1 U98833 ( .A1(n80882), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy__io_rx_bits_T_9[2]), .B1(n80821), .B2(n80855), .Y(n80825) );
+  sky130_fd_sc_hd__nand2_1 U98834 ( .A(n80822), .B(n80828), .Y(n80823) );
+  sky130_fd_sc_hd__o22ai_1 U98835 ( .A1(n80827), .A2(n80825), .B1(n80824), 
+        .B2(n80823), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N249)
+         );
+  sky130_fd_sc_hd__nor2b_1 U98836 ( .B_N(n80826), .A(n80827), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N250)
+         );
+  sky130_fd_sc_hd__nor2b_1 U98837 ( .B_N(n80828), .A(n80827), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N251)
+         );
+  sky130_fd_sc_hd__nand2_1 U98838 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_fmt_proto[0]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_fmt_proto[1]), .Y(n80846) );
+  sky130_fd_sc_hd__a22o_1 U98839 ( .A1(n80835), .A2(
+        MarmotCaravelChip_dut_sys_qspi_0_dq_1_i_spi_dq_1_sync_io_q), .B1(
+        MarmotCaravelChip_dut_sys_qspi_0_dq_0_i_spi_dq_0_sync_io_q), .B2(
+        n80838), .X(n80829) );
+  sky130_fd_sc_hd__a32oi_1 U98840 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy__io_rx_bits_T_9[7]), .A2(n80834), .A3(n80846), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_sample_d), .B2(n80829), .Y(n80833) );
+  sky130_fd_sc_hd__o22ai_1 U98841 ( .A1(n85849), .A2(n80831), .B1(n80840), 
+        .B2(n80830), .Y(n80832) );
+  sky130_fd_sc_hd__o22ai_1 U98842 ( .A1(n80844), .A2(n80833), .B1(n80890), 
+        .B2(n80832), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N237)
+         );
+  sky130_fd_sc_hd__a22oi_1 U98843 ( .A1(n80835), .A2(n80847), .B1(n80834), 
+        .B2(n80838), .Y(n80836) );
+  sky130_fd_sc_hd__o22ai_1 U98844 ( .A1(n80836), .A2(n80856), .B1(n80850), 
+        .B2(n80871), .Y(n80837) );
+  sky130_fd_sc_hd__a31oi_1 U98845 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_sample_d), .A2(MarmotCaravelChip_dut_sys_qspi_0_dq_1_i_spi_dq_1_sync_io_q), .A3(n80838), 
+        .B1(n80837), .Y(n80843) );
+  sky130_fd_sc_hd__o22ai_1 U98846 ( .A1(n85849), .A2(n80841), .B1(n80840), 
+        .B2(n80839), .Y(n80842) );
+  sky130_fd_sc_hd__o22ai_1 U98847 ( .A1(n80844), .A2(n80843), .B1(n80890), 
+        .B2(n80842), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N238)
+         );
+  sky130_fd_sc_hd__nand2_1 U98848 ( .A(n80847), .B(n80846), .Y(n80873) );
+  sky130_fd_sc_hd__o22ai_1 U98849 ( .A1(n80862), .A2(n80869), .B1(n80861), 
+        .B2(n80873), .Y(n80852) );
+  sky130_fd_sc_hd__nand2_1 U98850 ( .A(n80849), .B(n80848), .Y(n80867) );
+  sky130_fd_sc_hd__o22ai_1 U98851 ( .A1(n80850), .A2(n80867), .B1(n80868), 
+        .B2(n80871), .Y(n80851) );
+  sky130_fd_sc_hd__o21ai_1 U98852 ( .A1(n80852), .A2(n80851), .B1(n80890), .Y(
+        n80853) );
+  sky130_fd_sc_hd__o22ai_1 U98854 ( .A1(n80870), .A2(n80873), .B1(n80868), 
+        .B2(n80869), .Y(n80858) );
+  sky130_fd_sc_hd__o22ai_1 U98855 ( .A1(n80856), .A2(n80867), .B1(n80861), 
+        .B2(n80871), .Y(n80857) );
+  sky130_fd_sc_hd__o21ai_1 U98857 ( .A1(n80890), .A2(n80860), .B1(n80859), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N242)
+         );
+  sky130_fd_sc_hd__o22ai_1 U98858 ( .A1(n80862), .A2(n80867), .B1(n80861), 
+        .B2(n80869), .Y(n80864) );
+  sky130_fd_sc_hd__o22ai_1 U98859 ( .A1(n80872), .A2(n80873), .B1(n80870), 
+        .B2(n80871), .Y(n80863) );
+  sky130_fd_sc_hd__o21ai_1 U98860 ( .A1(n80864), .A2(n80863), .B1(n80890), .Y(
+        n80865) );
+  sky130_fd_sc_hd__o21ai_0 U98861 ( .A1(n80890), .A2(n80866), .B1(n80865), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N243)
+         );
+  sky130_fd_sc_hd__o22ai_1 U98862 ( .A1(n80870), .A2(n80869), .B1(n80868), 
+        .B2(n80867), .Y(n80876) );
+  sky130_fd_sc_hd__o22ai_1 U98863 ( .A1(n80874), .A2(n80873), .B1(n80872), 
+        .B2(n80871), .Y(n80875) );
+  sky130_fd_sc_hd__o21ai_1 U98864 ( .A1(n80876), .A2(n80875), .B1(n80890), .Y(
+        n80877) );
+  sky130_fd_sc_hd__o21ai_0 U98865 ( .A1(n80890), .A2(n80878), .B1(n80877), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N244)
+         );
+  sky130_fd_sc_hd__xnor2_1 U98866 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_pha), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_pol), .Y(n80889) );
+  sky130_fd_sc_hd__xnor2_1 U98867 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_cref), 
+        .B(n80889), .Y(n80879) );
+  sky130_fd_sc_hd__o22ai_1 U98868 ( .A1(n80881), .A2(io_out[9]), .B1(n80880), 
+        .B2(n80879), .Y(n80886) );
+  sky130_fd_sc_hd__nand2_1 U98869 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt[0]), .B(n80882), .Y(n80885) );
+  sky130_fd_sc_hd__o21ai_1 U98870 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_pol), .A2(n80885), .B1(n80883), .Y(n80884) );
+  sky130_fd_sc_hd__a21oi_1 U98871 ( .A1(n80886), .A2(n80885), .B1(n80884), .Y(
+        n80887) );
+  sky130_fd_sc_hd__a21oi_1 U98872 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N268), 
+        .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_out_prepend_9[1]), .B1(n80887), .Y(n80888) );
+  sky130_fd_sc_hd__o21ai_0 U98873 ( .A1(n80890), .A2(n80889), .B1(n80888), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N209)
+         );
+  sky130_fd_sc_hd__nor2_1 U98874 ( .A(n80891), .B(n60015), .Y(n80896) );
+  sky130_fd_sc_hd__o31ai_1 U98875 ( .A1(n80894), .A2(n36802), .A3(n80893), 
+        .B1(n80892), .Y(n80907) );
+  sky130_fd_sc_hd__o22ai_1 U98876 ( .A1(n80896), .A2(n80907), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[0]), .B2(n80895), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N193)
+         );
+  sky130_fd_sc_hd__o2bb2ai_1 U98877 ( .B1(n80898), .B2(n80897), .A1_N(n80898), 
+        .A2_N(n80897), .Y(n80899) );
+  sky130_fd_sc_hd__a21oi_1 U98878 ( .A1(n80900), .A2(n80899), .B1(n37041), .Y(
+        n80910) );
+  sky130_fd_sc_hd__o2bb2ai_1 U98879 ( .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[0]), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[1]), .A1_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[0]), .A2_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr_last[1]), .Y(n80901) );
+  sky130_fd_sc_hd__nand2_1 U98880 ( .A(n80902), .B(n80901), .Y(n80903) );
+  sky130_fd_sc_hd__nand2b_1 U98882 ( .A_N(n80906), .B(n80905), .Y(n80911) );
+  sky130_fd_sc_hd__o2bb2ai_1 U98884 ( .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[0]), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[1]), .A1_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[0]), .A2_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[1]), .Y(n80908) );
+  sky130_fd_sc_hd__nand2b_1 U98885 ( .A_N(n80911), .B(n80908), .Y(n80909) );
+  sky130_fd_sc_hd__nand2_1 U98886 ( .A(n80910), .B(n80909), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N157)
+         );
+  sky130_fd_sc_hd__nor2_1 U98887 ( .A(n83631), .B(n80911), .Y(n80951) );
+  sky130_fd_sc_hd__nor2_1 U98888 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[0]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[1]), .Y(n80912) );
+  sky130_fd_sc_hd__xor2_1 U98889 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[2]), .B(n80912), .X(n80913) );
+  sky130_fd_sc_hd__nand2_1 U98890 ( .A(n80951), .B(n80913), .Y(n80914) );
+  sky130_fd_sc_hd__nand2_1 U98891 ( .A(n80915), .B(n80914), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N158)
+         );
+  sky130_fd_sc_hd__nor3_1 U98892 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[2]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[0]), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[1]), .Y(n80916) );
+  sky130_fd_sc_hd__xor2_1 U98893 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[3]), .B(n80916), .X(n80917) );
+  sky130_fd_sc_hd__nand2_1 U98894 ( .A(n80951), .B(n80917), .Y(n80918) );
+  sky130_fd_sc_hd__nand2_1 U98895 ( .A(n80919), .B(n80918), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N159)
+         );
+  sky130_fd_sc_hd__or4_1 U98896 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[3]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[2]), .C(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[0]), .D(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[1]), .X(n80923) );
+  sky130_fd_sc_hd__xnor2_1 U98897 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[4]), .B(n80923), .Y(n80920) );
+  sky130_fd_sc_hd__nand2_1 U98898 ( .A(n80951), .B(n80920), .Y(n80921) );
+  sky130_fd_sc_hd__nand2_1 U98899 ( .A(n80922), .B(n80921), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N160)
+         );
+  sky130_fd_sc_hd__nor2_1 U98900 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[4]), .B(n80923), .Y(n80928) );
+  sky130_fd_sc_hd__o2bb2ai_1 U98901 ( .B1(n80927), .B2(n80928), .A1_N(n80927), 
+        .A2_N(n80928), .Y(n80924) );
+  sky130_fd_sc_hd__nand2_1 U98902 ( .A(n80951), .B(n80924), .Y(n80925) );
+  sky130_fd_sc_hd__nand2_1 U98903 ( .A(n80926), .B(n80925), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N161)
+         );
+  sky130_fd_sc_hd__nand2_1 U98904 ( .A(n80928), .B(n80927), .Y(n80932) );
+  sky130_fd_sc_hd__xnor2_1 U98905 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[6]), .B(n80932), .Y(n80929) );
+  sky130_fd_sc_hd__nand2_1 U98906 ( .A(n80951), .B(n80929), .Y(n80930) );
+  sky130_fd_sc_hd__nand2_1 U98907 ( .A(n80931), .B(n80930), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N162)
+         );
+  sky130_fd_sc_hd__nor2_1 U98908 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[6]), .B(n80932), .Y(n80937) );
+  sky130_fd_sc_hd__o2bb2ai_1 U98909 ( .B1(n80936), .B2(n80937), .A1_N(n80936), 
+        .A2_N(n80937), .Y(n80933) );
+  sky130_fd_sc_hd__nand2_1 U98910 ( .A(n80951), .B(n80933), .Y(n80934) );
+  sky130_fd_sc_hd__nand2_1 U98911 ( .A(n80935), .B(n80934), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N163)
+         );
+  sky130_fd_sc_hd__nand2_1 U98912 ( .A(n80937), .B(n80936), .Y(n80941) );
+  sky130_fd_sc_hd__xnor2_1 U98913 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[8]), .B(n80941), .Y(n80938) );
+  sky130_fd_sc_hd__nand2_1 U98914 ( .A(n80951), .B(n80938), .Y(n80939) );
+  sky130_fd_sc_hd__nand2_1 U98915 ( .A(n80940), .B(n80939), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N164)
+         );
+  sky130_fd_sc_hd__nor2_1 U98916 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[8]), .B(n80941), .Y(n80946) );
+  sky130_fd_sc_hd__o2bb2ai_1 U98917 ( .B1(n80945), .B2(n80946), .A1_N(n80945), 
+        .A2_N(n80946), .Y(n80942) );
+  sky130_fd_sc_hd__nand2_1 U98918 ( .A(n80951), .B(n80942), .Y(n80943) );
+  sky130_fd_sc_hd__nand2_1 U98919 ( .A(n80944), .B(n80943), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N165)
+         );
+  sky130_fd_sc_hd__nand2_1 U98920 ( .A(n80946), .B(n80945), .Y(n80950) );
+  sky130_fd_sc_hd__xnor2_1 U98921 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[10]), .B(n80950), .Y(n80947) );
+  sky130_fd_sc_hd__nand2_1 U98922 ( .A(n80951), .B(n80947), .Y(n80948) );
+  sky130_fd_sc_hd__nand2_1 U98923 ( .A(n80949), .B(n80948), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N166)
+         );
+  sky130_fd_sc_hd__nand2_1 U98924 ( .A(n80951), .B(n38435), .Y(n80952) );
+  sky130_fd_sc_hd__nand2_1 U98925 ( .A(n80953), .B(n80952), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N167)
+         );
+  sky130_fd_sc_hd__nand2_1 U98926 ( .A(n80955), .B(n80954), .Y(n80973) );
+  sky130_fd_sc_hd__nand2_1 U98927 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div[0]), .B(n80973), .Y(n80956) );
+  sky130_fd_sc_hd__a22o_1 U98929 ( .A1(n80974), .A2(n80957), .B1(n80973), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div[1]), .X(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N124) );
+  sky130_fd_sc_hd__a22o_1 U98930 ( .A1(n80974), .A2(n80958), .B1(n80973), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div[2]), .X(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N125) );
+  sky130_fd_sc_hd__a22o_1 U98931 ( .A1(n80974), .A2(n80959), .B1(n80973), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div[3]), .X(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N126) );
+  sky130_fd_sc_hd__a22o_1 U98932 ( .A1(n80974), .A2(n80960), .B1(n80973), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div[4]), .X(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N127) );
+  sky130_fd_sc_hd__a22o_1 U98933 ( .A1(n80974), .A2(n80961), .B1(n80973), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div[5]), .X(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N128) );
+  sky130_fd_sc_hd__a22o_1 U98934 ( .A1(n80974), .A2(n80962), .B1(n80973), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div[6]), .X(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N129) );
+  sky130_fd_sc_hd__a22o_1 U98935 ( .A1(n80974), .A2(n80963), .B1(n80973), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div[7]), .X(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N130) );
+  sky130_fd_sc_hd__nand2_1 U98936 ( .A(n80965), .B(n80964), .Y(n80967) );
+  sky130_fd_sc_hd__xnor2_1 U98937 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[8]), .B(n80967), .Y(n80966) );
+  sky130_fd_sc_hd__a22o_1 U98938 ( .A1(n80974), .A2(n80966), .B1(n80973), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div[8]), .X(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N131) );
+  sky130_fd_sc_hd__nor2_1 U98939 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[8]), .B(n80967), .Y(n80970) );
+  sky130_fd_sc_hd__o2bb2ai_1 U98940 ( .B1(n80969), .B2(n80970), .A1_N(n80969), 
+        .A2_N(n80970), .Y(n80968) );
+  sky130_fd_sc_hd__a22o_1 U98941 ( .A1(n80974), .A2(n80968), .B1(n80973), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div[9]), .X(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N132) );
+  sky130_fd_sc_hd__nand2_1 U98942 ( .A(n80970), .B(n80969), .Y(n80972) );
+  sky130_fd_sc_hd__xnor2_1 U98943 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[10]), .B(n80972), .Y(n80971) );
+  sky130_fd_sc_hd__a22o_1 U98944 ( .A1(n80974), .A2(n80971), .B1(n80973), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div[10]), .X(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N133) );
+  sky130_fd_sc_hd__a22o_1 U98945 ( .A1(n80974), .A2(n38434), .B1(n80973), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_ctrl_sck_div[11]), .X(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N134) );
+  sky130_fd_sc_hd__nor3_1 U98946 ( .A(n80977), .B(n80976), .C(n80975), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_N75) );
+  sky130_fd_sc_hd__nor2b_1 U98947 ( .B_N(n80979), .A(n80978), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_N51) );
+  sky130_fd_sc_hd__nor2b_1 U98948 ( .B_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac__GEN_31_0_), .A(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_cs_id), .Y(
+        n80980) );
+  sky130_fd_sc_hd__xnor2_1 U98949 ( .A(io_out[8]), .B(n80980), .Y(n80986) );
+  sky130_fd_sc_hd__o221ai_1 U98950 ( .A1(n80984), .A2(n80983), .B1(n80982), 
+        .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_5_0_), .C1(n80981), .Y(n80985) );
+  sky130_fd_sc_hd__o21ai_1 U98951 ( .A1(n80987), .A2(n80986), .B1(n80985), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_N48) );
+  sky130_fd_sc_hd__nand2_1 U98952 ( .A(n86752), .B(n80988), .Y(n36154) );
+  sky130_fd_sc_hd__nor2_1 U98953 ( .A(n80989), .B(n36154), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N52)
+         );
+  sky130_fd_sc_hd__nor3_1 U98954 ( .A(n80993), .B(n80990), .C(n80994), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N46)
+         );
+  sky130_fd_sc_hd__a221oi_1 U98955 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[2]), .A2(n80993), .B1(n80992), .B2(n80991), .C1(n80994), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N47)
+         );
+  sky130_fd_sc_hd__nor2_1 U98956 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_io_deq_bits_MPORT_addr[0]), .B(n80994), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N45)
+         );
+  sky130_fd_sc_hd__nand2_1 U98957 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_MPORT_addr[0]), .B(n80995), .Y(n81002) );
+  sky130_fd_sc_hd__nor3_1 U98958 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_MPORT_addr[1]), .B(n37041), .C(n81002), .Y(n80996) );
+  sky130_fd_sc_hd__a21o_1 U98959 ( .A1(n85850), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_MPORT_addr[1]), .B1(n80996), .X(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N40)
+         );
+  sky130_fd_sc_hd__nand2b_1 U98960 ( .A_N(n81002), .B(n83116), .Y(n80998) );
+  sky130_fd_sc_hd__nand2_1 U98961 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_MPORT_addr[1]), .B(n81000), .Y(n81001) );
+  sky130_fd_sc_hd__nor2_1 U98964 ( .A(n81002), .B(n81001), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N32)
+         );
+  sky130_fd_sc_hd__nor3_1 U98965 ( .A(n80999), .B(n81000), .C(n81002), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N28)
+         );
+  sky130_fd_sc_hd__nor3_1 U98966 ( .A(n80999), .B(n81000), .C(n81003), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N29)
+         );
+  sky130_fd_sc_hd__nor3_1 U98967 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_MPORT_addr[1]), .B(n81000), .C(n81002), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N30)
+         );
+  sky130_fd_sc_hd__nor3_1 U98968 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_MPORT_addr[1]), .B(n81000), .C(n81003), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N31)
+         );
+  sky130_fd_sc_hd__nor2_1 U98969 ( .A(n81003), .B(n81001), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N33)
+         );
+  sky130_fd_sc_hd__nor3_1 U98970 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_MPORT_addr[2]), .C(n81002), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N34)
+         );
+  sky130_fd_sc_hd__nor3_1 U98971 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_MPORT_addr[2]), .C(n81003), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N35)
+         );
+  sky130_fd_sc_hd__nor2_1 U98972 ( .A(n81005), .B(n81004), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N52)
+         );
+  sky130_fd_sc_hd__nor3_1 U98973 ( .A(n81009), .B(n81006), .C(n81016), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N46)
+         );
+  sky130_fd_sc_hd__a221oi_1 U98974 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[2]), .A2(n81009), .B1(n81008), .B2(n81007), .C1(n81016), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N47)
+         );
+  sky130_fd_sc_hd__nor2_1 U98975 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[0]), .B(n81016), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N45)
+         );
+  sky130_fd_sc_hd__a21oi_1 U98976 ( .A1(n36801), .A2(n59503), .B1(n85851), .Y(
+        n81010) );
+  sky130_fd_sc_hd__o2bb2ai_1 U98977 ( .B1(n81010), .B2(n59504), .A1_N(n37039), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N32), 
+        .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N41)
+         );
+  sky130_fd_sc_hd__nor3_2 U98978 ( .A(n81013), .B(n59504), .C(n81011), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N28)
+         );
+  sky130_fd_sc_hd__nor3_2 U98979 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_MPORT_addr[0]), .B(n59504), .C(n81011), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N29)
+         );
+  sky130_fd_sc_hd__nor3_2 U98980 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_MPORT_addr[0]), .B(n59504), .C(n81012), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N31)
+         );
+  sky130_fd_sc_hd__nor3_2 U98981 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_MPORT_addr[0]), .B(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_MPORT_addr[2]), .C(n81011), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N33)
+         );
+  sky130_fd_sc_hd__nor3_2 U98982 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_MPORT_addr[2]), .B(n81013), .C(n81012), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_N34)
+         );
+  sky130_fd_sc_hd__nor2_1 U98983 ( .A(n74033), .B(n81014), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_N16) );
+  sky130_fd_sc_hd__nor2_1 U98984 ( .A(n74033), .B(n81015), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_N17) );
+  sky130_fd_sc_hd__nor2_1 U98985 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_io_link_fmt_iodir), .B(n81016), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_N13) );
+  sky130_fd_sc_hd__nor2_1 U98986 ( .A(n81360), .B(n81020), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N309) );
+  sky130_fd_sc_hd__nor2_1 U98987 ( .A(n81035), .B(n81017), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N285) );
+  sky130_fd_sc_hd__nor2_1 U98988 ( .A(n81356), .B(n81017), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N286) );
+  sky130_fd_sc_hd__nor2_1 U98989 ( .A(n81019), .B(n81018), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N269) );
+  sky130_fd_sc_hd__nor2_1 U98990 ( .A(n81037), .B(n81020), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N264) );
+  sky130_fd_sc_hd__nand2_1 U98991 ( .A(n81021), .B(n81038), .Y(n84189) );
+  sky130_fd_sc_hd__nor2_1 U98992 ( .A(n81349), .B(n84189), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N259) );
+  sky130_fd_sc_hd__nor2_1 U98993 ( .A(n81355), .B(n84189), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N255) );
+  sky130_fd_sc_hd__nor2_1 U98994 ( .A(n81033), .B(n81022), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N247) );
+  sky130_fd_sc_hd__nor2_1 U98995 ( .A(n81035), .B(n81022), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N248) );
+  sky130_fd_sc_hd__nor2_1 U98996 ( .A(n81357), .B(n81023), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N250) );
+  sky130_fd_sc_hd__nor2_1 U98997 ( .A(n81029), .B(n81023), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N251) );
+  sky130_fd_sc_hd__nor2_1 U98998 ( .A(n81355), .B(n81024), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N232) );
+  sky130_fd_sc_hd__nor2_1 U98999 ( .A(n81349), .B(n81024), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N233) );
+  sky130_fd_sc_hd__nor2_1 U99000 ( .A(n81040), .B(n81024), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N234) );
+  sky130_fd_sc_hd__nor2_1 U99001 ( .A(n81357), .B(n81024), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N235) );
+  sky130_fd_sc_hd__nor2_1 U99002 ( .A(n81029), .B(n81024), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N236) );
+  sky130_fd_sc_hd__nor2_1 U99003 ( .A(n81037), .B(n81024), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N241) );
+  sky130_fd_sc_hd__nand2_1 U99004 ( .A(n81025), .B(n81038), .Y(n84191) );
+  sky130_fd_sc_hd__nor2_1 U99005 ( .A(n81355), .B(n84191), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N225) );
+  sky130_fd_sc_hd__nor2_1 U99006 ( .A(n81349), .B(n84191), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N226) );
+  sky130_fd_sc_hd__nor2_1 U99007 ( .A(n81040), .B(n84191), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N227) );
+  sky130_fd_sc_hd__nor2_1 U99008 ( .A(n81357), .B(n84191), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N228) );
+  sky130_fd_sc_hd__nand2_1 U99009 ( .A(n81026), .B(n81038), .Y(n84192) );
+  sky130_fd_sc_hd__nor2_1 U99010 ( .A(n81355), .B(n84192), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N218) );
+  sky130_fd_sc_hd__nor2_1 U99011 ( .A(n81349), .B(n84192), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N219) );
+  sky130_fd_sc_hd__nor2_1 U99012 ( .A(n81040), .B(n84192), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N220) );
+  sky130_fd_sc_hd__nor2_1 U99013 ( .A(n81357), .B(n84192), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N221) );
+  sky130_fd_sc_hd__nor2b_1 U99014 ( .B_N(n36153), .A(n81033), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N196) );
+  sky130_fd_sc_hd__nor2_1 U99015 ( .A(n81349), .B(n81027), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N197) );
+  sky130_fd_sc_hd__nor2_1 U99016 ( .A(n81357), .B(n81027), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N199) );
+  sky130_fd_sc_hd__nor2_1 U99017 ( .A(n81029), .B(n81027), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N200) );
+  sky130_fd_sc_hd__nor2b_1 U99018 ( .B_N(n36152), .A(n81033), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N174) );
+  sky130_fd_sc_hd__nor2_1 U99019 ( .A(n81349), .B(n81028), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N175) );
+  sky130_fd_sc_hd__nor2_1 U99020 ( .A(n81357), .B(n81028), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N177) );
+  sky130_fd_sc_hd__nor2_1 U99021 ( .A(n81029), .B(n81028), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N178) );
+  sky130_fd_sc_hd__nand2_1 U99022 ( .A(n81030), .B(n81038), .Y(n84194) );
+  sky130_fd_sc_hd__nor2_1 U99023 ( .A(n81355), .B(n84194), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N169) );
+  sky130_fd_sc_hd__nor2_1 U99024 ( .A(n81349), .B(n84194), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N170) );
+  sky130_fd_sc_hd__nand2_1 U99025 ( .A(n81031), .B(n81038), .Y(n84188) );
+  sky130_fd_sc_hd__nor2_1 U99026 ( .A(n81355), .B(n84188), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N164) );
+  sky130_fd_sc_hd__nand2_1 U99027 ( .A(n81032), .B(n81038), .Y(n84190) );
+  sky130_fd_sc_hd__nor2_1 U99028 ( .A(n81355), .B(n84190), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N160) );
+  sky130_fd_sc_hd__nor2_1 U99029 ( .A(n81349), .B(n84190), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N156) );
+  sky130_fd_sc_hd__nor2_1 U99030 ( .A(n81033), .B(n81034), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N141) );
+  sky130_fd_sc_hd__nor2_1 U99031 ( .A(n81035), .B(n81034), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N142) );
+  sky130_fd_sc_hd__nor2_1 U99032 ( .A(n81357), .B(n81036), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N144) );
+  sky130_fd_sc_hd__nor2_1 U99033 ( .A(n81037), .B(n81036), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N150) );
+  sky130_fd_sc_hd__nand2_1 U99034 ( .A(n81039), .B(n81038), .Y(n84193) );
+  sky130_fd_sc_hd__nor2_1 U99035 ( .A(n81357), .B(n84193), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N130) );
+  sky130_fd_sc_hd__nor2_1 U99036 ( .A(n81040), .B(n84193), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N125) );
+  sky130_fd_sc_hd__nor2_1 U99037 ( .A(n81355), .B(n84193), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N119) );
+  sky130_fd_sc_hd__nor2_1 U99038 ( .A(n81349), .B(n84193), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_N120) );
+  sky130_fd_sc_hd__nand2_1 U99039 ( .A(n86753), .B(n81041), .Y(n36133) );
+  sky130_fd_sc_hd__nor2_1 U99040 ( .A(n81042), .B(n36133), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N52) );
+  sky130_fd_sc_hd__nor3_1 U99041 ( .A(n81043), .B(n81046), .C(n81047), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N46) );
+  sky130_fd_sc_hd__a221oi_1 U99042 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_io_deq_bits_MPORT_addr[2]), .A2(n81046), .B1(n81045), .B2(n81044), .C1(n81047), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N47) );
+  sky130_fd_sc_hd__nor2_1 U99043 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_io_deq_bits_MPORT_addr[0]), .B(n81047), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N45) );
+  sky130_fd_sc_hd__nand2_1 U99044 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_MPORT_addr[0]), .B(n81048), .Y(n81055) );
+  sky130_fd_sc_hd__nor3_1 U99045 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_MPORT_addr[1]), .B(n37041), .C(n81055), .Y(n81049) );
+  sky130_fd_sc_hd__a21o_1 U99046 ( .A1(n85852), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_MPORT_addr[1]), .B1(n81049), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N40) );
+  sky130_fd_sc_hd__nand2b_1 U99047 ( .A_N(n81055), .B(n37040), .Y(n81051) );
+  sky130_fd_sc_hd__nand2_1 U99048 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_MPORT_addr[1]), .B(n81053), .Y(n81054) );
+  sky130_fd_sc_hd__nor2_1 U99051 ( .A(n81055), .B(n81054), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N32) );
+  sky130_fd_sc_hd__nor3_1 U99052 ( .A(n81052), .B(n81053), .C(n81055), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N28) );
+  sky130_fd_sc_hd__nor3_1 U99053 ( .A(n81052), .B(n81053), .C(n81056), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N29) );
+  sky130_fd_sc_hd__nor3_1 U99054 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_MPORT_addr[1]), .B(n81053), .C(n81055), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N30) );
+  sky130_fd_sc_hd__nor3_1 U99055 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_MPORT_addr[1]), .B(n81053), .C(n81056), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N31) );
+  sky130_fd_sc_hd__nor2_1 U99056 ( .A(n81056), .B(n81054), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N33) );
+  sky130_fd_sc_hd__nor3_1 U99057 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_MPORT_addr[2]), .C(n81055), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N34) );
+  sky130_fd_sc_hd__nor3_1 U99058 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_MPORT_addr[2]), .C(n81056), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N35) );
+  sky130_fd_sc_hd__nor3_1 U99059 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_state), 
+        .B(n81057), .C(n37038), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N38) );
+  sky130_fd_sc_hd__o21ai_1 U99060 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_debounce[1]), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_debounce[0]), .B1(n81057), .Y(n81061) );
+  sky130_fd_sc_hd__nor2_1 U99061 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_state), 
+        .B(n81058), .Y(n81059) );
+  sky130_fd_sc_hd__o21ai_1 U99062 ( .A1(n81062), .A2(n81061), .B1(n81059), .Y(
+        n81060) );
+  sky130_fd_sc_hd__a21oi_1 U99063 ( .A1(n81062), .A2(n81061), .B1(n81060), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N21) );
+  sky130_fd_sc_hd__nor2_1 U99064 ( .A(n74033), .B(n81064), .Y(n84200) );
+  sky130_fd_sc_hd__o22ai_1 U99065 ( .A1(n84200), .A2(n81065), .B1(n81064), 
+        .B2(n81063), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N51) );
+  sky130_fd_sc_hd__nor3_1 U99066 ( .A(n81066), .B(n81069), .C(n81082), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N46) );
+  sky130_fd_sc_hd__a221oi_1 U99067 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_io_deq_bits_MPORT_addr[2]), .A2(n81069), .B1(n81068), .B2(n81067), .C1(n81082), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N47) );
+  sky130_fd_sc_hd__nor2_1 U99068 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_io_deq_bits_MPORT_addr[0]), .B(n81082), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N45) );
+  sky130_fd_sc_hd__nor2_1 U99069 ( .A(n36802), .B(n81073), .Y(n81070) );
+  sky130_fd_sc_hd__a22o_1 U99070 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_MPORT_addr[1]), .A2(n85853), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_MPORT_addr[0]), .B2(n81070), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N40) );
+  sky130_fd_sc_hd__o21ai_1 U99072 ( .A1(n81072), .A2(n36848), .B1(n81071), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N41) );
+  sky130_fd_sc_hd__nor3_2 U99073 ( .A(n81076), .B(n81075), .C(n81077), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N29) );
+  sky130_fd_sc_hd__nor3_2 U99074 ( .A(n81074), .B(n81075), .C(n81073), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N30) );
+  sky130_fd_sc_hd__nor3_2 U99075 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_MPORT_addr[1]), .B(n81075), .C(n81077), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N31) );
+  sky130_fd_sc_hd__nor3_2 U99076 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_MPORT_addr[2]), .B(n81076), .C(n81077), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N33) );
+  sky130_fd_sc_hd__nor3_2 U99077 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_MPORT_addr[2]), .C(n81077), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N35) );
+  sky130_fd_sc_hd__nor2_1 U99078 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_counter[1]), .B(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_counter[0]), 
+        .Y(n81080) );
+  sky130_fd_sc_hd__a21oi_1 U99079 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_counter[0]), .A2(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_counter[1]), .B1(n81080), .Y(n81078) );
+  sky130_fd_sc_hd__o21ai_1 U99081 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_counter[2]), .A2(n81080), .B1(n81119), .Y(n81079) );
+  sky130_fd_sc_hd__a21oi_1 U99082 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_counter[2]), .A2(n81080), .B1(n81079), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N51) );
+  sky130_fd_sc_hd__nand3_1 U99083 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_counter[3]), .B(n36801), .C(n81081), .Y(n81083) );
+  sky130_fd_sc_hd__o21ai_1 U99084 ( .A1(n81084), .A2(n81083), .B1(n81082), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N52) );
+  sky130_fd_sc_hd__a21oi_1 U99085 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[0]), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[1]), .B1(n81085), .Y(n81087) );
+  sky130_fd_sc_hd__o22ai_1 U99086 ( .A1(n81087), .A2(n81112), .B1(n81086), 
+        .B2(n81110), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N29) );
+  sky130_fd_sc_hd__o21ai_1 U99087 ( .A1(n81089), .A2(n81088), .B1(n81091), .Y(
+        n81090) );
+  sky130_fd_sc_hd__a22o_1 U99088 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[3]), .A2(n81119), .B1(n81118), .B2(n81090), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N31) );
+  sky130_fd_sc_hd__a21oi_1 U99089 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[4]), .A2(n81091), .B1(n81096), .Y(n81093) );
+  sky130_fd_sc_hd__o22ai_1 U99090 ( .A1(n81093), .A2(n81112), .B1(n81092), 
+        .B2(n81110), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N32) );
+  sky130_fd_sc_hd__o21ai_1 U99091 ( .A1(n81096), .A2(n81095), .B1(n81094), .Y(
+        n81097) );
+  sky130_fd_sc_hd__a22o_1 U99092 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[5]), .A2(n81119), .B1(n81118), .B2(n81097), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N33) );
+  sky130_fd_sc_hd__o21ai_1 U99093 ( .A1(n81100), .A2(n81099), .B1(n81098), .Y(
+        n81101) );
+  sky130_fd_sc_hd__a22o_1 U99094 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[7]), .A2(n81119), .B1(n81118), .B2(n81101), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N35) );
+  sky130_fd_sc_hd__o21ai_1 U99095 ( .A1(n81104), .A2(n81103), .B1(n81102), .Y(
+        n81105) );
+  sky130_fd_sc_hd__a22o_1 U99096 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[9]), .A2(n81119), .B1(n81118), .B2(n81105), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N37) );
+  sky130_fd_sc_hd__o21ai_1 U99097 ( .A1(n81107), .A2(n81106), .B1(n81109), .Y(
+        n81108) );
+  sky130_fd_sc_hd__a22o_1 U99098 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[11]), .A2(n81119), .B1(n81118), .B2(n81108), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N39) );
+  sky130_fd_sc_hd__a21oi_1 U99099 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_prescaler[12]), .A2(n81109), .B1(n81116), .Y(n81113) );
+  sky130_fd_sc_hd__o22ai_1 U99100 ( .A1(n81113), .A2(n81112), .B1(n81111), 
+        .B2(n81110), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N40) );
+  sky130_fd_sc_hd__a22o_1 U99102 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[13]), .A2(n81119), .B1(n81118), .B2(n81117), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N41) );
+  sky130_fd_sc_hd__nand3b_1 U99103 ( .A_N(n84197), .B(n81121), .C(n81120), .Y(
+        n84195) );
+  sky130_fd_sc_hd__nor2_1 U99104 ( .A(n81355), .B(n84195), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N71) );
+  sky130_fd_sc_hd__nor2_1 U99105 ( .A(n81349), .B(n84195), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N67) );
+  sky130_fd_sc_hd__nor3_1 U99106 ( .A(n84199), .B(n84197), .C(n81349), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N63) );
+  sky130_fd_sc_hd__nor3_1 U99107 ( .A(n84196), .B(n84197), .C(n81355), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N45) );
+  sky130_fd_sc_hd__nor3_1 U99108 ( .A(n84199), .B(n84197), .C(n81355), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N41) );
+  sky130_fd_sc_hd__nor2_1 U99109 ( .A(n81355), .B(n81123), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N22) );
+  sky130_fd_sc_hd__nor2_1 U99110 ( .A(n81349), .B(n81123), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N23) );
+  sky130_fd_sc_hd__nor2_1 U99111 ( .A(n81436), .B(n81122), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N27) );
+  sky130_fd_sc_hd__nor2_1 U99112 ( .A(n81438), .B(n81122), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N31) );
+  sky130_fd_sc_hd__nor2_1 U99113 ( .A(n81360), .B(n81123), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N34) );
+  sky130_fd_sc_hd__nor2_1 U99114 ( .A(n81362), .B(n81123), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_N35) );
+  sky130_fd_sc_hd__nand2_1 U99115 ( .A(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .B(
+        n81124), .Y(n36125) );
+  sky130_fd_sc_hd__nor2_1 U99116 ( .A(n81125), .B(n36125), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N52) );
+  sky130_fd_sc_hd__nor3_1 U99117 ( .A(n81129), .B(n81126), .C(n81130), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N46) );
+  sky130_fd_sc_hd__a221oi_1 U99118 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_io_deq_bits_MPORT_addr[2]), .A2(n81129), .B1(n81128), .B2(n81127), .C1(n81130), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N47) );
+  sky130_fd_sc_hd__nor2_1 U99119 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_io_deq_bits_MPORT_addr[0]), .B(n81130), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N45) );
+  sky130_fd_sc_hd__nand2_1 U99120 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_MPORT_addr[0]), .B(n81131), .Y(n81138) );
+  sky130_fd_sc_hd__nor3_1 U99121 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_MPORT_addr[1]), .B(n36802), .C(n81138), .Y(n81132) );
+  sky130_fd_sc_hd__a21o_1 U99122 ( .A1(n85854), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_MPORT_addr[1]), .B1(n81132), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N40) );
+  sky130_fd_sc_hd__nand2b_1 U99123 ( .A_N(n81138), .B(n86402), .Y(n81134) );
+  sky130_fd_sc_hd__nand2_1 U99124 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_MPORT_addr[1]), .B(n81136), .Y(n81137) );
+  sky130_fd_sc_hd__nor2_1 U99127 ( .A(n81138), .B(n81137), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N32) );
+  sky130_fd_sc_hd__nor3_1 U99128 ( .A(n81135), .B(n81136), .C(n81138), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N28) );
+  sky130_fd_sc_hd__nor3_1 U99129 ( .A(n81135), .B(n81136), .C(n81139), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N29) );
+  sky130_fd_sc_hd__nor3_1 U99130 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_MPORT_addr[1]), .B(n81136), .C(n81138), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N30) );
+  sky130_fd_sc_hd__nor3_1 U99131 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_MPORT_addr[1]), .B(n81136), .C(n81139), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N31) );
+  sky130_fd_sc_hd__nor2_1 U99132 ( .A(n81139), .B(n81137), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N33) );
+  sky130_fd_sc_hd__nor3_1 U99133 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_MPORT_addr[2]), .C(n81138), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N34) );
+  sky130_fd_sc_hd__nor3_1 U99134 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_MPORT_addr[1]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_MPORT_addr[2]), .C(n81139), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N35) );
+  sky130_fd_sc_hd__nor3_1 U99135 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_state), 
+        .B(n81140), .C(n37041), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N38) );
+  sky130_fd_sc_hd__o21ai_1 U99136 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_debounce[1]), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_debounce[0]), .B1(n81140), .Y(n81144) );
+  sky130_fd_sc_hd__nor2_1 U99137 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_state), 
+        .B(n81141), .Y(n81142) );
+  sky130_fd_sc_hd__o21ai_1 U99138 ( .A1(n81145), .A2(n81144), .B1(n81142), .Y(
+        n81143) );
+  sky130_fd_sc_hd__a21oi_1 U99139 ( .A1(n81145), .A2(n81144), .B1(n81143), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_N21) );
+  sky130_fd_sc_hd__nor3_1 U99140 ( .A(n81150), .B(n81147), .C(n81155), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_N46) );
+  sky130_fd_sc_hd__a221oi_1 U99141 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_io_deq_bits_MPORT_addr[2]), .A2(n81150), .B1(n81149), .B2(n81148), .C1(n81155), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_N47) );
+  sky130_fd_sc_hd__nor2_1 U99142 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_ram_io_deq_bits_MPORT_addr[0]), .B(n81155), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txq_N45) );
+  sky130_fd_sc_hd__nor2_1 U99143 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_counter[1]), .B(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_counter[0]), 
+        .Y(n81153) );
+  sky130_fd_sc_hd__a21oi_1 U99144 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_counter[0]), .A2(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_counter[1]), .B1(n81153), .Y(n81151) );
+  sky130_fd_sc_hd__o21ai_1 U99146 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_counter[2]), .A2(n81153), .B1(n81190), .Y(n81152) );
+  sky130_fd_sc_hd__a21oi_1 U99147 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_counter[2]), .A2(n81153), .B1(n81152), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N51) );
+  sky130_fd_sc_hd__nand3_1 U99148 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_counter[3]), .B(n86752), .C(n81154), .Y(n81156) );
+  sky130_fd_sc_hd__o21ai_1 U99149 ( .A1(n81157), .A2(n81156), .B1(n81155), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N52) );
+  sky130_fd_sc_hd__a21oi_1 U99150 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[2]), .A2(n81160), .B1(n81159), .Y(n81162) );
+  sky130_fd_sc_hd__o22ai_1 U99151 ( .A1(n81162), .A2(n81168), .B1(n81161), 
+        .B2(n81165), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N30) );
+  sky130_fd_sc_hd__a21oi_1 U99152 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_prescaler[3]), .A2(n81164), .B1(n81163), .Y(n81167) );
+  sky130_fd_sc_hd__o22ai_1 U99153 ( .A1(n81167), .A2(n81168), .B1(n81166), 
+        .B2(n81165), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N31) );
+  sky130_fd_sc_hd__o21ai_1 U99154 ( .A1(n81171), .A2(n81170), .B1(n81169), .Y(
+        n81172) );
+  sky130_fd_sc_hd__a22o_1 U99155 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[5]), .A2(n81190), .B1(n81189), .B2(n81172), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N33) );
+  sky130_fd_sc_hd__o21ai_1 U99156 ( .A1(n81175), .A2(n81174), .B1(n81173), .Y(
+        n81176) );
+  sky130_fd_sc_hd__a22o_1 U99157 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[7]), .A2(n81190), .B1(n81189), .B2(n81176), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N35) );
+  sky130_fd_sc_hd__o21ai_1 U99158 ( .A1(n81179), .A2(n81178), .B1(n81177), .Y(
+        n81180) );
+  sky130_fd_sc_hd__a22o_1 U99159 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[9]), .A2(n81190), .B1(n81189), .B2(n81180), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N37) );
+  sky130_fd_sc_hd__o21ai_1 U99160 ( .A1(n81183), .A2(n81182), .B1(n81181), .Y(
+        n81184) );
+  sky130_fd_sc_hd__a22o_1 U99161 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[11]), .A2(n81190), .B1(n81189), .B2(n81184), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N39) );
+  sky130_fd_sc_hd__a22o_1 U99163 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3__out_out_bits_data_WIRE_1_6[13]), .A2(n81190), .B1(n81189), .B2(n81188), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N41) );
+  sky130_fd_sc_hd__nor2_1 U99164 ( .A(n81356), .B(n81192), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N24) );
+  sky130_fd_sc_hd__nor2_1 U99165 ( .A(n81436), .B(n81192), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N27) );
+  sky130_fd_sc_hd__nor2_1 U99166 ( .A(n81191), .B(n81192), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N28) );
+  sky130_fd_sc_hd__nor2_1 U99167 ( .A(n81437), .B(n81192), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_N30) );
+  sky130_fd_sc_hd__nor2_1 U99168 ( .A(n81196), .B(n36117), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N52) );
+  sky130_fd_sc_hd__nor3_1 U99169 ( .A(n81194), .B(n81193), .C(n81195), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N46) );
+  sky130_fd_sc_hd__nor2_1 U99170 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_io_deq_bits_MPORT_addr[0]), .B(n81195), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N45) );
+  sky130_fd_sc_hd__nor3_1 U99171 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_MPORT_addr[1]), .B(n83631), .C(n81196), .Y(n81197) );
+  sky130_fd_sc_hd__a22o_1 U99172 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_MPORT_addr[1]), .A2(n85855), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_MPORT_addr[0]), .B2(n81197), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N40) );
+  sky130_fd_sc_hd__o21ai_1 U99173 ( .A1(n85855), .A2(n81197), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_MPORT_addr[2]), .Y(n81198) );
+  sky130_fd_sc_hd__nor3_1 U99175 ( .A(n81203), .B(n81202), .C(n81200), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N28) );
+  sky130_fd_sc_hd__nor3_1 U99176 ( .A(n81203), .B(n81202), .C(n81201), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N29) );
+  sky130_fd_sc_hd__nor3_1 U99177 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_MPORT_addr[1]), .B(n81202), .C(n81200), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N30) );
+  sky130_fd_sc_hd__nor3_1 U99178 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_MPORT_addr[1]), .B(n81202), .C(n81201), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N31) );
+  sky130_fd_sc_hd__nor3_1 U99179 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_MPORT_addr[2]), .B(n81203), .C(n81201), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N33) );
+  sky130_fd_sc_hd__nand3_1 U99180 ( .A(n81204), .B(n81203), .C(n81202), .Y(
+        n81206) );
+  sky130_fd_sc_hd__nor2_1 U99181 ( .A(n81205), .B(n81206), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N34) );
+  sky130_fd_sc_hd__nor2_1 U99182 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_MPORT_addr[0]), .B(n81206), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N35) );
+  sky130_fd_sc_hd__nor3_1 U99183 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_state), 
+        .B(n81207), .C(n36848), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N38) );
+  sky130_fd_sc_hd__o21ai_1 U99184 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_debounce[1]), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_debounce[0]), .B1(n81207), .Y(n81211) );
+  sky130_fd_sc_hd__nor2_1 U99185 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_state), 
+        .B(n81208), .Y(n81209) );
+  sky130_fd_sc_hd__a21oi_1 U99187 ( .A1(n81212), .A2(n81211), .B1(n81210), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N21) );
+  sky130_fd_sc_hd__nor2_1 U99188 ( .A(n81213), .B(n36614), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N52) );
+  sky130_fd_sc_hd__nor3_1 U99189 ( .A(n81214), .B(n81217), .C(n81227), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N46) );
+  sky130_fd_sc_hd__a221oi_1 U99190 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_io_deq_bits_MPORT_addr[2]), .A2(n81217), .B1(n81216), .B2(n81215), .C1(n81227), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N47) );
+  sky130_fd_sc_hd__nor2_1 U99191 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_io_deq_bits_MPORT_addr[0]), .B(n81227), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N45) );
+  sky130_fd_sc_hd__o21ai_0 U99193 ( .A1(n37019), .A2(n37041), .B1(n81218), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N41) );
+  sky130_fd_sc_hd__nand2_1 U99194 ( .A(n81220), .B(n81219), .Y(n81222) );
+  sky130_fd_sc_hd__nor2_2 U99195 ( .A(n81221), .B(n81222), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N31) );
+  sky130_fd_sc_hd__nor2_2 U99196 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_MPORT_addr[2]), .B(n81222), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_N35) );
+  sky130_fd_sc_hd__nor2_1 U99197 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_counter[1]), .B(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_counter[0]), 
+        .Y(n81225) );
+  sky130_fd_sc_hd__a21oi_1 U99198 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_counter[0]), .A2(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_counter[1]), .B1(n81225), .Y(n81223) );
+  sky130_fd_sc_hd__a21oi_1 U99201 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_counter[2]), .A2(n81225), .B1(n81224), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N51) );
+  sky130_fd_sc_hd__nand3_1 U99202 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_counter[3]), .B(n86402), .C(n81226), .Y(n81228) );
+  sky130_fd_sc_hd__o21ai_1 U99203 ( .A1(n81229), .A2(n81228), .B1(n81227), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N52) );
+  sky130_fd_sc_hd__nor2_1 U99204 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[1]), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[0]), .Y(n81232) );
+  sky130_fd_sc_hd__a21oi_1 U99205 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[0]), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[1]), .B1(n81232), .Y(n81231) );
+  sky130_fd_sc_hd__o22ai_1 U99206 ( .A1(n81231), .A2(n81242), .B1(n81230), 
+        .B2(n81239), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N29) );
+  sky130_fd_sc_hd__a21oi_1 U99207 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[2]), .A2(n81234), .B1(n81233), .Y(n81236) );
+  sky130_fd_sc_hd__o22ai_1 U99208 ( .A1(n81236), .A2(n81242), .B1(n81235), 
+        .B2(n81239), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N30) );
+  sky130_fd_sc_hd__a21oi_1 U99209 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_prescaler[3]), .A2(n81238), .B1(n81237), .Y(n81241) );
+  sky130_fd_sc_hd__o22ai_1 U99210 ( .A1(n81241), .A2(n81242), .B1(n81240), 
+        .B2(n81239), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N31) );
+  sky130_fd_sc_hd__o21ai_1 U99211 ( .A1(n81245), .A2(n81244), .B1(n81243), .Y(
+        n81246) );
+  sky130_fd_sc_hd__a22o_1 U99212 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[5]), .A2(n81264), .B1(n81263), .B2(n81246), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N33) );
+  sky130_fd_sc_hd__o21ai_1 U99213 ( .A1(n81249), .A2(n81248), .B1(n81247), .Y(
+        n81250) );
+  sky130_fd_sc_hd__a22o_1 U99214 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[7]), .A2(n81264), .B1(n81263), .B2(n81250), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N35) );
+  sky130_fd_sc_hd__o21ai_1 U99215 ( .A1(n81253), .A2(n81252), .B1(n81251), .Y(
+        n81254) );
+  sky130_fd_sc_hd__a22o_1 U99216 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[9]), .A2(n81264), .B1(n81263), .B2(n81254), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N37) );
+  sky130_fd_sc_hd__o21ai_1 U99217 ( .A1(n81257), .A2(n81256), .B1(n81255), .Y(
+        n81258) );
+  sky130_fd_sc_hd__a22o_1 U99218 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[11]), .A2(n81264), .B1(n81263), .B2(n81258), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N39) );
+  sky130_fd_sc_hd__a22o_1 U99220 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[13]), .A2(n81264), .B1(n81263), .B2(n81262), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N41) );
+  sky130_fd_sc_hd__nand2_1 U99221 ( .A(n81266), .B(n81265), .Y(n84201) );
+  sky130_fd_sc_hd__nor2_1 U99222 ( .A(n81355), .B(n84201), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N71) );
+  sky130_fd_sc_hd__nor2_1 U99223 ( .A(n84201), .B(n81349), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N67) );
+  sky130_fd_sc_hd__nor2_1 U99224 ( .A(n81349), .B(n81267), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N63) );
+  sky130_fd_sc_hd__nor3_1 U99225 ( .A(n84204), .B(n84203), .C(n81355), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N45) );
+  sky130_fd_sc_hd__nor2_1 U99226 ( .A(n81355), .B(n81269), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N22) );
+  sky130_fd_sc_hd__nor2_1 U99227 ( .A(n81357), .B(n81269), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N25) );
+  sky130_fd_sc_hd__nor2_1 U99228 ( .A(n81436), .B(n81268), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N27) );
+  sky130_fd_sc_hd__nor2_1 U99229 ( .A(n81437), .B(n81268), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N30) );
+  sky130_fd_sc_hd__nor2_1 U99230 ( .A(n81438), .B(n81268), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N31) );
+  sky130_fd_sc_hd__nor2_1 U99231 ( .A(n81359), .B(n81269), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N32) );
+  sky130_fd_sc_hd__nor2_1 U99232 ( .A(n81360), .B(n81269), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N34) );
+  sky130_fd_sc_hd__nor2_1 U99233 ( .A(n81362), .B(n81269), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_N35) );
+  sky130_fd_sc_hd__nand2_1 U99234 ( .A(n86753), .B(n81279), .Y(n36612) );
+  sky130_fd_sc_hd__a21oi_1 U99235 ( .A1(n81271), .A2(n81279), .B1(n36848), .Y(
+        n81270) );
+  sky130_fd_sc_hd__nand2_1 U99237 ( .A(n36801), .B(n81272), .Y(n36109) );
+  sky130_fd_sc_hd__nor2_1 U99238 ( .A(n81279), .B(n36109), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N52) );
+  sky130_fd_sc_hd__nor4_1 U99239 ( .A(n81274), .B(n81273), .C(n60015), .D(
+        n81272), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N46) );
+  sky130_fd_sc_hd__nor2_1 U99240 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_io_deq_bits_MPORT_addr[0]), .B(n81275), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N45) );
+  sky130_fd_sc_hd__nor3_1 U99241 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_MPORT_addr[1]), .B(n83631), .C(n81279), .Y(n81276) );
+  sky130_fd_sc_hd__a22o_1 U99242 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_MPORT_addr[1]), .A2(n85858), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_MPORT_addr[0]), .B2(n81276), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N40) );
+  sky130_fd_sc_hd__o21ai_1 U99244 ( .A1(n81278), .A2(n36802), .B1(n81277), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N41) );
+  sky130_fd_sc_hd__nor4_1 U99245 ( .A(n81282), .B(n81284), .C(n81281), .D(
+        n81279), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N28) );
+  sky130_fd_sc_hd__nor3_1 U99246 ( .A(n81282), .B(n81281), .C(n81280), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N29) );
+  sky130_fd_sc_hd__nor4_1 U99247 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_MPORT_addr[1]), .B(n81284), .C(n81281), .D(n81279), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N30) );
+  sky130_fd_sc_hd__nor3_1 U99248 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_MPORT_addr[1]), .B(n81281), .C(n81280), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N31) );
+  sky130_fd_sc_hd__nor3_1 U99249 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_MPORT_addr[2]), .B(n81282), .C(n81280), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N33) );
+  sky130_fd_sc_hd__nand3_1 U99250 ( .A(n81283), .B(n81282), .C(n81281), .Y(
+        n81285) );
+  sky130_fd_sc_hd__nor2_1 U99251 ( .A(n81284), .B(n81285), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N34) );
+  sky130_fd_sc_hd__nor2_1 U99252 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_MPORT_addr[0]), .B(n81285), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N35) );
+  sky130_fd_sc_hd__nor3_1 U99253 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_state), 
+        .B(n81286), .C(n83631), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N38) );
+  sky130_fd_sc_hd__nor2_1 U99255 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_state), 
+        .B(n81287), .Y(n81288) );
+  sky130_fd_sc_hd__a21oi_1 U99257 ( .A1(n81291), .A2(n81290), .B1(n81289), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N21) );
+  sky130_fd_sc_hd__nand2_1 U99258 ( .A(n86753), .B(n81294), .Y(n36105) );
+  sky130_fd_sc_hd__a22o_1 U99259 ( .A1(n81294), .A2(n81293), .B1(n36105), .B2(
+        n81313), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N51) );
+  sky130_fd_sc_hd__nor2_1 U99260 ( .A(n81294), .B(n36609), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N52) );
+  sky130_fd_sc_hd__nor3_1 U99261 ( .A(n81298), .B(n81295), .C(n81313), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N46) );
+  sky130_fd_sc_hd__a221oi_1 U99262 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_io_deq_bits_MPORT_addr[2]), .A2(n81298), .B1(n81297), .B2(n81296), .C1(n81313), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N47) );
+  sky130_fd_sc_hd__nor2_1 U99263 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_io_deq_bits_MPORT_addr[0]), .B(n81313), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N45) );
+  sky130_fd_sc_hd__nor2_1 U99264 ( .A(n36848), .B(n81302), .Y(n81299) );
+  sky130_fd_sc_hd__a22o_1 U99265 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_MPORT_addr[0]), .A2(n81299), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_MPORT_addr[1]), .B2(n85859), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N40) );
+  sky130_fd_sc_hd__o21ai_1 U99267 ( .A1(n36802), .A2(n81301), .B1(n81300), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N41) );
+  sky130_fd_sc_hd__nor3_2 U99268 ( .A(n81306), .B(n81304), .C(n81305), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N29) );
+  sky130_fd_sc_hd__nor3_2 U99269 ( .A(n81303), .B(n81304), .C(n81302), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N30) );
+  sky130_fd_sc_hd__nor3_2 U99270 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_MPORT_addr[1]), .B(n81304), .C(n81305), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_N31) );
+  sky130_fd_sc_hd__nor2_1 U99271 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_counter[1]), .B(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_counter[0]), 
+        .Y(n81309) );
+  sky130_fd_sc_hd__a21oi_1 U99272 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_counter[0]), .A2(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_counter[1]), .B1(n81309), .Y(n81307) );
+  sky130_fd_sc_hd__a21oi_1 U99275 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_counter[2]), .A2(n81309), .B1(n81308), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N51) );
+  sky130_fd_sc_hd__nand4_1 U99276 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_counter[3]), .B(n36801), .C(n81311), .D(n81310), .Y(n81312) );
+  sky130_fd_sc_hd__nand2_1 U99277 ( .A(n81313), .B(n81312), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N52) );
+  sky130_fd_sc_hd__a21oi_1 U99278 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[0]), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[1]), .B1(n81314), .Y(n81316) );
+  sky130_fd_sc_hd__o22ai_1 U99279 ( .A1(n81316), .A2(n81325), .B1(n81315), 
+        .B2(n81322), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N29) );
+  sky130_fd_sc_hd__a21oi_1 U99280 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[3]), .A2(n81318), .B1(n81317), .Y(n81320) );
+  sky130_fd_sc_hd__o22ai_1 U99281 ( .A1(n81320), .A2(n81325), .B1(n81319), 
+        .B2(n81322), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N31) );
+  sky130_fd_sc_hd__a21oi_1 U99282 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_prescaler[4]), .A2(n81321), .B1(n81328), .Y(n81324) );
+  sky130_fd_sc_hd__o22ai_1 U99283 ( .A1(n81324), .A2(n81325), .B1(n81323), 
+        .B2(n81322), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N32) );
+  sky130_fd_sc_hd__a22o_1 U99285 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[5]), .A2(n81347), .B1(n81346), .B2(n81329), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N33) );
+  sky130_fd_sc_hd__o21ai_1 U99286 ( .A1(n81332), .A2(n81331), .B1(n81330), .Y(
+        n81333) );
+  sky130_fd_sc_hd__a22o_1 U99287 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[7]), .A2(n81347), .B1(n81346), .B2(n81333), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N35) );
+  sky130_fd_sc_hd__o21ai_1 U99288 ( .A1(n81336), .A2(n81335), .B1(n81334), .Y(
+        n81337) );
+  sky130_fd_sc_hd__a22o_1 U99289 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[9]), .A2(n81347), .B1(n81346), .B2(n81337), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N37) );
+  sky130_fd_sc_hd__o21ai_1 U99290 ( .A1(n81340), .A2(n81339), .B1(n81338), .Y(
+        n81341) );
+  sky130_fd_sc_hd__a22o_1 U99291 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[11]), .A2(n81347), .B1(n81346), .B2(n81341), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N39) );
+  sky130_fd_sc_hd__o21ai_1 U99292 ( .A1(n81344), .A2(n81343), .B1(n81342), .Y(
+        n81345) );
+  sky130_fd_sc_hd__a22o_1 U99293 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1__out_out_bits_data_WIRE_1_6[13]), .A2(n81347), .B1(n81346), .B2(n81345), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N41) );
+  sky130_fd_sc_hd__nor2_1 U99294 ( .A(n81349), .B(n81348), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N67) );
+  sky130_fd_sc_hd__nor2_1 U99295 ( .A(n81351), .B(n81350), .Y(n81353) );
+  sky130_fd_sc_hd__nand2_1 U99296 ( .A(n81353), .B(n81352), .Y(n84205) );
+  sky130_fd_sc_hd__nor2_1 U99297 ( .A(n81355), .B(n84205), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N45) );
+  sky130_fd_sc_hd__nor2_1 U99298 ( .A(n81355), .B(n81354), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N41) );
+  sky130_fd_sc_hd__inv_2 U99299 ( .A(n36108), .Y(n81358) );
+  sky130_fd_sc_hd__nor2_1 U99300 ( .A(n81356), .B(n81358), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N24) );
+  sky130_fd_sc_hd__nor2_1 U99301 ( .A(n81357), .B(n81361), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N25) );
+  sky130_fd_sc_hd__nor2_1 U99302 ( .A(n81436), .B(n81358), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N27) );
+  sky130_fd_sc_hd__nor2_1 U99303 ( .A(n81437), .B(n81358), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N30) );
+  sky130_fd_sc_hd__nor2_1 U99304 ( .A(n81438), .B(n81358), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N31) );
+  sky130_fd_sc_hd__nor2_1 U99305 ( .A(n81359), .B(n81361), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N32) );
+  sky130_fd_sc_hd__nor2_1 U99306 ( .A(n81360), .B(n81361), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N34) );
+  sky130_fd_sc_hd__nor2_1 U99307 ( .A(n81362), .B(n81361), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_N35) );
+  sky130_fd_sc_hd__nand2_1 U99308 ( .A(n86753), .B(n81363), .Y(n36101) );
+  sky130_fd_sc_hd__nor2_1 U99309 ( .A(n81373), .B(n36101), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N52) );
+  sky130_fd_sc_hd__nor3_1 U99310 ( .A(n81367), .B(n81364), .C(n81368), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N46) );
+  sky130_fd_sc_hd__a221oi_1 U99311 ( .A1(n81367), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_io_deq_bits_MPORT_addr[2]), .B1(n81366), .B2(n81365), .C1(n81368), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N47) );
+  sky130_fd_sc_hd__nor2_1 U99312 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_io_deq_bits_MPORT_addr[0]), .B(n81368), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N45) );
+  sky130_fd_sc_hd__o21ai_1 U99313 ( .A1(n85860), .A2(n81369), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_MPORT_addr[2]), .Y(n81370) );
+  sky130_fd_sc_hd__nor3_1 U99315 ( .A(n81376), .B(n81378), .C(n81372), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N28) );
+  sky130_fd_sc_hd__nor3_1 U99316 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_MPORT_addr[0]), .B(n81376), .C(n81372), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N29) );
+  sky130_fd_sc_hd__nor2_1 U99317 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_MPORT_addr[1]), .B(n81373), .Y(n81377) );
+  sky130_fd_sc_hd__nand2_1 U99318 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_MPORT_addr[2]), .B(n81377), .Y(n81374) );
+  sky130_fd_sc_hd__nor2_1 U99319 ( .A(n81378), .B(n81374), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N30) );
+  sky130_fd_sc_hd__nor2_1 U99320 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_MPORT_addr[0]), .B(n81374), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N31) );
+  sky130_fd_sc_hd__nor2_1 U99321 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_MPORT_addr[0]), .B(n81375), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N33) );
+  sky130_fd_sc_hd__nand2_1 U99322 ( .A(n81377), .B(n81376), .Y(n81379) );
+  sky130_fd_sc_hd__nor2_1 U99323 ( .A(n81378), .B(n81379), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N34) );
+  sky130_fd_sc_hd__nor2_1 U99324 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_ram_MPORT_addr[0]), .B(n81379), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N35) );
+  sky130_fd_sc_hd__nor3_1 U99325 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_state), 
+        .B(n81380), .C(n36802), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N38) );
+  sky130_fd_sc_hd__o21ai_1 U99326 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_debounce[1]), .A2(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_debounce[0]), 
+        .B1(n81380), .Y(n81384) );
+  sky130_fd_sc_hd__nor2_1 U99327 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_state), 
+        .B(n81381), .Y(n81382) );
+  sky130_fd_sc_hd__a21oi_1 U99329 ( .A1(n81385), .A2(n81384), .B1(n81383), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_N21) );
+  sky130_fd_sc_hd__nand2_1 U99330 ( .A(n81386), .B(n37039), .Y(n81397) );
+  sky130_fd_sc_hd__nor3_1 U99331 ( .A(n81387), .B(n81390), .C(n81397), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_N46) );
+  sky130_fd_sc_hd__a221oi_1 U99332 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_io_deq_bits_MPORT_addr[2]), .A2(n81390), .B1(n81389), .B2(n81388), .C1(n81397), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_N47) );
+  sky130_fd_sc_hd__nor2_1 U99333 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_ram_io_deq_bits_MPORT_addr[0]), .B(n81397), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txq_N45) );
+  sky130_fd_sc_hd__o22ai_1 U99334 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_counter[0]), .A2(n81405), .B1(n81391), .B2(n81397), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N49) );
+  sky130_fd_sc_hd__nor2_1 U99335 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_counter[1]), .B(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_counter[0]), 
+        .Y(n81394) );
+  sky130_fd_sc_hd__a21oi_1 U99336 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_counter[0]), .A2(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_counter[1]), 
+        .B1(n81394), .Y(n81392) );
+  sky130_fd_sc_hd__a21oi_1 U99339 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_counter[2]), .A2(n81394), .B1(n81393), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N51) );
+  sky130_fd_sc_hd__nand4_1 U99340 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_counter[3]), .B(n37037), .C(n81433), .D(n81395), .Y(n81396) );
+  sky130_fd_sc_hd__nand2_1 U99341 ( .A(n81397), .B(n81396), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N52) );
+  sky130_fd_sc_hd__nand2_1 U99342 ( .A(n81399), .B(n81398), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N48) );
+  sky130_fd_sc_hd__a21oi_1 U99343 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[0]), .A2(MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[1]), .B1(n81400), .Y(n81402) );
+  sky130_fd_sc_hd__o22ai_1 U99344 ( .A1(n81402), .A2(n81408), .B1(n81401), 
+        .B2(n81405), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N29) );
+  sky130_fd_sc_hd__a21oi_1 U99345 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_prescaler[3]), .A2(n81404), .B1(n81403), .Y(n81407) );
+  sky130_fd_sc_hd__o22ai_1 U99346 ( .A1(n81407), .A2(n81408), .B1(n81406), 
+        .B2(n81405), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N31) );
+  sky130_fd_sc_hd__o21ai_1 U99347 ( .A1(n81411), .A2(n81410), .B1(n81409), .Y(
+        n81412) );
+  sky130_fd_sc_hd__a22o_1 U99348 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[5]), .A2(n81430), .B1(n81429), .B2(n81412), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N33) );
+  sky130_fd_sc_hd__o21ai_1 U99349 ( .A1(n81415), .A2(n81414), .B1(n81413), .Y(
+        n81416) );
+  sky130_fd_sc_hd__a22o_1 U99350 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[7]), .A2(n81430), .B1(n81429), .B2(n81416), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N35) );
+  sky130_fd_sc_hd__o21ai_1 U99351 ( .A1(n81419), .A2(n81418), .B1(n81417), .Y(
+        n81420) );
+  sky130_fd_sc_hd__a22o_1 U99352 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[9]), .A2(n81430), .B1(n81429), .B2(n81420), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N37) );
+  sky130_fd_sc_hd__o21ai_1 U99353 ( .A1(n81423), .A2(n81422), .B1(n81421), .Y(
+        n81424) );
+  sky130_fd_sc_hd__a22o_1 U99354 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[11]), .A2(n81430), .B1(n81429), .B2(n81424), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N39) );
+  sky130_fd_sc_hd__a22o_1 U99356 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[13]), .A2(n81430), .B1(n81429), .B2(n81428), .X(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N41) );
+  sky130_fd_sc_hd__a2bb2oi_1 U99357 ( .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[15]), .B2(n81433), .A1_N(n81432), .A2_N(n81431), .Y(n81434) );
+  sky130_fd_sc_hd__nor2b_1 U99358 ( .B_N(n81435), .A(n81434), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N43) );
+  sky130_fd_sc_hd__nor2_1 U99359 ( .A(n81436), .B(n81439), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N27) );
+  sky130_fd_sc_hd__nor2_1 U99360 ( .A(n81439), .B(n81437), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N30) );
+  sky130_fd_sc_hd__nor2_1 U99361 ( .A(n81439), .B(n81438), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_N31) );
+  sky130_fd_sc_hd__nor2_1 U99362 ( .A(n85911), .B(n81440), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_back_N11) );
+  sky130_fd_sc_hd__nand3_1 U99363 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aonrst_catch__io_sync_reset_WIRE), .B(
+        n81441), .C(n81440), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_back_N10) );
+  sky130_fd_sc_hd__nand3_1 U99364 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_run), .B(n81443), .C(
+        n81442), .Y(n81474) );
+  sky130_fd_sc_hd__nor2_1 U99365 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[0]), .B(n81474), 
+        .Y(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N264) );
+  sky130_fd_sc_hd__nor2_1 U99366 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[1]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[0]), .Y(n81444) );
+  sky130_fd_sc_hd__nor3_1 U99367 ( .A(n81444), .B(n81474), .C(n81445), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N265) );
+  sky130_fd_sc_hd__o21ai_0 U99368 ( .A1(n81445), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[2]), .B1(n81448), 
+        .Y(n81446) );
+  sky130_fd_sc_hd__nor2_1 U99369 ( .A(n81474), .B(n81446), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N266) );
+  sky130_fd_sc_hd__a211oi_1 U99370 ( .A1(n81448), .A2(n81447), .B1(n81449), 
+        .C1(n81474), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N267) );
+  sky130_fd_sc_hd__o21ai_0 U99371 ( .A1(n81449), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[4]), .B1(n81452), 
+        .Y(n81450) );
+  sky130_fd_sc_hd__nor2_1 U99372 ( .A(n81474), .B(n81450), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N268) );
+  sky130_fd_sc_hd__a211oi_1 U99373 ( .A1(n81452), .A2(n81451), .B1(n81453), 
+        .C1(n81474), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N269) );
+  sky130_fd_sc_hd__nor2_1 U99374 ( .A(n81453), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[6]), .Y(n81455) );
+  sky130_fd_sc_hd__nor3_1 U99375 ( .A(n81455), .B(n81474), .C(n81454), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N270) );
+  sky130_fd_sc_hd__a211oi_1 U99376 ( .A1(n81457), .A2(n81456), .B1(n81458), 
+        .C1(n81474), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N271) );
+  sky130_fd_sc_hd__o21ai_0 U99377 ( .A1(n81458), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[8]), .B1(n81461), 
+        .Y(n81459) );
+  sky130_fd_sc_hd__nor2_1 U99378 ( .A(n81474), .B(n81459), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N272) );
+  sky130_fd_sc_hd__a211oi_1 U99379 ( .A1(n81461), .A2(n81460), .B1(n81462), 
+        .C1(n81474), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N273) );
+  sky130_fd_sc_hd__nor2_1 U99380 ( .A(n81462), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[10]), .Y(n81464) );
+  sky130_fd_sc_hd__nor3_1 U99381 ( .A(n81464), .B(n81474), .C(n81463), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N274) );
+  sky130_fd_sc_hd__a211oi_1 U99382 ( .A1(n81466), .A2(n81465), .B1(n81467), 
+        .C1(n81474), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N275) );
+  sky130_fd_sc_hd__nor2_1 U99383 ( .A(n81467), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[12]), .Y(n81469) );
+  sky130_fd_sc_hd__nor3_1 U99384 ( .A(n81469), .B(n81474), .C(n81468), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N276) );
+  sky130_fd_sc_hd__a211oi_1 U99385 ( .A1(n81471), .A2(n81470), .B1(n81472), 
+        .C1(n81474), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N277) );
+  sky130_fd_sc_hd__nor2_1 U99386 ( .A(n81472), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_count[14]), .Y(n81473) );
+  sky130_fd_sc_hd__nor2_1 U99387 ( .A(n81474), .B(n81473), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N278) );
+  sky130_fd_sc_hd__a21oi_1 U99388 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_502[2]), .A2(n81475), .B1(
+        n86371), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N99) );
+  sky130_fd_sc_hd__nor2_1 U99389 ( .A(n81476), .B(n86371), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N100) );
+  sky130_fd_sc_hd__o31a_1 U99390 ( .A1(n81504), .A2(n81495), .A3(n81478), .B1(
+        n81477), .X(n81479) );
+  sky130_fd_sc_hd__nor2b_1 U99391 ( .B_N(n81480), .A(n81479), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N87) );
+  sky130_fd_sc_hd__nor2_1 U99392 ( .A(n81481), .B(n81495), .Y(n83990) );
+  sky130_fd_sc_hd__nand4_1 U99393 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[6]), .B(n81484), .C(
+        n81483), .D(n81482), .Y(n81492) );
+  sky130_fd_sc_hd__nor4_1 U99394 ( .A(n84208), .B(n81487), .C(n81486), .D(
+        n81485), .Y(n81490) );
+  sky130_fd_sc_hd__nand4_1 U99395 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_100[1]), .B(n81490), .C(
+        n81489), .D(n81488), .Y(n81491) );
+  sky130_fd_sc_hd__nor3_1 U99396 ( .A(n81493), .B(n81492), .C(n81491), .Y(
+        n84217) );
+  sky130_fd_sc_hd__nor2_1 U99397 ( .A(n81494), .B(n81495), .Y(n81831) );
+  sky130_fd_sc_hd__nor2_1 U99398 ( .A(n81504), .B(n81495), .Y(n81496) );
+  sky130_fd_sc_hd__nor3_1 U99399 ( .A(n81497), .B(n81831), .C(n81496), .Y(
+        n81500) );
+  sky130_fd_sc_hd__o22ai_1 U99400 ( .A1(n81500), .A2(n81499), .B1(n81498), 
+        .B2(n81531), .Y(n81501) );
+  sky130_fd_sc_hd__nor2_1 U99401 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_reset), .B(n81501), .Y(
+        n83992) );
+  sky130_fd_sc_hd__and4_1 U99402 ( .A(n84221), .B(n83990), .C(n84217), .D(
+        n83992), .X(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_N82) );
+  sky130_fd_sc_hd__nand3_1 U99403 ( .A(n82222), .B(n84215), .C(n81502), .Y(
+        n81981) );
+  sky130_fd_sc_hd__clkinv_1 U99404 ( .A(n81981), .Y(n81509) );
+  sky130_fd_sc_hd__nor3_1 U99405 ( .A(n82268), .B(n84216), .C(n81503), .Y(
+        n82209) );
+  sky130_fd_sc_hd__clkinv_1 U99406 ( .A(n82209), .Y(n81936) );
+  sky130_fd_sc_hd__nor3_1 U99407 ( .A(n82268), .B(n81504), .C(n84216), .Y(
+        n82239) );
+  sky130_fd_sc_hd__clkinv_1 U99408 ( .A(n82239), .Y(n82211) );
+  sky130_fd_sc_hd__o22ai_1 U99409 ( .A1(n81506), .A2(n81936), .B1(n81505), 
+        .B2(n82211), .Y(n81507) );
+  sky130_fd_sc_hd__a21oi_1 U99410 ( .A1(n81509), .A2(n81508), .B1(n81507), .Y(
+        n81553) );
+  sky130_fd_sc_hd__nor2_1 U99411 ( .A(n82268), .B(n84212), .Y(n81984) );
+  sky130_fd_sc_hd__nor2_1 U99412 ( .A(n84216), .B(n81510), .Y(n84218) );
+  sky130_fd_sc_hd__a22oi_1 U99413 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[0]), .A2(n81971), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_472_0_), .B2(n84218), .Y(
+        n81550) );
+  sky130_fd_sc_hd__a22oi_1 U99414 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[0]), .A2(n82188), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_538[0]), .B2(n84228), .Y(
+        n81549) );
+  sky130_fd_sc_hd__nor2_1 U99415 ( .A(n81512), .B(n84213), .Y(n81846) );
+  sky130_fd_sc_hd__nor2_1 U99416 ( .A(n81512), .B(n81511), .Y(n81545) );
+  sky130_fd_sc_hd__a22oi_1 U99417 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[1]), .A2(n81545), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_461[0]), .B2(n81800), .Y(
+        n81518) );
+  sky130_fd_sc_hd__clkinv_1 U99418 ( .A(n81513), .Y(n81806) );
+  sky130_fd_sc_hd__a22oi_1 U99419 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_105[0]), .A2(n81806), .B1(
+        n82248), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[0]), 
+        .Y(n81517) );
+  sky130_fd_sc_hd__clkinv_1 U99420 ( .A(n81514), .Y(n81804) );
+  sky130_fd_sc_hd__a22oi_1 U99421 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_364[0]), .A2(n81804), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_693[0]), .B2(n81805), .Y(
+        n81516) );
+  sky130_fd_sc_hd__nand2_1 U99422 ( .A(n82267), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[0]), .Y(n81515) );
+  sky130_fd_sc_hd__nand4_1 U99423 ( .A(n81518), .B(n81517), .C(n81516), .D(
+        n81515), .Y(n81542) );
+  sky130_fd_sc_hd__a22oi_1 U99424 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_789[0]), .A2(n81803), .B1(
+        n82250), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[0]), 
+        .Y(n81522) );
+  sky130_fd_sc_hd__a22oi_1 U99425 ( .A1(n82247), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[0]), .B1(n82252), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[0]), .Y(n81521)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99426 ( .A1(n82249), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[0]), .B1(n82251), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[0]), .Y(n81520)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99427 ( .A1(n82258), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[0]), .B1(n82260), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[0]), .Y(n81519)
+         );
+  sky130_fd_sc_hd__nand4_1 U99428 ( .A(n81522), .B(n81521), .C(n81520), .D(
+        n81519), .Y(n81541) );
+  sky130_fd_sc_hd__clkinv_1 U99429 ( .A(n81839), .Y(n82254) );
+  sky130_fd_sc_hd__a22oi_1 U99430 ( .A1(n82257), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[0]), .B1(n82254), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[0]), .Y(n81527)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99431 ( .A1(n82253), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[0]), .B1(n82256), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[0]), .Y(n81526)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99432 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[0]), .A2(n82192), .B1(
+        n82255), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[0]), .Y(
+        n81525) );
+  sky130_fd_sc_hd__a22o_1 U99433 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_325[0]), .A2(n81790), .B1(
+        n82193), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[0]), .X(
+        n81523) );
+  sky130_fd_sc_hd__a21oi_1 U99434 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_734[0]), .A2(n81795), .B1(
+        n81523), .Y(n81524) );
+  sky130_fd_sc_hd__nand4_1 U99435 ( .A(n81527), .B(n81526), .C(n81525), .D(
+        n81524), .Y(n81540) );
+  sky130_fd_sc_hd__a22oi_1 U99436 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_350[0]), .A2(n81788), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_447[0]), .B2(n81789), .Y(
+        n81538) );
+  sky130_fd_sc_hd__a22oi_1 U99437 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_527[0]), .A2(n81801), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_424[0]), .B2(n81802), .Y(
+        n81537) );
+  sky130_fd_sc_hd__a22oi_1 U99438 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_486[0]), .A2(n81787), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_385[0]), .B2(n81786), .Y(
+        n81536) );
+  sky130_fd_sc_hd__a22o_1 U99439 ( .A1(n81831), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core__GEN_34[0]), .B1(n83990), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_796_0_), .X(n81528) );
+  sky130_fd_sc_hd__a211oi_1 U99440 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_775[0]), .A2(n81784), .B1(
+        n81785), .C1(n81528), .Y(n81533) );
+  sky130_fd_sc_hd__o22ai_1 U99441 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_318[0]), .A2(n81532), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_371[0]), .B2(n81529), .Y(
+        n81530) );
+  sky130_fd_sc_hd__a31oi_1 U99442 ( .A1(n81533), .A2(n81532), .A3(n81531), 
+        .B1(n81530), .Y(n81534) );
+  sky130_fd_sc_hd__a21oi_1 U99443 ( .A1(n82259), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[0]), .B1(n81534), .Y(
+        n81535) );
+  sky130_fd_sc_hd__nand4_1 U99444 ( .A(n81538), .B(n81537), .C(n81536), .D(
+        n81535), .Y(n81539) );
+  sky130_fd_sc_hd__nor4_1 U99445 ( .A(n81542), .B(n81541), .C(n81540), .D(
+        n81539), .Y(n81543) );
+  sky130_fd_sc_hd__a21oi_1 U99446 ( .A1(n81545), .A2(n81544), .B1(n81543), .Y(
+        n81546) );
+  sky130_fd_sc_hd__a21oi_1 U99447 ( .A1(n81846), .A2(n81547), .B1(n81546), .Y(
+        n81548) );
+  sky130_fd_sc_hd__a31oi_1 U99448 ( .A1(n81550), .A2(n81549), .A3(n81548), 
+        .B1(n82268), .Y(n81551) );
+  sky130_fd_sc_hd__a21oi_1 U99449 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[0]), .A2(n81984), .B1(
+        n81551), .Y(n81552) );
+  sky130_fd_sc_hd__nand2_1 U99450 ( .A(n81553), .B(n81552), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[0]) );
+  sky130_fd_sc_hd__a22o_1 U99451 ( .A1(n82255), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[1]), .B1(n82193), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[1]), .X(n81554)
+         );
+  sky130_fd_sc_hd__a21oi_1 U99452 ( .A1(n82259), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[1]), .B1(n81554), .Y(
+        n81582) );
+  sky130_fd_sc_hd__a22oi_1 U99453 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[1]), .A2(n82188), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_424[1]), .B2(n81802), .Y(
+        n81581) );
+  sky130_fd_sc_hd__a22oi_1 U99454 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_318[1]), .A2(n81796), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_693[1]), .B2(n81805), .Y(
+        n81568) );
+  sky130_fd_sc_hd__a22oi_1 U99455 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_502[1]), .A2(n81636), .B1(
+        n81831), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core__GEN_34[1]), 
+        .Y(n81556) );
+  sky130_fd_sc_hd__nand2_1 U99456 ( .A(n81789), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_447[1]), .Y(n81555) );
+  sky130_fd_sc_hd__nand2_1 U99457 ( .A(n81556), .B(n81555), .Y(n81562) );
+  sky130_fd_sc_hd__a22oi_1 U99458 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_385[1]), .A2(n81786), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_775[1]), .B2(n81784), .Y(
+        n81560) );
+  sky130_fd_sc_hd__a22oi_1 U99459 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_734[1]), .A2(n81795), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_325[1]), .B2(n81790), .Y(
+        n81559) );
+  sky130_fd_sc_hd__a211oi_1 U99460 ( .A1(n81803), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_789[1]), .B1(n81787), .C1(
+        n81801), .Y(n81558) );
+  sky130_fd_sc_hd__a22oi_1 U99461 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_105[1]), .A2(n81806), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_461[1]), .B2(n81800), .Y(
+        n81557) );
+  sky130_fd_sc_hd__nand4_1 U99462 ( .A(n81560), .B(n81559), .C(n81558), .D(
+        n81557), .Y(n81561) );
+  sky130_fd_sc_hd__a211oi_1 U99463 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_350[1]), .A2(n81788), .B1(
+        n81562), .C1(n81561), .Y(n81567) );
+  sky130_fd_sc_hd__nand2_1 U99464 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_371[1]), .B(n81785), .Y(
+        n81566) );
+  sky130_fd_sc_hd__o22ai_1 U99465 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_527[1]), .A2(n81564), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_486[1]), .B2(n81563), .Y(
+        n81565) );
+  sky130_fd_sc_hd__a31oi_1 U99466 ( .A1(n81568), .A2(n81567), .A3(n81566), 
+        .B1(n81565), .Y(n81579) );
+  sky130_fd_sc_hd__a22oi_1 U99467 ( .A1(n82247), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[1]), .B1(n82249), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[1]), .Y(n81572)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99468 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_364[1]), .A2(n81804), .B1(
+        n82250), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[1]), 
+        .Y(n81571) );
+  sky130_fd_sc_hd__a22oi_1 U99469 ( .A1(n82252), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[1]), .B1(n82260), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[1]), .Y(n81570)
+         );
+  sky130_fd_sc_hd__nand2_1 U99470 ( .A(n82267), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[1]), .Y(n81569) );
+  sky130_fd_sc_hd__nand4_1 U99471 ( .A(n81572), .B(n81571), .C(n81570), .D(
+        n81569), .Y(n81578) );
+  sky130_fd_sc_hd__a22oi_1 U99472 ( .A1(n82248), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[1]), .B1(n82251), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[1]), .Y(n81576)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99473 ( .A1(n82253), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[1]), .B1(n82256), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[1]), .Y(n81575)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99474 ( .A1(n82258), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[1]), .B1(n82257), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[1]), .Y(n81574)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99475 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[1]), .A2(n82192), .B1(
+        n82254), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[1]), .Y(
+        n81573) );
+  sky130_fd_sc_hd__nand4_1 U99476 ( .A(n81576), .B(n81575), .C(n81574), .D(
+        n81573), .Y(n81577) );
+  sky130_fd_sc_hd__nor3_1 U99477 ( .A(n81579), .B(n81578), .C(n81577), .Y(
+        n81580) );
+  sky130_fd_sc_hd__a31oi_1 U99478 ( .A1(n81582), .A2(n81581), .A3(n81580), 
+        .B1(n82268), .Y(n81591) );
+  sky130_fd_sc_hd__nand2_1 U99479 ( .A(n82222), .B(n84228), .Y(n82205) );
+  sky130_fd_sc_hd__nor2_1 U99480 ( .A(n82268), .B(n81945), .Y(n81751) );
+  sky130_fd_sc_hd__o2bb2ai_1 U99481 ( .B1(n81583), .B2(n82205), .A1_N(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[1]), .A2_N(n81751), .Y(
+        n81590) );
+  sky130_fd_sc_hd__a22oi_1 U99482 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[1]), .A2(n81984), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[1]), .B2(n82239), .Y(
+        n81584) );
+  sky130_fd_sc_hd__o21ai_0 U99483 ( .A1(n81585), .A2(n81936), .B1(n81584), .Y(
+        n81589) );
+  sky130_fd_sc_hd__clkinv_1 U99484 ( .A(n81846), .Y(n82200) );
+  sky130_fd_sc_hd__nor2_1 U99485 ( .A(n82268), .B(n82200), .Y(n82086) );
+  sky130_fd_sc_hd__clkinv_1 U99486 ( .A(n82086), .Y(n82276) );
+  sky130_fd_sc_hd__o22ai_1 U99487 ( .A1(n81587), .A2(n81981), .B1(n81586), 
+        .B2(n82276), .Y(n81588) );
+  sky130_fd_sc_hd__or4_1 U99488 ( .A(n81591), .B(n81590), .C(n81589), .D(
+        n81588), .X(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[1]) );
+  sky130_fd_sc_hd__nor2_1 U99489 ( .A(n82268), .B(n81972), .Y(n82246) );
+  sky130_fd_sc_hd__o2bb2ai_1 U99490 ( .B1(n81592), .B2(n82211), .A1_N(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[2]), .A2_N(n82246), .Y(
+        n81596) );
+  sky130_fd_sc_hd__o22ai_1 U99491 ( .A1(n81594), .A2(n82205), .B1(n81593), 
+        .B2(n81936), .Y(n81595) );
+  sky130_fd_sc_hd__a211oi_1 U99492 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[2]), .A2(n81984), .B1(
+        n81596), .C1(n81595), .Y(n81625) );
+  sky130_fd_sc_hd__a22oi_1 U99493 ( .A1(n82252), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[2]), .B1(n82249), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[2]), .Y(n81600)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99494 ( .A1(n82258), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[2]), .B1(n82253), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[2]), .Y(n81599)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99495 ( .A1(n82260), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[2]), .B1(n82257), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[2]), .Y(n81598)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99496 ( .A1(n82256), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[2]), .B1(n82255), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[2]), .Y(n81597)
+         );
+  sky130_fd_sc_hd__nand4_1 U99497 ( .A(n81600), .B(n81599), .C(n81598), .D(
+        n81597), .Y(n81623) );
+  sky130_fd_sc_hd__a22oi_1 U99498 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_318[2]), .A2(n81796), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_385[2]), .B2(n81786), .Y(
+        n81604) );
+  sky130_fd_sc_hd__a22oi_1 U99499 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_775[2]), .A2(n81784), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_502[2]), .B2(n81636), .Y(
+        n81603) );
+  sky130_fd_sc_hd__a22oi_1 U99500 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_350[2]), .A2(n81788), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_527[2]), .B2(n81801), .Y(
+        n81602) );
+  sky130_fd_sc_hd__a22oi_1 U99501 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_486[2]), .A2(n81787), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_734[2]), .B2(n81795), .Y(
+        n81601) );
+  sky130_fd_sc_hd__nand4_1 U99502 ( .A(n81604), .B(n81603), .C(n81602), .D(
+        n81601), .Y(n81619) );
+  sky130_fd_sc_hd__a22oi_1 U99503 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[2]), .A2(n82192), .B1(
+        n82254), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[2]), .Y(
+        n81607) );
+  sky130_fd_sc_hd__a22oi_1 U99504 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_325[2]), .A2(n81790), .B1(
+        n82193), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[2]), .Y(
+        n81606) );
+  sky130_fd_sc_hd__nand2_1 U99505 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_371[2]), .B(n81785), .Y(
+        n81605) );
+  sky130_fd_sc_hd__nand3_1 U99506 ( .A(n81607), .B(n81606), .C(n81605), .Y(
+        n81618) );
+  sky130_fd_sc_hd__a22oi_1 U99507 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_693[2]), .A2(n81805), .B1(
+        n82251), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[2]), 
+        .Y(n81611) );
+  sky130_fd_sc_hd__a22oi_1 U99508 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_789[2]), .A2(n81803), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_105[2]), .B2(n81806), .Y(
+        n81610) );
+  sky130_fd_sc_hd__a22oi_1 U99509 ( .A1(n82248), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[2]), .B1(n82250), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[2]), .Y(n81609)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99510 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_364[2]), .A2(n81804), .B1(
+        n82247), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[2]), 
+        .Y(n81608) );
+  sky130_fd_sc_hd__nand4_1 U99511 ( .A(n81611), .B(n81610), .C(n81609), .D(
+        n81608), .Y(n81617) );
+  sky130_fd_sc_hd__a22oi_1 U99512 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_424[2]), .A2(n81802), .B1(
+        n82267), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[2]), .Y(
+        n81615) );
+  sky130_fd_sc_hd__a22oi_1 U99513 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[2]), .A2(n81971), .B1(
+        n82259), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[2]), .Y(
+        n81614) );
+  sky130_fd_sc_hd__nand2_1 U99514 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_447[2]), .B(n81789), .Y(
+        n81613) );
+  sky130_fd_sc_hd__nand2_1 U99515 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_461[2]), .B(n81800), .Y(
+        n81612) );
+  sky130_fd_sc_hd__nand4_1 U99516 ( .A(n81615), .B(n81614), .C(n81613), .D(
+        n81612), .Y(n81616) );
+  sky130_fd_sc_hd__nor4_1 U99517 ( .A(n81619), .B(n81618), .C(n81617), .D(
+        n81616), .Y(n81620) );
+  sky130_fd_sc_hd__o21ai_0 U99518 ( .A1(n81621), .A2(n82200), .B1(n81620), .Y(
+        n81622) );
+  sky130_fd_sc_hd__o21ai_0 U99519 ( .A1(n81623), .A2(n81622), .B1(n82222), .Y(
+        n81624) );
+  sky130_fd_sc_hd__o211ai_1 U99520 ( .A1(n81626), .A2(n81981), .B1(n81625), 
+        .C1(n81624), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[2]) );
+  sky130_fd_sc_hd__o2bb2ai_1 U99521 ( .B1(n81627), .B2(n81936), .A1_N(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[3]), .A2_N(n82239), .Y(
+        n81631) );
+  sky130_fd_sc_hd__clkinv_1 U99522 ( .A(n82246), .Y(n82170) );
+  sky130_fd_sc_hd__o22ai_1 U99523 ( .A1(n81629), .A2(n82170), .B1(n81628), 
+        .B2(n82205), .Y(n81630) );
+  sky130_fd_sc_hd__a211oi_1 U99524 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[3]), .A2(n81984), .B1(
+        n81631), .C1(n81630), .Y(n81661) );
+  sky130_fd_sc_hd__a22oi_1 U99525 ( .A1(n82247), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[3]), .B1(n82258), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[3]), .Y(n81635)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99526 ( .A1(n82257), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[3]), .B1(n82253), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[3]), .Y(n81634)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99527 ( .A1(n82255), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[3]), .B1(n82193), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[3]), .Y(n81633)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99528 ( .A1(n82252), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[3]), .B1(n82260), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[3]), .Y(n81632)
+         );
+  sky130_fd_sc_hd__nand4_1 U99529 ( .A(n81635), .B(n81634), .C(n81633), .D(
+        n81632), .Y(n81659) );
+  sky130_fd_sc_hd__a22oi_1 U99530 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_734[3]), .A2(n81795), .B1(
+        n81636), .B2(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_502[3]), .Y(
+        n81640) );
+  sky130_fd_sc_hd__a22oi_1 U99531 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_371[3]), .A2(n81785), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_325[3]), .B2(n81790), .Y(
+        n81639) );
+  sky130_fd_sc_hd__a22oi_1 U99532 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_527[3]), .A2(n81801), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_486[3]), .B2(n81787), .Y(
+        n81638) );
+  sky130_fd_sc_hd__a22oi_1 U99533 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_447[3]), .A2(n81789), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_318[3]), .B2(n81796), .Y(
+        n81637) );
+  sky130_fd_sc_hd__nand4_1 U99534 ( .A(n81640), .B(n81639), .C(n81638), .D(
+        n81637), .Y(n81655) );
+  sky130_fd_sc_hd__a22oi_1 U99535 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[3]), .A2(n82192), .B1(
+        n82254), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[3]), .Y(
+        n81643) );
+  sky130_fd_sc_hd__a22oi_1 U99536 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_385[3]), .A2(n81786), .B1(
+        n82256), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[3]), .Y(
+        n81642) );
+  sky130_fd_sc_hd__nand2_1 U99537 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_775[3]), .B(n81784), .Y(
+        n81641) );
+  sky130_fd_sc_hd__nand3_1 U99538 ( .A(n81643), .B(n81642), .C(n81641), .Y(
+        n81654) );
+  sky130_fd_sc_hd__a22oi_1 U99539 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_789[3]), .A2(n81803), .B1(
+        n82251), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[3]), 
+        .Y(n81647) );
+  sky130_fd_sc_hd__a22oi_1 U99540 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_105[3]), .A2(n81806), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_693[3]), .B2(n81805), .Y(
+        n81646) );
+  sky130_fd_sc_hd__a22oi_1 U99541 ( .A1(n82248), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[3]), .B1(n82250), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[3]), .Y(n81645)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99542 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_364[3]), .A2(n81804), .B1(
+        n82249), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[3]), 
+        .Y(n81644) );
+  sky130_fd_sc_hd__nand4_1 U99543 ( .A(n81647), .B(n81646), .C(n81645), .D(
+        n81644), .Y(n81653) );
+  sky130_fd_sc_hd__a22oi_1 U99544 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_424[3]), .A2(n81802), .B1(
+        n82267), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[3]), .Y(
+        n81651) );
+  sky130_fd_sc_hd__a22oi_1 U99545 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[3]), .A2(n81971), .B1(
+        n82259), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[3]), .Y(
+        n81650) );
+  sky130_fd_sc_hd__nand2_1 U99546 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_350[3]), .B(n81788), .Y(
+        n81649) );
+  sky130_fd_sc_hd__nand2_1 U99547 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_461[3]), .B(n81800), .Y(
+        n81648) );
+  sky130_fd_sc_hd__nand4_1 U99548 ( .A(n81651), .B(n81650), .C(n81649), .D(
+        n81648), .Y(n81652) );
+  sky130_fd_sc_hd__nor4_1 U99549 ( .A(n81655), .B(n81654), .C(n81653), .D(
+        n81652), .Y(n81656) );
+  sky130_fd_sc_hd__o21ai_0 U99550 ( .A1(n81657), .A2(n82200), .B1(n81656), .Y(
+        n81658) );
+  sky130_fd_sc_hd__o21ai_0 U99551 ( .A1(n81659), .A2(n81658), .B1(n82222), .Y(
+        n81660) );
+  sky130_fd_sc_hd__o211ai_1 U99552 ( .A1(n81981), .A2(n81662), .B1(n81661), 
+        .C1(n81660), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[3]) );
+  sky130_fd_sc_hd__a22oi_1 U99553 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[4]), .A2(n82246), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[4]), .B2(n82239), .Y(
+        n81692) );
+  sky130_fd_sc_hd__a22oi_1 U99554 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[4]), .A2(n81751), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[4]), .B2(n81984), .Y(
+        n81691) );
+  sky130_fd_sc_hd__nor2_1 U99555 ( .A(n82268), .B(n81942), .Y(n82245) );
+  sky130_fd_sc_hd__nor2_1 U99556 ( .A(n82268), .B(n81663), .Y(n82273) );
+  sky130_fd_sc_hd__a22oi_1 U99557 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[4]), .A2(n82245), .B1(
+        n82273), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[4]), .Y(
+        n81690) );
+  sky130_fd_sc_hd__a22oi_1 U99558 ( .A1(n81789), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_447[4]), .B1(n81802), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_424[4]), .Y(n81684) );
+  sky130_fd_sc_hd__a22oi_1 U99559 ( .A1(n81804), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_364[4]), .B1(n81803), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_789[4]), .Y(n81683) );
+  sky130_fd_sc_hd__a22oi_1 U99560 ( .A1(n82267), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[4]), .B1(n82259), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[4]), .Y(n81682)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99561 ( .A1(n81788), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_350[4]), .B1(n81801), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_527[4]), .Y(n81665) );
+  sky130_fd_sc_hd__a22oi_1 U99562 ( .A1(n81721), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_105[4]), .B1(n81787), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_486[4]), .Y(n81664) );
+  sky130_fd_sc_hd__a21oi_1 U99563 ( .A1(n81665), .A2(n81664), .B1(n81804), .Y(
+        n81680) );
+  sky130_fd_sc_hd__a22o_1 U99564 ( .A1(n81805), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_693[4]), .B1(n82252), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[4]), .X(n81679) );
+  sky130_fd_sc_hd__a22oi_1 U99565 ( .A1(n82247), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[4]), .B1(n82253), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[4]), .Y(n81669)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99566 ( .A1(n82258), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[4]), .B1(n82256), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[4]), .Y(n81668)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99567 ( .A1(n82254), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[4]), .B1(n82255), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[4]), .Y(n81667)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99568 ( .A1(n82251), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[4]), .B1(n82260), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[4]), .Y(n81666)
+         );
+  sky130_fd_sc_hd__nand4_1 U99569 ( .A(n81669), .B(n81668), .C(n81667), .D(
+        n81666), .Y(n81678) );
+  sky130_fd_sc_hd__a22oi_1 U99570 ( .A1(n81795), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_734[4]), .B1(n81784), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_775[4]), .Y(n81672) );
+  sky130_fd_sc_hd__a22oi_1 U99571 ( .A1(n81796), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_318[4]), .B1(n81785), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_371[4]), .Y(n81671) );
+  sky130_fd_sc_hd__a22oi_1 U99572 ( .A1(n81790), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_325[4]), .B1(n81786), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_385[4]), .Y(n81670) );
+  sky130_fd_sc_hd__nand3_1 U99573 ( .A(n81672), .B(n81671), .C(n81670), .Y(
+        n81673) );
+  sky130_fd_sc_hd__a21oi_1 U99574 ( .A1(n81800), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_461[4]), .B1(n81673), .Y(
+        n81676) );
+  sky130_fd_sc_hd__a22oi_1 U99575 ( .A1(n82248), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[4]), .B1(n82250), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[4]), .Y(n81675)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99576 ( .A1(n82249), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[4]), .B1(n82257), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[4]), .Y(n81674)
+         );
+  sky130_fd_sc_hd__nand3_1 U99577 ( .A(n81676), .B(n81675), .C(n81674), .Y(
+        n81677) );
+  sky130_fd_sc_hd__nor4_1 U99578 ( .A(n81680), .B(n81679), .C(n81678), .D(
+        n81677), .Y(n81681) );
+  sky130_fd_sc_hd__nand4_1 U99579 ( .A(n81684), .B(n81683), .C(n81682), .D(
+        n81681), .Y(n81688) );
+  sky130_fd_sc_hd__o22ai_1 U99580 ( .A1(n81686), .A2(n82276), .B1(n81981), 
+        .B2(n81685), .Y(n81687) );
+  sky130_fd_sc_hd__a21oi_1 U99581 ( .A1(n82222), .A2(n81688), .B1(n81687), .Y(
+        n81689) );
+  sky130_fd_sc_hd__nand4_1 U99582 ( .A(n81692), .B(n81691), .C(n81690), .D(
+        n81689), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[4]) );
+  sky130_fd_sc_hd__a22oi_1 U99583 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[5]), .A2(n81751), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[5]), .B2(n82246), .Y(
+        n81720) );
+  sky130_fd_sc_hd__a22oi_1 U99584 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[5]), .A2(n82245), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[5]), .B2(n82239), .Y(
+        n81719) );
+  sky130_fd_sc_hd__a22oi_1 U99585 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[5]), .A2(n81984), .B1(
+        n82273), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[5]), .Y(
+        n81718) );
+  sky130_fd_sc_hd__a22oi_1 U99586 ( .A1(n81804), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_364[5]), .B1(n81802), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_424[5]), .Y(n81712) );
+  sky130_fd_sc_hd__a22oi_1 U99587 ( .A1(n81806), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_105[5]), .B1(n81803), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_789[5]), .Y(n81711) );
+  sky130_fd_sc_hd__a22oi_1 U99588 ( .A1(n82267), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[5]), .B1(n82259), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[5]), .Y(n81710)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99589 ( .A1(n81796), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_318[5]), .B1(n81785), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_371[5]), .Y(n81696) );
+  sky130_fd_sc_hd__a22o_1 U99590 ( .A1(n81795), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_734[5]), .B1(n81790), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_325[5]), .X(n81693) );
+  sky130_fd_sc_hd__a21oi_1 U99591 ( .A1(n81800), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_461[5]), .B1(n81693), .Y(
+        n81695) );
+  sky130_fd_sc_hd__a22oi_1 U99592 ( .A1(n81784), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_775[5]), .B1(n81786), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_385[5]), .Y(n81694) );
+  sky130_fd_sc_hd__nand3_1 U99593 ( .A(n81696), .B(n81695), .C(n81694), .Y(
+        n81708) );
+  sky130_fd_sc_hd__a22oi_1 U99594 ( .A1(n82250), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[5]), .B1(n82249), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[5]), .Y(n81700)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99595 ( .A1(n81805), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_693[5]), .B1(n82247), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[5]), .Y(n81699) );
+  sky130_fd_sc_hd__a22oi_1 U99596 ( .A1(n82252), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[5]), .B1(n82251), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[5]), .Y(n81698)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99597 ( .A1(n82248), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[5]), .B1(n82260), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[5]), .Y(n81697)
+         );
+  sky130_fd_sc_hd__nand4_1 U99598 ( .A(n81700), .B(n81699), .C(n81698), .D(
+        n81697), .Y(n81707) );
+  sky130_fd_sc_hd__a22o_1 U99599 ( .A1(n82258), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[5]), .B1(n82257), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[5]), .X(n81706)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99600 ( .A1(n82254), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[5]), .B1(n82253), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[5]), .Y(n81704)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99601 ( .A1(n82256), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[5]), .B1(n82255), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[5]), .Y(n81703)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99602 ( .A1(n81788), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_350[5]), .B1(n81787), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_486[5]), .Y(n81702) );
+  sky130_fd_sc_hd__a22oi_1 U99603 ( .A1(n81789), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_447[5]), .B1(n81801), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_527[5]), .Y(n81701) );
+  sky130_fd_sc_hd__nand4_1 U99604 ( .A(n81704), .B(n81703), .C(n81702), .D(
+        n81701), .Y(n81705) );
+  sky130_fd_sc_hd__nor4_1 U99605 ( .A(n81708), .B(n81707), .C(n81706), .D(
+        n81705), .Y(n81709) );
+  sky130_fd_sc_hd__nand4_1 U99606 ( .A(n81712), .B(n81711), .C(n81710), .D(
+        n81709), .Y(n81716) );
+  sky130_fd_sc_hd__o22ai_1 U99607 ( .A1(n81714), .A2(n82276), .B1(n81981), 
+        .B2(n81713), .Y(n81715) );
+  sky130_fd_sc_hd__a21oi_1 U99608 ( .A1(n82222), .A2(n81716), .B1(n81715), .Y(
+        n81717) );
+  sky130_fd_sc_hd__nand4_1 U99609 ( .A(n81720), .B(n81719), .C(n81718), .D(
+        n81717), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[5]) );
+  sky130_fd_sc_hd__a22oi_1 U99610 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[6]), .A2(n81751), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[6]), .B2(n82246), .Y(
+        n81750) );
+  sky130_fd_sc_hd__a22oi_1 U99611 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[6]), .A2(n82239), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[6]), .B2(n81984), .Y(
+        n81749) );
+  sky130_fd_sc_hd__a22oi_1 U99612 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[6]), .A2(n82245), .B1(
+        n82273), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[6]), .Y(
+        n81748) );
+  sky130_fd_sc_hd__a22oi_1 U99613 ( .A1(n81789), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_447[6]), .B1(n81802), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_424[6]), .Y(n81742) );
+  sky130_fd_sc_hd__a22oi_1 U99614 ( .A1(n81804), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_364[6]), .B1(n82249), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[6]), .Y(n81741) );
+  sky130_fd_sc_hd__a22oi_1 U99615 ( .A1(n82267), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[6]), .B1(n82259), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[6]), .Y(n81740)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99616 ( .A1(n81788), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_350[6]), .B1(n81801), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_527[6]), .Y(n81723) );
+  sky130_fd_sc_hd__a22oi_1 U99617 ( .A1(n81721), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_105[6]), .B1(n81787), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_486[6]), .Y(n81722) );
+  sky130_fd_sc_hd__a21oi_1 U99618 ( .A1(n81723), .A2(n81722), .B1(n81804), .Y(
+        n81738) );
+  sky130_fd_sc_hd__a22o_1 U99619 ( .A1(n82248), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[6]), .B1(n81805), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_693[6]), .X(n81737) );
+  sky130_fd_sc_hd__a22oi_1 U99620 ( .A1(n81803), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_789[6]), .B1(n82255), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[6]), .Y(n81727) );
+  sky130_fd_sc_hd__a22oi_1 U99621 ( .A1(n82257), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[6]), .B1(n82254), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[6]), .Y(n81726)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99622 ( .A1(n82260), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[6]), .B1(n82253), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[6]), .Y(n81725)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99623 ( .A1(n82251), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[6]), .B1(n82256), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[6]), .Y(n81724)
+         );
+  sky130_fd_sc_hd__nand4_1 U99624 ( .A(n81727), .B(n81726), .C(n81725), .D(
+        n81724), .Y(n81736) );
+  sky130_fd_sc_hd__a22oi_1 U99625 ( .A1(n81795), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_734[6]), .B1(n81784), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_775[6]), .Y(n81730) );
+  sky130_fd_sc_hd__a22oi_1 U99626 ( .A1(n81796), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_318[6]), .B1(n81786), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_385[6]), .Y(n81729) );
+  sky130_fd_sc_hd__a22oi_1 U99627 ( .A1(n81790), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_325[6]), .B1(n81785), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_371[6]), .Y(n81728) );
+  sky130_fd_sc_hd__nand3_1 U99628 ( .A(n81730), .B(n81729), .C(n81728), .Y(
+        n81731) );
+  sky130_fd_sc_hd__a21oi_1 U99629 ( .A1(n81800), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_461[6]), .B1(n81731), .Y(
+        n81734) );
+  sky130_fd_sc_hd__a22oi_1 U99630 ( .A1(n82250), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[6]), .B1(n82247), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[6]), .Y(n81733)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99631 ( .A1(n82252), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[6]), .B1(n82258), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[6]), .Y(n81732)
+         );
+  sky130_fd_sc_hd__nand3_1 U99632 ( .A(n81734), .B(n81733), .C(n81732), .Y(
+        n81735) );
+  sky130_fd_sc_hd__nor4_1 U99633 ( .A(n81738), .B(n81737), .C(n81736), .D(
+        n81735), .Y(n81739) );
+  sky130_fd_sc_hd__nand4_1 U99634 ( .A(n81742), .B(n81741), .C(n81740), .D(
+        n81739), .Y(n81746) );
+  sky130_fd_sc_hd__o22ai_1 U99635 ( .A1(n81744), .A2(n82276), .B1(n81981), 
+        .B2(n81743), .Y(n81745) );
+  sky130_fd_sc_hd__a21oi_1 U99636 ( .A1(n82222), .A2(n81746), .B1(n81745), .Y(
+        n81747) );
+  sky130_fd_sc_hd__nand4_1 U99637 ( .A(n81750), .B(n81749), .C(n81748), .D(
+        n81747), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[6]) );
+  sky130_fd_sc_hd__a22oi_1 U99638 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[7]), .A2(n81751), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[7]), .B2(n82239), .Y(
+        n81779) );
+  sky130_fd_sc_hd__a22oi_1 U99639 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[7]), .A2(n82246), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[7]), .B2(n82245), .Y(
+        n81778) );
+  sky130_fd_sc_hd__a22oi_1 U99640 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[7]), .A2(n81984), .B1(
+        n82273), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[7]), .Y(
+        n81777) );
+  sky130_fd_sc_hd__a22oi_1 U99641 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_424[7]), .A2(n81802), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_105[7]), .B2(n81806), .Y(
+        n81771) );
+  sky130_fd_sc_hd__a22oi_1 U99642 ( .A1(n82249), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[7]), .B1(n82251), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[7]), .Y(n81770)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99643 ( .A1(n82267), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[7]), .B1(n82259), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[7]), .Y(n81769)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99644 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_734[7]), .A2(n81795), .B1(
+        n81784), .B2(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_775[7]), .Y(
+        n81755) );
+  sky130_fd_sc_hd__a22o_1 U99645 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_325[7]), .A2(n81790), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_371[7]), .B2(n81785), .X(
+        n81752) );
+  sky130_fd_sc_hd__a21oi_1 U99646 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_461[7]), .A2(n81800), .B1(
+        n81752), .Y(n81754) );
+  sky130_fd_sc_hd__a22oi_1 U99647 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_318[7]), .A2(n81796), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_385[7]), .B2(n81786), .Y(
+        n81753) );
+  sky130_fd_sc_hd__nand3_1 U99648 ( .A(n81755), .B(n81754), .C(n81753), .Y(
+        n81767) );
+  sky130_fd_sc_hd__a22oi_1 U99649 ( .A1(n82250), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[7]), .B1(n82247), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[7]), .Y(n81759)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99650 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_364[7]), .A2(n81804), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_789[7]), .B2(n81803), .Y(
+        n81758) );
+  sky130_fd_sc_hd__a22oi_1 U99651 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_693[7]), .A2(n81805), .B1(
+        n82248), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[7]), 
+        .Y(n81757) );
+  sky130_fd_sc_hd__a22oi_1 U99652 ( .A1(n82252), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[7]), .B1(n82260), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[7]), .Y(n81756)
+         );
+  sky130_fd_sc_hd__nand4_1 U99653 ( .A(n81759), .B(n81758), .C(n81757), .D(
+        n81756), .Y(n81766) );
+  sky130_fd_sc_hd__a22o_1 U99654 ( .A1(n82257), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[7]), .B1(n82256), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[7]), .X(n81765)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99655 ( .A1(n82254), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[7]), .B1(n82253), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[7]), .Y(n81763)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99656 ( .A1(n82258), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[7]), .B1(n82255), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[7]), .Y(n81762)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99657 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_486[7]), .A2(n81787), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_527[7]), .B2(n81801), .Y(
+        n81761) );
+  sky130_fd_sc_hd__a22oi_1 U99658 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_350[7]), .A2(n81788), .B1(
+        n81789), .B2(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_447[7]), .Y(
+        n81760) );
+  sky130_fd_sc_hd__nand4_1 U99659 ( .A(n81763), .B(n81762), .C(n81761), .D(
+        n81760), .Y(n81764) );
+  sky130_fd_sc_hd__nor4_1 U99660 ( .A(n81767), .B(n81766), .C(n81765), .D(
+        n81764), .Y(n81768) );
+  sky130_fd_sc_hd__nand4_1 U99661 ( .A(n81771), .B(n81770), .C(n81769), .D(
+        n81768), .Y(n81775) );
+  sky130_fd_sc_hd__o22ai_1 U99662 ( .A1(n81773), .A2(n82276), .B1(n81981), 
+        .B2(n81772), .Y(n81774) );
+  sky130_fd_sc_hd__a21oi_1 U99663 ( .A1(n82222), .A2(n81775), .B1(n81774), .Y(
+        n81776) );
+  sky130_fd_sc_hd__nand4_1 U99664 ( .A(n81779), .B(n81778), .C(n81777), .D(
+        n81776), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[7]) );
+  sky130_fd_sc_hd__a22oi_1 U99665 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[8]), .A2(n82246), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[8]), .B2(n81984), .Y(
+        n81826) );
+  sky130_fd_sc_hd__a22oi_1 U99666 ( .A1(n82250), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[8]), .B1(n82260), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[8]), .Y(n81783)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99667 ( .A1(n82258), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[8]), .B1(n82257), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[8]), .Y(n81782)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99668 ( .A1(n82255), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[8]), .B1(n82193), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[8]), .Y(n81781)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99669 ( .A1(n82252), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[8]), .B1(n82253), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[8]), .Y(n81780)
+         );
+  sky130_fd_sc_hd__nand4_1 U99670 ( .A(n81783), .B(n81782), .C(n81781), .D(
+        n81780), .Y(n81819) );
+  sky130_fd_sc_hd__a22oi_1 U99671 ( .A1(n81785), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_371[8]), .B1(n81784), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_775[8]), .Y(n81794) );
+  sky130_fd_sc_hd__a22oi_1 U99672 ( .A1(n81831), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu__core_io_resetCause_T[1]), 
+        .B1(n81786), .B2(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_385[8]), 
+        .Y(n81793) );
+  sky130_fd_sc_hd__a22oi_1 U99673 ( .A1(n81788), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_350[8]), .B1(n81787), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_486[8]), .Y(n81792) );
+  sky130_fd_sc_hd__a22oi_1 U99674 ( .A1(n81790), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_325[8]), .B1(n81789), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_447[8]), .Y(n81791) );
+  sky130_fd_sc_hd__nand4_1 U99675 ( .A(n81794), .B(n81793), .C(n81792), .D(
+        n81791), .Y(n81818) );
+  sky130_fd_sc_hd__a22oi_1 U99676 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[8]), .A2(n82192), .B1(
+        n82254), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[8]), .Y(
+        n81799) );
+  sky130_fd_sc_hd__a22oi_1 U99677 ( .A1(n82256), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[8]), .B1(n81795), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_734[8]), .Y(n81798) );
+  sky130_fd_sc_hd__nand2_1 U99678 ( .A(n81796), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_318[8]), .Y(n81797) );
+  sky130_fd_sc_hd__nand3_1 U99679 ( .A(n81799), .B(n81798), .C(n81797), .Y(
+        n81817) );
+  sky130_fd_sc_hd__a22oi_1 U99680 ( .A1(n82267), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[8]), .B1(n81800), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon__out_T_461[8]), .Y(n81814) );
+  sky130_fd_sc_hd__a22o_1 U99681 ( .A1(n81802), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_424[8]), .B1(n81801), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_527[8]), .X(n81812) );
+  sky130_fd_sc_hd__a22oi_1 U99682 ( .A1(n81804), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_364[8]), .B1(n81803), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_789[8]), .Y(n81810) );
+  sky130_fd_sc_hd__a22oi_1 U99683 ( .A1(n81806), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_105[8]), .B1(n81805), .B2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_693[8]), .Y(n81809) );
+  sky130_fd_sc_hd__a22oi_1 U99684 ( .A1(n82248), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[8]), .B1(n82247), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[8]), .Y(n81808)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99685 ( .A1(n82249), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[8]), .B1(n82251), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[8]), .Y(n81807)
+         );
+  sky130_fd_sc_hd__nand4_1 U99686 ( .A(n81810), .B(n81809), .C(n81808), .D(
+        n81807), .Y(n81811) );
+  sky130_fd_sc_hd__a211oi_1 U99687 ( .A1(n82259), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[8]), .B1(n81812), 
+        .C1(n81811), .Y(n81813) );
+  sky130_fd_sc_hd__o211ai_1 U99688 ( .A1(n81815), .A2(n81945), .B1(n81814), 
+        .C1(n81813), .Y(n81816) );
+  sky130_fd_sc_hd__nor4_1 U99689 ( .A(n81819), .B(n81818), .C(n81817), .D(
+        n81816), .Y(n81820) );
+  sky130_fd_sc_hd__o21ai_0 U99690 ( .A1(n81821), .A2(n82200), .B1(n81820), .Y(
+        n81824) );
+  sky130_fd_sc_hd__clkinv_1 U99691 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_prepend_7_8), .Y(n84233) );
+  sky130_fd_sc_hd__o22ai_1 U99692 ( .A1(n81822), .A2(n82211), .B1(n84233), 
+        .B2(n81936), .Y(n81823) );
+  sky130_fd_sc_hd__a21oi_1 U99693 ( .A1(n82222), .A2(n81824), .B1(n81823), .Y(
+        n81825) );
+  sky130_fd_sc_hd__o211ai_1 U99694 ( .A1(n81827), .A2(n81981), .B1(n81826), 
+        .C1(n81825), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[8]) );
+  sky130_fd_sc_hd__a22oi_1 U99695 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[9]), .A2(n82239), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_prepend_7_9), .B2(n82209), .Y(
+        n81852) );
+  sky130_fd_sc_hd__a22oi_1 U99696 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[9]), .A2(n82192), .B1(
+        n82193), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[9]), .Y(
+        n81849) );
+  sky130_fd_sc_hd__a22oi_1 U99697 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[9]), .A2(n81971), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[9]), .B2(n82188), .Y(
+        n81848) );
+  sky130_fd_sc_hd__a22oi_1 U99698 ( .A1(n82253), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[9]), .B1(n82256), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[9]), .Y(n81843)
+         );
+  sky130_fd_sc_hd__a211oi_1 U99699 ( .A1(n82260), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[9]), .B1(n82255), 
+        .C1(n82254), .Y(n81829) );
+  sky130_fd_sc_hd__a22oi_1 U99700 ( .A1(n82258), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[9]), .B1(n82257), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[9]), .Y(n81828)
+         );
+  sky130_fd_sc_hd__nand2_1 U99701 ( .A(n81829), .B(n81828), .Y(n81830) );
+  sky130_fd_sc_hd__a21oi_1 U99702 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[9]), .A2(n82267), 
+        .B1(n81830), .Y(n81842) );
+  sky130_fd_sc_hd__a21oi_1 U99703 ( .A1(n81831), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu__core_io_resetCause_T[2]), 
+        .B1(n82259), .Y(n81835) );
+  sky130_fd_sc_hd__a22oi_1 U99704 ( .A1(n82250), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[9]), .B1(n82249), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[9]), .Y(n81834)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99705 ( .A1(n82247), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[9]), .B1(n82252), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[9]), .Y(n81833)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99706 ( .A1(n82248), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[9]), .B1(n82251), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[9]), .Y(n81832)
+         );
+  sky130_fd_sc_hd__nand4_1 U99707 ( .A(n81835), .B(n81834), .C(n81833), .D(
+        n81832), .Y(n81836) );
+  sky130_fd_sc_hd__o31ai_1 U99708 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[1]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[9]), .A3(n81837), 
+        .B1(n81836), .Y(n81841) );
+  sky130_fd_sc_hd__o22ai_1 U99709 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[9]), .A2(n81839), 
+        .B1(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[9]), .B2(n81838), 
+        .Y(n81840) );
+  sky130_fd_sc_hd__a31oi_1 U99710 ( .A1(n81843), .A2(n81842), .A3(n81841), 
+        .B1(n81840), .Y(n81844) );
+  sky130_fd_sc_hd__a21oi_1 U99711 ( .A1(n81846), .A2(n81845), .B1(n81844), .Y(
+        n81847) );
+  sky130_fd_sc_hd__a31oi_1 U99712 ( .A1(n81849), .A2(n81848), .A3(n81847), 
+        .B1(n82268), .Y(n81850) );
+  sky130_fd_sc_hd__a21oi_1 U99713 ( .A1(n81984), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[9]), .B1(n81850), .Y(
+        n81851) );
+  sky130_fd_sc_hd__o211ai_1 U99714 ( .A1(n81853), .A2(n81981), .B1(n81852), 
+        .C1(n81851), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[9]) );
+  sky130_fd_sc_hd__a22oi_1 U99715 ( .A1(n82250), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[10]), .B1(n82251), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[10]), .Y(n81856)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99716 ( .A1(n82248), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[10]), .B1(n82252), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[10]), .Y(n81855)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99717 ( .A1(n82267), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[10]), .B1(n82259), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[10]), .Y(n81854)
+         );
+  sky130_fd_sc_hd__nand3_1 U99718 ( .A(n81856), .B(n81855), .C(n81854), .Y(
+        n81869) );
+  sky130_fd_sc_hd__a22oi_1 U99719 ( .A1(n82257), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[10]), .B1(n82255), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[10]), .Y(n81860)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99720 ( .A1(n82247), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[10]), .B1(n82249), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[10]), .Y(n81859)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99721 ( .A1(n82254), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[10]), .B1(n82256), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[10]), .Y(n81858)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99722 ( .A1(n82260), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[10]), .B1(n82253), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[10]), .Y(n81857)
+         );
+  sky130_fd_sc_hd__nand4_1 U99723 ( .A(n81860), .B(n81859), .C(n81858), .D(
+        n81857), .Y(n81868) );
+  sky130_fd_sc_hd__o22ai_1 U99724 ( .A1(n81862), .A2(n81945), .B1(n81861), 
+        .B2(n81972), .Y(n81863) );
+  sky130_fd_sc_hd__a21oi_1 U99725 ( .A1(n82193), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[10]), .B1(n81863), 
+        .Y(n81865) );
+  sky130_fd_sc_hd__a22oi_1 U99726 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[10]), .A2(n82192), .B1(
+        n82258), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[10]), 
+        .Y(n81864) );
+  sky130_fd_sc_hd__o211ai_1 U99727 ( .A1(n81866), .A2(n82200), .B1(n81865), 
+        .C1(n81864), .Y(n81867) );
+  sky130_fd_sc_hd__nor3_1 U99728 ( .A(n81869), .B(n81868), .C(n81867), .Y(
+        n81870) );
+  sky130_fd_sc_hd__o22ai_1 U99729 ( .A1(n81871), .A2(n81981), .B1(n81870), 
+        .B2(n82268), .Y(n81872) );
+  sky130_fd_sc_hd__a21oi_1 U99730 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[10]), .A2(n81984), .B1(
+        n81872), .Y(n81873) );
+  sky130_fd_sc_hd__o21ai_0 U99731 ( .A1(n81874), .A2(n82211), .B1(n81873), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[10]) );
+  sky130_fd_sc_hd__a22oi_1 U99732 ( .A1(n82267), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[11]), .B1(n82254), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[11]), .Y(n81878)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99733 ( .A1(n82258), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[11]), .B1(n82255), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[11]), .Y(n81877)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99734 ( .A1(n82257), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[11]), .B1(n82253), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[11]), .Y(n81876)
+         );
+  sky130_fd_sc_hd__nand2_1 U99735 ( .A(n82256), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[11]), .Y(n81875) );
+  sky130_fd_sc_hd__nand4_1 U99736 ( .A(n81878), .B(n81877), .C(n81876), .D(
+        n81875), .Y(n81884) );
+  sky130_fd_sc_hd__a22oi_1 U99737 ( .A1(n82252), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[11]), .B1(n82251), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[11]), .Y(n81882)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99738 ( .A1(n82248), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[11]), .B1(n82260), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[11]), .Y(n81881)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99739 ( .A1(n82250), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[11]), .B1(n82247), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[11]), .Y(n81880)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99740 ( .A1(n82249), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[11]), .B1(n82259), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[11]), .Y(n81879)
+         );
+  sky130_fd_sc_hd__nand4_1 U99741 ( .A(n81882), .B(n81881), .C(n81880), .D(
+        n81879), .Y(n81883) );
+  sky130_fd_sc_hd__o21ai_0 U99742 ( .A1(n81884), .A2(n81883), .B1(n82222), .Y(
+        n81895) );
+  sky130_fd_sc_hd__a22oi_1 U99743 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[11]), .A2(n81971), .B1(
+        n82193), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[11]), 
+        .Y(n81885) );
+  sky130_fd_sc_hd__o21ai_0 U99744 ( .A1(n81886), .A2(n81972), .B1(n81885), .Y(
+        n81887) );
+  sky130_fd_sc_hd__a21oi_1 U99745 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[11]), .A2(n82192), .B1(
+        n81887), .Y(n81889) );
+  sky130_fd_sc_hd__o22ai_1 U99746 ( .A1(n81889), .A2(n82268), .B1(n81888), 
+        .B2(n82211), .Y(n81893) );
+  sky130_fd_sc_hd__o22ai_1 U99747 ( .A1(n81891), .A2(n81981), .B1(n81890), 
+        .B2(n82276), .Y(n81892) );
+  sky130_fd_sc_hd__a211oi_1 U99748 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[11]), .A2(n81984), .B1(
+        n81893), .C1(n81892), .Y(n81894) );
+  sky130_fd_sc_hd__nand2_1 U99749 ( .A(n81895), .B(n81894), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[11]) );
+  sky130_fd_sc_hd__a22oi_1 U99750 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[12]), .A2(n81984), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_prepend_7[12]), .B2(n82209), 
+        .Y(n81917) );
+  sky130_fd_sc_hd__a22oi_1 U99751 ( .A1(n82248), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[12]), .B1(n82249), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[12]), .Y(n81911)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99752 ( .A1(n82250), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[12]), .B1(n82252), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[12]), .Y(n81910)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99753 ( .A1(n82267), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[12]), .B1(n82259), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[12]), .Y(n81909)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99754 ( .A1(n82260), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[12]), .B1(n82253), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[12]), .Y(n81899)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99755 ( .A1(n82247), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[12]), .B1(n82251), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[12]), .Y(n81898)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99756 ( .A1(n82258), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[12]), .B1(n82254), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[12]), .Y(n81897)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99757 ( .A1(n82257), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[12]), .B1(n82255), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[12]), .Y(n81896)
+         );
+  sky130_fd_sc_hd__nand4_1 U99758 ( .A(n81899), .B(n81898), .C(n81897), .D(
+        n81896), .Y(n81907) );
+  sky130_fd_sc_hd__o22ai_1 U99759 ( .A1(n81901), .A2(n81945), .B1(n81900), 
+        .B2(n81972), .Y(n81902) );
+  sky130_fd_sc_hd__a21oi_1 U99760 ( .A1(n82193), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[12]), .B1(n81902), 
+        .Y(n81904) );
+  sky130_fd_sc_hd__a22oi_1 U99761 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[12]), .A2(n82192), .B1(
+        n82256), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[12]), 
+        .Y(n81903) );
+  sky130_fd_sc_hd__o211ai_1 U99762 ( .A1(n81905), .A2(n82200), .B1(n81904), 
+        .C1(n81903), .Y(n81906) );
+  sky130_fd_sc_hd__nor2_1 U99763 ( .A(n81907), .B(n81906), .Y(n81908) );
+  sky130_fd_sc_hd__nand4_1 U99764 ( .A(n81911), .B(n81910), .C(n81909), .D(
+        n81908), .Y(n81915) );
+  sky130_fd_sc_hd__clkinv_1 U99765 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_prepend_23_12_), .Y(n81913) );
+  sky130_fd_sc_hd__o22ai_1 U99766 ( .A1(n81913), .A2(n82205), .B1(n81912), 
+        .B2(n82211), .Y(n81914) );
+  sky130_fd_sc_hd__a21oi_1 U99767 ( .A1(n82222), .A2(n81915), .B1(n81914), .Y(
+        n81916) );
+  sky130_fd_sc_hd__o211ai_1 U99768 ( .A1(n81918), .A2(n81981), .B1(n81917), 
+        .C1(n81916), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[12]) );
+  sky130_fd_sc_hd__a22oi_1 U99769 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[13]), .A2(n82192), .B1(
+        n82258), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[13]), 
+        .Y(n81935) );
+  sky130_fd_sc_hd__o22ai_1 U99770 ( .A1(n81920), .A2(n81945), .B1(n81919), 
+        .B2(n81972), .Y(n81921) );
+  sky130_fd_sc_hd__a21oi_1 U99771 ( .A1(n82193), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[13]), .B1(n81921), 
+        .Y(n81934) );
+  sky130_fd_sc_hd__nor2_1 U99772 ( .A(n81922), .B(n82200), .Y(n81932) );
+  sky130_fd_sc_hd__a22oi_1 U99773 ( .A1(n82260), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[13]), .B1(n82253), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[13]), .Y(n81926)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99774 ( .A1(n82250), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[13]), .B1(n82252), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[13]), .Y(n81925)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99775 ( .A1(n82254), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[13]), .B1(n82256), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[13]), .Y(n81924)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99776 ( .A1(n82257), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[13]), .B1(n82255), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[13]), .Y(n81923)
+         );
+  sky130_fd_sc_hd__nand4_1 U99777 ( .A(n81926), .B(n81925), .C(n81924), .D(
+        n81923), .Y(n81931) );
+  sky130_fd_sc_hd__a22oi_1 U99778 ( .A1(n82249), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[13]), .B1(n82251), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[13]), .Y(n81929)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99779 ( .A1(n82248), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[13]), .B1(n82247), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[13]), .Y(n81928)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99780 ( .A1(n82267), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[13]), .B1(n82259), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[13]), .Y(n81927)
+         );
+  sky130_fd_sc_hd__nand3_1 U99781 ( .A(n81929), .B(n81928), .C(n81927), .Y(
+        n81930) );
+  sky130_fd_sc_hd__nor3_1 U99782 ( .A(n81932), .B(n81931), .C(n81930), .Y(
+        n81933) );
+  sky130_fd_sc_hd__a31oi_1 U99783 ( .A1(n81935), .A2(n81934), .A3(n81933), 
+        .B1(n82268), .Y(n81938) );
+  sky130_fd_sc_hd__o2bb2ai_1 U99784 ( .B1(n84211), .B2(n81936), .A1_N(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[13]), .A2_N(n82239), 
+        .Y(n81937) );
+  sky130_fd_sc_hd__a211oi_1 U99785 ( .A1(n81984), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[13]), .B1(n81938), .C1(
+        n81937), .Y(n81939) );
+  sky130_fd_sc_hd__o21ai_0 U99786 ( .A1(n81940), .A2(n81981), .B1(n81939), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[13]) );
+  sky130_fd_sc_hd__a22oi_1 U99787 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[14]), .A2(n81984), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[14]), .B2(n82239), .Y(
+        n81963) );
+  sky130_fd_sc_hd__a22oi_1 U99788 ( .A1(n82250), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[14]), .B1(n82252), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[14]), .Y(n81957)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99789 ( .A1(n82247), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[14]), .B1(n82251), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[14]), .Y(n81956)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99790 ( .A1(n82267), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[14]), .B1(n82259), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[14]), .Y(n81955)
+         );
+  sky130_fd_sc_hd__clkinv_1 U99791 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[14]), .Y(n81943) );
+  sky130_fd_sc_hd__a22oi_1 U99792 ( .A1(n82253), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[14]), .B1(n82193), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[14]), .Y(n81941)
+         );
+  sky130_fd_sc_hd__o21ai_0 U99793 ( .A1(n81943), .A2(n81942), .B1(n81941), .Y(
+        n81953) );
+  sky130_fd_sc_hd__a22oi_1 U99794 ( .A1(n82248), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[14]), .B1(n82249), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[14]), .Y(n81951)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99795 ( .A1(n82258), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[14]), .B1(n82257), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[14]), .Y(n81950)
+         );
+  sky130_fd_sc_hd__o22ai_1 U99796 ( .A1(n81946), .A2(n81945), .B1(n81944), 
+        .B2(n81972), .Y(n81947) );
+  sky130_fd_sc_hd__a21oi_1 U99797 ( .A1(n82260), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[14]), .B1(n81947), 
+        .Y(n81949) );
+  sky130_fd_sc_hd__a22oi_1 U99798 ( .A1(n82256), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[14]), .B1(n82255), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[14]), .Y(n81948)
+         );
+  sky130_fd_sc_hd__nand4_1 U99799 ( .A(n81951), .B(n81950), .C(n81949), .D(
+        n81948), .Y(n81952) );
+  sky130_fd_sc_hd__a211oi_1 U99800 ( .A1(n82254), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[14]), .B1(n81953), 
+        .C1(n81952), .Y(n81954) );
+  sky130_fd_sc_hd__nand4_1 U99801 ( .A(n81957), .B(n81956), .C(n81955), .D(
+        n81954), .Y(n81961) );
+  sky130_fd_sc_hd__o22ai_1 U99802 ( .A1(n81959), .A2(n81981), .B1(n81958), 
+        .B2(n82276), .Y(n81960) );
+  sky130_fd_sc_hd__a21oi_1 U99803 ( .A1(n82222), .A2(n81961), .B1(n81960), .Y(
+        n81962) );
+  sky130_fd_sc_hd__nand2_1 U99804 ( .A(n81963), .B(n81962), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[14]) );
+  sky130_fd_sc_hd__a22oi_1 U99805 ( .A1(n82249), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[15]), .B1(n82251), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[15]), .Y(n81966)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99806 ( .A1(n82248), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[15]), .B1(n82252), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[15]), .Y(n81965)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99807 ( .A1(n82267), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[15]), .B1(n82259), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[15]), .Y(n81964)
+         );
+  sky130_fd_sc_hd__nand3_1 U99808 ( .A(n81966), .B(n81965), .C(n81964), .Y(
+        n81980) );
+  sky130_fd_sc_hd__a22oi_1 U99809 ( .A1(n82253), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[15]), .B1(n82256), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[15]), .Y(n81970)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99810 ( .A1(n82250), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[15]), .B1(n82247), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[15]), .Y(n81969)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99811 ( .A1(n82257), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[15]), .B1(n82254), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[15]), .Y(n81968)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99812 ( .A1(n82260), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[15]), .B1(n82255), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[15]), .Y(n81967)
+         );
+  sky130_fd_sc_hd__nand4_1 U99813 ( .A(n81970), .B(n81969), .C(n81968), .D(
+        n81967), .Y(n81979) );
+  sky130_fd_sc_hd__o2bb2ai_1 U99814 ( .B1(n81973), .B2(n81972), .A1_N(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[15]), .A2_N(n81971), 
+        .Y(n81974) );
+  sky130_fd_sc_hd__a21oi_1 U99815 ( .A1(n82193), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[15]), .B1(n81974), 
+        .Y(n81976) );
+  sky130_fd_sc_hd__a22oi_1 U99816 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[15]), .A2(n82192), .B1(
+        n82258), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[15]), 
+        .Y(n81975) );
+  sky130_fd_sc_hd__o211ai_1 U99817 ( .A1(n81977), .A2(n82200), .B1(n81976), 
+        .C1(n81975), .Y(n81978) );
+  sky130_fd_sc_hd__nor3_1 U99818 ( .A(n81980), .B(n81979), .C(n81978), .Y(
+        n81986) );
+  sky130_fd_sc_hd__o2bb2ai_1 U99819 ( .B1(n81982), .B2(n81981), .A1_N(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[15]), .A2_N(n82239), 
+        .Y(n81983) );
+  sky130_fd_sc_hd__a21oi_1 U99820 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[15]), .A2(n81984), .B1(
+        n81983), .Y(n81985) );
+  sky130_fd_sc_hd__o21ai_0 U99821 ( .A1(n81986), .A2(n82268), .B1(n81985), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[15]) );
+  sky130_fd_sc_hd__a22oi_1 U99822 ( .A1(n82253), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[16]), .B1(n82255), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[16]), .Y(n81995)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99823 ( .A1(n82258), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[16]), .B1(n82257), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[16]), .Y(n81994)
+         );
+  sky130_fd_sc_hd__a22o_1 U99824 ( .A1(n82254), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[16]), .B1(n82256), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[16]), .X(n81992)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99825 ( .A1(n82248), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[16]), .B1(n82252), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[16]), .Y(n81990)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99826 ( .A1(n82250), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[16]), .B1(n82260), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[16]), .Y(n81989)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99827 ( .A1(n82247), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[16]), .B1(n82251), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[16]), .Y(n81988)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99828 ( .A1(n82249), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[16]), .B1(n82259), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[16]), .Y(n81987)
+         );
+  sky130_fd_sc_hd__nand4_1 U99829 ( .A(n81990), .B(n81989), .C(n81988), .D(
+        n81987), .Y(n81991) );
+  sky130_fd_sc_hd__a211oi_1 U99830 ( .A1(n82267), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[16]), .B1(n81992), 
+        .C1(n81991), .Y(n81993) );
+  sky130_fd_sc_hd__a31oi_1 U99831 ( .A1(n81995), .A2(n81994), .A3(n81993), 
+        .B1(n82268), .Y(n82002) );
+  sky130_fd_sc_hd__o22ai_1 U99832 ( .A1(n81997), .A2(n82170), .B1(n81996), 
+        .B2(n82211), .Y(n82001) );
+  sky130_fd_sc_hd__a22oi_1 U99833 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[16]), .A2(n82245), .B1(
+        n82273), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[16]), 
+        .Y(n81998) );
+  sky130_fd_sc_hd__o21ai_0 U99834 ( .A1(n81999), .A2(n82276), .B1(n81998), .Y(
+        n82000) );
+  sky130_fd_sc_hd__or3_1 U99835 ( .A(n82002), .B(n82001), .C(n82000), .X(
+        MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[16]) );
+  sky130_fd_sc_hd__a22oi_1 U99836 ( .A1(n82250), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[17]), .B1(n82252), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[17]), .Y(n82011)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99837 ( .A1(n82249), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[17]), .B1(n82251), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[17]), .Y(n82010)
+         );
+  sky130_fd_sc_hd__a22o_1 U99838 ( .A1(n82247), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[17]), .B1(n82259), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[17]), .X(n82008)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99839 ( .A1(n82260), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[17]), .B1(n82255), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[17]), .Y(n82006)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99840 ( .A1(n82257), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[17]), .B1(n82253), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[17]), .Y(n82005)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99841 ( .A1(n82258), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[17]), .B1(n82256), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[17]), .Y(n82004)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99842 ( .A1(n82267), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[17]), .B1(n82254), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[17]), .Y(n82003)
+         );
+  sky130_fd_sc_hd__nand4_1 U99843 ( .A(n82006), .B(n82005), .C(n82004), .D(
+        n82003), .Y(n82007) );
+  sky130_fd_sc_hd__a211oi_1 U99844 ( .A1(n82248), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[17]), .B1(n82008), 
+        .C1(n82007), .Y(n82009) );
+  sky130_fd_sc_hd__a31oi_1 U99845 ( .A1(n82011), .A2(n82010), .A3(n82009), 
+        .B1(n82268), .Y(n82015) );
+  sky130_fd_sc_hd__o2bb2ai_1 U99846 ( .B1(n82012), .B2(n82170), .A1_N(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[17]), .A2_N(n82239), 
+        .Y(n82014) );
+  sky130_fd_sc_hd__a22o_1 U99847 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[17]), .A2(n82245), .B1(
+        n82273), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[17]), 
+        .X(n82013) );
+  sky130_fd_sc_hd__nor3_1 U99848 ( .A(n82015), .B(n82014), .C(n82013), .Y(
+        n82016) );
+  sky130_fd_sc_hd__o21ai_0 U99849 ( .A1(n82017), .A2(n82276), .B1(n82016), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[17]) );
+  sky130_fd_sc_hd__a22oi_1 U99850 ( .A1(n82253), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[18]), .B1(n82255), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[18]), .Y(n82026)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99851 ( .A1(n82257), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[18]), .B1(n82256), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[18]), .Y(n82025)
+         );
+  sky130_fd_sc_hd__a22o_1 U99852 ( .A1(n82258), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[18]), .B1(n82254), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[18]), .X(n82023)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99853 ( .A1(n82249), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[18]), .B1(n82251), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[18]), .Y(n82021)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99854 ( .A1(n82250), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[18]), .B1(n82260), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[18]), .Y(n82020)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99855 ( .A1(n82247), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[18]), .B1(n82252), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[18]), .Y(n82019)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99856 ( .A1(n82248), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[18]), .B1(n82259), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[18]), .Y(n82018)
+         );
+  sky130_fd_sc_hd__nand4_1 U99857 ( .A(n82021), .B(n82020), .C(n82019), .D(
+        n82018), .Y(n82022) );
+  sky130_fd_sc_hd__a211oi_1 U99858 ( .A1(n82267), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[18]), .B1(n82023), 
+        .C1(n82022), .Y(n82024) );
+  sky130_fd_sc_hd__a31oi_1 U99859 ( .A1(n82026), .A2(n82025), .A3(n82024), 
+        .B1(n82268), .Y(n82032) );
+  sky130_fd_sc_hd__o2bb2ai_1 U99860 ( .B1(n82027), .B2(n82170), .A1_N(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[18]), .A2_N(n82239), 
+        .Y(n82031) );
+  sky130_fd_sc_hd__a22oi_1 U99861 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[18]), .A2(n82245), .B1(
+        n82273), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[18]), 
+        .Y(n82028) );
+  sky130_fd_sc_hd__o21ai_0 U99862 ( .A1(n82029), .A2(n82276), .B1(n82028), .Y(
+        n82030) );
+  sky130_fd_sc_hd__or3_1 U99863 ( .A(n82032), .B(n82031), .C(n82030), .X(
+        MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[18]) );
+  sky130_fd_sc_hd__o22ai_1 U99864 ( .A1(n82034), .A2(n82170), .B1(n82033), 
+        .B2(n82211), .Y(n82035) );
+  sky130_fd_sc_hd__a21oi_1 U99865 ( .A1(n82273), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[19]), .B1(n82035), 
+        .Y(n82047) );
+  sky130_fd_sc_hd__a22oi_1 U99866 ( .A1(n82253), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[19]), .B1(n82256), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[19]), .Y(n82039)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99867 ( .A1(n82254), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[19]), .B1(n82255), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[19]), .Y(n82038)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99868 ( .A1(n82258), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[19]), .B1(n82257), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[19]), .Y(n82037)
+         );
+  sky130_fd_sc_hd__nand2_1 U99869 ( .A(n82260), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[19]), .Y(n82036) );
+  sky130_fd_sc_hd__nand4_1 U99870 ( .A(n82039), .B(n82038), .C(n82037), .D(
+        n82036), .Y(n82045) );
+  sky130_fd_sc_hd__a22oi_1 U99871 ( .A1(n82248), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[19]), .B1(n82251), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[19]), .Y(n82043)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99872 ( .A1(n82252), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[19]), .B1(n82249), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[19]), .Y(n82042)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99873 ( .A1(n82250), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[19]), .B1(n82247), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[19]), .Y(n82041)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99874 ( .A1(n82267), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[19]), .B1(n82259), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[19]), .Y(n82040)
+         );
+  sky130_fd_sc_hd__nand4_1 U99875 ( .A(n82043), .B(n82042), .C(n82041), .D(
+        n82040), .Y(n82044) );
+  sky130_fd_sc_hd__o21ai_0 U99876 ( .A1(n82045), .A2(n82044), .B1(n82222), .Y(
+        n82046) );
+  sky130_fd_sc_hd__nand2_1 U99877 ( .A(n82047), .B(n82046), .Y(n82048) );
+  sky130_fd_sc_hd__a21oi_1 U99878 ( .A1(n82245), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[19]), .B1(n82048), .Y(
+        n82049) );
+  sky130_fd_sc_hd__o21ai_0 U99879 ( .A1(n82050), .A2(n82276), .B1(n82049), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[19]) );
+  sky130_fd_sc_hd__a22oi_1 U99880 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[20]), .A2(n82245), .B1(
+        n82273), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[20]), 
+        .Y(n82065) );
+  sky130_fd_sc_hd__a22o_1 U99881 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[20]), .A2(n82246), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[20]), .B2(n82239), .X(
+        n82051) );
+  sky130_fd_sc_hd__a21oi_1 U99882 ( .A1(n82052), .A2(n82086), .B1(n82051), .Y(
+        n82064) );
+  sky130_fd_sc_hd__a22oi_1 U99883 ( .A1(n82248), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[20]), .B1(n82252), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[20]), .Y(n82056)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99884 ( .A1(n82249), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[20]), .B1(n82260), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[20]), .Y(n82055)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99885 ( .A1(n82250), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[20]), .B1(n82251), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[20]), .Y(n82054)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99886 ( .A1(n82247), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[20]), .B1(n82259), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[20]), .Y(n82053)
+         );
+  sky130_fd_sc_hd__nand4_1 U99887 ( .A(n82056), .B(n82055), .C(n82054), .D(
+        n82053), .Y(n82062) );
+  sky130_fd_sc_hd__a22oi_1 U99888 ( .A1(n82267), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[20]), .B1(n82257), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[20]), .Y(n82060)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99889 ( .A1(n82258), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[20]), .B1(n82255), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[20]), .Y(n82059)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99890 ( .A1(n82254), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[20]), .B1(n82256), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[20]), .Y(n82058)
+         );
+  sky130_fd_sc_hd__nand2_1 U99891 ( .A(n82253), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[20]), .Y(n82057) );
+  sky130_fd_sc_hd__nand4_1 U99892 ( .A(n82060), .B(n82059), .C(n82058), .D(
+        n82057), .Y(n82061) );
+  sky130_fd_sc_hd__o21ai_0 U99893 ( .A1(n82062), .A2(n82061), .B1(n82222), .Y(
+        n82063) );
+  sky130_fd_sc_hd__nand3_1 U99894 ( .A(n82065), .B(n82064), .C(n82063), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[20]) );
+  sky130_fd_sc_hd__a22oi_1 U99895 ( .A1(n82247), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[21]), .B1(n82249), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[21]), .Y(n82074)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99896 ( .A1(n82250), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[21]), .B1(n82251), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[21]), .Y(n82073)
+         );
+  sky130_fd_sc_hd__a22o_1 U99897 ( .A1(n82252), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[21]), .B1(n82259), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[21]), .X(n82071)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99898 ( .A1(n82258), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[21]), .B1(n82253), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[21]), .Y(n82069)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99899 ( .A1(n82257), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[21]), .B1(n82255), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[21]), .Y(n82068)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99900 ( .A1(n82260), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[21]), .B1(n82256), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[21]), .Y(n82067)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99901 ( .A1(n82267), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[21]), .B1(n82254), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[21]), .Y(n82066)
+         );
+  sky130_fd_sc_hd__nand4_1 U99902 ( .A(n82069), .B(n82068), .C(n82067), .D(
+        n82066), .Y(n82070) );
+  sky130_fd_sc_hd__a211oi_1 U99903 ( .A1(n82248), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[21]), .B1(n82071), 
+        .C1(n82070), .Y(n82072) );
+  sky130_fd_sc_hd__a31oi_1 U99904 ( .A1(n82074), .A2(n82073), .A3(n82072), 
+        .B1(n82268), .Y(n82079) );
+  sky130_fd_sc_hd__o22ai_1 U99905 ( .A1(n82076), .A2(n82170), .B1(n82075), 
+        .B2(n82211), .Y(n82078) );
+  sky130_fd_sc_hd__a22o_1 U99906 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[21]), .A2(n82245), .B1(
+        n82273), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[21]), 
+        .X(n82077) );
+  sky130_fd_sc_hd__nor3_1 U99907 ( .A(n82079), .B(n82078), .C(n82077), .Y(
+        n82080) );
+  sky130_fd_sc_hd__o21ai_0 U99908 ( .A1(n82081), .A2(n82276), .B1(n82080), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[21]) );
+  sky130_fd_sc_hd__a22oi_1 U99909 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[22]), .A2(n82245), .B1(
+        n82273), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[22]), 
+        .Y(n82100) );
+  sky130_fd_sc_hd__clkinv_1 U99910 ( .A(n82082), .Y(n82087) );
+  sky130_fd_sc_hd__o22ai_1 U99911 ( .A1(n82084), .A2(n82170), .B1(n82083), 
+        .B2(n82211), .Y(n82085) );
+  sky130_fd_sc_hd__a21oi_1 U99912 ( .A1(n82087), .A2(n82086), .B1(n82085), .Y(
+        n82099) );
+  sky130_fd_sc_hd__a22oi_1 U99913 ( .A1(n82248), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[22]), .B1(n82250), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[22]), .Y(n82091)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99914 ( .A1(n82251), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[22]), .B1(n82255), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[22]), .Y(n82090)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99915 ( .A1(n82247), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[22]), .B1(n82252), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[22]), .Y(n82089)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99916 ( .A1(n82249), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[22]), .B1(n82259), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[22]), .Y(n82088)
+         );
+  sky130_fd_sc_hd__nand4_1 U99917 ( .A(n82091), .B(n82090), .C(n82089), .D(
+        n82088), .Y(n82097) );
+  sky130_fd_sc_hd__a22oi_1 U99918 ( .A1(n82267), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[22]), .B1(n82260), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[22]), .Y(n82095)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99919 ( .A1(n82254), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[22]), .B1(n82256), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[22]), .Y(n82094)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99920 ( .A1(n82257), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[22]), .B1(n82253), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[22]), .Y(n82093)
+         );
+  sky130_fd_sc_hd__nand2_1 U99921 ( .A(n82258), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[22]), .Y(n82092) );
+  sky130_fd_sc_hd__nand4_1 U99922 ( .A(n82095), .B(n82094), .C(n82093), .D(
+        n82092), .Y(n82096) );
+  sky130_fd_sc_hd__o21ai_0 U99923 ( .A1(n82097), .A2(n82096), .B1(n82222), .Y(
+        n82098) );
+  sky130_fd_sc_hd__nand3_1 U99924 ( .A(n82100), .B(n82099), .C(n82098), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[22]) );
+  sky130_fd_sc_hd__o22ai_1 U99925 ( .A1(n82102), .A2(n82170), .B1(n82101), 
+        .B2(n82211), .Y(n82103) );
+  sky130_fd_sc_hd__a21oi_1 U99926 ( .A1(n82273), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[23]), .B1(n82103), 
+        .Y(n82115) );
+  sky130_fd_sc_hd__a22oi_1 U99927 ( .A1(n82254), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[23]), .B1(n82253), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[23]), .Y(n82107)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99928 ( .A1(n82258), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[23]), .B1(n82256), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[23]), .Y(n82106)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99929 ( .A1(n82257), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[23]), .B1(n82255), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[23]), .Y(n82105)
+         );
+  sky130_fd_sc_hd__nand2_1 U99930 ( .A(n82260), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[23]), .Y(n82104) );
+  sky130_fd_sc_hd__nand4_1 U99931 ( .A(n82107), .B(n82106), .C(n82105), .D(
+        n82104), .Y(n82113) );
+  sky130_fd_sc_hd__a22oi_1 U99932 ( .A1(n82247), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[23]), .B1(n82249), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[23]), .Y(n82111)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99933 ( .A1(n82250), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[23]), .B1(n82252), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[23]), .Y(n82110)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99934 ( .A1(n82248), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[23]), .B1(n82251), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[23]), .Y(n82109)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99935 ( .A1(n82267), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[23]), .B1(n82259), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[23]), .Y(n82108)
+         );
+  sky130_fd_sc_hd__nand4_1 U99936 ( .A(n82111), .B(n82110), .C(n82109), .D(
+        n82108), .Y(n82112) );
+  sky130_fd_sc_hd__o21ai_0 U99937 ( .A1(n82113), .A2(n82112), .B1(n82222), .Y(
+        n82114) );
+  sky130_fd_sc_hd__nand2_1 U99938 ( .A(n82115), .B(n82114), .Y(n82116) );
+  sky130_fd_sc_hd__a21oi_1 U99939 ( .A1(n82245), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[23]), .B1(n82116), .Y(
+        n82117) );
+  sky130_fd_sc_hd__o21ai_0 U99940 ( .A1(n82118), .A2(n82276), .B1(n82117), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[23]) );
+  sky130_fd_sc_hd__a22oi_1 U99941 ( .A1(n82252), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[24]), .B1(n82249), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[24]), .Y(n82127)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99942 ( .A1(n82248), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[24]), .B1(n82250), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[24]), .Y(n82126)
+         );
+  sky130_fd_sc_hd__a22o_1 U99943 ( .A1(n82251), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[24]), .B1(n82259), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[24]), .X(n82124)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99944 ( .A1(n82258), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[24]), .B1(n82254), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[24]), .Y(n82122)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99945 ( .A1(n82260), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[24]), .B1(n82253), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[24]), .Y(n82121)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99946 ( .A1(n82257), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[24]), .B1(n82256), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[24]), .Y(n82120)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99947 ( .A1(n82267), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[24]), .B1(n82255), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[24]), .Y(n82119)
+         );
+  sky130_fd_sc_hd__nand4_1 U99948 ( .A(n82122), .B(n82121), .C(n82120), .D(
+        n82119), .Y(n82123) );
+  sky130_fd_sc_hd__a211oi_1 U99949 ( .A1(n82247), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[24]), .B1(n82124), 
+        .C1(n82123), .Y(n82125) );
+  sky130_fd_sc_hd__a31oi_1 U99950 ( .A1(n82127), .A2(n82126), .A3(n82125), 
+        .B1(n82268), .Y(n82134) );
+  sky130_fd_sc_hd__o22ai_1 U99951 ( .A1(n82129), .A2(n82170), .B1(n82128), 
+        .B2(n82211), .Y(n82133) );
+  sky130_fd_sc_hd__a22oi_1 U99952 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[24]), .A2(n82245), .B1(
+        n82273), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[24]), 
+        .Y(n82130) );
+  sky130_fd_sc_hd__o21ai_0 U99953 ( .A1(n82131), .A2(n82276), .B1(n82130), .Y(
+        n82132) );
+  sky130_fd_sc_hd__or3_1 U99954 ( .A(n82134), .B(n82133), .C(n82132), .X(
+        MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[24]) );
+  sky130_fd_sc_hd__a22oi_1 U99955 ( .A1(n82248), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[25]), .B1(n82251), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[25]), .Y(n82143)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99956 ( .A1(n82250), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[25]), .B1(n82252), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[25]), .Y(n82142)
+         );
+  sky130_fd_sc_hd__a22o_1 U99957 ( .A1(n82249), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[25]), .B1(n82259), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[25]), .X(n82140)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99958 ( .A1(n82258), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[25]), .B1(n82254), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[25]), .Y(n82138)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99959 ( .A1(n82260), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[25]), .B1(n82256), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[25]), .Y(n82137)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99960 ( .A1(n82257), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[25]), .B1(n82253), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[25]), .Y(n82136)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99961 ( .A1(n82267), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[25]), .B1(n82255), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[25]), .Y(n82135)
+         );
+  sky130_fd_sc_hd__nand4_1 U99962 ( .A(n82138), .B(n82137), .C(n82136), .D(
+        n82135), .Y(n82139) );
+  sky130_fd_sc_hd__a211oi_1 U99963 ( .A1(n82247), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[25]), .B1(n82140), 
+        .C1(n82139), .Y(n82141) );
+  sky130_fd_sc_hd__a31oi_1 U99964 ( .A1(n82143), .A2(n82142), .A3(n82141), 
+        .B1(n82268), .Y(n82150) );
+  sky130_fd_sc_hd__o22ai_1 U99965 ( .A1(n82145), .A2(n82170), .B1(n82144), 
+        .B2(n82211), .Y(n82149) );
+  sky130_fd_sc_hd__a22oi_1 U99966 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[25]), .A2(n82245), .B1(
+        n82273), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[25]), 
+        .Y(n82146) );
+  sky130_fd_sc_hd__o21ai_0 U99967 ( .A1(n82147), .A2(n82276), .B1(n82146), .Y(
+        n82148) );
+  sky130_fd_sc_hd__or3_1 U99968 ( .A(n82150), .B(n82149), .C(n82148), .X(
+        MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[25]) );
+  sky130_fd_sc_hd__o22ai_1 U99969 ( .A1(n82152), .A2(n82170), .B1(n82151), 
+        .B2(n82211), .Y(n82153) );
+  sky130_fd_sc_hd__a21oi_1 U99970 ( .A1(n82273), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[26]), .B1(n82153), 
+        .Y(n82165) );
+  sky130_fd_sc_hd__a22oi_1 U99971 ( .A1(n82248), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[26]), .B1(n82247), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[26]), .Y(n82157)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99972 ( .A1(n82249), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[26]), .B1(n82251), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[26]), .Y(n82156)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99973 ( .A1(n82250), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[26]), .B1(n82252), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[26]), .Y(n82155)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99974 ( .A1(n82267), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[26]), .B1(n82259), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[26]), .Y(n82154)
+         );
+  sky130_fd_sc_hd__nand4_1 U99975 ( .A(n82157), .B(n82156), .C(n82155), .D(
+        n82154), .Y(n82163) );
+  sky130_fd_sc_hd__a22oi_1 U99976 ( .A1(n82260), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[26]), .B1(n82255), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[26]), .Y(n82161)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99977 ( .A1(n82257), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[26]), .B1(n82254), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[26]), .Y(n82160)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99978 ( .A1(n82258), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[26]), .B1(n82256), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[26]), .Y(n82159)
+         );
+  sky130_fd_sc_hd__nand2_1 U99979 ( .A(n82253), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[26]), .Y(n82158) );
+  sky130_fd_sc_hd__nand4_1 U99980 ( .A(n82161), .B(n82160), .C(n82159), .D(
+        n82158), .Y(n82162) );
+  sky130_fd_sc_hd__o21ai_0 U99981 ( .A1(n82163), .A2(n82162), .B1(n82222), .Y(
+        n82164) );
+  sky130_fd_sc_hd__nand2_1 U99982 ( .A(n82165), .B(n82164), .Y(n82166) );
+  sky130_fd_sc_hd__a21oi_1 U99983 ( .A1(n82245), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[26]), .B1(n82166), .Y(
+        n82167) );
+  sky130_fd_sc_hd__o21ai_0 U99984 ( .A1(n82168), .A2(n82276), .B1(n82167), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[26]) );
+  sky130_fd_sc_hd__o22ai_1 U99985 ( .A1(n82171), .A2(n82170), .B1(n82169), 
+        .B2(n82211), .Y(n82172) );
+  sky130_fd_sc_hd__a21oi_1 U99986 ( .A1(n82273), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[27]), .B1(n82172), 
+        .Y(n82184) );
+  sky130_fd_sc_hd__a22oi_1 U99987 ( .A1(n82253), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[27]), .B1(n82256), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[27]), .Y(n82176)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99988 ( .A1(n82258), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[27]), .B1(n82257), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[27]), .Y(n82175)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99989 ( .A1(n82254), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[27]), .B1(n82255), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[27]), .Y(n82174)
+         );
+  sky130_fd_sc_hd__nand2_1 U99990 ( .A(n82260), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[27]), .Y(n82173) );
+  sky130_fd_sc_hd__nand4_1 U99991 ( .A(n82176), .B(n82175), .C(n82174), .D(
+        n82173), .Y(n82182) );
+  sky130_fd_sc_hd__a22oi_1 U99992 ( .A1(n82248), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[27]), .B1(n82252), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[27]), .Y(n82180)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99993 ( .A1(n82250), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[27]), .B1(n82247), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[27]), .Y(n82179)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99994 ( .A1(n82249), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[27]), .B1(n82251), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[27]), .Y(n82178)
+         );
+  sky130_fd_sc_hd__a22oi_1 U99995 ( .A1(n82267), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[27]), .B1(n82259), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[27]), .Y(n82177)
+         );
+  sky130_fd_sc_hd__nand4_1 U99996 ( .A(n82180), .B(n82179), .C(n82178), .D(
+        n82177), .Y(n82181) );
+  sky130_fd_sc_hd__o21ai_0 U99997 ( .A1(n82182), .A2(n82181), .B1(n82222), .Y(
+        n82183) );
+  sky130_fd_sc_hd__nand2_1 U99998 ( .A(n82184), .B(n82183), .Y(n82185) );
+  sky130_fd_sc_hd__a21oi_1 U99999 ( .A1(n82245), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[27]), .B1(n82185), .Y(
+        n82186) );
+  sky130_fd_sc_hd__o21ai_0 U100000 ( .A1(n82187), .A2(n82276), .B1(n82186), 
+        .Y(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[27]) );
+  sky130_fd_sc_hd__clkinv_1 U100001 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[28]), .Y(n82212) );
+  sky130_fd_sc_hd__a22oi_1 U100002 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[28]), .A2(n82188), .B1(
+        n82250), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[28]), 
+        .Y(n82191) );
+  sky130_fd_sc_hd__a22oi_1 U100003 ( .A1(n82249), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[28]), .B1(n82251), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[28]), .Y(n82190)
+         );
+  sky130_fd_sc_hd__a22oi_1 U100004 ( .A1(n82267), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[28]), .B1(n82259), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[28]), .Y(n82189)
+         );
+  sky130_fd_sc_hd__nand3_1 U100005 ( .A(n82191), .B(n82190), .C(n82189), .Y(
+        n82204) );
+  sky130_fd_sc_hd__a22oi_1 U100006 ( .A1(n82252), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[28]), .B1(n82254), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[28]), .Y(n82197)
+         );
+  sky130_fd_sc_hd__a22oi_1 U100007 ( .A1(n82248), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[28]), .B1(n82256), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[28]), .Y(n82196)
+         );
+  sky130_fd_sc_hd__a22oi_1 U100008 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[28]), .A2(n82192), .B1(
+        n82247), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[28]), 
+        .Y(n82195) );
+  sky130_fd_sc_hd__a22oi_1 U100009 ( .A1(n82258), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[28]), .B1(n82193), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[28]), .Y(n82194)
+         );
+  sky130_fd_sc_hd__nand4_1 U100010 ( .A(n82197), .B(n82196), .C(n82195), .D(
+        n82194), .Y(n82203) );
+  sky130_fd_sc_hd__a22oi_1 U100011 ( .A1(n82260), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[28]), .B1(n82257), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[28]), .Y(n82199)
+         );
+  sky130_fd_sc_hd__a22oi_1 U100012 ( .A1(n82253), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[28]), .B1(n82255), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[28]), .Y(n82198)
+         );
+  sky130_fd_sc_hd__o211ai_1 U100013 ( .A1(n82201), .A2(n82200), .B1(n82199), 
+        .C1(n82198), .Y(n82202) );
+  sky130_fd_sc_hd__nor3_1 U100014 ( .A(n82204), .B(n82203), .C(n82202), .Y(
+        n82207) );
+  sky130_fd_sc_hd__o22ai_1 U100015 ( .A1(n82207), .A2(n82268), .B1(n82206), 
+        .B2(n82205), .Y(n82208) );
+  sky130_fd_sc_hd__a21oi_1 U100016 ( .A1(n82209), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_auto_intsource_out_sync_0), .B1(n82208), .Y(n82210) );
+  sky130_fd_sc_hd__o21ai_0 U100017 ( .A1(n82212), .A2(n82211), .B1(n82210), 
+        .Y(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[28]) );
+  sky130_fd_sc_hd__a22o_1 U100018 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[29]), .A2(n82246), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[29]), .B2(n82239), .X(
+        n82213) );
+  sky130_fd_sc_hd__a21oi_1 U100019 ( .A1(n82273), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[29]), .B1(n82213), 
+        .Y(n82226) );
+  sky130_fd_sc_hd__a22oi_1 U100020 ( .A1(n82258), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[29]), .B1(n82256), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[29]), .Y(n82217)
+         );
+  sky130_fd_sc_hd__a22oi_1 U100021 ( .A1(n82260), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[29]), .B1(n82254), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[29]), .Y(n82216)
+         );
+  sky130_fd_sc_hd__a22oi_1 U100022 ( .A1(n82253), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[29]), .B1(n82255), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[29]), .Y(n82215)
+         );
+  sky130_fd_sc_hd__nand2_1 U100023 ( .A(n82257), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[29]), .Y(n82214) );
+  sky130_fd_sc_hd__nand4_1 U100024 ( .A(n82217), .B(n82216), .C(n82215), .D(
+        n82214), .Y(n82224) );
+  sky130_fd_sc_hd__a22oi_1 U100025 ( .A1(n82252), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[29]), .B1(n82249), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[29]), .Y(n82221)
+         );
+  sky130_fd_sc_hd__a22oi_1 U100026 ( .A1(n82250), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[29]), .B1(n82251), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[29]), .Y(n82220)
+         );
+  sky130_fd_sc_hd__a22oi_1 U100027 ( .A1(n82248), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[29]), .B1(n82247), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[29]), .Y(n82219)
+         );
+  sky130_fd_sc_hd__a22oi_1 U100028 ( .A1(n82267), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[29]), .B1(n82259), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[29]), .Y(n82218)
+         );
+  sky130_fd_sc_hd__nand4_1 U100029 ( .A(n82221), .B(n82220), .C(n82219), .D(
+        n82218), .Y(n82223) );
+  sky130_fd_sc_hd__o21ai_0 U100030 ( .A1(n82224), .A2(n82223), .B1(n82222), 
+        .Y(n82225) );
+  sky130_fd_sc_hd__nand2_1 U100031 ( .A(n82226), .B(n82225), .Y(n82227) );
+  sky130_fd_sc_hd__a21oi_1 U100032 ( .A1(n82245), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[29]), .B1(n82227), .Y(
+        n82228) );
+  sky130_fd_sc_hd__o21ai_0 U100033 ( .A1(n82229), .A2(n82276), .B1(n82228), 
+        .Y(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[29]) );
+  sky130_fd_sc_hd__a22oi_1 U100034 ( .A1(n82248), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[30]), .B1(n82249), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[30]), .Y(n82238)
+         );
+  sky130_fd_sc_hd__a22oi_1 U100035 ( .A1(n82250), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[30]), .B1(n82252), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[30]), .Y(n82237)
+         );
+  sky130_fd_sc_hd__a22o_1 U100036 ( .A1(n82251), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[30]), .B1(n82259), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[30]), .X(n82235)
+         );
+  sky130_fd_sc_hd__a22oi_1 U100037 ( .A1(n82253), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[30]), .B1(n82256), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[30]), .Y(n82233)
+         );
+  sky130_fd_sc_hd__a22oi_1 U100038 ( .A1(n82258), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[30]), .B1(n82254), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[30]), .Y(n82232)
+         );
+  sky130_fd_sc_hd__a22oi_1 U100039 ( .A1(n82260), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[30]), .B1(n82257), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[30]), .Y(n82231)
+         );
+  sky130_fd_sc_hd__a22oi_1 U100040 ( .A1(n82267), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[30]), .B1(n82255), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[30]), .Y(n82230)
+         );
+  sky130_fd_sc_hd__nand4_1 U100041 ( .A(n82233), .B(n82232), .C(n82231), .D(
+        n82230), .Y(n82234) );
+  sky130_fd_sc_hd__a211oi_1 U100042 ( .A1(n82247), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[30]), .B1(n82235), 
+        .C1(n82234), .Y(n82236) );
+  sky130_fd_sc_hd__a31oi_1 U100043 ( .A1(n82238), .A2(n82237), .A3(n82236), 
+        .B1(n82268), .Y(n82242) );
+  sky130_fd_sc_hd__a22o_1 U100044 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[30]), .A2(n82239), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[30]), .B2(n82246), .X(
+        n82241) );
+  sky130_fd_sc_hd__a22o_1 U100045 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[30]), .A2(n82245), .B1(
+        n82273), .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[30]), 
+        .X(n82240) );
+  sky130_fd_sc_hd__nor3_1 U100046 ( .A(n82242), .B(n82241), .C(n82240), .Y(
+        n82243) );
+  sky130_fd_sc_hd__o21ai_0 U100047 ( .A1(n82244), .A2(n82276), .B1(n82243), 
+        .Y(MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[30]) );
+  sky130_fd_sc_hd__a22oi_1 U100048 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[31]), .A2(n82246), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_288[31]), .B2(n82245), .Y(
+        n82275) );
+  sky130_fd_sc_hd__a22oi_1 U100049 ( .A1(n82248), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_11[31]), .B1(n82247), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_15[31]), .Y(n82271)
+         );
+  sky130_fd_sc_hd__a22oi_1 U100050 ( .A1(n82250), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_12[31]), .B1(n82249), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_13[31]), .Y(n82270)
+         );
+  sky130_fd_sc_hd__a22o_1 U100051 ( .A1(n82252), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_10[31]), .B1(n82251), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_14[31]), .X(n82266)
+         );
+  sky130_fd_sc_hd__a22oi_1 U100052 ( .A1(n82254), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_1[31]), .B1(n82253), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_4[31]), .Y(n82264)
+         );
+  sky130_fd_sc_hd__a22oi_1 U100053 ( .A1(n82256), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_3[31]), .B1(n82255), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_2[31]), .Y(n82263)
+         );
+  sky130_fd_sc_hd__a22oi_1 U100054 ( .A1(n82258), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_7[31]), .B1(n82257), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_5[31]), .Y(n82262)
+         );
+  sky130_fd_sc_hd__a22oi_1 U100055 ( .A1(n82260), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_6[31]), .B1(n82259), 
+        .B2(MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_9[31]), .Y(n82261)
+         );
+  sky130_fd_sc_hd__nand4_1 U100056 ( .A(n82264), .B(n82263), .C(n82262), .D(
+        n82261), .Y(n82265) );
+  sky130_fd_sc_hd__a211oi_1 U100057 ( .A1(n82267), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_8[31]), .B1(n82266), 
+        .C1(n82265), .Y(n82269) );
+  sky130_fd_sc_hd__a31oi_1 U100058 ( .A1(n82271), .A2(n82270), .A3(n82269), 
+        .B1(n82268), .Y(n82272) );
+  sky130_fd_sc_hd__a21oi_1 U100059 ( .A1(n82273), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_backupRegs_0[31]), .B1(n82272), 
+        .Y(n82274) );
+  sky130_fd_sc_hd__o211ai_1 U100060 ( .A1(n82277), .A2(n82276), .B1(n82275), 
+        .C1(n82274), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_auto_in_d_bits_data[31]) );
+  sky130_fd_sc_hd__nor2_1 U100061 ( .A(n82376), .B(n82279), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2234) );
+  sky130_fd_sc_hd__nor2_1 U100062 ( .A(n82377), .B(n82279), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2235) );
+  sky130_fd_sc_hd__nor2_1 U100063 ( .A(n82378), .B(n82279), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2236) );
+  sky130_fd_sc_hd__nor2_1 U100064 ( .A(n82379), .B(n82279), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2237) );
+  sky130_fd_sc_hd__nor2_1 U100065 ( .A(n82380), .B(n82279), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2238) );
+  sky130_fd_sc_hd__nor2_1 U100066 ( .A(n82381), .B(n82279), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2239) );
+  sky130_fd_sc_hd__nor2_1 U100067 ( .A(n82382), .B(n82279), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2240) );
+  sky130_fd_sc_hd__nor2_1 U100068 ( .A(n82383), .B(n82279), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2241) );
+  sky130_fd_sc_hd__nand2_1 U100069 ( .A(n82372), .B(n82279), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2233) );
+  sky130_fd_sc_hd__nor2_1 U100070 ( .A(n82386), .B(n82280), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2221) );
+  sky130_fd_sc_hd__nor2_1 U100071 ( .A(n82387), .B(n82280), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2222) );
+  sky130_fd_sc_hd__nor2_1 U100072 ( .A(n82388), .B(n82280), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2223) );
+  sky130_fd_sc_hd__nor2_1 U100073 ( .A(n82389), .B(n82280), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2224) );
+  sky130_fd_sc_hd__nor2_1 U100074 ( .A(n82390), .B(n82280), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2225) );
+  sky130_fd_sc_hd__nor2_1 U100075 ( .A(n82391), .B(n82280), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2226) );
+  sky130_fd_sc_hd__nor2_1 U100076 ( .A(n82392), .B(n82280), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2227) );
+  sky130_fd_sc_hd__nor2_1 U100077 ( .A(n82393), .B(n82280), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2228) );
+  sky130_fd_sc_hd__nand2_1 U100078 ( .A(n82372), .B(n82280), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2220) );
+  sky130_fd_sc_hd__nor2_1 U100079 ( .A(n82396), .B(n82281), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2208) );
+  sky130_fd_sc_hd__nor2_1 U100080 ( .A(n82397), .B(n82281), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2209) );
+  sky130_fd_sc_hd__nor2_1 U100081 ( .A(n82398), .B(n82281), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2210) );
+  sky130_fd_sc_hd__nor2_1 U100082 ( .A(n82399), .B(n82281), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2211) );
+  sky130_fd_sc_hd__nor2_1 U100083 ( .A(n82400), .B(n82281), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2212) );
+  sky130_fd_sc_hd__nor2_1 U100084 ( .A(n82401), .B(n82281), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2213) );
+  sky130_fd_sc_hd__nor2_1 U100085 ( .A(n82402), .B(n82281), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2214) );
+  sky130_fd_sc_hd__nor2_1 U100086 ( .A(n82403), .B(n82281), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2215) );
+  sky130_fd_sc_hd__nand2_1 U100087 ( .A(n82372), .B(n82281), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2207) );
+  sky130_fd_sc_hd__nor2_1 U100088 ( .A(n82421), .B(n82283), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2195) );
+  sky130_fd_sc_hd__nor2_1 U100089 ( .A(n82407), .B(n82283), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2196) );
+  sky130_fd_sc_hd__nor2_1 U100090 ( .A(n82408), .B(n82283), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2197) );
+  sky130_fd_sc_hd__nor2_1 U100091 ( .A(n82409), .B(n82283), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2198) );
+  sky130_fd_sc_hd__nor2_1 U100092 ( .A(n82410), .B(n82283), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2199) );
+  sky130_fd_sc_hd__nor2_1 U100093 ( .A(n82411), .B(n82283), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2200) );
+  sky130_fd_sc_hd__nor2_1 U100094 ( .A(n82412), .B(n82283), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2201) );
+  sky130_fd_sc_hd__nor2_1 U100095 ( .A(n82413), .B(n82283), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2202) );
+  sky130_fd_sc_hd__nand2_1 U100096 ( .A(n82372), .B(n82283), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2194) );
+  sky130_fd_sc_hd__nor2_1 U100097 ( .A(n82376), .B(n82285), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2182) );
+  sky130_fd_sc_hd__nor2_1 U100098 ( .A(n82377), .B(n82285), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2183) );
+  sky130_fd_sc_hd__nor2_1 U100099 ( .A(n82378), .B(n82285), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2184) );
+  sky130_fd_sc_hd__nor2_1 U100100 ( .A(n82379), .B(n82285), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2185) );
+  sky130_fd_sc_hd__nor2_1 U100101 ( .A(n82380), .B(n82285), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2186) );
+  sky130_fd_sc_hd__nor2_1 U100102 ( .A(n82381), .B(n82285), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2187) );
+  sky130_fd_sc_hd__nor2_1 U100103 ( .A(n82382), .B(n82285), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2188) );
+  sky130_fd_sc_hd__nor2_1 U100104 ( .A(n82383), .B(n82285), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2189) );
+  sky130_fd_sc_hd__nand2_1 U100105 ( .A(n82372), .B(n82285), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2181) );
+  sky130_fd_sc_hd__nor2_1 U100106 ( .A(n82386), .B(n82286), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2169) );
+  sky130_fd_sc_hd__nor2_1 U100107 ( .A(n82387), .B(n82286), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2170) );
+  sky130_fd_sc_hd__nor2_1 U100108 ( .A(n82388), .B(n82286), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2171) );
+  sky130_fd_sc_hd__nor2_1 U100109 ( .A(n82389), .B(n82286), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2172) );
+  sky130_fd_sc_hd__nor2_1 U100110 ( .A(n82390), .B(n82286), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2173) );
+  sky130_fd_sc_hd__nor2_1 U100111 ( .A(n82391), .B(n82286), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2174) );
+  sky130_fd_sc_hd__nor2_1 U100112 ( .A(n82392), .B(n82286), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2175) );
+  sky130_fd_sc_hd__nor2_1 U100113 ( .A(n82393), .B(n82286), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2176) );
+  sky130_fd_sc_hd__nand2_1 U100114 ( .A(n82372), .B(n82286), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2168) );
+  sky130_fd_sc_hd__nor2_1 U100115 ( .A(n82396), .B(n82287), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2156) );
+  sky130_fd_sc_hd__nor2_1 U100116 ( .A(n82397), .B(n82287), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2157) );
+  sky130_fd_sc_hd__nor2_1 U100117 ( .A(n82398), .B(n82287), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2158) );
+  sky130_fd_sc_hd__nor2_1 U100118 ( .A(n82399), .B(n82287), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2159) );
+  sky130_fd_sc_hd__nor2_1 U100119 ( .A(n82400), .B(n82287), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2160) );
+  sky130_fd_sc_hd__nor2_1 U100120 ( .A(n82401), .B(n82287), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2161) );
+  sky130_fd_sc_hd__nor2_1 U100121 ( .A(n82402), .B(n82287), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2162) );
+  sky130_fd_sc_hd__nor2_1 U100122 ( .A(n82403), .B(n82287), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2163) );
+  sky130_fd_sc_hd__nand2_1 U100123 ( .A(n82372), .B(n82287), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2155) );
+  sky130_fd_sc_hd__nor2_1 U100124 ( .A(n82421), .B(n82289), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2143) );
+  sky130_fd_sc_hd__nor2_1 U100125 ( .A(n82407), .B(n82289), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2144) );
+  sky130_fd_sc_hd__nor2_1 U100126 ( .A(n82408), .B(n82289), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2145) );
+  sky130_fd_sc_hd__nor2_1 U100127 ( .A(n82409), .B(n82289), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2146) );
+  sky130_fd_sc_hd__nor2_1 U100128 ( .A(n82410), .B(n82289), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2147) );
+  sky130_fd_sc_hd__nor2_1 U100129 ( .A(n82411), .B(n82289), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2148) );
+  sky130_fd_sc_hd__nor2_1 U100130 ( .A(n82412), .B(n82289), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2149) );
+  sky130_fd_sc_hd__nor2_1 U100131 ( .A(n82413), .B(n82289), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2150) );
+  sky130_fd_sc_hd__nand2_1 U100132 ( .A(n82372), .B(n82289), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2142) );
+  sky130_fd_sc_hd__nor2_1 U100133 ( .A(n82376), .B(n82291), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2130) );
+  sky130_fd_sc_hd__nor2_1 U100134 ( .A(n82377), .B(n82291), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2131) );
+  sky130_fd_sc_hd__nor2_1 U100135 ( .A(n82378), .B(n82291), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2132) );
+  sky130_fd_sc_hd__nor2_1 U100136 ( .A(n82379), .B(n82291), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2133) );
+  sky130_fd_sc_hd__nor2_1 U100137 ( .A(n82380), .B(n82291), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2134) );
+  sky130_fd_sc_hd__nor2_1 U100138 ( .A(n82381), .B(n82291), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2135) );
+  sky130_fd_sc_hd__nor2_1 U100139 ( .A(n82382), .B(n82291), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2136) );
+  sky130_fd_sc_hd__nor2_1 U100140 ( .A(n82383), .B(n82291), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2137) );
+  sky130_fd_sc_hd__nand2_1 U100141 ( .A(n82372), .B(n82291), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2129) );
+  sky130_fd_sc_hd__nor2_1 U100142 ( .A(n82386), .B(n82292), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2117) );
+  sky130_fd_sc_hd__nor2_1 U100143 ( .A(n82387), .B(n82292), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2118) );
+  sky130_fd_sc_hd__nor2_1 U100144 ( .A(n82388), .B(n82292), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2119) );
+  sky130_fd_sc_hd__nor2_1 U100145 ( .A(n82389), .B(n82292), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2120) );
+  sky130_fd_sc_hd__nor2_1 U100146 ( .A(n82390), .B(n82292), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2121) );
+  sky130_fd_sc_hd__nor2_1 U100147 ( .A(n82391), .B(n82292), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2122) );
+  sky130_fd_sc_hd__nor2_1 U100148 ( .A(n82392), .B(n82292), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2123) );
+  sky130_fd_sc_hd__nor2_1 U100149 ( .A(n82393), .B(n82292), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2124) );
+  sky130_fd_sc_hd__nand2_1 U100150 ( .A(n82372), .B(n82292), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2116) );
+  sky130_fd_sc_hd__nor2_1 U100151 ( .A(n82396), .B(n82293), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2104) );
+  sky130_fd_sc_hd__nor2_1 U100152 ( .A(n82397), .B(n82293), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2105) );
+  sky130_fd_sc_hd__nor2_1 U100153 ( .A(n82398), .B(n82293), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2106) );
+  sky130_fd_sc_hd__nor2_1 U100154 ( .A(n82399), .B(n82293), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2107) );
+  sky130_fd_sc_hd__nor2_1 U100155 ( .A(n82400), .B(n82293), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2108) );
+  sky130_fd_sc_hd__nor2_1 U100156 ( .A(n82401), .B(n82293), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2109) );
+  sky130_fd_sc_hd__nor2_1 U100157 ( .A(n82402), .B(n82293), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2110) );
+  sky130_fd_sc_hd__nor2_1 U100158 ( .A(n82403), .B(n82293), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2111) );
+  sky130_fd_sc_hd__nand2_1 U100159 ( .A(n82372), .B(n82293), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2103) );
+  sky130_fd_sc_hd__nor2_1 U100160 ( .A(n82421), .B(n82295), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2091) );
+  sky130_fd_sc_hd__nor2_1 U100161 ( .A(n82407), .B(n82295), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2092) );
+  sky130_fd_sc_hd__nor2_1 U100162 ( .A(n82408), .B(n82295), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2093) );
+  sky130_fd_sc_hd__nor2_1 U100163 ( .A(n82409), .B(n82295), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2094) );
+  sky130_fd_sc_hd__nor2_1 U100164 ( .A(n82410), .B(n82295), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2095) );
+  sky130_fd_sc_hd__nor2_1 U100165 ( .A(n82411), .B(n82295), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2096) );
+  sky130_fd_sc_hd__nor2_1 U100166 ( .A(n82412), .B(n82295), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2097) );
+  sky130_fd_sc_hd__nor2_1 U100167 ( .A(n82413), .B(n82295), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2098) );
+  sky130_fd_sc_hd__nand2_1 U100168 ( .A(n82372), .B(n82295), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2090) );
+  sky130_fd_sc_hd__nor2_1 U100169 ( .A(n82376), .B(n82297), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2078) );
+  sky130_fd_sc_hd__nor2_1 U100170 ( .A(n82377), .B(n82297), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2079) );
+  sky130_fd_sc_hd__nor2_1 U100171 ( .A(n82378), .B(n82297), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2080) );
+  sky130_fd_sc_hd__nor2_1 U100172 ( .A(n82379), .B(n82297), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2081) );
+  sky130_fd_sc_hd__nor2_1 U100173 ( .A(n82380), .B(n82297), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2082) );
+  sky130_fd_sc_hd__nor2_1 U100174 ( .A(n82381), .B(n82297), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2083) );
+  sky130_fd_sc_hd__nor2_1 U100175 ( .A(n82382), .B(n82297), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2084) );
+  sky130_fd_sc_hd__nor2_1 U100176 ( .A(n82383), .B(n82297), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2085) );
+  sky130_fd_sc_hd__nand2_1 U100177 ( .A(n82372), .B(n82297), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2077) );
+  sky130_fd_sc_hd__nor2_1 U100178 ( .A(n82386), .B(n82299), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2065) );
+  sky130_fd_sc_hd__nor2_1 U100179 ( .A(n82387), .B(n82299), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2066) );
+  sky130_fd_sc_hd__nor2_1 U100180 ( .A(n82388), .B(n82299), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2067) );
+  sky130_fd_sc_hd__nor2_1 U100181 ( .A(n82389), .B(n82299), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2068) );
+  sky130_fd_sc_hd__nor2_1 U100182 ( .A(n82390), .B(n82299), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2069) );
+  sky130_fd_sc_hd__nor2_1 U100183 ( .A(n82391), .B(n82299), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2070) );
+  sky130_fd_sc_hd__nor2_1 U100184 ( .A(n82392), .B(n82299), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2071) );
+  sky130_fd_sc_hd__nor2_1 U100185 ( .A(n82393), .B(n82299), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2072) );
+  sky130_fd_sc_hd__nand2_1 U100186 ( .A(n82372), .B(n82299), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2064) );
+  sky130_fd_sc_hd__nor2_1 U100187 ( .A(n82396), .B(n82300), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2052) );
+  sky130_fd_sc_hd__nor2_1 U100188 ( .A(n82397), .B(n82300), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2053) );
+  sky130_fd_sc_hd__nor2_1 U100189 ( .A(n82398), .B(n82300), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2054) );
+  sky130_fd_sc_hd__nor2_1 U100190 ( .A(n82399), .B(n82300), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2055) );
+  sky130_fd_sc_hd__nor2_1 U100191 ( .A(n82400), .B(n82300), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2056) );
+  sky130_fd_sc_hd__nor2_1 U100192 ( .A(n82401), .B(n82300), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2057) );
+  sky130_fd_sc_hd__nor2_1 U100193 ( .A(n82402), .B(n82300), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2058) );
+  sky130_fd_sc_hd__nor2_1 U100194 ( .A(n82403), .B(n82300), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2059) );
+  sky130_fd_sc_hd__nand2_1 U100195 ( .A(n82372), .B(n82300), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2051) );
+  sky130_fd_sc_hd__nor2_1 U100196 ( .A(n82421), .B(n82302), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2039) );
+  sky130_fd_sc_hd__nor2_1 U100197 ( .A(n82407), .B(n82302), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2040) );
+  sky130_fd_sc_hd__nor2_1 U100198 ( .A(n82408), .B(n82302), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2041) );
+  sky130_fd_sc_hd__nor2_1 U100199 ( .A(n82409), .B(n82302), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2042) );
+  sky130_fd_sc_hd__nor2_1 U100200 ( .A(n82410), .B(n82302), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2043) );
+  sky130_fd_sc_hd__nor2_1 U100201 ( .A(n82411), .B(n82302), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2044) );
+  sky130_fd_sc_hd__nor2_1 U100202 ( .A(n82412), .B(n82302), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2045) );
+  sky130_fd_sc_hd__nor2_1 U100203 ( .A(n82413), .B(n82302), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2046) );
+  sky130_fd_sc_hd__nand2_1 U100204 ( .A(n82372), .B(n82302), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2038) );
+  sky130_fd_sc_hd__nor2_1 U100205 ( .A(n82376), .B(n82304), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2026) );
+  sky130_fd_sc_hd__nor2_1 U100206 ( .A(n82377), .B(n82304), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2027) );
+  sky130_fd_sc_hd__nor2_1 U100207 ( .A(n82378), .B(n82304), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2028) );
+  sky130_fd_sc_hd__nor2_1 U100208 ( .A(n82379), .B(n82304), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2029) );
+  sky130_fd_sc_hd__nor2_1 U100209 ( .A(n82380), .B(n82304), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2030) );
+  sky130_fd_sc_hd__nor2_1 U100210 ( .A(n82381), .B(n82304), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2031) );
+  sky130_fd_sc_hd__nor2_1 U100211 ( .A(n82382), .B(n82304), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2032) );
+  sky130_fd_sc_hd__nor2_1 U100212 ( .A(n82383), .B(n82304), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2033) );
+  sky130_fd_sc_hd__nand2_1 U100213 ( .A(n82372), .B(n82304), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2025) );
+  sky130_fd_sc_hd__nor2_1 U100214 ( .A(n82386), .B(n82305), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2013) );
+  sky130_fd_sc_hd__nor2_1 U100215 ( .A(n82387), .B(n82305), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2014) );
+  sky130_fd_sc_hd__nor2_1 U100216 ( .A(n82388), .B(n82305), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2015) );
+  sky130_fd_sc_hd__nor2_1 U100217 ( .A(n82389), .B(n82305), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2016) );
+  sky130_fd_sc_hd__nor2_1 U100218 ( .A(n82390), .B(n82305), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2017) );
+  sky130_fd_sc_hd__nor2_1 U100219 ( .A(n82391), .B(n82305), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2018) );
+  sky130_fd_sc_hd__nor2_1 U100220 ( .A(n82392), .B(n82305), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2019) );
+  sky130_fd_sc_hd__nor2_1 U100221 ( .A(n82393), .B(n82305), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2020) );
+  sky130_fd_sc_hd__nand2_1 U100222 ( .A(n82372), .B(n82305), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2012) );
+  sky130_fd_sc_hd__nor2_1 U100223 ( .A(n82396), .B(n82306), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2000) );
+  sky130_fd_sc_hd__nor2_1 U100224 ( .A(n82397), .B(n82306), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2001) );
+  sky130_fd_sc_hd__nor2_1 U100225 ( .A(n82398), .B(n82306), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2002) );
+  sky130_fd_sc_hd__nor2_1 U100226 ( .A(n82399), .B(n82306), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2003) );
+  sky130_fd_sc_hd__nor2_1 U100227 ( .A(n82400), .B(n82306), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2004) );
+  sky130_fd_sc_hd__nor2_1 U100228 ( .A(n82401), .B(n82306), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2005) );
+  sky130_fd_sc_hd__nor2_1 U100229 ( .A(n82402), .B(n82306), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2006) );
+  sky130_fd_sc_hd__nor2_1 U100230 ( .A(n82403), .B(n82306), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N2007) );
+  sky130_fd_sc_hd__nand2_1 U100231 ( .A(n82372), .B(n82306), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1999) );
+  sky130_fd_sc_hd__nor2_1 U100232 ( .A(n82421), .B(n82308), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1987) );
+  sky130_fd_sc_hd__nor2_1 U100233 ( .A(n82407), .B(n82308), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1988) );
+  sky130_fd_sc_hd__nor2_1 U100234 ( .A(n82408), .B(n82308), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1989) );
+  sky130_fd_sc_hd__nor2_1 U100235 ( .A(n82409), .B(n82308), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1990) );
+  sky130_fd_sc_hd__nor2_1 U100236 ( .A(n82410), .B(n82308), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1991) );
+  sky130_fd_sc_hd__nor2_1 U100237 ( .A(n82411), .B(n82308), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1992) );
+  sky130_fd_sc_hd__nor2_1 U100238 ( .A(n82412), .B(n82308), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1993) );
+  sky130_fd_sc_hd__nor2_1 U100239 ( .A(n82413), .B(n82308), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1994) );
+  sky130_fd_sc_hd__nand2_1 U100240 ( .A(n82372), .B(n82308), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1986) );
+  sky130_fd_sc_hd__nor2_1 U100241 ( .A(n82376), .B(n82310), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1974) );
+  sky130_fd_sc_hd__nor2_1 U100242 ( .A(n82377), .B(n82310), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1975) );
+  sky130_fd_sc_hd__nor2_1 U100243 ( .A(n82378), .B(n82310), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1976) );
+  sky130_fd_sc_hd__nor2_1 U100244 ( .A(n82379), .B(n82310), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1977) );
+  sky130_fd_sc_hd__nor2_1 U100245 ( .A(n82380), .B(n82310), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1978) );
+  sky130_fd_sc_hd__nor2_1 U100246 ( .A(n82381), .B(n82310), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1979) );
+  sky130_fd_sc_hd__nor2_1 U100247 ( .A(n82382), .B(n82310), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1980) );
+  sky130_fd_sc_hd__nor2_1 U100248 ( .A(n82383), .B(n82310), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1981) );
+  sky130_fd_sc_hd__nand2_1 U100249 ( .A(n82372), .B(n82310), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1973) );
+  sky130_fd_sc_hd__nor2_1 U100250 ( .A(n82386), .B(n82311), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1961) );
+  sky130_fd_sc_hd__nor2_1 U100251 ( .A(n82387), .B(n82311), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1962) );
+  sky130_fd_sc_hd__nor2_1 U100252 ( .A(n82388), .B(n82311), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1963) );
+  sky130_fd_sc_hd__nor2_1 U100253 ( .A(n82389), .B(n82311), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1964) );
+  sky130_fd_sc_hd__nor2_1 U100254 ( .A(n82390), .B(n82311), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1965) );
+  sky130_fd_sc_hd__nor2_1 U100255 ( .A(n82391), .B(n82311), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1966) );
+  sky130_fd_sc_hd__nor2_1 U100256 ( .A(n82392), .B(n82311), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1967) );
+  sky130_fd_sc_hd__nor2_1 U100257 ( .A(n82393), .B(n82311), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1968) );
+  sky130_fd_sc_hd__nand2_1 U100258 ( .A(n82372), .B(n82311), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1960) );
+  sky130_fd_sc_hd__nor2_1 U100259 ( .A(n82396), .B(n82312), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1948) );
+  sky130_fd_sc_hd__nor2_1 U100260 ( .A(n82397), .B(n82312), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1949) );
+  sky130_fd_sc_hd__nor2_1 U100261 ( .A(n82398), .B(n82312), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1950) );
+  sky130_fd_sc_hd__nor2_1 U100262 ( .A(n82399), .B(n82312), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1951) );
+  sky130_fd_sc_hd__nor2_1 U100263 ( .A(n82400), .B(n82312), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1952) );
+  sky130_fd_sc_hd__nor2_1 U100264 ( .A(n82401), .B(n82312), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1953) );
+  sky130_fd_sc_hd__nor2_1 U100265 ( .A(n82402), .B(n82312), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1954) );
+  sky130_fd_sc_hd__nor2_1 U100266 ( .A(n82403), .B(n82312), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1955) );
+  sky130_fd_sc_hd__nand2_1 U100267 ( .A(n82372), .B(n82312), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1947) );
+  sky130_fd_sc_hd__nor2_1 U100268 ( .A(n82421), .B(n82314), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1935) );
+  sky130_fd_sc_hd__nor2_1 U100269 ( .A(n82407), .B(n82314), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1936) );
+  sky130_fd_sc_hd__nor2_1 U100270 ( .A(n82408), .B(n82314), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1937) );
+  sky130_fd_sc_hd__nor2_1 U100271 ( .A(n82409), .B(n82314), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1938) );
+  sky130_fd_sc_hd__nor2_1 U100272 ( .A(n82410), .B(n82314), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1939) );
+  sky130_fd_sc_hd__nor2_1 U100273 ( .A(n82411), .B(n82314), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1940) );
+  sky130_fd_sc_hd__nor2_1 U100274 ( .A(n82412), .B(n82314), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1941) );
+  sky130_fd_sc_hd__nor2_1 U100275 ( .A(n82413), .B(n82314), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1942) );
+  sky130_fd_sc_hd__nand2_1 U100276 ( .A(n82372), .B(n82314), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1934) );
+  sky130_fd_sc_hd__nor2_1 U100277 ( .A(n82376), .B(n82316), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1922) );
+  sky130_fd_sc_hd__nor2_1 U100278 ( .A(n82377), .B(n82316), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1923) );
+  sky130_fd_sc_hd__nor2_1 U100279 ( .A(n82378), .B(n82316), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1924) );
+  sky130_fd_sc_hd__nor2_1 U100280 ( .A(n82379), .B(n82316), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1925) );
+  sky130_fd_sc_hd__nor2_1 U100281 ( .A(n82380), .B(n82316), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1926) );
+  sky130_fd_sc_hd__nor2_1 U100282 ( .A(n82381), .B(n82316), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1927) );
+  sky130_fd_sc_hd__nor2_1 U100283 ( .A(n82382), .B(n82316), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1928) );
+  sky130_fd_sc_hd__nor2_1 U100284 ( .A(n82383), .B(n82316), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1929) );
+  sky130_fd_sc_hd__nand2_1 U100285 ( .A(n82372), .B(n82316), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1921) );
+  sky130_fd_sc_hd__nor2_1 U100286 ( .A(n82386), .B(n82317), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1909) );
+  sky130_fd_sc_hd__nor2_1 U100287 ( .A(n82387), .B(n82317), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1910) );
+  sky130_fd_sc_hd__nor2_1 U100288 ( .A(n82388), .B(n82317), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1911) );
+  sky130_fd_sc_hd__nor2_1 U100289 ( .A(n82389), .B(n82317), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1912) );
+  sky130_fd_sc_hd__nor2_1 U100290 ( .A(n82390), .B(n82317), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1913) );
+  sky130_fd_sc_hd__nor2_1 U100291 ( .A(n82391), .B(n82317), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1914) );
+  sky130_fd_sc_hd__nor2_1 U100292 ( .A(n82392), .B(n82317), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1915) );
+  sky130_fd_sc_hd__nor2_1 U100293 ( .A(n82393), .B(n82317), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1916) );
+  sky130_fd_sc_hd__nand2_1 U100294 ( .A(n82372), .B(n82317), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1908) );
+  sky130_fd_sc_hd__nor2_1 U100295 ( .A(n82396), .B(n82318), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1896) );
+  sky130_fd_sc_hd__nor2_1 U100296 ( .A(n82397), .B(n82318), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1897) );
+  sky130_fd_sc_hd__nor2_1 U100297 ( .A(n82398), .B(n82318), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1898) );
+  sky130_fd_sc_hd__nor2_1 U100298 ( .A(n82399), .B(n82318), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1899) );
+  sky130_fd_sc_hd__nor2_1 U100299 ( .A(n82400), .B(n82318), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1900) );
+  sky130_fd_sc_hd__nor2_1 U100300 ( .A(n82401), .B(n82318), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1901) );
+  sky130_fd_sc_hd__nor2_1 U100301 ( .A(n82402), .B(n82318), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1902) );
+  sky130_fd_sc_hd__nor2_1 U100302 ( .A(n82403), .B(n82318), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1903) );
+  sky130_fd_sc_hd__nand2_1 U100303 ( .A(n82372), .B(n82318), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1895) );
+  sky130_fd_sc_hd__nor2_1 U100304 ( .A(n82421), .B(n82320), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1883) );
+  sky130_fd_sc_hd__nor2_1 U100305 ( .A(n82407), .B(n82320), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1884) );
+  sky130_fd_sc_hd__nor2_1 U100306 ( .A(n82408), .B(n82320), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1885) );
+  sky130_fd_sc_hd__nor2_1 U100307 ( .A(n82409), .B(n82320), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1886) );
+  sky130_fd_sc_hd__nor2_1 U100308 ( .A(n82410), .B(n82320), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1887) );
+  sky130_fd_sc_hd__nor2_1 U100309 ( .A(n82411), .B(n82320), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1888) );
+  sky130_fd_sc_hd__nor2_1 U100310 ( .A(n82412), .B(n82320), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1889) );
+  sky130_fd_sc_hd__nor2_1 U100311 ( .A(n82413), .B(n82320), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1890) );
+  sky130_fd_sc_hd__nand2_1 U100312 ( .A(n82372), .B(n82320), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1882) );
+  sky130_fd_sc_hd__nor2_1 U100313 ( .A(n82376), .B(n82322), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1870) );
+  sky130_fd_sc_hd__nor2_1 U100314 ( .A(n82377), .B(n82322), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1871) );
+  sky130_fd_sc_hd__nor2_1 U100315 ( .A(n82378), .B(n82322), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1872) );
+  sky130_fd_sc_hd__nor2_1 U100316 ( .A(n82379), .B(n82322), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1873) );
+  sky130_fd_sc_hd__nor2_1 U100317 ( .A(n82380), .B(n82322), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1874) );
+  sky130_fd_sc_hd__nor2_1 U100318 ( .A(n82381), .B(n82322), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1875) );
+  sky130_fd_sc_hd__nor2_1 U100319 ( .A(n82382), .B(n82322), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1876) );
+  sky130_fd_sc_hd__nor2_1 U100320 ( .A(n82383), .B(n82322), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1877) );
+  sky130_fd_sc_hd__nand2_1 U100321 ( .A(n82372), .B(n82322), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1869) );
+  sky130_fd_sc_hd__nor2_1 U100322 ( .A(n82386), .B(n82323), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1857) );
+  sky130_fd_sc_hd__nor2_1 U100323 ( .A(n82387), .B(n82323), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1858) );
+  sky130_fd_sc_hd__nor2_1 U100324 ( .A(n82388), .B(n82323), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1859) );
+  sky130_fd_sc_hd__nor2_1 U100325 ( .A(n82389), .B(n82323), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1860) );
+  sky130_fd_sc_hd__nor2_1 U100326 ( .A(n82390), .B(n82323), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1861) );
+  sky130_fd_sc_hd__nor2_1 U100327 ( .A(n82391), .B(n82323), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1862) );
+  sky130_fd_sc_hd__nor2_1 U100328 ( .A(n82392), .B(n82323), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1863) );
+  sky130_fd_sc_hd__nor2_1 U100329 ( .A(n82393), .B(n82323), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1864) );
+  sky130_fd_sc_hd__nand2_1 U100330 ( .A(n82372), .B(n82323), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1856) );
+  sky130_fd_sc_hd__nor2_1 U100331 ( .A(n82396), .B(n82324), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1844) );
+  sky130_fd_sc_hd__nor2_1 U100332 ( .A(n82397), .B(n82324), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1845) );
+  sky130_fd_sc_hd__nor2_1 U100333 ( .A(n82398), .B(n82324), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1846) );
+  sky130_fd_sc_hd__nor2_1 U100334 ( .A(n82399), .B(n82324), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1847) );
+  sky130_fd_sc_hd__nor2_1 U100335 ( .A(n82400), .B(n82324), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1848) );
+  sky130_fd_sc_hd__nor2_1 U100336 ( .A(n82401), .B(n82324), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1849) );
+  sky130_fd_sc_hd__nor2_1 U100337 ( .A(n82402), .B(n82324), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1850) );
+  sky130_fd_sc_hd__nor2_1 U100338 ( .A(n82403), .B(n82324), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1851) );
+  sky130_fd_sc_hd__nand2_1 U100339 ( .A(n82372), .B(n82324), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1843) );
+  sky130_fd_sc_hd__nor2_1 U100340 ( .A(n82421), .B(n82326), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1831) );
+  sky130_fd_sc_hd__nor2_1 U100341 ( .A(n82407), .B(n82326), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1832) );
+  sky130_fd_sc_hd__nor2_1 U100342 ( .A(n82408), .B(n82326), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1833) );
+  sky130_fd_sc_hd__nor2_1 U100343 ( .A(n82409), .B(n82326), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1834) );
+  sky130_fd_sc_hd__nor2_1 U100344 ( .A(n82410), .B(n82326), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1835) );
+  sky130_fd_sc_hd__nor2_1 U100345 ( .A(n82411), .B(n82326), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1836) );
+  sky130_fd_sc_hd__nor2_1 U100346 ( .A(n82412), .B(n82326), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1837) );
+  sky130_fd_sc_hd__nor2_1 U100347 ( .A(n82413), .B(n82326), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1838) );
+  sky130_fd_sc_hd__nand2_1 U100348 ( .A(n82372), .B(n82326), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1830) );
+  sky130_fd_sc_hd__nor2_1 U100349 ( .A(n82376), .B(n82328), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1818) );
+  sky130_fd_sc_hd__nor2_1 U100350 ( .A(n82377), .B(n82328), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1819) );
+  sky130_fd_sc_hd__nor2_1 U100351 ( .A(n82378), .B(n82328), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1820) );
+  sky130_fd_sc_hd__nor2_1 U100352 ( .A(n82379), .B(n82328), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1821) );
+  sky130_fd_sc_hd__nor2_1 U100353 ( .A(n82380), .B(n82328), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1822) );
+  sky130_fd_sc_hd__nor2_1 U100354 ( .A(n82381), .B(n82328), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1823) );
+  sky130_fd_sc_hd__nor2_1 U100355 ( .A(n82382), .B(n82328), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1824) );
+  sky130_fd_sc_hd__nor2_1 U100356 ( .A(n82383), .B(n82328), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1825) );
+  sky130_fd_sc_hd__nand2_1 U100357 ( .A(n82372), .B(n82328), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1817) );
+  sky130_fd_sc_hd__nor2_1 U100358 ( .A(n82386), .B(n82329), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1805) );
+  sky130_fd_sc_hd__nor2_1 U100359 ( .A(n82387), .B(n82329), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1806) );
+  sky130_fd_sc_hd__nor2_1 U100360 ( .A(n82388), .B(n82329), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1807) );
+  sky130_fd_sc_hd__nor2_1 U100361 ( .A(n82389), .B(n82329), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1808) );
+  sky130_fd_sc_hd__nor2_1 U100362 ( .A(n82390), .B(n82329), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1809) );
+  sky130_fd_sc_hd__nor2_1 U100363 ( .A(n82391), .B(n82329), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1810) );
+  sky130_fd_sc_hd__nor2_1 U100364 ( .A(n82392), .B(n82329), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1811) );
+  sky130_fd_sc_hd__nor2_1 U100365 ( .A(n82393), .B(n82329), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1812) );
+  sky130_fd_sc_hd__nand2_1 U100366 ( .A(n82372), .B(n82329), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1804) );
+  sky130_fd_sc_hd__nor2_1 U100367 ( .A(n82396), .B(n82330), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1792) );
+  sky130_fd_sc_hd__nor2_1 U100368 ( .A(n82397), .B(n82330), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1793) );
+  sky130_fd_sc_hd__nor2_1 U100369 ( .A(n82398), .B(n82330), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1794) );
+  sky130_fd_sc_hd__nor2_1 U100370 ( .A(n82399), .B(n82330), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1795) );
+  sky130_fd_sc_hd__nor2_1 U100371 ( .A(n82400), .B(n82330), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1796) );
+  sky130_fd_sc_hd__nor2_1 U100372 ( .A(n82401), .B(n82330), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1797) );
+  sky130_fd_sc_hd__nor2_1 U100373 ( .A(n82402), .B(n82330), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1798) );
+  sky130_fd_sc_hd__nor2_1 U100374 ( .A(n82403), .B(n82330), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1799) );
+  sky130_fd_sc_hd__nand2_1 U100375 ( .A(n82372), .B(n82330), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1791) );
+  sky130_fd_sc_hd__nor2_1 U100376 ( .A(n82421), .B(n82332), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1779) );
+  sky130_fd_sc_hd__nor2_1 U100377 ( .A(n82407), .B(n82332), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1780) );
+  sky130_fd_sc_hd__nor2_1 U100378 ( .A(n82408), .B(n82332), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1781) );
+  sky130_fd_sc_hd__nor2_1 U100379 ( .A(n82409), .B(n82332), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1782) );
+  sky130_fd_sc_hd__nor2_1 U100380 ( .A(n82410), .B(n82332), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1783) );
+  sky130_fd_sc_hd__nor2_1 U100381 ( .A(n82411), .B(n82332), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1784) );
+  sky130_fd_sc_hd__nor2_1 U100382 ( .A(n82412), .B(n82332), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1785) );
+  sky130_fd_sc_hd__nor2_1 U100383 ( .A(n82413), .B(n82332), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1786) );
+  sky130_fd_sc_hd__nand2_1 U100384 ( .A(n82372), .B(n82332), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1778) );
+  sky130_fd_sc_hd__nor2_1 U100385 ( .A(n82376), .B(n82334), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1766) );
+  sky130_fd_sc_hd__nor2_1 U100386 ( .A(n82377), .B(n82334), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1767) );
+  sky130_fd_sc_hd__nor2_1 U100387 ( .A(n82378), .B(n82334), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1768) );
+  sky130_fd_sc_hd__nor2_1 U100388 ( .A(n82379), .B(n82334), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1769) );
+  sky130_fd_sc_hd__nor2_1 U100389 ( .A(n82380), .B(n82334), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1770) );
+  sky130_fd_sc_hd__nor2_1 U100390 ( .A(n82381), .B(n82334), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1771) );
+  sky130_fd_sc_hd__nor2_1 U100391 ( .A(n82382), .B(n82334), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1772) );
+  sky130_fd_sc_hd__nor2_1 U100392 ( .A(n82383), .B(n82334), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1773) );
+  sky130_fd_sc_hd__nand2_1 U100393 ( .A(n82372), .B(n82334), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1765) );
+  sky130_fd_sc_hd__nor2_1 U100394 ( .A(n82386), .B(n82335), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1753) );
+  sky130_fd_sc_hd__nor2_1 U100395 ( .A(n82387), .B(n82335), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1754) );
+  sky130_fd_sc_hd__nor2_1 U100396 ( .A(n82388), .B(n82335), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1755) );
+  sky130_fd_sc_hd__nor2_1 U100397 ( .A(n82389), .B(n82335), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1756) );
+  sky130_fd_sc_hd__nor2_1 U100398 ( .A(n82390), .B(n82335), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1757) );
+  sky130_fd_sc_hd__nor2_1 U100399 ( .A(n82391), .B(n82335), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1758) );
+  sky130_fd_sc_hd__nor2_1 U100400 ( .A(n82392), .B(n82335), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1759) );
+  sky130_fd_sc_hd__nor2_1 U100401 ( .A(n82393), .B(n82335), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1760) );
+  sky130_fd_sc_hd__nand2_1 U100402 ( .A(n82372), .B(n82335), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1752) );
+  sky130_fd_sc_hd__nor2_1 U100403 ( .A(n82396), .B(n82336), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1740) );
+  sky130_fd_sc_hd__nor2_1 U100404 ( .A(n82397), .B(n82336), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1741) );
+  sky130_fd_sc_hd__nor2_1 U100405 ( .A(n82398), .B(n82336), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1742) );
+  sky130_fd_sc_hd__nor2_1 U100406 ( .A(n82399), .B(n82336), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1743) );
+  sky130_fd_sc_hd__nor2_1 U100407 ( .A(n82400), .B(n82336), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1744) );
+  sky130_fd_sc_hd__nor2_1 U100408 ( .A(n82401), .B(n82336), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1745) );
+  sky130_fd_sc_hd__nor2_1 U100409 ( .A(n82402), .B(n82336), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1746) );
+  sky130_fd_sc_hd__nor2_1 U100410 ( .A(n82403), .B(n82336), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1747) );
+  sky130_fd_sc_hd__nand2_1 U100411 ( .A(n82372), .B(n82336), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1739) );
+  sky130_fd_sc_hd__nor2_1 U100412 ( .A(n82421), .B(n82338), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1727) );
+  sky130_fd_sc_hd__nor2_1 U100413 ( .A(n82407), .B(n82338), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1728) );
+  sky130_fd_sc_hd__nor2_1 U100414 ( .A(n82408), .B(n82338), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1729) );
+  sky130_fd_sc_hd__nor2_1 U100415 ( .A(n82409), .B(n82338), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1730) );
+  sky130_fd_sc_hd__nor2_1 U100416 ( .A(n82410), .B(n82338), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1731) );
+  sky130_fd_sc_hd__nor2_1 U100417 ( .A(n82411), .B(n82338), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1732) );
+  sky130_fd_sc_hd__nor2_1 U100418 ( .A(n82412), .B(n82338), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1733) );
+  sky130_fd_sc_hd__nor2_1 U100419 ( .A(n82413), .B(n82338), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1734) );
+  sky130_fd_sc_hd__nand2_1 U100420 ( .A(n82372), .B(n82338), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1726) );
+  sky130_fd_sc_hd__nor2_1 U100421 ( .A(n82376), .B(n82340), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1714) );
+  sky130_fd_sc_hd__nor2_1 U100422 ( .A(n82377), .B(n82340), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1715) );
+  sky130_fd_sc_hd__nor2_1 U100423 ( .A(n82378), .B(n82340), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1716) );
+  sky130_fd_sc_hd__nor2_1 U100424 ( .A(n82379), .B(n82340), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1717) );
+  sky130_fd_sc_hd__nor2_1 U100425 ( .A(n82380), .B(n82340), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1718) );
+  sky130_fd_sc_hd__nor2_1 U100426 ( .A(n82381), .B(n82340), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1719) );
+  sky130_fd_sc_hd__nor2_1 U100427 ( .A(n82382), .B(n82340), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1720) );
+  sky130_fd_sc_hd__nor2_1 U100428 ( .A(n82383), .B(n82340), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1721) );
+  sky130_fd_sc_hd__nand2_1 U100429 ( .A(n82372), .B(n82340), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1713) );
+  sky130_fd_sc_hd__nor2_1 U100430 ( .A(n82386), .B(n82341), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1701) );
+  sky130_fd_sc_hd__nor2_1 U100431 ( .A(n82387), .B(n82341), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1702) );
+  sky130_fd_sc_hd__nor2_1 U100432 ( .A(n82388), .B(n82341), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1703) );
+  sky130_fd_sc_hd__nor2_1 U100433 ( .A(n82389), .B(n82341), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1704) );
+  sky130_fd_sc_hd__nor2_1 U100434 ( .A(n82390), .B(n82341), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1705) );
+  sky130_fd_sc_hd__nor2_1 U100435 ( .A(n82391), .B(n82341), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1706) );
+  sky130_fd_sc_hd__nor2_1 U100436 ( .A(n82392), .B(n82341), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1707) );
+  sky130_fd_sc_hd__nor2_1 U100437 ( .A(n82393), .B(n82341), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1708) );
+  sky130_fd_sc_hd__nand2_1 U100438 ( .A(n82372), .B(n82341), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1700) );
+  sky130_fd_sc_hd__nor2_1 U100439 ( .A(n82396), .B(n82342), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1688) );
+  sky130_fd_sc_hd__nor2_1 U100440 ( .A(n82397), .B(n82342), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1689) );
+  sky130_fd_sc_hd__nor2_1 U100441 ( .A(n82398), .B(n82342), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1690) );
+  sky130_fd_sc_hd__nor2_1 U100442 ( .A(n82399), .B(n82342), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1691) );
+  sky130_fd_sc_hd__nor2_1 U100443 ( .A(n82400), .B(n82342), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1692) );
+  sky130_fd_sc_hd__nor2_1 U100444 ( .A(n82401), .B(n82342), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1693) );
+  sky130_fd_sc_hd__nor2_1 U100445 ( .A(n82402), .B(n82342), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1694) );
+  sky130_fd_sc_hd__nor2_1 U100446 ( .A(n82403), .B(n82342), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1695) );
+  sky130_fd_sc_hd__nand2_1 U100447 ( .A(n82372), .B(n82342), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1687) );
+  sky130_fd_sc_hd__nor2_1 U100448 ( .A(n82421), .B(n82344), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1675) );
+  sky130_fd_sc_hd__nor2_1 U100449 ( .A(n82407), .B(n82344), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1676) );
+  sky130_fd_sc_hd__nor2_1 U100450 ( .A(n82408), .B(n82344), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1677) );
+  sky130_fd_sc_hd__nor2_1 U100451 ( .A(n82409), .B(n82344), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1678) );
+  sky130_fd_sc_hd__nor2_1 U100452 ( .A(n82410), .B(n82344), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1679) );
+  sky130_fd_sc_hd__nor2_1 U100453 ( .A(n82411), .B(n82344), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1680) );
+  sky130_fd_sc_hd__nor2_1 U100454 ( .A(n82412), .B(n82344), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1681) );
+  sky130_fd_sc_hd__nor2_1 U100455 ( .A(n82413), .B(n82344), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1682) );
+  sky130_fd_sc_hd__nand2_1 U100456 ( .A(n82372), .B(n82344), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1674) );
+  sky130_fd_sc_hd__nor2_1 U100457 ( .A(n82376), .B(n82345), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1662) );
+  sky130_fd_sc_hd__nor2_1 U100458 ( .A(n82377), .B(n82345), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1663) );
+  sky130_fd_sc_hd__nor2_1 U100459 ( .A(n82378), .B(n82345), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1664) );
+  sky130_fd_sc_hd__nor2_1 U100460 ( .A(n82379), .B(n82345), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1665) );
+  sky130_fd_sc_hd__nor2_1 U100461 ( .A(n82380), .B(n82345), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1666) );
+  sky130_fd_sc_hd__nor2_1 U100462 ( .A(n82381), .B(n82345), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1667) );
+  sky130_fd_sc_hd__nor2_1 U100463 ( .A(n82382), .B(n82345), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1668) );
+  sky130_fd_sc_hd__nor2_1 U100464 ( .A(n82383), .B(n82345), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1669) );
+  sky130_fd_sc_hd__nand2_1 U100465 ( .A(n82372), .B(n82345), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1661) );
+  sky130_fd_sc_hd__nor2_1 U100466 ( .A(n82386), .B(n82346), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1649) );
+  sky130_fd_sc_hd__nor2_1 U100467 ( .A(n82387), .B(n82346), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1650) );
+  sky130_fd_sc_hd__nor2_1 U100468 ( .A(n82388), .B(n82346), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1651) );
+  sky130_fd_sc_hd__nor2_1 U100469 ( .A(n82389), .B(n82346), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1652) );
+  sky130_fd_sc_hd__nor2_1 U100470 ( .A(n82390), .B(n82346), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1653) );
+  sky130_fd_sc_hd__nor2_1 U100471 ( .A(n82391), .B(n82346), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1654) );
+  sky130_fd_sc_hd__nor2_1 U100472 ( .A(n82392), .B(n82346), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1655) );
+  sky130_fd_sc_hd__nor2_1 U100473 ( .A(n82393), .B(n82346), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1656) );
+  sky130_fd_sc_hd__nand2_1 U100474 ( .A(n82372), .B(n82346), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1648) );
+  sky130_fd_sc_hd__nor2_1 U100475 ( .A(n82396), .B(n82347), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1636) );
+  sky130_fd_sc_hd__nor2_1 U100476 ( .A(n82397), .B(n82347), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1637) );
+  sky130_fd_sc_hd__nor2_1 U100477 ( .A(n82398), .B(n82347), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1638) );
+  sky130_fd_sc_hd__nor2_1 U100478 ( .A(n82399), .B(n82347), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1639) );
+  sky130_fd_sc_hd__nor2_1 U100479 ( .A(n82400), .B(n82347), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1640) );
+  sky130_fd_sc_hd__nor2_1 U100480 ( .A(n82401), .B(n82347), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1641) );
+  sky130_fd_sc_hd__nor2_1 U100481 ( .A(n82402), .B(n82347), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1642) );
+  sky130_fd_sc_hd__nor2_1 U100482 ( .A(n82403), .B(n82347), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1643) );
+  sky130_fd_sc_hd__nand2_1 U100483 ( .A(n82372), .B(n82347), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1635) );
+  sky130_fd_sc_hd__nor2_1 U100484 ( .A(n82421), .B(n82349), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1623) );
+  sky130_fd_sc_hd__nor2_1 U100485 ( .A(n82407), .B(n82349), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1624) );
+  sky130_fd_sc_hd__nor2_1 U100486 ( .A(n82408), .B(n82349), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1625) );
+  sky130_fd_sc_hd__nor2_1 U100487 ( .A(n82409), .B(n82349), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1626) );
+  sky130_fd_sc_hd__nor2_1 U100488 ( .A(n82410), .B(n82349), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1627) );
+  sky130_fd_sc_hd__nor2_1 U100489 ( .A(n82411), .B(n82349), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1628) );
+  sky130_fd_sc_hd__nor2_1 U100490 ( .A(n82412), .B(n82349), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1629) );
+  sky130_fd_sc_hd__nor2_1 U100491 ( .A(n82413), .B(n82349), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1630) );
+  sky130_fd_sc_hd__nand2_1 U100492 ( .A(n82372), .B(n82349), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1622) );
+  sky130_fd_sc_hd__nor2_1 U100493 ( .A(n82376), .B(n82351), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1610) );
+  sky130_fd_sc_hd__nor2_1 U100494 ( .A(n82377), .B(n82351), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1611) );
+  sky130_fd_sc_hd__nor2_1 U100495 ( .A(n82378), .B(n82351), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1612) );
+  sky130_fd_sc_hd__nor2_1 U100496 ( .A(n82379), .B(n82351), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1613) );
+  sky130_fd_sc_hd__nor2_1 U100497 ( .A(n82380), .B(n82351), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1614) );
+  sky130_fd_sc_hd__nor2_1 U100498 ( .A(n82381), .B(n82351), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1615) );
+  sky130_fd_sc_hd__nor2_1 U100499 ( .A(n82382), .B(n82351), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1616) );
+  sky130_fd_sc_hd__nor2_1 U100500 ( .A(n82383), .B(n82351), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1617) );
+  sky130_fd_sc_hd__nand2_1 U100501 ( .A(n82372), .B(n82351), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1609) );
+  sky130_fd_sc_hd__nor2_1 U100502 ( .A(n82386), .B(n82352), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1597) );
+  sky130_fd_sc_hd__nor2_1 U100503 ( .A(n82387), .B(n82352), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1598) );
+  sky130_fd_sc_hd__nor2_1 U100504 ( .A(n82388), .B(n82352), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1599) );
+  sky130_fd_sc_hd__nor2_1 U100505 ( .A(n82389), .B(n82352), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1600) );
+  sky130_fd_sc_hd__nor2_1 U100506 ( .A(n82390), .B(n82352), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1601) );
+  sky130_fd_sc_hd__nor2_1 U100507 ( .A(n82391), .B(n82352), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1602) );
+  sky130_fd_sc_hd__nor2_1 U100508 ( .A(n82392), .B(n82352), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1603) );
+  sky130_fd_sc_hd__nor2_1 U100509 ( .A(n82393), .B(n82352), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1604) );
+  sky130_fd_sc_hd__nand2_1 U100510 ( .A(n82372), .B(n82352), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1596) );
+  sky130_fd_sc_hd__nor2_1 U100511 ( .A(n82396), .B(n82353), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1584) );
+  sky130_fd_sc_hd__nor2_1 U100512 ( .A(n82397), .B(n82353), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1585) );
+  sky130_fd_sc_hd__nor2_1 U100513 ( .A(n82398), .B(n82353), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1586) );
+  sky130_fd_sc_hd__nor2_1 U100514 ( .A(n82399), .B(n82353), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1587) );
+  sky130_fd_sc_hd__nor2_1 U100515 ( .A(n82400), .B(n82353), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1588) );
+  sky130_fd_sc_hd__nor2_1 U100516 ( .A(n82401), .B(n82353), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1589) );
+  sky130_fd_sc_hd__nor2_1 U100517 ( .A(n82402), .B(n82353), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1590) );
+  sky130_fd_sc_hd__nor2_1 U100518 ( .A(n82403), .B(n82353), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1591) );
+  sky130_fd_sc_hd__nand2_1 U100519 ( .A(n82372), .B(n82353), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1583) );
+  sky130_fd_sc_hd__nor2_1 U100520 ( .A(n82421), .B(n82355), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1571) );
+  sky130_fd_sc_hd__nor2_1 U100521 ( .A(n82407), .B(n82355), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1572) );
+  sky130_fd_sc_hd__nor2_1 U100522 ( .A(n82408), .B(n82355), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1573) );
+  sky130_fd_sc_hd__nor2_1 U100523 ( .A(n82409), .B(n82355), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1574) );
+  sky130_fd_sc_hd__nor2_1 U100524 ( .A(n82410), .B(n82355), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1575) );
+  sky130_fd_sc_hd__nor2_1 U100525 ( .A(n82411), .B(n82355), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1576) );
+  sky130_fd_sc_hd__nor2_1 U100526 ( .A(n82412), .B(n82355), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1577) );
+  sky130_fd_sc_hd__nor2_1 U100527 ( .A(n82413), .B(n82355), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1578) );
+  sky130_fd_sc_hd__nand2_1 U100528 ( .A(n82372), .B(n82355), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1570) );
+  sky130_fd_sc_hd__nor2b_1 U100529 ( .B_N(n38401), .A(n82373), .Y(n82359) );
+  sky130_fd_sc_hd__nand2_1 U100530 ( .A(n82375), .B(n82359), .Y(n82356) );
+  sky130_fd_sc_hd__nor2_1 U100531 ( .A(n82376), .B(n82356), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1558) );
+  sky130_fd_sc_hd__nor2_1 U100532 ( .A(n82377), .B(n82356), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1559) );
+  sky130_fd_sc_hd__nor2_1 U100533 ( .A(n82378), .B(n82356), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1560) );
+  sky130_fd_sc_hd__nor2_1 U100534 ( .A(n82379), .B(n82356), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1561) );
+  sky130_fd_sc_hd__nor2_1 U100535 ( .A(n82380), .B(n82356), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1562) );
+  sky130_fd_sc_hd__nor2_1 U100536 ( .A(n82381), .B(n82356), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1563) );
+  sky130_fd_sc_hd__nor2_1 U100537 ( .A(n82382), .B(n82356), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1564) );
+  sky130_fd_sc_hd__nor2_1 U100538 ( .A(n82383), .B(n82356), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1565) );
+  sky130_fd_sc_hd__nand2_1 U100539 ( .A(n82372), .B(n82356), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1557) );
+  sky130_fd_sc_hd__nand2_1 U100540 ( .A(n82385), .B(n82359), .Y(n82357) );
+  sky130_fd_sc_hd__nor2_1 U100541 ( .A(n82386), .B(n82357), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1545) );
+  sky130_fd_sc_hd__nor2_1 U100542 ( .A(n82387), .B(n82357), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1546) );
+  sky130_fd_sc_hd__nor2_1 U100543 ( .A(n82388), .B(n82357), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1547) );
+  sky130_fd_sc_hd__nor2_1 U100544 ( .A(n82389), .B(n82357), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1548) );
+  sky130_fd_sc_hd__nor2_1 U100545 ( .A(n82390), .B(n82357), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1549) );
+  sky130_fd_sc_hd__nor2_1 U100546 ( .A(n82391), .B(n82357), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1550) );
+  sky130_fd_sc_hd__nor2_1 U100547 ( .A(n82392), .B(n82357), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1551) );
+  sky130_fd_sc_hd__nor2_1 U100548 ( .A(n82393), .B(n82357), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1552) );
+  sky130_fd_sc_hd__nand2_1 U100549 ( .A(n82372), .B(n82357), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1544) );
+  sky130_fd_sc_hd__nand2_1 U100550 ( .A(n82395), .B(n82359), .Y(n82358) );
+  sky130_fd_sc_hd__nor2_1 U100551 ( .A(n82396), .B(n82358), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1532) );
+  sky130_fd_sc_hd__nor2_1 U100552 ( .A(n82397), .B(n82358), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1533) );
+  sky130_fd_sc_hd__nor2_1 U100553 ( .A(n82398), .B(n82358), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1534) );
+  sky130_fd_sc_hd__nor2_1 U100554 ( .A(n82399), .B(n82358), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1535) );
+  sky130_fd_sc_hd__nor2_1 U100555 ( .A(n82400), .B(n82358), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1536) );
+  sky130_fd_sc_hd__nor2_1 U100556 ( .A(n82401), .B(n82358), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1537) );
+  sky130_fd_sc_hd__nor2_1 U100557 ( .A(n82402), .B(n82358), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1538) );
+  sky130_fd_sc_hd__nor2_1 U100558 ( .A(n82403), .B(n82358), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1539) );
+  sky130_fd_sc_hd__nand2_1 U100559 ( .A(n82372), .B(n82358), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1531) );
+  sky130_fd_sc_hd__nand2_1 U100560 ( .A(n82406), .B(n82359), .Y(n82360) );
+  sky130_fd_sc_hd__nor2_1 U100561 ( .A(n82421), .B(n82360), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1519) );
+  sky130_fd_sc_hd__nor2_1 U100562 ( .A(n82407), .B(n82360), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1520) );
+  sky130_fd_sc_hd__nor2_1 U100563 ( .A(n82408), .B(n82360), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1521) );
+  sky130_fd_sc_hd__nor2_1 U100564 ( .A(n82409), .B(n82360), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1522) );
+  sky130_fd_sc_hd__nor2_1 U100565 ( .A(n82410), .B(n82360), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1523) );
+  sky130_fd_sc_hd__nor2_1 U100566 ( .A(n82411), .B(n82360), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1524) );
+  sky130_fd_sc_hd__nor2_1 U100567 ( .A(n82412), .B(n82360), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1525) );
+  sky130_fd_sc_hd__nor2_1 U100568 ( .A(n82413), .B(n82360), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1526) );
+  sky130_fd_sc_hd__nand2_1 U100569 ( .A(n82372), .B(n82360), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1518) );
+  sky130_fd_sc_hd__nor2_1 U100570 ( .A(n82376), .B(n82362), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1506) );
+  sky130_fd_sc_hd__nor2_1 U100571 ( .A(n82377), .B(n82362), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1507) );
+  sky130_fd_sc_hd__nor2_1 U100572 ( .A(n82378), .B(n82362), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1508) );
+  sky130_fd_sc_hd__nor2_1 U100573 ( .A(n82379), .B(n82362), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1509) );
+  sky130_fd_sc_hd__nor2_1 U100574 ( .A(n82380), .B(n82362), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1510) );
+  sky130_fd_sc_hd__nor2_1 U100575 ( .A(n82381), .B(n82362), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1511) );
+  sky130_fd_sc_hd__nor2_1 U100576 ( .A(n82382), .B(n82362), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1512) );
+  sky130_fd_sc_hd__nor2_1 U100577 ( .A(n82383), .B(n82362), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1513) );
+  sky130_fd_sc_hd__nand2_1 U100578 ( .A(n82372), .B(n82362), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1505) );
+  sky130_fd_sc_hd__nor2_1 U100579 ( .A(n82386), .B(n82363), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1493) );
+  sky130_fd_sc_hd__nor2_1 U100580 ( .A(n82387), .B(n82363), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1494) );
+  sky130_fd_sc_hd__nor2_1 U100581 ( .A(n82388), .B(n82363), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1495) );
+  sky130_fd_sc_hd__nor2_1 U100582 ( .A(n82389), .B(n82363), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1496) );
+  sky130_fd_sc_hd__nor2_1 U100583 ( .A(n82390), .B(n82363), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1497) );
+  sky130_fd_sc_hd__nor2_1 U100584 ( .A(n82391), .B(n82363), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1498) );
+  sky130_fd_sc_hd__nor2_1 U100585 ( .A(n82392), .B(n82363), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1499) );
+  sky130_fd_sc_hd__nor2_1 U100586 ( .A(n82393), .B(n82363), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1500) );
+  sky130_fd_sc_hd__nand2_1 U100587 ( .A(n82372), .B(n82363), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1492) );
+  sky130_fd_sc_hd__nor2_1 U100588 ( .A(n82396), .B(n82364), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1480) );
+  sky130_fd_sc_hd__nor2_1 U100589 ( .A(n82397), .B(n82364), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1481) );
+  sky130_fd_sc_hd__nor2_1 U100590 ( .A(n82398), .B(n82364), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1482) );
+  sky130_fd_sc_hd__nor2_1 U100591 ( .A(n82399), .B(n82364), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1483) );
+  sky130_fd_sc_hd__nor2_1 U100592 ( .A(n82400), .B(n82364), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1484) );
+  sky130_fd_sc_hd__nor2_1 U100593 ( .A(n82401), .B(n82364), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1485) );
+  sky130_fd_sc_hd__nor2_1 U100594 ( .A(n82402), .B(n82364), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1486) );
+  sky130_fd_sc_hd__nor2_1 U100595 ( .A(n82403), .B(n82364), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1487) );
+  sky130_fd_sc_hd__nand2_1 U100596 ( .A(n82372), .B(n82364), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1479) );
+  sky130_fd_sc_hd__nor2_1 U100597 ( .A(n82421), .B(n82366), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1467) );
+  sky130_fd_sc_hd__nor2_1 U100598 ( .A(n82407), .B(n82366), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1468) );
+  sky130_fd_sc_hd__nor2_1 U100599 ( .A(n82408), .B(n82366), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1469) );
+  sky130_fd_sc_hd__nor2_1 U100600 ( .A(n82409), .B(n82366), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1470) );
+  sky130_fd_sc_hd__nor2_1 U100601 ( .A(n82410), .B(n82366), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1471) );
+  sky130_fd_sc_hd__nor2_1 U100602 ( .A(n82411), .B(n82366), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1472) );
+  sky130_fd_sc_hd__nor2_1 U100603 ( .A(n82412), .B(n82366), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1473) );
+  sky130_fd_sc_hd__nor2_1 U100604 ( .A(n82413), .B(n82366), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1474) );
+  sky130_fd_sc_hd__nand2_1 U100605 ( .A(n82372), .B(n82366), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1466) );
+  sky130_fd_sc_hd__nor2_1 U100606 ( .A(n82376), .B(n82367), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1454) );
+  sky130_fd_sc_hd__nor2_1 U100607 ( .A(n82377), .B(n82367), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1455) );
+  sky130_fd_sc_hd__nor2_1 U100608 ( .A(n82378), .B(n82367), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1456) );
+  sky130_fd_sc_hd__nor2_1 U100609 ( .A(n82379), .B(n82367), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1457) );
+  sky130_fd_sc_hd__nor2_1 U100610 ( .A(n82380), .B(n82367), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1458) );
+  sky130_fd_sc_hd__nor2_1 U100611 ( .A(n82381), .B(n82367), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1459) );
+  sky130_fd_sc_hd__nor2_1 U100612 ( .A(n82382), .B(n82367), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1460) );
+  sky130_fd_sc_hd__nor2_1 U100613 ( .A(n82383), .B(n82367), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1461) );
+  sky130_fd_sc_hd__nand2_1 U100614 ( .A(n82372), .B(n82367), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1453) );
+  sky130_fd_sc_hd__nor2_1 U100615 ( .A(n82386), .B(n82368), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1441) );
+  sky130_fd_sc_hd__nor2_1 U100616 ( .A(n82387), .B(n82368), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1442) );
+  sky130_fd_sc_hd__nor2_1 U100617 ( .A(n82388), .B(n82368), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1443) );
+  sky130_fd_sc_hd__nor2_1 U100618 ( .A(n82389), .B(n82368), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1444) );
+  sky130_fd_sc_hd__nor2_1 U100619 ( .A(n82390), .B(n82368), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1445) );
+  sky130_fd_sc_hd__nor2_1 U100620 ( .A(n82391), .B(n82368), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1446) );
+  sky130_fd_sc_hd__nor2_1 U100621 ( .A(n82392), .B(n82368), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1447) );
+  sky130_fd_sc_hd__nor2_1 U100622 ( .A(n82393), .B(n82368), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1448) );
+  sky130_fd_sc_hd__nand2_1 U100623 ( .A(n82372), .B(n82368), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1440) );
+  sky130_fd_sc_hd__nor2_1 U100624 ( .A(n82396), .B(n82369), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1428) );
+  sky130_fd_sc_hd__nor2_1 U100625 ( .A(n82397), .B(n82369), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1429) );
+  sky130_fd_sc_hd__nor2_1 U100626 ( .A(n82398), .B(n82369), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1430) );
+  sky130_fd_sc_hd__nor2_1 U100627 ( .A(n82399), .B(n82369), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1431) );
+  sky130_fd_sc_hd__nor2_1 U100628 ( .A(n82400), .B(n82369), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1432) );
+  sky130_fd_sc_hd__nor2_1 U100629 ( .A(n82401), .B(n82369), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1433) );
+  sky130_fd_sc_hd__nor2_1 U100630 ( .A(n82402), .B(n82369), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1434) );
+  sky130_fd_sc_hd__nor2_1 U100631 ( .A(n82403), .B(n82369), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1435) );
+  sky130_fd_sc_hd__nand2_1 U100632 ( .A(n82372), .B(n82369), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1427) );
+  sky130_fd_sc_hd__nor2_1 U100633 ( .A(n82421), .B(n82371), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1415) );
+  sky130_fd_sc_hd__nor2_1 U100634 ( .A(n82407), .B(n82371), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1416) );
+  sky130_fd_sc_hd__nor2_1 U100635 ( .A(n82408), .B(n82371), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1417) );
+  sky130_fd_sc_hd__nor2_1 U100636 ( .A(n82409), .B(n82371), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1418) );
+  sky130_fd_sc_hd__nor2_1 U100637 ( .A(n82410), .B(n82371), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1419) );
+  sky130_fd_sc_hd__nor2_1 U100638 ( .A(n82411), .B(n82371), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1420) );
+  sky130_fd_sc_hd__nor2_1 U100639 ( .A(n82412), .B(n82371), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1421) );
+  sky130_fd_sc_hd__nor2_1 U100640 ( .A(n82413), .B(n82371), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1422) );
+  sky130_fd_sc_hd__nand2_1 U100641 ( .A(n82372), .B(n82371), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1414) );
+  sky130_fd_sc_hd__nor2_1 U100642 ( .A(n82374), .B(n82373), .Y(n82405) );
+  sky130_fd_sc_hd__nand2_1 U100643 ( .A(n82375), .B(n82405), .Y(n82384) );
+  sky130_fd_sc_hd__nor2_1 U100644 ( .A(n82376), .B(n82384), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1402) );
+  sky130_fd_sc_hd__nor2_1 U100645 ( .A(n82377), .B(n82384), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1403) );
+  sky130_fd_sc_hd__nor2_1 U100646 ( .A(n82378), .B(n82384), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1404) );
+  sky130_fd_sc_hd__nor2_1 U100647 ( .A(n82379), .B(n82384), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1405) );
+  sky130_fd_sc_hd__nor2_1 U100648 ( .A(n82380), .B(n82384), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1406) );
+  sky130_fd_sc_hd__nor2_1 U100649 ( .A(n82381), .B(n82384), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1407) );
+  sky130_fd_sc_hd__nor2_1 U100650 ( .A(n82382), .B(n82384), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1408) );
+  sky130_fd_sc_hd__nor2_1 U100651 ( .A(n82383), .B(n82384), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1409) );
+  sky130_fd_sc_hd__nand2_1 U100652 ( .A(n82372), .B(n82384), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1401) );
+  sky130_fd_sc_hd__nand2_1 U100653 ( .A(n82385), .B(n82405), .Y(n82394) );
+  sky130_fd_sc_hd__nor2_1 U100654 ( .A(n82386), .B(n82394), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1389) );
+  sky130_fd_sc_hd__nor2_1 U100655 ( .A(n82387), .B(n82394), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1390) );
+  sky130_fd_sc_hd__nor2_1 U100656 ( .A(n82388), .B(n82394), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1391) );
+  sky130_fd_sc_hd__nor2_1 U100657 ( .A(n82389), .B(n82394), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1392) );
+  sky130_fd_sc_hd__nor2_1 U100658 ( .A(n82390), .B(n82394), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1393) );
+  sky130_fd_sc_hd__nor2_1 U100659 ( .A(n82391), .B(n82394), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1394) );
+  sky130_fd_sc_hd__nor2_1 U100660 ( .A(n82392), .B(n82394), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1395) );
+  sky130_fd_sc_hd__nor2_1 U100661 ( .A(n82393), .B(n82394), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1396) );
+  sky130_fd_sc_hd__nand2_1 U100662 ( .A(n82372), .B(n82394), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1388) );
+  sky130_fd_sc_hd__nand2_1 U100663 ( .A(n82395), .B(n82405), .Y(n82404) );
+  sky130_fd_sc_hd__nor2_1 U100664 ( .A(n82396), .B(n82404), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1376) );
+  sky130_fd_sc_hd__nor2_1 U100665 ( .A(n82397), .B(n82404), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1377) );
+  sky130_fd_sc_hd__nor2_1 U100666 ( .A(n82398), .B(n82404), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1378) );
+  sky130_fd_sc_hd__nor2_1 U100667 ( .A(n82399), .B(n82404), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1379) );
+  sky130_fd_sc_hd__nor2_1 U100668 ( .A(n82400), .B(n82404), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1380) );
+  sky130_fd_sc_hd__nor2_1 U100669 ( .A(n82401), .B(n82404), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1381) );
+  sky130_fd_sc_hd__nor2_1 U100670 ( .A(n82402), .B(n82404), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1382) );
+  sky130_fd_sc_hd__nor2_1 U100671 ( .A(n82403), .B(n82404), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1383) );
+  sky130_fd_sc_hd__nand2_1 U100672 ( .A(n82372), .B(n82404), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1375) );
+  sky130_fd_sc_hd__nand2_1 U100673 ( .A(n82406), .B(n82405), .Y(n82414) );
+  sky130_fd_sc_hd__nor2_1 U100674 ( .A(n82421), .B(n82414), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1363) );
+  sky130_fd_sc_hd__nor2_1 U100675 ( .A(n82407), .B(n82414), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1364) );
+  sky130_fd_sc_hd__nor2_1 U100676 ( .A(n82408), .B(n82414), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1365) );
+  sky130_fd_sc_hd__nor2_1 U100677 ( .A(n82409), .B(n82414), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1366) );
+  sky130_fd_sc_hd__nor2_1 U100678 ( .A(n82410), .B(n82414), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1367) );
+  sky130_fd_sc_hd__nor2_1 U100679 ( .A(n82411), .B(n82414), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1368) );
+  sky130_fd_sc_hd__nor2_1 U100680 ( .A(n82412), .B(n82414), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1369) );
+  sky130_fd_sc_hd__nor2_1 U100681 ( .A(n82413), .B(n82414), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1370) );
+  sky130_fd_sc_hd__nand2_1 U100682 ( .A(n82372), .B(n82414), .Y(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner_N1362) );
+  sky130_fd_sc_hd__nor4_1 U100683 ( .A(n82418), .B(n82417), .C(n82416), .D(
+        n82415), .Y(n82419) );
+  sky130_fd_sc_hd__nand2_1 U100684 ( .A(n82420), .B(n82419), .Y(n84147) );
+  sky130_fd_sc_hd__nor3_1 U100685 ( .A(n82421), .B(n60015), .C(n84147), .Y(
+        MarmotCaravelChip_dut_sys_clint_N127) );
+  sky130_fd_sc_hd__nor2_1 U100686 ( .A(n37038), .B(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_ram_read_MPORT_en), .Y(n83879) );
+  sky130_fd_sc_hd__nand2_1 U100687 ( .A(n83879), .B(n83884), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_back_N10) );
+  sky130_fd_sc_hd__nand2b_1 U100688 ( .A_N(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_14_inFlight), .B(MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_14), .Y(n82422) );
+  sky130_fd_sc_hd__o21ai_0 U100689 ( .A1(n82432), .A2(n82428), .B1(n79910), 
+        .Y(n82423) );
+  sky130_fd_sc_hd__nor2_1 U100690 ( .A(n82422), .B(n82423), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N371) );
+  sky130_fd_sc_hd__nand2b_1 U100691 ( .A_N(n82423), .B(n82422), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N370) );
+  sky130_fd_sc_hd__nand2b_1 U100692 ( .A_N(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_12_inFlight), .B(MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_12), .Y(n82424) );
+  sky130_fd_sc_hd__o21ai_0 U100693 ( .A1(n82435), .A2(n82428), .B1(n79910), 
+        .Y(n82425) );
+  sky130_fd_sc_hd__nor2_1 U100694 ( .A(n82424), .B(n82425), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N359) );
+  sky130_fd_sc_hd__nand2b_1 U100695 ( .A_N(n82425), .B(n82424), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N358) );
+  sky130_fd_sc_hd__nand2b_1 U100696 ( .A_N(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_10_inFlight), .B(MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_10), .Y(n82426) );
+  sky130_fd_sc_hd__o21ai_0 U100697 ( .A1(n82439), .A2(n82428), .B1(n36846), 
+        .Y(n82427) );
+  sky130_fd_sc_hd__nor2_1 U100698 ( .A(n82426), .B(n82427), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N347) );
+  sky130_fd_sc_hd__nand2b_1 U100699 ( .A_N(n82427), .B(n82426), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N346) );
+  sky130_fd_sc_hd__nand2b_1 U100700 ( .A_N(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_8_inFlight), .B(MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_8), .Y(n82430) );
+  sky130_fd_sc_hd__nor2_1 U100702 ( .A(n82430), .B(n82431), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N335) );
+  sky130_fd_sc_hd__nand2b_1 U100703 ( .A_N(n82431), .B(n82430), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N334) );
+  sky130_fd_sc_hd__nand2b_1 U100704 ( .A_N(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_6_inFlight), .B(MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_6), .Y(n82433) );
+  sky130_fd_sc_hd__o21ai_0 U100705 ( .A1(n82432), .A2(n82438), .B1(n49230), 
+        .Y(n82434) );
+  sky130_fd_sc_hd__nor2_1 U100706 ( .A(n82433), .B(n82434), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N323) );
+  sky130_fd_sc_hd__nand2b_1 U100707 ( .A_N(n82434), .B(n82433), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N322) );
+  sky130_fd_sc_hd__nand2b_1 U100708 ( .A_N(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_4_inFlight), .B(MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_4), .Y(n82436) );
+  sky130_fd_sc_hd__o21ai_0 U100709 ( .A1(n82435), .A2(n82438), .B1(n37040), 
+        .Y(n82437) );
+  sky130_fd_sc_hd__nor2_1 U100710 ( .A(n82436), .B(n82437), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N311) );
+  sky130_fd_sc_hd__nand2b_1 U100711 ( .A_N(n82437), .B(n82436), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N310) );
+  sky130_fd_sc_hd__nand2b_1 U100712 ( .A_N(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_gateways_gateway_2_inFlight), .B(MarmotCaravelChip_dut_sys_ibus_auto_int_bus_int_out_2), .Y(n82440) );
+  sky130_fd_sc_hd__o21ai_0 U100713 ( .A1(n82439), .A2(n82438), .B1(n79910), 
+        .Y(n82441) );
+  sky130_fd_sc_hd__nor2_1 U100714 ( .A(n82440), .B(n82441), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N299) );
+  sky130_fd_sc_hd__nand2b_1 U100715 ( .A_N(n82441), .B(n82440), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_N298) );
+  sky130_fd_sc_hd__nand2_1 U100716 ( .A(n86753), .B(n82445), .Y(n36482) );
+  sky130_fd_sc_hd__o22ai_1 U100717 ( .A1(n83906), .A2(n83461), .B1(n83465), 
+        .B2(n82442), .Y(n82444) );
+  sky130_fd_sc_hd__a21oi_1 U100718 ( .A1(n82445), .A2(n82444), .B1(n74033), 
+        .Y(n82443) );
+  sky130_fd_sc_hd__o21ai_1 U100719 ( .A1(n82445), .A2(n82444), .B1(n82443), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N59) );
+  sky130_fd_sc_hd__nand2_1 U100720 ( .A(n86753), .B(n82446), .Y(n36359) );
+  sky130_fd_sc_hd__nor2_1 U100721 ( .A(n82445), .B(n36359), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N60) );
+  sky130_fd_sc_hd__nor3_1 U100722 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .B(n82446), .C(n83631), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleIn_0_d_q_N55) );
+  sky130_fd_sc_hd__nand2_1 U100723 ( .A(n36846), .B(n82450), .Y(n36412) );
+  sky130_fd_sc_hd__nor3_1 U100724 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_io_full), .B(n82447), .C(n82498), .Y(n82449) );
+  sky130_fd_sc_hd__a21oi_1 U100725 ( .A1(n82449), .A2(n82450), .B1(n36848), 
+        .Y(n82448) );
+  sky130_fd_sc_hd__nand2_1 U100727 ( .A(n37037), .B(n82451), .Y(n36489) );
+  sky130_fd_sc_hd__nor2_1 U100728 ( .A(n82450), .B(n36489), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N60) );
+  sky130_fd_sc_hd__nor3_1 U100729 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .B(n60015), .C(n82451), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N55) );
+  sky130_fd_sc_hd__nand2_1 U100730 ( .A(n37039), .B(n82455), .Y(n36344) );
+  sky130_fd_sc_hd__a21oi_1 U100731 ( .A1(n82453), .A2(n82454), .B1(n36848), 
+        .Y(n82452) );
+  sky130_fd_sc_hd__nand2_1 U100733 ( .A(n86753), .B(n82454), .Y(n36593) );
+  sky130_fd_sc_hd__nor2_1 U100734 ( .A(n82455), .B(n36593), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_N25) );
+  sky130_fd_sc_hd__nor3_1 U100735 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_ram_sink_io_deq_bits_MPORT_addr), .B(n82454), .C(n37041), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_N20) );
+  sky130_fd_sc_hd__nor2_1 U100736 ( .A(n82456), .B(n82455), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_N9) );
+  sky130_fd_sc_hd__nand2_1 U100737 ( .A(n36801), .B(n82458), .Y(n36278) );
+  sky130_fd_sc_hd__a22o_1 U100738 ( .A1(n82457), .A2(n82458), .B1(n83013), 
+        .B2(n36278), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_N54) );
+  sky130_fd_sc_hd__nor3_1 U100739 ( .A(n82459), .B(n36802), .C(n82458), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_N50) );
+  sky130_fd_sc_hd__nor2_1 U100740 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_N38), .B(n83013), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_N45) );
+  sky130_fd_sc_hd__nand2_1 U100741 ( .A(n37039), .B(n82461), .Y(n36471) );
+  sky130_fd_sc_hd__o221ai_1 U100742 ( .A1(n85883), .A2(n82461), .B1(n83033), 
+        .B2(n82460), .C1(n79910), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N54) );
+  sky130_fd_sc_hd__nor2_1 U100743 ( .A(n82461), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N49), .Y(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_N55)
+         );
+  sky130_fd_sc_hd__nand2b_1 U100744 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N810), .B(n82462), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_N54) );
+  sky130_fd_sc_hd__nor2_1 U100745 ( .A(n84012), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_N54), .Y(MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_N60)
+         );
+  sky130_fd_sc_hd__nand2_1 U100746 ( .A(n82463), .B(n82462), .Y(n82465) );
+  sky130_fd_sc_hd__a21oi_1 U100747 ( .A1(n84012), .A2(n82465), .B1(n60015), 
+        .Y(n82464) );
+  sky130_fd_sc_hd__o21a_1 U100749 ( .A1(n83000), .A2(n82467), .B1(n82466), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_N55) );
+  sky130_fd_sc_hd__nand2_1 U100750 ( .A(n79910), .B(n84126), .Y(n36273) );
+  sky130_fd_sc_hd__nor2_1 U100751 ( .A(n82468), .B(n82469), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N60) );
+  sky130_fd_sc_hd__nor2_1 U100752 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N43), .B(n82469), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_N50) );
+  sky130_fd_sc_hd__nor2_1 U100754 ( .A(n82472), .B(n82471), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N51) );
+  sky130_fd_sc_hd__a211oi_1 U100755 ( .A1(n82475), .A2(n82474), .B1(n82473), 
+        .C1(n82472), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N52) );
+  sky130_fd_sc_hd__nand2_1 U100756 ( .A(n86753), .B(n82476), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1348) );
+  sky130_fd_sc_hd__nand2_1 U100757 ( .A(n85877), .B(n59913), .Y(n82477) );
+  sky130_fd_sc_hd__nand2_1 U100758 ( .A(n37037), .B(n82477), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1354) );
+  sky130_fd_sc_hd__nand2_1 U100759 ( .A(n49230), .B(n82478), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1310) );
+  sky130_fd_sc_hd__a21o_1 U100760 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_isa[8]), .A2(n59913), .B1(n83631), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1318) );
+  sky130_fd_sc_hd__nand2_1 U100761 ( .A(n86753), .B(n82479), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1322) );
+  sky130_fd_sc_hd__a21o_1 U100762 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_isa[18]), .A2(n59913), .B1(n37041), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1328) );
+  sky130_fd_sc_hd__a21o_1 U100763 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_isa[20]), .A2(n59913), .B1(n37041), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1330) );
+  sky130_fd_sc_hd__a21o_1 U100764 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_isa[23]), .A2(n59913), .B1(n60015), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1333) );
+  sky130_fd_sc_hd__a21o_1 U100765 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_isa[30]), .A2(n59913), .B1(n36802), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1340) );
+  sky130_fd_sc_hd__a211oi_1 U100766 ( .A1(n82481), .A2(n82480), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_singleStepped), .C1(n82482), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N378) );
+  sky130_fd_sc_hd__nor3b_1 U100767 ( .C_N(n82481), .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_reg_singleStepped), .B(n82482), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N379) );
+  sky130_fd_sc_hd__nor2_1 U100768 ( .A(n82483), .B(n82482), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N380) );
+  sky130_fd_sc_hd__nand2_1 U100769 ( .A(n82486), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_spp), .Y(n82484) );
+  sky130_fd_sc_hd__o22ai_1 U100771 ( .A1(n82489), .A2(n82488), .B1(n37038), 
+        .B2(n82487), .Y(n82490) );
+  sky130_fd_sc_hd__nand2b_1 U100772 ( .A_N(n82491), .B(n82490), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N291) );
+  sky130_fd_sc_hd__a22o_1 U100773 ( .A1(n82496), .A2(n82492), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_cause[0]), .B2(n82493), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N500) );
+  sky130_fd_sc_hd__a21o_1 U100774 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_cause[1]), .A2(n82493), .B1(n82492), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N501) );
+  sky130_fd_sc_hd__nand2_1 U100775 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_cause[2]), .B(n82493), .Y(n82494) );
+  sky130_fd_sc_hd__o21ai_1 U100776 ( .A1(n82496), .A2(n82495), .B1(n82494), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N502) );
+  sky130_fd_sc_hd__nand2_1 U100777 ( .A(n86753), .B(n82497), .Y(n36486) );
+  sky130_fd_sc_hd__o22ai_1 U100778 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_io_full), .A2(n85947), .B1(n82499), .B2(n82498), .Y(n82500) );
+  sky130_fd_sc_hd__nor2_1 U100779 ( .A(n36486), .B(n82500), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_N6) );
+  sky130_fd_sc_hd__nor2_1 U100780 ( .A(n82501), .B(n82982), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_N40) );
+  sky130_fd_sc_hd__nor2_1 U100781 ( .A(n82503), .B(n83827), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_N29) );
+  sky130_fd_sc_hd__a21oi_1 U100782 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_slave_in_d_bits_size[1]), .A2(n82502), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_a_opcode_2_), .Y(n82507) );
+  sky130_fd_sc_hd__nor2_1 U100783 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_acknum[0]), .B(n82507), .Y(n82506) );
+  sky130_fd_sc_hd__a21oi_1 U100784 ( .A1(n82507), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_acknum[0]), .B1(n82506), .Y(n82505) );
+  sky130_fd_sc_hd__nand3_1 U100785 ( .A(n36846), .B(n82519), .C(n82503), .Y(
+        n82511) );
+  sky130_fd_sc_hd__nand3_1 U100786 ( .A(n36846), .B(n82517), .C(n82519), .Y(
+        n82515) );
+  sky130_fd_sc_hd__o22ai_1 U100787 ( .A1(n82505), .A2(n82511), .B1(n82504), 
+        .B2(n82515), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_N24) );
+  sky130_fd_sc_hd__nor3_1 U100788 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_acknum[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_acknum[0]), .C(n82507), .Y(n82516) );
+  sky130_fd_sc_hd__a21oi_1 U100789 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_acknum[1]), .A2(n82508), .B1(n82516), .Y(n82510) );
+  sky130_fd_sc_hd__o22ai_1 U100790 ( .A1(n82510), .A2(n82511), .B1(n82509), 
+        .B2(n82515), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_N25) );
+  sky130_fd_sc_hd__a21oi_1 U100791 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_acknum[2]), .A2(n82516), .B1(n82511), .Y(n82512) );
+  sky130_fd_sc_hd__o21ai_1 U100793 ( .A1(n82515), .A2(n82514), .B1(n82513), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_N26) );
+  sky130_fd_sc_hd__nand2b_1 U100794 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_acknum[2]), .B(n82516), .Y(n82518) );
+  sky130_fd_sc_hd__a22oi_1 U100795 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_acknum[3]), .A2(n82518), .B1(n82517), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_slave_in_d_bits_source[3]), .Y(n82521) );
+  sky130_fd_sc_hd__nand2_1 U100796 ( .A(n49230), .B(n82519), .Y(n82520) );
+  sky130_fd_sc_hd__nor2_1 U100797 ( .A(n82521), .B(n82520), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_N27) );
+  sky130_fd_sc_hd__a221o_1 U100798 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_mask[0]), .B1(n82522), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_mask[4]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_io_full), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_auto_out_a_bits_mask[0]) );
+  sky130_fd_sc_hd__a221o_1 U100799 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_mask[1]), .B1(n82522), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_mask[5]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_io_full), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_auto_out_a_bits_mask[1]) );
+  sky130_fd_sc_hd__a221o_1 U100800 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_mask[3]), .B1(n82522), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_mask[7]), .C1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_io_full), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_auto_out_a_bits_mask[3]) );
+  sky130_fd_sc_hd__nor2_1 U100801 ( .A(n85879), .B(n82523), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_auto_out_a_bits_size_0_) );
+  sky130_fd_sc_hd__nand2_1 U100802 ( .A(n82537), .B(n82546), .Y(n82548) );
+  sky130_fd_sc_hd__nor3_1 U100803 ( .A(n82584), .B(n82558), .C(n82539), .Y(
+        n82532) );
+  sky130_fd_sc_hd__nand2_1 U100804 ( .A(n82524), .B(n82532), .Y(n82531) );
+  sky130_fd_sc_hd__nand3_1 U100805 ( .A(n82580), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[0]), .C(n82531), .Y(n82525) );
+  sky130_fd_sc_hd__o21ai_1 U100806 ( .A1(n82584), .A2(n82548), .B1(n82525), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1602) );
+  sky130_fd_sc_hd__nand2_1 U100807 ( .A(n82527), .B(n82526), .Y(n82568) );
+  sky130_fd_sc_hd__o21ai_1 U100808 ( .A1(n82564), .A2(n82528), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[1]), .Y(n82529) );
+  sky130_fd_sc_hd__o21ai_1 U100809 ( .A1(n82539), .A2(n82568), .B1(n82529), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1603) );
+  sky130_fd_sc_hd__a221oi_1 U100810 ( .A1(n82532), .A2(n82531), .B1(n82530), 
+        .B2(n82531), .C1(n82545), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1604) );
+  sky130_fd_sc_hd__nand2_1 U100811 ( .A(n82534), .B(n82533), .Y(n82540) );
+  sky130_fd_sc_hd__o21ai_1 U100812 ( .A1(n82547), .A2(n82535), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[3]), .Y(n82536) );
+  sky130_fd_sc_hd__o21ai_1 U100813 ( .A1(n82587), .A2(n82540), .B1(n82536), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1605) );
+  sky130_fd_sc_hd__nand2b_1 U100814 ( .A_N(n82574), .B(n82537), .Y(n82556) );
+  sky130_fd_sc_hd__o21ai_1 U100815 ( .A1(n82564), .A2(n82554), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[4]), .Y(n82538) );
+  sky130_fd_sc_hd__o21ai_1 U100816 ( .A1(n82584), .A2(n82556), .B1(n82538), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1606) );
+  sky130_fd_sc_hd__nand2_1 U100817 ( .A(n82570), .B(n82558), .Y(n82578) );
+  sky130_fd_sc_hd__a21oi_1 U100820 ( .A1(n82542), .A2(n82570), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[6]), .Y(n82543) );
+  sky130_fd_sc_hd__nor2_1 U100821 ( .A(n82544), .B(n82543), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1608) );
+  sky130_fd_sc_hd__nor2_1 U100822 ( .A(n82546), .B(n82545), .Y(n82563) );
+  sky130_fd_sc_hd__nand2_1 U100824 ( .A(n82549), .B(n82548), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1609) );
+  sky130_fd_sc_hd__nand3_1 U100825 ( .A(n82580), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[10]), .C(n82550), .Y(n82551) );
+  sky130_fd_sc_hd__o21ai_1 U100826 ( .A1(n82553), .A2(n82552), .B1(n82551), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1612) );
+  sky130_fd_sc_hd__nand2_1 U100827 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[11]), .B(n82554), .Y(n82555) );
+  sky130_fd_sc_hd__nand2_1 U100828 ( .A(n82556), .B(n82555), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1613) );
+  sky130_fd_sc_hd__o22ai_1 U100829 ( .A1(n82559), .A2(n82562), .B1(n82558), 
+        .B2(n82557), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1615) );
+  sky130_fd_sc_hd__o21ai_1 U100830 ( .A1(n82562), .A2(n82561), .B1(n82560), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1616) );
+  sky130_fd_sc_hd__o21ai_1 U100831 ( .A1(n82564), .A2(n82563), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[0]), .Y(n82565) );
+  sky130_fd_sc_hd__o21ai_1 U100832 ( .A1(n82566), .A2(n82575), .B1(n82565), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1617) );
+  sky130_fd_sc_hd__o21ai_1 U100833 ( .A1(n82584), .A2(n82567), .B1(n82580), 
+        .Y(n82571) );
+  sky130_fd_sc_hd__o21ai_1 U100834 ( .A1(n82571), .A2(n82569), .B1(n82568), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1618) );
+  sky130_fd_sc_hd__nand2_1 U100835 ( .A(n82581), .B(n82570), .Y(n82572) );
+  sky130_fd_sc_hd__a21oi_1 U100836 ( .A1(n82573), .A2(n82572), .B1(n82571), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1619) );
+  sky130_fd_sc_hd__o21ai_1 U100837 ( .A1(n82584), .A2(n82574), .B1(n82580), 
+        .Y(n82577) );
+  sky130_fd_sc_hd__o22ai_1 U100838 ( .A1(n82577), .A2(n82576), .B1(n82575), 
+        .B2(n82574), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1621) );
+  sky130_fd_sc_hd__a21oi_1 U100839 ( .A1(n82579), .A2(n82578), .B1(n82577), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1622) );
+  sky130_fd_sc_hd__a21oi_1 U100841 ( .A1(n82584), .A2(n82583), .B1(n82582), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1623) );
+  sky130_fd_sc_hd__o21ai_1 U100842 ( .A1(n85880), .A2(n82585), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_left_subtree_state[7]), .Y(n82586) );
+  sky130_fd_sc_hd__o21ai_1 U100843 ( .A1(n82587), .A2(n82588), .B1(n82586), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1624) );
+  sky130_fd_sc_hd__o21ai_1 U100844 ( .A1(n82590), .A2(n82589), .B1(n82588), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1626) );
+  sky130_fd_sc_hd__a21oi_1 U100845 ( .A1(n82592), .A2(n82591), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_nextPageRepl[0]), .Y(n82597) );
+  sky130_fd_sc_hd__a31oi_1 U100846 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_nextPageRepl[0]), .A2(n82592), .A3(n82591), .B1(n82597), .Y(n82594) );
+  sky130_fd_sc_hd__nor2_1 U100847 ( .A(n36802), .B(n82593), .Y(n82598) );
+  sky130_fd_sc_hd__nor2_1 U100848 ( .A(n82594), .B(n82599), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1595) );
+  sky130_fd_sc_hd__a21oi_1 U100849 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_nextPageRepl[1]), .A2(n82595), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_nextPageRepl[2]), .Y(n82600) );
+  sky130_fd_sc_hd__nand2_1 U100850 ( .A(n82597), .B(n82596), .Y(n82601) );
+  sky130_fd_sc_hd__and3_1 U100851 ( .A(n82600), .B(n82598), .C(n82601), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1596) );
+  sky130_fd_sc_hd__a211oi_1 U100852 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_nextPageRepl[2]), .A2(n82601), .B1(n82600), .C1(n82599), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1597) );
+  sky130_fd_sc_hd__nand2_1 U100853 ( .A(n82603), .B(n82602), .Y(n82607) );
+  sky130_fd_sc_hd__o21ai_1 U100854 ( .A1(n82604), .A2(n82612), .B1(n82607), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N622) );
+  sky130_fd_sc_hd__o22ai_1 U100855 ( .A1(n82605), .A2(n82612), .B1(n83006), 
+        .B2(n82611), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N623) );
+  sky130_fd_sc_hd__o22ai_1 U100856 ( .A1(n82609), .A2(n82612), .B1(n82608), 
+        .B2(n82607), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N624) );
+  sky130_fd_sc_hd__o22ai_1 U100857 ( .A1(n82613), .A2(n82612), .B1(n83010), 
+        .B2(n82611), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N625) );
+  sky130_fd_sc_hd__nand2_1 U100858 ( .A(n82615), .B(n82614), .Y(n82616) );
+  sky130_fd_sc_hd__nand2_1 U100859 ( .A(n82652), .B(n82710), .Y(n82828) );
+  sky130_fd_sc_hd__nand2_1 U100860 ( .A(n86481), .B(n82789), .Y(n82730) );
+  sky130_fd_sc_hd__nor2_1 U100861 ( .A(n82828), .B(n82730), .Y(n82620) );
+  sky130_fd_sc_hd__nor2_1 U100862 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[8]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[6]), .Y(n82638) );
+  sky130_fd_sc_hd__nor2_1 U100863 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[9]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[7]), .Y(n82630) );
+  sky130_fd_sc_hd__nand2_1 U100864 ( .A(n82638), .B(n82630), .Y(n82932) );
+  sky130_fd_sc_hd__nor2b_1 U100865 ( .B_N(n82932), .A(n82868), .Y(n82619) );
+  sky130_fd_sc_hd__nor2_1 U100866 ( .A(n82620), .B(n82868), .Y(n82621) );
+  sky130_fd_sc_hd__o21ai_0 U100867 ( .A1(n82619), .A2(n82621), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[0]), .Y(n82622) );
+  sky130_fd_sc_hd__o21ai_0 U100868 ( .A1(n82651), .A2(n82932), .B1(n82622), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N331) );
+  sky130_fd_sc_hd__nor2_1 U100869 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[8]), .B(n82623), .Y(n82640) );
+  sky130_fd_sc_hd__nand2_1 U100870 ( .A(n82630), .B(n82640), .Y(n82935) );
+  sky130_fd_sc_hd__o21ai_0 U100871 ( .A1(n82621), .A2(n82933), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[1]), .Y(n82624) );
+  sky130_fd_sc_hd__o21ai_0 U100872 ( .A1(n82935), .A2(n82651), .B1(n82624), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N333) );
+  sky130_fd_sc_hd__nor2_1 U100873 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[9]), .B(n82625), .Y(n82633) );
+  sky130_fd_sc_hd__nand2_1 U100874 ( .A(n82638), .B(n82633), .Y(n82938) );
+  sky130_fd_sc_hd__o21ai_0 U100875 ( .A1(n82621), .A2(n82936), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[2]), .Y(n82626) );
+  sky130_fd_sc_hd__o21ai_0 U100876 ( .A1(n82938), .A2(n82651), .B1(n82626), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N335) );
+  sky130_fd_sc_hd__nand2_1 U100877 ( .A(n82640), .B(n82633), .Y(n82941) );
+  sky130_fd_sc_hd__o21ai_0 U100878 ( .A1(n82621), .A2(n82939), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[3]), .Y(n82627) );
+  sky130_fd_sc_hd__o21ai_0 U100879 ( .A1(n82941), .A2(n82651), .B1(n82627), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N336) );
+  sky130_fd_sc_hd__nor2_1 U100880 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[6]), .B(n82628), .Y(n82646) );
+  sky130_fd_sc_hd__nand2_1 U100881 ( .A(n82630), .B(n82646), .Y(n82944) );
+  sky130_fd_sc_hd__o21ai_0 U100882 ( .A1(n82621), .A2(n82942), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[4]), .Y(n82629) );
+  sky130_fd_sc_hd__o21ai_0 U100883 ( .A1(n82944), .A2(n82651), .B1(n82629), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N337) );
+  sky130_fd_sc_hd__nand2_1 U100884 ( .A(n82630), .B(n82649), .Y(n82947) );
+  sky130_fd_sc_hd__o21ai_0 U100885 ( .A1(n82621), .A2(n82945), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[5]), .Y(n82631) );
+  sky130_fd_sc_hd__o21ai_0 U100886 ( .A1(n82947), .A2(n82651), .B1(n82631), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N338) );
+  sky130_fd_sc_hd__nand2_1 U100887 ( .A(n82633), .B(n82646), .Y(n82950) );
+  sky130_fd_sc_hd__o21ai_0 U100888 ( .A1(n82621), .A2(n82948), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[6]), .Y(n82632) );
+  sky130_fd_sc_hd__o21ai_0 U100889 ( .A1(n82950), .A2(n82651), .B1(n82632), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N339) );
+  sky130_fd_sc_hd__nand2_1 U100890 ( .A(n82633), .B(n82649), .Y(n82953) );
+  sky130_fd_sc_hd__o21ai_0 U100891 ( .A1(n82621), .A2(n82951), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[7]), .Y(n82634) );
+  sky130_fd_sc_hd__o21ai_0 U100892 ( .A1(n82953), .A2(n82651), .B1(n82634), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N340) );
+  sky130_fd_sc_hd__nor2_1 U100893 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[7]), .B(n82635), .Y(n82644) );
+  sky130_fd_sc_hd__nand2_1 U100894 ( .A(n82638), .B(n82644), .Y(n82956) );
+  sky130_fd_sc_hd__o21ai_0 U100895 ( .A1(n82621), .A2(n82954), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[8]), .Y(n82636) );
+  sky130_fd_sc_hd__o21ai_0 U100896 ( .A1(n82956), .A2(n82651), .B1(n82636), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N341) );
+  sky130_fd_sc_hd__nand2_1 U100897 ( .A(n82640), .B(n82644), .Y(n82959) );
+  sky130_fd_sc_hd__o21ai_0 U100898 ( .A1(n82621), .A2(n82957), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[9]), .Y(n82637) );
+  sky130_fd_sc_hd__o21ai_0 U100899 ( .A1(n82959), .A2(n82651), .B1(n82637), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N342) );
+  sky130_fd_sc_hd__nand2_1 U100900 ( .A(n82638), .B(n82648), .Y(n82962) );
+  sky130_fd_sc_hd__o21ai_0 U100901 ( .A1(n82621), .A2(n82960), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[10]), .Y(n82639) );
+  sky130_fd_sc_hd__o21ai_0 U100902 ( .A1(n82962), .A2(n82651), .B1(n82639), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N343) );
+  sky130_fd_sc_hd__nand2_1 U100903 ( .A(n82640), .B(n82648), .Y(n82964) );
+  sky130_fd_sc_hd__o21ai_0 U100905 ( .A1(n82621), .A2(n82641), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[11]), .Y(n82642) );
+  sky130_fd_sc_hd__o21ai_0 U100906 ( .A1(n82964), .A2(n82651), .B1(n82642), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N344) );
+  sky130_fd_sc_hd__nand2_1 U100907 ( .A(n82646), .B(n82644), .Y(n82967) );
+  sky130_fd_sc_hd__o21ai_0 U100908 ( .A1(n82621), .A2(n82965), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[12]), .Y(n82643) );
+  sky130_fd_sc_hd__o21ai_0 U100909 ( .A1(n82967), .A2(n82651), .B1(n82643), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N345) );
+  sky130_fd_sc_hd__nand2_1 U100910 ( .A(n82649), .B(n82644), .Y(n82970) );
+  sky130_fd_sc_hd__o21ai_0 U100911 ( .A1(n82621), .A2(n82968), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[13]), .Y(n82645) );
+  sky130_fd_sc_hd__o21ai_0 U100912 ( .A1(n82970), .A2(n82651), .B1(n82645), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N346) );
+  sky130_fd_sc_hd__nand2_1 U100913 ( .A(n82646), .B(n82648), .Y(n82973) );
+  sky130_fd_sc_hd__o21ai_0 U100914 ( .A1(n82621), .A2(n82971), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[14]), .Y(n82647) );
+  sky130_fd_sc_hd__o21ai_0 U100915 ( .A1(n82973), .A2(n82651), .B1(n82647), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N347) );
+  sky130_fd_sc_hd__nand2_1 U100916 ( .A(n82649), .B(n82648), .Y(n82977) );
+  sky130_fd_sc_hd__o21ai_0 U100917 ( .A1(n82621), .A2(n82975), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[15]), .Y(n82650) );
+  sky130_fd_sc_hd__o21ai_0 U100918 ( .A1(n82977), .A2(n82651), .B1(n82650), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N348) );
+  sky130_fd_sc_hd__nand2_1 U100919 ( .A(n82652), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[10]), .Y(n82848) );
+  sky130_fd_sc_hd__nor2_1 U100920 ( .A(n82730), .B(n82848), .Y(n82653) );
+  sky130_fd_sc_hd__nor2_1 U100921 ( .A(n82653), .B(n82868), .Y(n82654) );
+  sky130_fd_sc_hd__o21ai_0 U100922 ( .A1(n82619), .A2(n82654), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[16]), .Y(n82655) );
+  sky130_fd_sc_hd__o21ai_0 U100923 ( .A1(n82671), .A2(n82932), .B1(n82655), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N349) );
+  sky130_fd_sc_hd__o21ai_0 U100924 ( .A1(n82933), .A2(n82654), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[17]), .Y(n82656) );
+  sky130_fd_sc_hd__o21ai_0 U100925 ( .A1(n82671), .A2(n82935), .B1(n82656), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N350) );
+  sky130_fd_sc_hd__o21ai_0 U100926 ( .A1(n82936), .A2(n82654), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[18]), .Y(n82657) );
+  sky130_fd_sc_hd__o21ai_0 U100927 ( .A1(n82671), .A2(n82938), .B1(n82657), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N351) );
+  sky130_fd_sc_hd__o21ai_0 U100928 ( .A1(n82939), .A2(n82654), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[19]), .Y(n82658) );
+  sky130_fd_sc_hd__o21ai_0 U100929 ( .A1(n82671), .A2(n82941), .B1(n82658), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N352) );
+  sky130_fd_sc_hd__o21ai_0 U100930 ( .A1(n82942), .A2(n82654), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[20]), .Y(n82659) );
+  sky130_fd_sc_hd__o21ai_0 U100931 ( .A1(n82671), .A2(n82944), .B1(n82659), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N353) );
+  sky130_fd_sc_hd__o21ai_0 U100932 ( .A1(n82945), .A2(n82654), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[21]), .Y(n82660) );
+  sky130_fd_sc_hd__o21ai_0 U100933 ( .A1(n82671), .A2(n82947), .B1(n82660), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N354) );
+  sky130_fd_sc_hd__o21ai_0 U100934 ( .A1(n82948), .A2(n82654), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[22]), .Y(n82661) );
+  sky130_fd_sc_hd__o21ai_0 U100935 ( .A1(n82671), .A2(n82950), .B1(n82661), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N355) );
+  sky130_fd_sc_hd__o21ai_0 U100936 ( .A1(n82951), .A2(n82654), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[23]), .Y(n82662) );
+  sky130_fd_sc_hd__o21ai_0 U100937 ( .A1(n82671), .A2(n82953), .B1(n82662), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N356) );
+  sky130_fd_sc_hd__o21ai_0 U100938 ( .A1(n82954), .A2(n82654), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[24]), .Y(n82663) );
+  sky130_fd_sc_hd__o21ai_0 U100939 ( .A1(n82671), .A2(n82956), .B1(n82663), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N357) );
+  sky130_fd_sc_hd__o21ai_0 U100940 ( .A1(n82957), .A2(n82654), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[25]), .Y(n82664) );
+  sky130_fd_sc_hd__o21ai_0 U100941 ( .A1(n82671), .A2(n82959), .B1(n82664), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N358) );
+  sky130_fd_sc_hd__o21ai_0 U100942 ( .A1(n82960), .A2(n82654), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[26]), .Y(n82665) );
+  sky130_fd_sc_hd__o21ai_0 U100943 ( .A1(n82671), .A2(n82962), .B1(n82665), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N359) );
+  sky130_fd_sc_hd__o21ai_0 U100944 ( .A1(n82641), .A2(n82654), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[27]), .Y(n82666) );
+  sky130_fd_sc_hd__o21ai_0 U100945 ( .A1(n82671), .A2(n82964), .B1(n82666), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N360) );
+  sky130_fd_sc_hd__o21ai_0 U100946 ( .A1(n82965), .A2(n82654), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[28]), .Y(n82667) );
+  sky130_fd_sc_hd__o21ai_0 U100947 ( .A1(n82671), .A2(n82967), .B1(n82667), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N361) );
+  sky130_fd_sc_hd__o21ai_0 U100948 ( .A1(n82968), .A2(n82654), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[29]), .Y(n82668) );
+  sky130_fd_sc_hd__o21ai_0 U100949 ( .A1(n82671), .A2(n82970), .B1(n82668), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N362) );
+  sky130_fd_sc_hd__o21ai_0 U100950 ( .A1(n82971), .A2(n82654), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[30]), .Y(n82669) );
+  sky130_fd_sc_hd__o21ai_0 U100951 ( .A1(n82671), .A2(n82973), .B1(n82669), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N363) );
+  sky130_fd_sc_hd__o21ai_0 U100952 ( .A1(n82975), .A2(n82654), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[31]), .Y(n82670) );
+  sky130_fd_sc_hd__o21ai_0 U100953 ( .A1(n82671), .A2(n82977), .B1(n82670), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N364) );
+  sky130_fd_sc_hd__nand2_1 U100954 ( .A(n86481), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[11]), .Y(n82769) );
+  sky130_fd_sc_hd__nor2_1 U100955 ( .A(n82828), .B(n82769), .Y(n82672) );
+  sky130_fd_sc_hd__nor2_1 U100956 ( .A(n82672), .B(n82868), .Y(n82673) );
+  sky130_fd_sc_hd__o21ai_0 U100957 ( .A1(n82619), .A2(n82673), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[32]), .Y(n82674) );
+  sky130_fd_sc_hd__o21ai_0 U100958 ( .A1(n82690), .A2(n82932), .B1(n82674), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N365) );
+  sky130_fd_sc_hd__o21ai_0 U100959 ( .A1(n82933), .A2(n82673), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[33]), .Y(n82675) );
+  sky130_fd_sc_hd__o21ai_0 U100960 ( .A1(n82690), .A2(n82935), .B1(n82675), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N366) );
+  sky130_fd_sc_hd__o21ai_0 U100961 ( .A1(n82936), .A2(n82673), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[34]), .Y(n82676) );
+  sky130_fd_sc_hd__o21ai_0 U100962 ( .A1(n82690), .A2(n82938), .B1(n82676), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N367) );
+  sky130_fd_sc_hd__o21ai_0 U100963 ( .A1(n82939), .A2(n82673), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[35]), .Y(n82677) );
+  sky130_fd_sc_hd__o21ai_0 U100964 ( .A1(n82690), .A2(n82941), .B1(n82677), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N368) );
+  sky130_fd_sc_hd__o21ai_0 U100965 ( .A1(n82942), .A2(n82673), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[36]), .Y(n82678) );
+  sky130_fd_sc_hd__o21ai_0 U100966 ( .A1(n82690), .A2(n82944), .B1(n82678), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N369) );
+  sky130_fd_sc_hd__o21ai_0 U100967 ( .A1(n82945), .A2(n82673), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[37]), .Y(n82679) );
+  sky130_fd_sc_hd__o21ai_0 U100968 ( .A1(n82690), .A2(n82947), .B1(n82679), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N370) );
+  sky130_fd_sc_hd__o21ai_0 U100969 ( .A1(n82948), .A2(n82673), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[38]), .Y(n82680) );
+  sky130_fd_sc_hd__o21ai_0 U100970 ( .A1(n82690), .A2(n82950), .B1(n82680), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N371) );
+  sky130_fd_sc_hd__o21ai_0 U100971 ( .A1(n82951), .A2(n82673), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[39]), .Y(n82681) );
+  sky130_fd_sc_hd__o21ai_0 U100972 ( .A1(n82690), .A2(n82953), .B1(n82681), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N372) );
+  sky130_fd_sc_hd__o21ai_0 U100973 ( .A1(n82954), .A2(n82673), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[40]), .Y(n82682) );
+  sky130_fd_sc_hd__o21ai_0 U100974 ( .A1(n82690), .A2(n82956), .B1(n82682), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N373) );
+  sky130_fd_sc_hd__o21ai_0 U100975 ( .A1(n82957), .A2(n82673), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[41]), .Y(n82683) );
+  sky130_fd_sc_hd__o21ai_0 U100976 ( .A1(n82690), .A2(n82959), .B1(n82683), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N374) );
+  sky130_fd_sc_hd__o21ai_0 U100977 ( .A1(n82960), .A2(n82673), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[42]), .Y(n82684) );
+  sky130_fd_sc_hd__o21ai_0 U100978 ( .A1(n82690), .A2(n82962), .B1(n82684), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N375) );
+  sky130_fd_sc_hd__o21ai_0 U100979 ( .A1(n82641), .A2(n82673), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[43]), .Y(n82685) );
+  sky130_fd_sc_hd__o21ai_0 U100980 ( .A1(n82690), .A2(n82964), .B1(n82685), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N376) );
+  sky130_fd_sc_hd__o21ai_0 U100981 ( .A1(n82965), .A2(n82673), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[44]), .Y(n82686) );
+  sky130_fd_sc_hd__o21ai_0 U100982 ( .A1(n82690), .A2(n82967), .B1(n82686), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N377) );
+  sky130_fd_sc_hd__o21ai_0 U100983 ( .A1(n82968), .A2(n82673), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[45]), .Y(n82687) );
+  sky130_fd_sc_hd__o21ai_0 U100984 ( .A1(n82690), .A2(n82970), .B1(n82687), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N378) );
+  sky130_fd_sc_hd__o21ai_0 U100985 ( .A1(n82971), .A2(n82673), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[46]), .Y(n82688) );
+  sky130_fd_sc_hd__o21ai_0 U100986 ( .A1(n82690), .A2(n82973), .B1(n82688), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N379) );
+  sky130_fd_sc_hd__o21ai_0 U100987 ( .A1(n82975), .A2(n82673), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[47]), .Y(n82689) );
+  sky130_fd_sc_hd__o21ai_0 U100988 ( .A1(n82690), .A2(n82977), .B1(n82689), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N380) );
+  sky130_fd_sc_hd__nor2_1 U100989 ( .A(n82848), .B(n82769), .Y(n82691) );
+  sky130_fd_sc_hd__nor2_1 U100990 ( .A(n82691), .B(n82868), .Y(n82692) );
+  sky130_fd_sc_hd__o21ai_0 U100991 ( .A1(n82619), .A2(n82692), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[48]), .Y(n82693) );
+  sky130_fd_sc_hd__o21ai_0 U100992 ( .A1(n82709), .A2(n82932), .B1(n82693), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N381) );
+  sky130_fd_sc_hd__o21ai_0 U100993 ( .A1(n82933), .A2(n82692), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[49]), .Y(n82694) );
+  sky130_fd_sc_hd__o21ai_0 U100994 ( .A1(n82709), .A2(n82935), .B1(n82694), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N382) );
+  sky130_fd_sc_hd__o21ai_0 U100995 ( .A1(n82936), .A2(n82692), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[50]), .Y(n82695) );
+  sky130_fd_sc_hd__o21ai_0 U100996 ( .A1(n82709), .A2(n82938), .B1(n82695), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N383) );
+  sky130_fd_sc_hd__o21ai_0 U100997 ( .A1(n82939), .A2(n82692), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[51]), .Y(n82696) );
+  sky130_fd_sc_hd__o21ai_0 U100998 ( .A1(n82709), .A2(n82941), .B1(n82696), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N384) );
+  sky130_fd_sc_hd__o21ai_0 U100999 ( .A1(n82942), .A2(n82692), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[52]), .Y(n82697) );
+  sky130_fd_sc_hd__o21ai_0 U101000 ( .A1(n82709), .A2(n82944), .B1(n82697), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N385) );
+  sky130_fd_sc_hd__o21ai_0 U101001 ( .A1(n82945), .A2(n82692), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[53]), .Y(n82698) );
+  sky130_fd_sc_hd__o21ai_0 U101002 ( .A1(n82709), .A2(n82947), .B1(n82698), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N386) );
+  sky130_fd_sc_hd__o21ai_0 U101003 ( .A1(n82948), .A2(n82692), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[54]), .Y(n82699) );
+  sky130_fd_sc_hd__o21ai_0 U101004 ( .A1(n82709), .A2(n82950), .B1(n82699), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N387) );
+  sky130_fd_sc_hd__o21ai_0 U101005 ( .A1(n82951), .A2(n82692), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[55]), .Y(n82700) );
+  sky130_fd_sc_hd__o21ai_0 U101006 ( .A1(n82709), .A2(n82953), .B1(n82700), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N388) );
+  sky130_fd_sc_hd__o21ai_0 U101007 ( .A1(n82954), .A2(n82692), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[56]), .Y(n82701) );
+  sky130_fd_sc_hd__o21ai_0 U101008 ( .A1(n82709), .A2(n82956), .B1(n82701), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N389) );
+  sky130_fd_sc_hd__o21ai_0 U101009 ( .A1(n82957), .A2(n82692), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[57]), .Y(n82702) );
+  sky130_fd_sc_hd__o21ai_0 U101010 ( .A1(n82709), .A2(n82959), .B1(n82702), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N390) );
+  sky130_fd_sc_hd__o21ai_0 U101011 ( .A1(n82960), .A2(n82692), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[58]), .Y(n82703) );
+  sky130_fd_sc_hd__o21ai_0 U101012 ( .A1(n82709), .A2(n82962), .B1(n82703), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N391) );
+  sky130_fd_sc_hd__o21ai_0 U101013 ( .A1(n82641), .A2(n82692), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[59]), .Y(n82704) );
+  sky130_fd_sc_hd__o21ai_0 U101014 ( .A1(n82709), .A2(n82964), .B1(n82704), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N392) );
+  sky130_fd_sc_hd__o21ai_0 U101015 ( .A1(n82965), .A2(n82692), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[60]), .Y(n82705) );
+  sky130_fd_sc_hd__o21ai_0 U101016 ( .A1(n82709), .A2(n82967), .B1(n82705), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N393) );
+  sky130_fd_sc_hd__o21ai_0 U101017 ( .A1(n82968), .A2(n82692), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[61]), .Y(n82706) );
+  sky130_fd_sc_hd__o21ai_0 U101018 ( .A1(n82709), .A2(n82970), .B1(n82706), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N394) );
+  sky130_fd_sc_hd__o21ai_0 U101019 ( .A1(n82971), .A2(n82692), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[62]), .Y(n82707) );
+  sky130_fd_sc_hd__o21ai_0 U101020 ( .A1(n82709), .A2(n82973), .B1(n82707), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N395) );
+  sky130_fd_sc_hd__o21ai_0 U101021 ( .A1(n82975), .A2(n82692), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[63]), .Y(n82708) );
+  sky130_fd_sc_hd__o21ai_0 U101022 ( .A1(n82709), .A2(n82977), .B1(n82708), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N396) );
+  sky130_fd_sc_hd__nand2_1 U101023 ( .A(n82710), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[12]), .Y(n82908) );
+  sky130_fd_sc_hd__nor2_1 U101024 ( .A(n82730), .B(n82908), .Y(n82711) );
+  sky130_fd_sc_hd__nor2_1 U101025 ( .A(n82711), .B(n82868), .Y(n82712) );
+  sky130_fd_sc_hd__o21ai_0 U101026 ( .A1(n82619), .A2(n82712), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[64]), .Y(n82713) );
+  sky130_fd_sc_hd__o21ai_0 U101027 ( .A1(n82729), .A2(n82932), .B1(n82713), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N397) );
+  sky130_fd_sc_hd__o21ai_0 U101028 ( .A1(n82933), .A2(n82712), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[65]), .Y(n82714) );
+  sky130_fd_sc_hd__o21ai_0 U101029 ( .A1(n82729), .A2(n82935), .B1(n82714), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N398) );
+  sky130_fd_sc_hd__o21ai_0 U101030 ( .A1(n82936), .A2(n82712), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[66]), .Y(n82715) );
+  sky130_fd_sc_hd__o21ai_0 U101031 ( .A1(n82729), .A2(n82938), .B1(n82715), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N399) );
+  sky130_fd_sc_hd__o21ai_0 U101032 ( .A1(n82939), .A2(n82712), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[67]), .Y(n82716) );
+  sky130_fd_sc_hd__o21ai_0 U101033 ( .A1(n82729), .A2(n82941), .B1(n82716), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N400) );
+  sky130_fd_sc_hd__o21ai_0 U101034 ( .A1(n82942), .A2(n82712), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[68]), .Y(n82717) );
+  sky130_fd_sc_hd__o21ai_0 U101035 ( .A1(n82729), .A2(n82944), .B1(n82717), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N401) );
+  sky130_fd_sc_hd__o21ai_0 U101036 ( .A1(n82945), .A2(n82712), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[69]), .Y(n82718) );
+  sky130_fd_sc_hd__o21ai_0 U101037 ( .A1(n82729), .A2(n82947), .B1(n82718), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N402) );
+  sky130_fd_sc_hd__o21ai_0 U101038 ( .A1(n82948), .A2(n82712), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[70]), .Y(n82719) );
+  sky130_fd_sc_hd__o21ai_0 U101039 ( .A1(n82729), .A2(n82950), .B1(n82719), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N403) );
+  sky130_fd_sc_hd__o21ai_0 U101040 ( .A1(n82951), .A2(n82712), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[71]), .Y(n82720) );
+  sky130_fd_sc_hd__o21ai_0 U101041 ( .A1(n82729), .A2(n82953), .B1(n82720), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N404) );
+  sky130_fd_sc_hd__o21ai_0 U101042 ( .A1(n82954), .A2(n82712), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[72]), .Y(n82721) );
+  sky130_fd_sc_hd__o21ai_0 U101043 ( .A1(n82729), .A2(n82956), .B1(n82721), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N405) );
+  sky130_fd_sc_hd__o21ai_0 U101044 ( .A1(n82957), .A2(n82712), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[73]), .Y(n82722) );
+  sky130_fd_sc_hd__o21ai_0 U101045 ( .A1(n82729), .A2(n82959), .B1(n82722), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N406) );
+  sky130_fd_sc_hd__o21ai_0 U101046 ( .A1(n82960), .A2(n82712), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[74]), .Y(n82723) );
+  sky130_fd_sc_hd__o21ai_0 U101047 ( .A1(n82729), .A2(n82962), .B1(n82723), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N407) );
+  sky130_fd_sc_hd__o21ai_0 U101048 ( .A1(n82641), .A2(n82712), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[75]), .Y(n82724) );
+  sky130_fd_sc_hd__o21ai_0 U101049 ( .A1(n82729), .A2(n82964), .B1(n82724), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N408) );
+  sky130_fd_sc_hd__o21ai_0 U101050 ( .A1(n82965), .A2(n82712), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[76]), .Y(n82725) );
+  sky130_fd_sc_hd__o21ai_0 U101051 ( .A1(n82729), .A2(n82967), .B1(n82725), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N409) );
+  sky130_fd_sc_hd__o21ai_0 U101052 ( .A1(n82968), .A2(n82712), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[77]), .Y(n82726) );
+  sky130_fd_sc_hd__o21ai_0 U101053 ( .A1(n82729), .A2(n82970), .B1(n82726), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N410) );
+  sky130_fd_sc_hd__o21ai_0 U101054 ( .A1(n82971), .A2(n82712), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[78]), .Y(n82727) );
+  sky130_fd_sc_hd__o21ai_0 U101055 ( .A1(n82729), .A2(n82973), .B1(n82727), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N411) );
+  sky130_fd_sc_hd__o21ai_0 U101056 ( .A1(n82975), .A2(n82712), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[79]), .Y(n82728) );
+  sky130_fd_sc_hd__o21ai_0 U101057 ( .A1(n82729), .A2(n82977), .B1(n82728), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N412) );
+  sky130_fd_sc_hd__nand2_1 U101058 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[12]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[10]), .Y(n82929) );
+  sky130_fd_sc_hd__nor2_1 U101059 ( .A(n82730), .B(n82929), .Y(n82731) );
+  sky130_fd_sc_hd__nor2_1 U101060 ( .A(n82731), .B(n82868), .Y(n82732) );
+  sky130_fd_sc_hd__o21ai_0 U101061 ( .A1(n82619), .A2(n82732), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[80]), .Y(n82733) );
+  sky130_fd_sc_hd__o21ai_0 U101062 ( .A1(n82749), .A2(n82932), .B1(n82733), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N413) );
+  sky130_fd_sc_hd__o21ai_0 U101063 ( .A1(n82933), .A2(n82732), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[81]), .Y(n82734) );
+  sky130_fd_sc_hd__o21ai_0 U101064 ( .A1(n82749), .A2(n82935), .B1(n82734), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N414) );
+  sky130_fd_sc_hd__o21ai_0 U101065 ( .A1(n82936), .A2(n82732), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[82]), .Y(n82735) );
+  sky130_fd_sc_hd__o21ai_0 U101066 ( .A1(n82749), .A2(n82938), .B1(n82735), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N415) );
+  sky130_fd_sc_hd__o21ai_0 U101067 ( .A1(n82939), .A2(n82732), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[83]), .Y(n82736) );
+  sky130_fd_sc_hd__o21ai_0 U101068 ( .A1(n82749), .A2(n82941), .B1(n82736), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N416) );
+  sky130_fd_sc_hd__o21ai_0 U101069 ( .A1(n82942), .A2(n82732), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[84]), .Y(n82737) );
+  sky130_fd_sc_hd__o21ai_0 U101070 ( .A1(n82749), .A2(n82944), .B1(n82737), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N417) );
+  sky130_fd_sc_hd__o21ai_0 U101071 ( .A1(n82945), .A2(n82732), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[85]), .Y(n82738) );
+  sky130_fd_sc_hd__o21ai_0 U101072 ( .A1(n82749), .A2(n82947), .B1(n82738), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N418) );
+  sky130_fd_sc_hd__o21ai_0 U101073 ( .A1(n82948), .A2(n82732), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[86]), .Y(n82739) );
+  sky130_fd_sc_hd__o21ai_0 U101074 ( .A1(n82749), .A2(n82950), .B1(n82739), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N419) );
+  sky130_fd_sc_hd__o21ai_0 U101075 ( .A1(n82951), .A2(n82732), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[87]), .Y(n82740) );
+  sky130_fd_sc_hd__o21ai_0 U101076 ( .A1(n82749), .A2(n82953), .B1(n82740), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N420) );
+  sky130_fd_sc_hd__o21ai_0 U101077 ( .A1(n82954), .A2(n82732), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[88]), .Y(n82741) );
+  sky130_fd_sc_hd__o21ai_0 U101078 ( .A1(n82749), .A2(n82956), .B1(n82741), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N421) );
+  sky130_fd_sc_hd__o21ai_0 U101079 ( .A1(n82957), .A2(n82732), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[89]), .Y(n82742) );
+  sky130_fd_sc_hd__o21ai_0 U101080 ( .A1(n82749), .A2(n82959), .B1(n82742), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N422) );
+  sky130_fd_sc_hd__o21ai_0 U101081 ( .A1(n82960), .A2(n82732), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[90]), .Y(n82743) );
+  sky130_fd_sc_hd__o21ai_0 U101082 ( .A1(n82749), .A2(n82962), .B1(n82743), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N423) );
+  sky130_fd_sc_hd__o21ai_0 U101083 ( .A1(n82641), .A2(n82732), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[91]), .Y(n82744) );
+  sky130_fd_sc_hd__o21ai_0 U101084 ( .A1(n82749), .A2(n82964), .B1(n82744), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N424) );
+  sky130_fd_sc_hd__o21ai_0 U101085 ( .A1(n82965), .A2(n82732), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[92]), .Y(n82745) );
+  sky130_fd_sc_hd__o21ai_0 U101086 ( .A1(n82749), .A2(n82967), .B1(n82745), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N425) );
+  sky130_fd_sc_hd__o21ai_0 U101087 ( .A1(n82968), .A2(n82732), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[93]), .Y(n82746) );
+  sky130_fd_sc_hd__o21ai_0 U101088 ( .A1(n82749), .A2(n82970), .B1(n82746), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N426) );
+  sky130_fd_sc_hd__o21ai_0 U101089 ( .A1(n82971), .A2(n82732), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[94]), .Y(n82747) );
+  sky130_fd_sc_hd__o21ai_0 U101090 ( .A1(n82749), .A2(n82973), .B1(n82747), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N427) );
+  sky130_fd_sc_hd__o21ai_0 U101091 ( .A1(n82975), .A2(n82732), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[95]), .Y(n82748) );
+  sky130_fd_sc_hd__o21ai_0 U101092 ( .A1(n82749), .A2(n82977), .B1(n82748), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N428) );
+  sky130_fd_sc_hd__nor2_1 U101093 ( .A(n82769), .B(n82908), .Y(n82750) );
+  sky130_fd_sc_hd__nor2_1 U101094 ( .A(n82750), .B(n82868), .Y(n82751) );
+  sky130_fd_sc_hd__o21ai_0 U101095 ( .A1(n82619), .A2(n82751), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[96]), .Y(n82752) );
+  sky130_fd_sc_hd__o21ai_0 U101096 ( .A1(n82768), .A2(n82932), .B1(n82752), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N429) );
+  sky130_fd_sc_hd__o21ai_0 U101097 ( .A1(n82933), .A2(n82751), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[97]), .Y(n82753) );
+  sky130_fd_sc_hd__o21ai_0 U101098 ( .A1(n82768), .A2(n82935), .B1(n82753), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N430) );
+  sky130_fd_sc_hd__o21ai_0 U101099 ( .A1(n82936), .A2(n82751), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[98]), .Y(n82754) );
+  sky130_fd_sc_hd__o21ai_0 U101100 ( .A1(n82768), .A2(n82938), .B1(n82754), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N431) );
+  sky130_fd_sc_hd__o21ai_0 U101101 ( .A1(n82939), .A2(n82751), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[99]), .Y(n82755) );
+  sky130_fd_sc_hd__o21ai_0 U101102 ( .A1(n82768), .A2(n82941), .B1(n82755), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N432) );
+  sky130_fd_sc_hd__o21ai_0 U101103 ( .A1(n82942), .A2(n82751), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[100]), .Y(n82756) );
+  sky130_fd_sc_hd__o21ai_0 U101104 ( .A1(n82768), .A2(n82944), .B1(n82756), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N433) );
+  sky130_fd_sc_hd__o21ai_0 U101105 ( .A1(n82945), .A2(n82751), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[101]), .Y(n82757) );
+  sky130_fd_sc_hd__o21ai_0 U101106 ( .A1(n82768), .A2(n82947), .B1(n82757), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N434) );
+  sky130_fd_sc_hd__o21ai_0 U101107 ( .A1(n82948), .A2(n82751), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[102]), .Y(n82758) );
+  sky130_fd_sc_hd__o21ai_0 U101108 ( .A1(n82768), .A2(n82950), .B1(n82758), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N435) );
+  sky130_fd_sc_hd__o21ai_0 U101109 ( .A1(n82951), .A2(n82751), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[103]), .Y(n82759) );
+  sky130_fd_sc_hd__o21ai_0 U101110 ( .A1(n82768), .A2(n82953), .B1(n82759), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N436) );
+  sky130_fd_sc_hd__o21ai_0 U101111 ( .A1(n82954), .A2(n82751), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[104]), .Y(n82760) );
+  sky130_fd_sc_hd__o21ai_0 U101112 ( .A1(n82768), .A2(n82956), .B1(n82760), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N437) );
+  sky130_fd_sc_hd__o21ai_0 U101113 ( .A1(n82957), .A2(n82751), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[105]), .Y(n82761) );
+  sky130_fd_sc_hd__o21ai_0 U101114 ( .A1(n82768), .A2(n82959), .B1(n82761), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N438) );
+  sky130_fd_sc_hd__o21ai_0 U101115 ( .A1(n82960), .A2(n82751), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[106]), .Y(n82762) );
+  sky130_fd_sc_hd__o21ai_0 U101116 ( .A1(n82768), .A2(n82962), .B1(n82762), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N439) );
+  sky130_fd_sc_hd__o21ai_0 U101117 ( .A1(n82641), .A2(n82751), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[107]), .Y(n82763) );
+  sky130_fd_sc_hd__o21ai_0 U101118 ( .A1(n82768), .A2(n82964), .B1(n82763), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N440) );
+  sky130_fd_sc_hd__o21ai_0 U101119 ( .A1(n82965), .A2(n82751), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[108]), .Y(n82764) );
+  sky130_fd_sc_hd__o21ai_0 U101120 ( .A1(n82768), .A2(n82967), .B1(n82764), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N441) );
+  sky130_fd_sc_hd__o21ai_0 U101121 ( .A1(n82968), .A2(n82751), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[109]), .Y(n82765) );
+  sky130_fd_sc_hd__o21ai_0 U101122 ( .A1(n82768), .A2(n82970), .B1(n82765), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N442) );
+  sky130_fd_sc_hd__o21ai_0 U101123 ( .A1(n82971), .A2(n82751), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[110]), .Y(n82766) );
+  sky130_fd_sc_hd__o21ai_0 U101124 ( .A1(n82768), .A2(n82973), .B1(n82766), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N443) );
+  sky130_fd_sc_hd__o21ai_0 U101125 ( .A1(n82975), .A2(n82751), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[111]), .Y(n82767) );
+  sky130_fd_sc_hd__o21ai_0 U101126 ( .A1(n82768), .A2(n82977), .B1(n82767), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N444) );
+  sky130_fd_sc_hd__nor2_1 U101127 ( .A(n82769), .B(n82929), .Y(n82770) );
+  sky130_fd_sc_hd__nor2_1 U101128 ( .A(n82770), .B(n82868), .Y(n82771) );
+  sky130_fd_sc_hd__o21ai_0 U101129 ( .A1(n82619), .A2(n82771), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[112]), .Y(n82772) );
+  sky130_fd_sc_hd__o21ai_0 U101130 ( .A1(n82788), .A2(n82932), .B1(n82772), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N445) );
+  sky130_fd_sc_hd__o21ai_0 U101131 ( .A1(n82933), .A2(n82771), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[113]), .Y(n82773) );
+  sky130_fd_sc_hd__o21ai_0 U101132 ( .A1(n82788), .A2(n82935), .B1(n82773), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N446) );
+  sky130_fd_sc_hd__o21ai_0 U101133 ( .A1(n82936), .A2(n82771), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[114]), .Y(n82774) );
+  sky130_fd_sc_hd__o21ai_0 U101134 ( .A1(n82788), .A2(n82938), .B1(n82774), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N447) );
+  sky130_fd_sc_hd__o21ai_0 U101135 ( .A1(n82939), .A2(n82771), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[115]), .Y(n82775) );
+  sky130_fd_sc_hd__o21ai_0 U101136 ( .A1(n82788), .A2(n82941), .B1(n82775), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N448) );
+  sky130_fd_sc_hd__o21ai_0 U101137 ( .A1(n82942), .A2(n82771), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[116]), .Y(n82776) );
+  sky130_fd_sc_hd__o21ai_0 U101138 ( .A1(n82788), .A2(n82944), .B1(n82776), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N449) );
+  sky130_fd_sc_hd__o21ai_0 U101139 ( .A1(n82945), .A2(n82771), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[117]), .Y(n82777) );
+  sky130_fd_sc_hd__o21ai_0 U101140 ( .A1(n82788), .A2(n82947), .B1(n82777), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N450) );
+  sky130_fd_sc_hd__o21ai_0 U101141 ( .A1(n82948), .A2(n82771), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[118]), .Y(n82778) );
+  sky130_fd_sc_hd__o21ai_0 U101142 ( .A1(n82788), .A2(n82950), .B1(n82778), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N451) );
+  sky130_fd_sc_hd__o21ai_0 U101143 ( .A1(n82951), .A2(n82771), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[119]), .Y(n82779) );
+  sky130_fd_sc_hd__o21ai_0 U101144 ( .A1(n82788), .A2(n82953), .B1(n82779), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N452) );
+  sky130_fd_sc_hd__o21ai_0 U101145 ( .A1(n82954), .A2(n82771), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[120]), .Y(n82780) );
+  sky130_fd_sc_hd__o21ai_0 U101146 ( .A1(n82788), .A2(n82956), .B1(n82780), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N453) );
+  sky130_fd_sc_hd__o21ai_0 U101147 ( .A1(n82957), .A2(n82771), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[121]), .Y(n82781) );
+  sky130_fd_sc_hd__o21ai_0 U101148 ( .A1(n82788), .A2(n82959), .B1(n82781), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N454) );
+  sky130_fd_sc_hd__o21ai_0 U101149 ( .A1(n82960), .A2(n82771), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[122]), .Y(n82782) );
+  sky130_fd_sc_hd__o21ai_0 U101150 ( .A1(n82788), .A2(n82962), .B1(n82782), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N455) );
+  sky130_fd_sc_hd__o21ai_0 U101151 ( .A1(n82641), .A2(n82771), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[123]), .Y(n82783) );
+  sky130_fd_sc_hd__o21ai_0 U101152 ( .A1(n82788), .A2(n82964), .B1(n82783), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N456) );
+  sky130_fd_sc_hd__o21ai_0 U101153 ( .A1(n82965), .A2(n82771), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[124]), .Y(n82784) );
+  sky130_fd_sc_hd__o21ai_0 U101154 ( .A1(n82788), .A2(n82967), .B1(n82784), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N457) );
+  sky130_fd_sc_hd__o21ai_0 U101155 ( .A1(n82968), .A2(n82771), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[125]), .Y(n82785) );
+  sky130_fd_sc_hd__o21ai_0 U101156 ( .A1(n82788), .A2(n82970), .B1(n82785), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N458) );
+  sky130_fd_sc_hd__o21ai_0 U101157 ( .A1(n82971), .A2(n82771), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[126]), .Y(n82786) );
+  sky130_fd_sc_hd__o21ai_0 U101158 ( .A1(n82788), .A2(n82973), .B1(n82786), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N459) );
+  sky130_fd_sc_hd__o21ai_0 U101159 ( .A1(n82975), .A2(n82771), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[127]), .Y(n82787) );
+  sky130_fd_sc_hd__o21ai_0 U101160 ( .A1(n82788), .A2(n82977), .B1(n82787), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N460) );
+  sky130_fd_sc_hd__nand2_1 U101161 ( .A(n37265), .B(n82789), .Y(n82888) );
+  sky130_fd_sc_hd__nor2_1 U101162 ( .A(n82828), .B(n82888), .Y(n82790) );
+  sky130_fd_sc_hd__nor2_1 U101163 ( .A(n82790), .B(n82868), .Y(n82791) );
+  sky130_fd_sc_hd__o21ai_0 U101164 ( .A1(n82619), .A2(n82791), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[128]), .Y(n82792) );
+  sky130_fd_sc_hd__o21ai_0 U101165 ( .A1(n82808), .A2(n82932), .B1(n82792), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N461) );
+  sky130_fd_sc_hd__o21ai_0 U101166 ( .A1(n82933), .A2(n82791), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[129]), .Y(n82793) );
+  sky130_fd_sc_hd__o21ai_0 U101167 ( .A1(n82808), .A2(n82935), .B1(n82793), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N462) );
+  sky130_fd_sc_hd__o21ai_0 U101168 ( .A1(n82936), .A2(n82791), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[130]), .Y(n82794) );
+  sky130_fd_sc_hd__o21ai_0 U101169 ( .A1(n82808), .A2(n82938), .B1(n82794), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N463) );
+  sky130_fd_sc_hd__o21ai_0 U101170 ( .A1(n82939), .A2(n82791), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[131]), .Y(n82795) );
+  sky130_fd_sc_hd__o21ai_0 U101171 ( .A1(n82808), .A2(n82941), .B1(n82795), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N464) );
+  sky130_fd_sc_hd__o21ai_0 U101172 ( .A1(n82942), .A2(n82791), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[132]), .Y(n82796) );
+  sky130_fd_sc_hd__o21ai_0 U101173 ( .A1(n82808), .A2(n82944), .B1(n82796), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N465) );
+  sky130_fd_sc_hd__o21ai_0 U101174 ( .A1(n82945), .A2(n82791), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[133]), .Y(n82797) );
+  sky130_fd_sc_hd__o21ai_0 U101175 ( .A1(n82808), .A2(n82947), .B1(n82797), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N466) );
+  sky130_fd_sc_hd__o21ai_0 U101176 ( .A1(n82948), .A2(n82791), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[134]), .Y(n82798) );
+  sky130_fd_sc_hd__o21ai_0 U101177 ( .A1(n82808), .A2(n82950), .B1(n82798), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N467) );
+  sky130_fd_sc_hd__o21ai_0 U101178 ( .A1(n82951), .A2(n82791), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[135]), .Y(n82799) );
+  sky130_fd_sc_hd__o21ai_0 U101179 ( .A1(n82808), .A2(n82953), .B1(n82799), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N468) );
+  sky130_fd_sc_hd__o21ai_0 U101180 ( .A1(n82954), .A2(n82791), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[136]), .Y(n82800) );
+  sky130_fd_sc_hd__o21ai_0 U101181 ( .A1(n82808), .A2(n82956), .B1(n82800), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N469) );
+  sky130_fd_sc_hd__o21ai_0 U101182 ( .A1(n82957), .A2(n82791), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[137]), .Y(n82801) );
+  sky130_fd_sc_hd__o21ai_0 U101183 ( .A1(n82808), .A2(n82959), .B1(n82801), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N470) );
+  sky130_fd_sc_hd__o21ai_0 U101184 ( .A1(n82960), .A2(n82791), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[138]), .Y(n82802) );
+  sky130_fd_sc_hd__o21ai_0 U101185 ( .A1(n82808), .A2(n82962), .B1(n82802), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N471) );
+  sky130_fd_sc_hd__o21ai_0 U101186 ( .A1(n82641), .A2(n82791), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[139]), .Y(n82803) );
+  sky130_fd_sc_hd__o21ai_0 U101187 ( .A1(n82808), .A2(n82964), .B1(n82803), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N472) );
+  sky130_fd_sc_hd__o21ai_0 U101188 ( .A1(n82965), .A2(n82791), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[140]), .Y(n82804) );
+  sky130_fd_sc_hd__o21ai_0 U101189 ( .A1(n82808), .A2(n82967), .B1(n82804), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N473) );
+  sky130_fd_sc_hd__o21ai_0 U101190 ( .A1(n82968), .A2(n82791), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[141]), .Y(n82805) );
+  sky130_fd_sc_hd__o21ai_0 U101191 ( .A1(n82808), .A2(n82970), .B1(n82805), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N474) );
+  sky130_fd_sc_hd__o21ai_0 U101192 ( .A1(n82971), .A2(n82791), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[142]), .Y(n82806) );
+  sky130_fd_sc_hd__o21ai_0 U101193 ( .A1(n82808), .A2(n82973), .B1(n82806), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N475) );
+  sky130_fd_sc_hd__o21ai_0 U101194 ( .A1(n82975), .A2(n82791), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[143]), .Y(n82807) );
+  sky130_fd_sc_hd__o21ai_0 U101195 ( .A1(n82808), .A2(n82977), .B1(n82807), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N476) );
+  sky130_fd_sc_hd__nor2_1 U101196 ( .A(n82848), .B(n82888), .Y(n82809) );
+  sky130_fd_sc_hd__nor2_1 U101197 ( .A(n82809), .B(n82868), .Y(n82810) );
+  sky130_fd_sc_hd__o21ai_0 U101198 ( .A1(n82619), .A2(n82810), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[144]), .Y(n82811) );
+  sky130_fd_sc_hd__o21ai_0 U101199 ( .A1(n82827), .A2(n82932), .B1(n82811), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N477) );
+  sky130_fd_sc_hd__o21ai_0 U101200 ( .A1(n82933), .A2(n82810), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[145]), .Y(n82812) );
+  sky130_fd_sc_hd__o21ai_0 U101201 ( .A1(n82827), .A2(n82935), .B1(n82812), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N478) );
+  sky130_fd_sc_hd__o21ai_0 U101202 ( .A1(n82936), .A2(n82810), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[146]), .Y(n82813) );
+  sky130_fd_sc_hd__o21ai_0 U101203 ( .A1(n82827), .A2(n82938), .B1(n82813), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N479) );
+  sky130_fd_sc_hd__o21ai_0 U101204 ( .A1(n82939), .A2(n82810), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[147]), .Y(n82814) );
+  sky130_fd_sc_hd__o21ai_0 U101205 ( .A1(n82827), .A2(n82941), .B1(n82814), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N480) );
+  sky130_fd_sc_hd__o21ai_0 U101206 ( .A1(n82942), .A2(n82810), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[148]), .Y(n82815) );
+  sky130_fd_sc_hd__o21ai_0 U101207 ( .A1(n82827), .A2(n82944), .B1(n82815), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N481) );
+  sky130_fd_sc_hd__o21ai_0 U101208 ( .A1(n82945), .A2(n82810), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[149]), .Y(n82816) );
+  sky130_fd_sc_hd__o21ai_0 U101209 ( .A1(n82827), .A2(n82947), .B1(n82816), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N482) );
+  sky130_fd_sc_hd__o21ai_0 U101210 ( .A1(n82948), .A2(n82810), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[150]), .Y(n82817) );
+  sky130_fd_sc_hd__o21ai_0 U101211 ( .A1(n82827), .A2(n82950), .B1(n82817), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N483) );
+  sky130_fd_sc_hd__o21ai_0 U101212 ( .A1(n82951), .A2(n82810), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[151]), .Y(n82818) );
+  sky130_fd_sc_hd__o21ai_0 U101213 ( .A1(n82827), .A2(n82953), .B1(n82818), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N484) );
+  sky130_fd_sc_hd__o21ai_0 U101214 ( .A1(n82954), .A2(n82810), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[152]), .Y(n82819) );
+  sky130_fd_sc_hd__o21ai_0 U101215 ( .A1(n82827), .A2(n82956), .B1(n82819), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N485) );
+  sky130_fd_sc_hd__o21ai_0 U101216 ( .A1(n82957), .A2(n82810), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[153]), .Y(n82820) );
+  sky130_fd_sc_hd__o21ai_0 U101217 ( .A1(n82827), .A2(n82959), .B1(n82820), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N486) );
+  sky130_fd_sc_hd__o21ai_0 U101218 ( .A1(n82960), .A2(n82810), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[154]), .Y(n82821) );
+  sky130_fd_sc_hd__o21ai_0 U101219 ( .A1(n82827), .A2(n82962), .B1(n82821), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N487) );
+  sky130_fd_sc_hd__o21ai_0 U101220 ( .A1(n82641), .A2(n82810), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[155]), .Y(n82822) );
+  sky130_fd_sc_hd__o21ai_0 U101221 ( .A1(n82827), .A2(n82964), .B1(n82822), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N488) );
+  sky130_fd_sc_hd__o21ai_0 U101222 ( .A1(n82965), .A2(n82810), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[156]), .Y(n82823) );
+  sky130_fd_sc_hd__o21ai_0 U101223 ( .A1(n82827), .A2(n82967), .B1(n82823), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N489) );
+  sky130_fd_sc_hd__o21ai_0 U101224 ( .A1(n82968), .A2(n82810), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[157]), .Y(n82824) );
+  sky130_fd_sc_hd__o21ai_0 U101225 ( .A1(n82827), .A2(n82970), .B1(n82824), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N490) );
+  sky130_fd_sc_hd__o21ai_0 U101226 ( .A1(n82971), .A2(n82810), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[158]), .Y(n82825) );
+  sky130_fd_sc_hd__o21ai_0 U101227 ( .A1(n82827), .A2(n82973), .B1(n82825), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N491) );
+  sky130_fd_sc_hd__o21ai_0 U101228 ( .A1(n82975), .A2(n82810), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[159]), .Y(n82826) );
+  sky130_fd_sc_hd__o21ai_0 U101229 ( .A1(n82827), .A2(n82977), .B1(n82826), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N492) );
+  sky130_fd_sc_hd__nand2_1 U101230 ( .A(n37265), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_master_out_a_bits_address[11]), .Y(n82928) );
+  sky130_fd_sc_hd__nor2_1 U101231 ( .A(n82828), .B(n82928), .Y(n82829) );
+  sky130_fd_sc_hd__nor2_1 U101232 ( .A(n82829), .B(n82868), .Y(n82830) );
+  sky130_fd_sc_hd__o21ai_0 U101233 ( .A1(n82619), .A2(n82830), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[160]), .Y(n82831) );
+  sky130_fd_sc_hd__o21ai_0 U101234 ( .A1(n82847), .A2(n82932), .B1(n82831), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N493) );
+  sky130_fd_sc_hd__o21ai_0 U101235 ( .A1(n82933), .A2(n82830), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[161]), .Y(n82832) );
+  sky130_fd_sc_hd__o21ai_0 U101236 ( .A1(n82847), .A2(n82935), .B1(n82832), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N494) );
+  sky130_fd_sc_hd__o21ai_0 U101237 ( .A1(n82936), .A2(n82830), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[162]), .Y(n82833) );
+  sky130_fd_sc_hd__o21ai_0 U101238 ( .A1(n82847), .A2(n82938), .B1(n82833), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N495) );
+  sky130_fd_sc_hd__o21ai_0 U101239 ( .A1(n82939), .A2(n82830), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[163]), .Y(n82834) );
+  sky130_fd_sc_hd__o21ai_0 U101240 ( .A1(n82847), .A2(n82941), .B1(n82834), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N496) );
+  sky130_fd_sc_hd__o21ai_0 U101241 ( .A1(n82942), .A2(n82830), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[164]), .Y(n82835) );
+  sky130_fd_sc_hd__o21ai_0 U101242 ( .A1(n82847), .A2(n82944), .B1(n82835), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N497) );
+  sky130_fd_sc_hd__o21ai_0 U101243 ( .A1(n82945), .A2(n82830), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[165]), .Y(n82836) );
+  sky130_fd_sc_hd__o21ai_0 U101244 ( .A1(n82847), .A2(n82947), .B1(n82836), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N498) );
+  sky130_fd_sc_hd__o21ai_0 U101245 ( .A1(n82948), .A2(n82830), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[166]), .Y(n82837) );
+  sky130_fd_sc_hd__o21ai_0 U101246 ( .A1(n82847), .A2(n82950), .B1(n82837), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N499) );
+  sky130_fd_sc_hd__o21ai_0 U101247 ( .A1(n82951), .A2(n82830), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[167]), .Y(n82838) );
+  sky130_fd_sc_hd__o21ai_0 U101248 ( .A1(n82847), .A2(n82953), .B1(n82838), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N500) );
+  sky130_fd_sc_hd__o21ai_0 U101249 ( .A1(n82954), .A2(n82830), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[168]), .Y(n82839) );
+  sky130_fd_sc_hd__o21ai_0 U101250 ( .A1(n82847), .A2(n82956), .B1(n82839), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N501) );
+  sky130_fd_sc_hd__o21ai_0 U101251 ( .A1(n82957), .A2(n82830), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[169]), .Y(n82840) );
+  sky130_fd_sc_hd__o21ai_0 U101252 ( .A1(n82847), .A2(n82959), .B1(n82840), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N502) );
+  sky130_fd_sc_hd__o21ai_0 U101253 ( .A1(n82960), .A2(n82830), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[170]), .Y(n82841) );
+  sky130_fd_sc_hd__o21ai_0 U101254 ( .A1(n82847), .A2(n82962), .B1(n82841), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N503) );
+  sky130_fd_sc_hd__o21ai_0 U101255 ( .A1(n82641), .A2(n82830), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[171]), .Y(n82842) );
+  sky130_fd_sc_hd__o21ai_0 U101256 ( .A1(n82847), .A2(n82964), .B1(n82842), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N504) );
+  sky130_fd_sc_hd__o21ai_0 U101257 ( .A1(n82965), .A2(n82830), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[172]), .Y(n82843) );
+  sky130_fd_sc_hd__o21ai_0 U101258 ( .A1(n82847), .A2(n82967), .B1(n82843), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N505) );
+  sky130_fd_sc_hd__o21ai_0 U101259 ( .A1(n82968), .A2(n82830), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[173]), .Y(n82844) );
+  sky130_fd_sc_hd__o21ai_0 U101260 ( .A1(n82847), .A2(n82970), .B1(n82844), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N506) );
+  sky130_fd_sc_hd__o21ai_0 U101261 ( .A1(n82971), .A2(n82830), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[174]), .Y(n82845) );
+  sky130_fd_sc_hd__o21ai_0 U101262 ( .A1(n82847), .A2(n82973), .B1(n82845), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N507) );
+  sky130_fd_sc_hd__o21ai_0 U101263 ( .A1(n82975), .A2(n82830), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[175]), .Y(n82846) );
+  sky130_fd_sc_hd__o21ai_0 U101264 ( .A1(n82847), .A2(n82977), .B1(n82846), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N508) );
+  sky130_fd_sc_hd__nor2_1 U101265 ( .A(n82848), .B(n82928), .Y(n82849) );
+  sky130_fd_sc_hd__nor2_1 U101266 ( .A(n82849), .B(n82868), .Y(n82850) );
+  sky130_fd_sc_hd__o21ai_0 U101267 ( .A1(n82619), .A2(n82850), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[176]), .Y(n82851) );
+  sky130_fd_sc_hd__o21ai_0 U101268 ( .A1(n82867), .A2(n82932), .B1(n82851), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N509) );
+  sky130_fd_sc_hd__o21ai_0 U101269 ( .A1(n82933), .A2(n82850), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[177]), .Y(n82852) );
+  sky130_fd_sc_hd__o21ai_0 U101270 ( .A1(n82867), .A2(n82935), .B1(n82852), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N510) );
+  sky130_fd_sc_hd__o21ai_0 U101271 ( .A1(n82936), .A2(n82850), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[178]), .Y(n82853) );
+  sky130_fd_sc_hd__o21ai_0 U101272 ( .A1(n82867), .A2(n82938), .B1(n82853), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N511) );
+  sky130_fd_sc_hd__o21ai_0 U101273 ( .A1(n82939), .A2(n82850), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[179]), .Y(n82854) );
+  sky130_fd_sc_hd__o21ai_0 U101274 ( .A1(n82867), .A2(n82941), .B1(n82854), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N512) );
+  sky130_fd_sc_hd__o21ai_0 U101275 ( .A1(n82942), .A2(n82850), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[180]), .Y(n82855) );
+  sky130_fd_sc_hd__o21ai_0 U101276 ( .A1(n82867), .A2(n82944), .B1(n82855), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N513) );
+  sky130_fd_sc_hd__o21ai_0 U101277 ( .A1(n82945), .A2(n82850), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[181]), .Y(n82856) );
+  sky130_fd_sc_hd__o21ai_0 U101278 ( .A1(n82867), .A2(n82947), .B1(n82856), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N514) );
+  sky130_fd_sc_hd__o21ai_0 U101279 ( .A1(n82948), .A2(n82850), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[182]), .Y(n82857) );
+  sky130_fd_sc_hd__o21ai_0 U101280 ( .A1(n82867), .A2(n82950), .B1(n82857), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N515) );
+  sky130_fd_sc_hd__o21ai_0 U101281 ( .A1(n82951), .A2(n82850), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[183]), .Y(n82858) );
+  sky130_fd_sc_hd__o21ai_0 U101282 ( .A1(n82867), .A2(n82953), .B1(n82858), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N516) );
+  sky130_fd_sc_hd__o21ai_0 U101283 ( .A1(n82954), .A2(n82850), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[184]), .Y(n82859) );
+  sky130_fd_sc_hd__o21ai_0 U101284 ( .A1(n82867), .A2(n82956), .B1(n82859), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N517) );
+  sky130_fd_sc_hd__o21ai_0 U101285 ( .A1(n82957), .A2(n82850), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[185]), .Y(n82860) );
+  sky130_fd_sc_hd__o21ai_0 U101286 ( .A1(n82867), .A2(n82959), .B1(n82860), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N518) );
+  sky130_fd_sc_hd__o21ai_0 U101287 ( .A1(n82960), .A2(n82850), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[186]), .Y(n82861) );
+  sky130_fd_sc_hd__o21ai_0 U101288 ( .A1(n82867), .A2(n82962), .B1(n82861), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N519) );
+  sky130_fd_sc_hd__o21ai_0 U101289 ( .A1(n82641), .A2(n82850), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[187]), .Y(n82862) );
+  sky130_fd_sc_hd__o21ai_0 U101290 ( .A1(n82867), .A2(n82964), .B1(n82862), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N520) );
+  sky130_fd_sc_hd__o21ai_0 U101291 ( .A1(n82965), .A2(n82850), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[188]), .Y(n82863) );
+  sky130_fd_sc_hd__o21ai_0 U101292 ( .A1(n82867), .A2(n82967), .B1(n82863), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N521) );
+  sky130_fd_sc_hd__o21ai_0 U101293 ( .A1(n82968), .A2(n82850), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[189]), .Y(n82864) );
+  sky130_fd_sc_hd__o21ai_0 U101294 ( .A1(n82867), .A2(n82970), .B1(n82864), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N522) );
+  sky130_fd_sc_hd__o21ai_0 U101295 ( .A1(n82971), .A2(n82850), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[190]), .Y(n82865) );
+  sky130_fd_sc_hd__o21ai_0 U101296 ( .A1(n82867), .A2(n82973), .B1(n82865), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N523) );
+  sky130_fd_sc_hd__o21ai_0 U101297 ( .A1(n82975), .A2(n82850), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[191]), .Y(n82866) );
+  sky130_fd_sc_hd__o21ai_0 U101298 ( .A1(n82867), .A2(n82977), .B1(n82866), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N524) );
+  sky130_fd_sc_hd__nor2_1 U101299 ( .A(n82908), .B(n82888), .Y(n82869) );
+  sky130_fd_sc_hd__nor2_1 U101300 ( .A(n82869), .B(n82868), .Y(n82870) );
+  sky130_fd_sc_hd__o21ai_0 U101301 ( .A1(n82619), .A2(n82870), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[192]), .Y(n82871) );
+  sky130_fd_sc_hd__o21ai_0 U101302 ( .A1(n82887), .A2(n82932), .B1(n82871), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N525) );
+  sky130_fd_sc_hd__o21ai_0 U101303 ( .A1(n82933), .A2(n82870), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[193]), .Y(n82872) );
+  sky130_fd_sc_hd__o21ai_0 U101304 ( .A1(n82887), .A2(n82935), .B1(n82872), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N526) );
+  sky130_fd_sc_hd__o21ai_0 U101305 ( .A1(n82936), .A2(n82870), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[194]), .Y(n82873) );
+  sky130_fd_sc_hd__o21ai_0 U101306 ( .A1(n82887), .A2(n82938), .B1(n82873), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N527) );
+  sky130_fd_sc_hd__o21ai_0 U101307 ( .A1(n82939), .A2(n82870), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[195]), .Y(n82874) );
+  sky130_fd_sc_hd__o21ai_0 U101308 ( .A1(n82887), .A2(n82941), .B1(n82874), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N528) );
+  sky130_fd_sc_hd__o21ai_0 U101309 ( .A1(n82942), .A2(n82870), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[196]), .Y(n82875) );
+  sky130_fd_sc_hd__o21ai_0 U101310 ( .A1(n82887), .A2(n82944), .B1(n82875), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N529) );
+  sky130_fd_sc_hd__o21ai_0 U101311 ( .A1(n82945), .A2(n82870), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[197]), .Y(n82876) );
+  sky130_fd_sc_hd__o21ai_0 U101312 ( .A1(n82887), .A2(n82947), .B1(n82876), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N530) );
+  sky130_fd_sc_hd__o21ai_0 U101313 ( .A1(n82948), .A2(n82870), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[198]), .Y(n82877) );
+  sky130_fd_sc_hd__o21ai_0 U101314 ( .A1(n82887), .A2(n82950), .B1(n82877), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N531) );
+  sky130_fd_sc_hd__o21ai_0 U101315 ( .A1(n82951), .A2(n82870), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[199]), .Y(n82878) );
+  sky130_fd_sc_hd__o21ai_0 U101316 ( .A1(n82887), .A2(n82953), .B1(n82878), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N532) );
+  sky130_fd_sc_hd__o21ai_0 U101317 ( .A1(n82954), .A2(n82870), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[200]), .Y(n82879) );
+  sky130_fd_sc_hd__o21ai_0 U101318 ( .A1(n82887), .A2(n82956), .B1(n82879), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N533) );
+  sky130_fd_sc_hd__o21ai_0 U101319 ( .A1(n82957), .A2(n82870), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[201]), .Y(n82880) );
+  sky130_fd_sc_hd__o21ai_0 U101320 ( .A1(n82887), .A2(n82959), .B1(n82880), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N534) );
+  sky130_fd_sc_hd__o21ai_0 U101321 ( .A1(n82960), .A2(n82870), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[202]), .Y(n82881) );
+  sky130_fd_sc_hd__o21ai_0 U101322 ( .A1(n82887), .A2(n82962), .B1(n82881), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N535) );
+  sky130_fd_sc_hd__o21ai_0 U101323 ( .A1(n82641), .A2(n82870), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[203]), .Y(n82882) );
+  sky130_fd_sc_hd__o21ai_0 U101324 ( .A1(n82887), .A2(n82964), .B1(n82882), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N536) );
+  sky130_fd_sc_hd__o21ai_0 U101325 ( .A1(n82965), .A2(n82870), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[204]), .Y(n82883) );
+  sky130_fd_sc_hd__o21ai_0 U101326 ( .A1(n82887), .A2(n82967), .B1(n82883), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N537) );
+  sky130_fd_sc_hd__o21ai_0 U101327 ( .A1(n82968), .A2(n82870), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[205]), .Y(n82884) );
+  sky130_fd_sc_hd__o21ai_0 U101328 ( .A1(n82887), .A2(n82970), .B1(n82884), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N538) );
+  sky130_fd_sc_hd__o21ai_0 U101329 ( .A1(n82971), .A2(n82870), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[206]), .Y(n82885) );
+  sky130_fd_sc_hd__o21ai_0 U101330 ( .A1(n82887), .A2(n82973), .B1(n82885), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N539) );
+  sky130_fd_sc_hd__o21ai_0 U101331 ( .A1(n82975), .A2(n82870), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[207]), .Y(n82886) );
+  sky130_fd_sc_hd__o21ai_0 U101332 ( .A1(n82887), .A2(n82977), .B1(n82886), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N540) );
+  sky130_fd_sc_hd__nor2_1 U101333 ( .A(n82929), .B(n82888), .Y(n82889) );
+  sky130_fd_sc_hd__nor2_1 U101334 ( .A(n82889), .B(n82868), .Y(n82905) );
+  sky130_fd_sc_hd__o21ai_0 U101335 ( .A1(n82619), .A2(n82905), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[208]), .Y(n82890) );
+  sky130_fd_sc_hd__o21ai_0 U101336 ( .A1(n82907), .A2(n82932), .B1(n82890), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N541) );
+  sky130_fd_sc_hd__o21ai_0 U101337 ( .A1(n82933), .A2(n82905), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[209]), .Y(n82891) );
+  sky130_fd_sc_hd__o21ai_0 U101338 ( .A1(n82907), .A2(n82935), .B1(n82891), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N542) );
+  sky130_fd_sc_hd__o21ai_0 U101339 ( .A1(n82936), .A2(n82905), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[210]), .Y(n82892) );
+  sky130_fd_sc_hd__o21ai_0 U101340 ( .A1(n82907), .A2(n82938), .B1(n82892), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N543) );
+  sky130_fd_sc_hd__o21ai_0 U101341 ( .A1(n82939), .A2(n82905), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[211]), .Y(n82893) );
+  sky130_fd_sc_hd__o21ai_0 U101342 ( .A1(n82907), .A2(n82941), .B1(n82893), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N544) );
+  sky130_fd_sc_hd__o21ai_0 U101343 ( .A1(n82942), .A2(n82905), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[212]), .Y(n82894) );
+  sky130_fd_sc_hd__o21ai_0 U101344 ( .A1(n82907), .A2(n82944), .B1(n82894), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N545) );
+  sky130_fd_sc_hd__o21ai_0 U101345 ( .A1(n82945), .A2(n82905), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[213]), .Y(n82895) );
+  sky130_fd_sc_hd__o21ai_0 U101346 ( .A1(n82907), .A2(n82947), .B1(n82895), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N546) );
+  sky130_fd_sc_hd__o21ai_0 U101347 ( .A1(n82948), .A2(n82905), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[214]), .Y(n82896) );
+  sky130_fd_sc_hd__o21ai_0 U101348 ( .A1(n82907), .A2(n82950), .B1(n82896), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N547) );
+  sky130_fd_sc_hd__o21ai_0 U101349 ( .A1(n82951), .A2(n82905), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[215]), .Y(n82897) );
+  sky130_fd_sc_hd__o21ai_0 U101350 ( .A1(n82907), .A2(n82953), .B1(n82897), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N548) );
+  sky130_fd_sc_hd__o21ai_0 U101351 ( .A1(n82954), .A2(n82905), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[216]), .Y(n82898) );
+  sky130_fd_sc_hd__o21ai_0 U101352 ( .A1(n82907), .A2(n82956), .B1(n82898), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N549) );
+  sky130_fd_sc_hd__o21ai_0 U101353 ( .A1(n82957), .A2(n82905), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[217]), .Y(n82899) );
+  sky130_fd_sc_hd__o21ai_0 U101354 ( .A1(n82907), .A2(n82959), .B1(n82899), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N550) );
+  sky130_fd_sc_hd__o21ai_0 U101355 ( .A1(n82960), .A2(n82905), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[218]), .Y(n82900) );
+  sky130_fd_sc_hd__o21ai_0 U101356 ( .A1(n82907), .A2(n82962), .B1(n82900), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N551) );
+  sky130_fd_sc_hd__o21ai_0 U101357 ( .A1(n82641), .A2(n82905), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[219]), .Y(n82901) );
+  sky130_fd_sc_hd__o21ai_0 U101358 ( .A1(n82907), .A2(n82964), .B1(n82901), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N552) );
+  sky130_fd_sc_hd__o21ai_0 U101359 ( .A1(n82965), .A2(n82905), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[220]), .Y(n82902) );
+  sky130_fd_sc_hd__o21ai_0 U101360 ( .A1(n82907), .A2(n82967), .B1(n82902), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N553) );
+  sky130_fd_sc_hd__o21ai_0 U101361 ( .A1(n82968), .A2(n82905), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[221]), .Y(n82903) );
+  sky130_fd_sc_hd__o21ai_0 U101362 ( .A1(n82907), .A2(n82970), .B1(n82903), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N554) );
+  sky130_fd_sc_hd__o21ai_0 U101363 ( .A1(n82971), .A2(n82905), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[222]), .Y(n82904) );
+  sky130_fd_sc_hd__o21ai_0 U101364 ( .A1(n82907), .A2(n82973), .B1(n82904), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N555) );
+  sky130_fd_sc_hd__o21ai_0 U101365 ( .A1(n82975), .A2(n82905), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[223]), .Y(n82906) );
+  sky130_fd_sc_hd__o21ai_0 U101366 ( .A1(n82907), .A2(n82977), .B1(n82906), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N556) );
+  sky130_fd_sc_hd__nor2_1 U101367 ( .A(n82908), .B(n82928), .Y(n82909) );
+  sky130_fd_sc_hd__nor2_1 U101368 ( .A(n82909), .B(n82868), .Y(n82925) );
+  sky130_fd_sc_hd__o21ai_0 U101369 ( .A1(n82619), .A2(n82925), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[224]), .Y(n82910) );
+  sky130_fd_sc_hd__o21ai_0 U101370 ( .A1(n82927), .A2(n82932), .B1(n82910), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N557) );
+  sky130_fd_sc_hd__o21ai_0 U101371 ( .A1(n82933), .A2(n82925), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[225]), .Y(n82911) );
+  sky130_fd_sc_hd__o21ai_0 U101372 ( .A1(n82927), .A2(n82935), .B1(n82911), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N558) );
+  sky130_fd_sc_hd__o21ai_0 U101373 ( .A1(n82936), .A2(n82925), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[226]), .Y(n82912) );
+  sky130_fd_sc_hd__o21ai_0 U101374 ( .A1(n82927), .A2(n82938), .B1(n82912), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N559) );
+  sky130_fd_sc_hd__o21ai_0 U101375 ( .A1(n82939), .A2(n82925), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[227]), .Y(n82913) );
+  sky130_fd_sc_hd__o21ai_0 U101376 ( .A1(n82927), .A2(n82941), .B1(n82913), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N560) );
+  sky130_fd_sc_hd__o21ai_0 U101377 ( .A1(n82942), .A2(n82925), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[228]), .Y(n82914) );
+  sky130_fd_sc_hd__o21ai_0 U101378 ( .A1(n82927), .A2(n82944), .B1(n82914), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N561) );
+  sky130_fd_sc_hd__o21ai_0 U101379 ( .A1(n82945), .A2(n82925), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[229]), .Y(n82915) );
+  sky130_fd_sc_hd__o21ai_0 U101380 ( .A1(n82927), .A2(n82947), .B1(n82915), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N562) );
+  sky130_fd_sc_hd__o21ai_0 U101381 ( .A1(n82948), .A2(n82925), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[230]), .Y(n82916) );
+  sky130_fd_sc_hd__o21ai_0 U101382 ( .A1(n82927), .A2(n82950), .B1(n82916), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N563) );
+  sky130_fd_sc_hd__o21ai_0 U101383 ( .A1(n82951), .A2(n82925), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[231]), .Y(n82917) );
+  sky130_fd_sc_hd__o21ai_0 U101384 ( .A1(n82927), .A2(n82953), .B1(n82917), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N564) );
+  sky130_fd_sc_hd__o21ai_0 U101385 ( .A1(n82954), .A2(n82925), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[232]), .Y(n82918) );
+  sky130_fd_sc_hd__o21ai_0 U101386 ( .A1(n82927), .A2(n82956), .B1(n82918), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N565) );
+  sky130_fd_sc_hd__o21ai_0 U101387 ( .A1(n82957), .A2(n82925), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[233]), .Y(n82919) );
+  sky130_fd_sc_hd__o21ai_0 U101388 ( .A1(n82927), .A2(n82959), .B1(n82919), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N566) );
+  sky130_fd_sc_hd__o21ai_0 U101389 ( .A1(n82960), .A2(n82925), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[234]), .Y(n82920) );
+  sky130_fd_sc_hd__o21ai_0 U101390 ( .A1(n82927), .A2(n82962), .B1(n82920), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N567) );
+  sky130_fd_sc_hd__o21ai_0 U101391 ( .A1(n82641), .A2(n82925), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[235]), .Y(n82921) );
+  sky130_fd_sc_hd__o21ai_0 U101392 ( .A1(n82927), .A2(n82964), .B1(n82921), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N568) );
+  sky130_fd_sc_hd__o21ai_0 U101393 ( .A1(n82965), .A2(n82925), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[236]), .Y(n82922) );
+  sky130_fd_sc_hd__o21ai_0 U101394 ( .A1(n82927), .A2(n82967), .B1(n82922), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N569) );
+  sky130_fd_sc_hd__o21ai_0 U101395 ( .A1(n82968), .A2(n82925), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[237]), .Y(n82923) );
+  sky130_fd_sc_hd__o21ai_0 U101396 ( .A1(n82927), .A2(n82970), .B1(n82923), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N570) );
+  sky130_fd_sc_hd__o21ai_0 U101397 ( .A1(n82971), .A2(n82925), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[238]), .Y(n82924) );
+  sky130_fd_sc_hd__o21ai_0 U101398 ( .A1(n82927), .A2(n82973), .B1(n82924), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N571) );
+  sky130_fd_sc_hd__o21ai_0 U101399 ( .A1(n82975), .A2(n82925), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[239]), .Y(n82926) );
+  sky130_fd_sc_hd__o21ai_0 U101400 ( .A1(n82927), .A2(n82977), .B1(n82926), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N572) );
+  sky130_fd_sc_hd__nor2_1 U101401 ( .A(n82929), .B(n82928), .Y(n82930) );
+  sky130_fd_sc_hd__nor2_1 U101402 ( .A(n82930), .B(n82868), .Y(n82974) );
+  sky130_fd_sc_hd__o21ai_0 U101403 ( .A1(n82619), .A2(n82974), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[240]), .Y(n82931) );
+  sky130_fd_sc_hd__o21ai_0 U101404 ( .A1(n82978), .A2(n82932), .B1(n82931), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N573) );
+  sky130_fd_sc_hd__o21ai_0 U101405 ( .A1(n82933), .A2(n82974), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[241]), .Y(n82934) );
+  sky130_fd_sc_hd__o21ai_0 U101406 ( .A1(n82978), .A2(n82935), .B1(n82934), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N574) );
+  sky130_fd_sc_hd__o21ai_0 U101407 ( .A1(n82936), .A2(n82974), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[242]), .Y(n82937) );
+  sky130_fd_sc_hd__o21ai_0 U101408 ( .A1(n82978), .A2(n82938), .B1(n82937), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N575) );
+  sky130_fd_sc_hd__o21ai_0 U101409 ( .A1(n82939), .A2(n82974), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[243]), .Y(n82940) );
+  sky130_fd_sc_hd__o21ai_0 U101410 ( .A1(n82978), .A2(n82941), .B1(n82940), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N576) );
+  sky130_fd_sc_hd__o21ai_0 U101411 ( .A1(n82942), .A2(n82974), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[244]), .Y(n82943) );
+  sky130_fd_sc_hd__o21ai_0 U101412 ( .A1(n82978), .A2(n82944), .B1(n82943), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N577) );
+  sky130_fd_sc_hd__o21ai_0 U101413 ( .A1(n82945), .A2(n82974), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[245]), .Y(n82946) );
+  sky130_fd_sc_hd__o21ai_0 U101414 ( .A1(n82978), .A2(n82947), .B1(n82946), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N578) );
+  sky130_fd_sc_hd__o21ai_0 U101415 ( .A1(n82948), .A2(n82974), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[246]), .Y(n82949) );
+  sky130_fd_sc_hd__o21ai_0 U101416 ( .A1(n82978), .A2(n82950), .B1(n82949), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N579) );
+  sky130_fd_sc_hd__o21ai_0 U101417 ( .A1(n82951), .A2(n82974), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[247]), .Y(n82952) );
+  sky130_fd_sc_hd__o21ai_0 U101418 ( .A1(n82978), .A2(n82953), .B1(n82952), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N580) );
+  sky130_fd_sc_hd__o21ai_0 U101419 ( .A1(n82954), .A2(n82974), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[248]), .Y(n82955) );
+  sky130_fd_sc_hd__o21ai_0 U101420 ( .A1(n82978), .A2(n82956), .B1(n82955), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N581) );
+  sky130_fd_sc_hd__o21ai_0 U101421 ( .A1(n82957), .A2(n82974), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[249]), .Y(n82958) );
+  sky130_fd_sc_hd__o21ai_0 U101422 ( .A1(n82978), .A2(n82959), .B1(n82958), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N582) );
+  sky130_fd_sc_hd__o21ai_0 U101423 ( .A1(n82960), .A2(n82974), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[250]), .Y(n82961) );
+  sky130_fd_sc_hd__o21ai_0 U101424 ( .A1(n82978), .A2(n82962), .B1(n82961), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N583) );
+  sky130_fd_sc_hd__o21ai_0 U101425 ( .A1(n82641), .A2(n82974), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[251]), .Y(n82963) );
+  sky130_fd_sc_hd__o21ai_0 U101426 ( .A1(n82978), .A2(n82964), .B1(n82963), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N584) );
+  sky130_fd_sc_hd__o21ai_0 U101427 ( .A1(n82965), .A2(n82974), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[252]), .Y(n82966) );
+  sky130_fd_sc_hd__o21ai_0 U101428 ( .A1(n82978), .A2(n82967), .B1(n82966), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N585) );
+  sky130_fd_sc_hd__o21ai_0 U101429 ( .A1(n82968), .A2(n82974), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[253]), .Y(n82969) );
+  sky130_fd_sc_hd__o21ai_0 U101430 ( .A1(n82978), .A2(n82970), .B1(n82969), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N586) );
+  sky130_fd_sc_hd__o21ai_0 U101431 ( .A1(n82971), .A2(n82974), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[254]), .Y(n82972) );
+  sky130_fd_sc_hd__o21ai_0 U101432 ( .A1(n82978), .A2(n82973), .B1(n82972), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N587) );
+  sky130_fd_sc_hd__o21ai_0 U101433 ( .A1(n82975), .A2(n82974), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[255]), .Y(n82976) );
+  sky130_fd_sc_hd__o21ai_0 U101434 ( .A1(n82978), .A2(n82977), .B1(n82976), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N588) );
+  sky130_fd_sc_hd__a21oi_1 U101435 ( .A1(n82979), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_slave_in_d_valid), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s2_slaveValid), .Y(n82980) );
+  sky130_fd_sc_hd__nor2_1 U101436 ( .A(n36848), .B(n82980), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N65) );
+  sky130_fd_sc_hd__nor2_1 U101437 ( .A(n60015), .B(n82981), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N61) );
+  sky130_fd_sc_hd__nor2_1 U101438 ( .A(n85881), .B(n82982), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N56) );
+  sky130_fd_sc_hd__nand3_1 U101439 ( .A(n82986), .B(n82985), .C(n82984), .Y(
+        n82990) );
+  sky130_fd_sc_hd__o21ai_1 U101440 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter[0]), .A2(n82997), .B1(n82990), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N847) );
+  sky130_fd_sc_hd__a21oi_1 U101441 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter[1]), .B1(n82988), .Y(n82987) );
+  sky130_fd_sc_hd__o21ai_1 U101442 ( .A1(n82987), .A2(n82997), .B1(n82990), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N848) );
+  sky130_fd_sc_hd__nor2_1 U101443 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter[2]), .B(n82989), .Y(n82994) );
+  sky130_fd_sc_hd__a21oi_1 U101444 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter[2]), .A2(n82989), .B1(n82994), .Y(n82992) );
+  sky130_fd_sc_hd__nand2_1 U101445 ( .A(n82991), .B(n85882), .Y(n82996) );
+  sky130_fd_sc_hd__o21ai_1 U101446 ( .A1(n82992), .A2(n82997), .B1(n82996), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N849) );
+  sky130_fd_sc_hd__o21a_1 U101447 ( .A1(n82995), .A2(n82994), .B1(n82993), .X(
+        n82998) );
+  sky130_fd_sc_hd__o21ai_1 U101448 ( .A1(n82998), .A2(n82997), .B1(n82996), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N850) );
+  sky130_fd_sc_hd__nand3_1 U101449 ( .A(n83001), .B(n83000), .C(n82999), .Y(
+        n83009) );
+  sky130_fd_sc_hd__o22ai_1 U101450 ( .A1(n83002), .A2(n83009), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[0]), .B2(n83011), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N811) );
+  sky130_fd_sc_hd__o22ai_1 U101451 ( .A1(n83004), .A2(n83009), .B1(n83003), 
+        .B2(n83011), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N812) );
+  sky130_fd_sc_hd__o22ai_1 U101452 ( .A1(n83006), .A2(n83009), .B1(n83005), 
+        .B2(n83011), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N813) );
+  sky130_fd_sc_hd__o22ai_1 U101453 ( .A1(n83008), .A2(n83011), .B1(n83007), 
+        .B2(n83009), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N814) );
+  sky130_fd_sc_hd__o22ai_1 U101454 ( .A1(n83012), .A2(n83011), .B1(n83010), 
+        .B2(n83009), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N815) );
+  sky130_fd_sc_hd__nor3_1 U101455 ( .A(n83015), .B(n83014), .C(n83013), .Y(
+        n83019) );
+  sky130_fd_sc_hd__o21ai_1 U101456 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter_1[0]), .A2(n83022), .B1(n83017), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N569) );
+  sky130_fd_sc_hd__o21ai_1 U101457 ( .A1(n83018), .A2(n83022), .B1(n83017), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N570) );
+  sky130_fd_sc_hd__nand2_1 U101458 ( .A(n83019), .B(n85882), .Y(n83021) );
+  sky130_fd_sc_hd__o21ai_1 U101459 ( .A1(n83020), .A2(n83022), .B1(n83021), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N571) );
+  sky130_fd_sc_hd__o22ai_1 U101461 ( .A1(n83025), .A2(n83033), .B1(n83027), 
+        .B2(n83024), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N521) );
+  sky130_fd_sc_hd__o22ai_1 U101462 ( .A1(n83028), .A2(n83033), .B1(n83027), 
+        .B2(n83026), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N522) );
+  sky130_fd_sc_hd__nor2_1 U101463 ( .A(n83031), .B(n83030), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N494) );
+  sky130_fd_sc_hd__nor2_1 U101464 ( .A(n36848), .B(n83032), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N472) );
+  sky130_fd_sc_hd__a21oi_1 U101465 ( .A1(n83034), .A2(n83033), .B1(n60015), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N470) );
+  sky130_fd_sc_hd__nor2_1 U101466 ( .A(n37041), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_probe), .Y(n83043) );
+  sky130_fd_sc_hd__a21oi_1 U101467 ( .A1(n83042), .A2(n83041), .B1(n83039), 
+        .Y(n83059) );
+  sky130_fd_sc_hd__nand2_1 U101468 ( .A(n83036), .B(n83038), .Y(n83037) );
+  sky130_fd_sc_hd__nand2_1 U101469 ( .A(n83059), .B(n83037), .Y(n83055) );
+  sky130_fd_sc_hd__nor4_1 U101470 ( .A(n83041), .B(n83046), .C(n83040), .D(
+        n83039), .Y(n83060) );
+  sky130_fd_sc_hd__a31oi_1 U101471 ( .A1(n83042), .A2(n83043), .A3(n83041), 
+        .B1(n83060), .Y(n83045) );
+  sky130_fd_sc_hd__nand3_1 U101473 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount[0]), .C(n83043), .Y(n83044) );
+  sky130_fd_sc_hd__o211ai_1 U101474 ( .A1(n83055), .A2(n83046), .B1(n83045), 
+        .C1(n83044), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N462) );
+  sky130_fd_sc_hd__nand3_1 U101475 ( .A(n83046), .B(n83059), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount[2]), .Y(n83047) );
+  sky130_fd_sc_hd__nor2_1 U101476 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount[2]), .B(n83046), .Y(n83049) );
+  sky130_fd_sc_hd__nor2_1 U101477 ( .A(n83050), .B(n83055), .Y(n83052) );
+  sky130_fd_sc_hd__nor2_1 U101478 ( .A(n83060), .B(n83052), .Y(n83048) );
+  sky130_fd_sc_hd__nand2_1 U101479 ( .A(n83047), .B(n83048), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N463) );
+  sky130_fd_sc_hd__o32ai_1 U101480 ( .A1(n83051), .A2(n83049), .A3(n83055), 
+        .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount[3]), .B2(n83048), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N464) );
+  sky130_fd_sc_hd__nand3_1 U101482 ( .A(n83052), .B(n83054), .C(n83051), .Y(
+        n83057) );
+  sky130_fd_sc_hd__o21ai_1 U101483 ( .A1(n83053), .A2(n83054), .B1(n83057), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N465) );
+  sky130_fd_sc_hd__nand2_1 U101485 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount[5]), .B(n83058), .Y(n83056) );
+  sky130_fd_sc_hd__o21ai_1 U101486 ( .A1(n83057), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount[5]), .B1(n83056), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N466) );
+  sky130_fd_sc_hd__a21o_1 U101487 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount[5]), .A2(n83059), .B1(n83058), .X(n83061) );
+  sky130_fd_sc_hd__a21o_1 U101488 ( .A1(n83061), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount[6]), .B1(n83060), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N467) );
+  sky130_fd_sc_hd__o21ai_1 U101489 ( .A1(n36802), .A2(n84127), .B1(n36475), 
+        .Y(n84125) );
+  sky130_fd_sc_hd__a31oi_1 U101490 ( .A1(n49230), .A2(n83063), .A3(n83062), 
+        .B1(n84125), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_N53) );
+  sky130_fd_sc_hd__o21ai_1 U101491 ( .A1(n83065), .A2(n83064), .B1(n36846), 
+        .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_N52) );
+  sky130_fd_sc_hd__nor2_1 U101492 ( .A(n83111), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_state_1_4), 
+        .Y(n83067) );
+  sky130_fd_sc_hd__a21oi_1 U101493 ( .A1(n83111), .A2(n83068), .B1(n83067), 
+        .Y(n83069) );
+  sky130_fd_sc_hd__and3_1 U101494 ( .A(n84073), .B(n83070), .C(n83069), .X(
+        n83073) );
+  sky130_fd_sc_hd__nand2_1 U101495 ( .A(n36801), .B(n83071), .Y(n83085) );
+  sky130_fd_sc_hd__nor2_1 U101496 ( .A(n36848), .B(n83073), .Y(n83072) );
+  sky130_fd_sc_hd__o22ai_1 U101497 ( .A1(n83073), .A2(n83831), .B1(n83088), 
+        .B2(n83072), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N108) );
+  sky130_fd_sc_hd__nor2_1 U101498 ( .A(n83073), .B(n83085), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N109) );
+  sky130_fd_sc_hd__nand2_1 U101499 ( .A(n36846), .B(n83073), .Y(n83079) );
+  sky130_fd_sc_hd__nor2_1 U101500 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_io_deq_bits_MPORT_addr[0]), .B(n83079), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N101) );
+  sky130_fd_sc_hd__a21oi_1 U101501 ( .A1(n83075), .A2(n83074), .B1(n83079), 
+        .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N102) );
+  sky130_fd_sc_hd__a211oi_1 U101502 ( .A1(n83078), .A2(n83077), .B1(n83076), 
+        .C1(n83079), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N103) );
+  sky130_fd_sc_hd__a211oi_1 U101503 ( .A1(n83082), .A2(n83081), .B1(n83080), 
+        .C1(n83079), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N104) );
+  sky130_fd_sc_hd__nor2_1 U101504 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_MPORT_addr[0]), .B(n83085), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N94) );
+  sky130_fd_sc_hd__a21oi_1 U101505 ( .A1(n83084), .A2(n83083), .B1(n83085), 
+        .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N95) );
+  sky130_fd_sc_hd__a211oi_1 U101506 ( .A1(n83087), .A2(n83086), .B1(n83090), 
+        .C1(n83085), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N96) );
+  sky130_fd_sc_hd__a21oi_1 U101508 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_MPORT_addr[3]), .A2(n83090), .B1(n83089), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_N97) );
+  sky130_fd_sc_hd__nor2_1 U101509 ( .A(n83247), .B(n83091), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N35) );
+  sky130_fd_sc_hd__nor2_1 U101510 ( .A(n83248), .B(n83091), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N36) );
+  sky130_fd_sc_hd__nor2_1 U101511 ( .A(n83219), .B(n83091), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N37) );
+  sky130_fd_sc_hd__nor2_1 U101512 ( .A(n83220), .B(n83091), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N38) );
+  sky130_fd_sc_hd__nor2_1 U101513 ( .A(n83221), .B(n83091), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N39) );
+  sky130_fd_sc_hd__nor2_1 U101514 ( .A(n83222), .B(n83091), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N40) );
+  sky130_fd_sc_hd__o21ai_1 U101516 ( .A1(n83224), .A2(n83092), .B1(n79910), 
+        .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N42) );
+  sky130_fd_sc_hd__nor2_1 U101517 ( .A(n83225), .B(n83091), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N43) );
+  sky130_fd_sc_hd__nor2_1 U101518 ( .A(n83226), .B(n83091), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N44) );
+  sky130_fd_sc_hd__nor2_1 U101519 ( .A(n83227), .B(n83091), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N45) );
+  sky130_fd_sc_hd__nor2_1 U101520 ( .A(n83228), .B(n83091), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N46) );
+  sky130_fd_sc_hd__nor2_1 U101521 ( .A(n83229), .B(n83091), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N47) );
+  sky130_fd_sc_hd__nor2_1 U101522 ( .A(n41572), .B(n83091), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N48) );
+  sky130_fd_sc_hd__nor2_1 U101523 ( .A(n83230), .B(n83091), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N49) );
+  sky130_fd_sc_hd__nor2_1 U101524 ( .A(n83231), .B(n83091), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N50) );
+  sky130_fd_sc_hd__nor2_1 U101525 ( .A(n41574), .B(n83091), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N51) );
+  sky130_fd_sc_hd__nor2_1 U101526 ( .A(n41573), .B(n83091), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N52) );
+  sky130_fd_sc_hd__nor2_1 U101527 ( .A(n83234), .B(n83091), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N53) );
+  sky130_fd_sc_hd__nor2_1 U101528 ( .A(n83235), .B(n83091), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N54) );
+  sky130_fd_sc_hd__nor2_1 U101529 ( .A(n83236), .B(n83091), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N55) );
+  sky130_fd_sc_hd__nor2_1 U101530 ( .A(n83237), .B(n83091), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N56) );
+  sky130_fd_sc_hd__nor2_1 U101531 ( .A(n83238), .B(n83091), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N57) );
+  sky130_fd_sc_hd__nor2_1 U101532 ( .A(n83239), .B(n83091), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N58) );
+  sky130_fd_sc_hd__nor2_1 U101533 ( .A(n83240), .B(n83091), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N59) );
+  sky130_fd_sc_hd__nor2_1 U101534 ( .A(n83241), .B(n83091), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N60) );
+  sky130_fd_sc_hd__nor2_1 U101535 ( .A(n83242), .B(n83091), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N61) );
+  sky130_fd_sc_hd__nor2_1 U101536 ( .A(n83243), .B(n83091), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N62) );
+  sky130_fd_sc_hd__nor2_1 U101537 ( .A(n83244), .B(n83091), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N63) );
+  sky130_fd_sc_hd__nor2_1 U101538 ( .A(n83246), .B(n83091), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N64) );
+  sky130_fd_sc_hd__nand2_1 U101539 ( .A(n36801), .B(n83092), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N34) );
+  sky130_fd_sc_hd__a21oi_1 U101541 ( .A1(n83182), .A2(n83181), .B1(n83183), 
+        .Y(n83094) );
+  sky130_fd_sc_hd__nor2_1 U101542 ( .A(n85885), .B(n85884), .Y(n83280) );
+  sky130_fd_sc_hd__nand2_1 U101543 ( .A(n83094), .B(n83280), .Y(n83093) );
+  sky130_fd_sc_hd__nand3_1 U101545 ( .A(n84068), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_binder_auto_in_d_bits_source[2]), .C(n83095), .Y(n83096) );
+  sky130_fd_sc_hd__nor2_1 U101546 ( .A(n83250), .B(n83097), .Y(n83103) );
+  sky130_fd_sc_hd__nand3_1 U101547 ( .A(n83100), .B(n83099), .C(n83098), .Y(
+        n83253) );
+  sky130_fd_sc_hd__nor2_1 U101548 ( .A(n83253), .B(n83101), .Y(n83102) );
+  sky130_fd_sc_hd__nand2_1 U101549 ( .A(n83103), .B(n83102), .Y(n83106) );
+  sky130_fd_sc_hd__nand3_1 U101550 ( .A(n83105), .B(n83107), .C(n83106), .Y(
+        n83104) );
+  sky130_fd_sc_hd__o221ai_1 U101551 ( .A1(n83108), .A2(n83107), .B1(n83106), 
+        .B2(n83105), .C1(n83104), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3__GEN_13_0_) );
+  sky130_fd_sc_hd__a21oi_1 U101552 ( .A1(n83111), .A2(n83110), .B1(n83109), 
+        .Y(n83112) );
+  sky130_fd_sc_hd__and3_1 U101553 ( .A(n84073), .B(n83113), .C(n83112), .X(
+        n83115) );
+  sky130_fd_sc_hd__nand2_1 U101554 ( .A(n83116), .B(n83134), .Y(n83126) );
+  sky130_fd_sc_hd__nor2_1 U101555 ( .A(n74033), .B(n83115), .Y(n83114) );
+  sky130_fd_sc_hd__o22ai_1 U101556 ( .A1(n83115), .A2(n83830), .B1(n83129), 
+        .B2(n83114), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N108) );
+  sky130_fd_sc_hd__nor2_1 U101557 ( .A(n83115), .B(n83126), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N109) );
+  sky130_fd_sc_hd__nand2_1 U101558 ( .A(n37040), .B(n83115), .Y(n83125) );
+  sky130_fd_sc_hd__nor2_1 U101559 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[0]), .B(n83125), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N101) );
+  sky130_fd_sc_hd__a21oi_1 U101560 ( .A1(n83118), .A2(n83117), .B1(n83125), 
+        .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N102) );
+  sky130_fd_sc_hd__a211oi_1 U101561 ( .A1(n83121), .A2(n83120), .B1(n83119), 
+        .C1(n83125), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N103) );
+  sky130_fd_sc_hd__a21oi_1 U101562 ( .A1(n83123), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_io_deq_bits_MPORT_addr[3]), .B1(n83122), .Y(n83124) );
+  sky130_fd_sc_hd__nor2_1 U101563 ( .A(n83125), .B(n83124), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N104) );
+  sky130_fd_sc_hd__nor2_1 U101564 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_MPORT_addr[0]), .B(n83126), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N94) );
+  sky130_fd_sc_hd__a21oi_1 U101565 ( .A1(n83128), .A2(n83127), .B1(n83126), 
+        .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N95) );
+  sky130_fd_sc_hd__nand2_1 U101566 ( .A(n83133), .B(n83129), .Y(n83130) );
+  sky130_fd_sc_hd__a21oi_1 U101567 ( .A1(n83132), .A2(n83131), .B1(n83130), 
+        .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N96) );
+  sky130_fd_sc_hd__a31oi_1 U101568 ( .A1(n83134), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_MPORT_addr[3]), .A3(n83133), .B1(n86444), .Y(n83135) );
+  sky130_fd_sc_hd__nor2_1 U101569 ( .A(n83135), .B(n74033), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_N97) );
+  sky130_fd_sc_hd__nor2_1 U101570 ( .A(n83247), .B(n83136), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N35) );
+  sky130_fd_sc_hd__nor2_1 U101571 ( .A(n83248), .B(n83136), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N36) );
+  sky130_fd_sc_hd__nor2_1 U101572 ( .A(n83219), .B(n83136), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N37) );
+  sky130_fd_sc_hd__nor2_1 U101573 ( .A(n83220), .B(n83136), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N38) );
+  sky130_fd_sc_hd__nor2_1 U101574 ( .A(n83221), .B(n83136), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N39) );
+  sky130_fd_sc_hd__nor2_1 U101575 ( .A(n83222), .B(n83136), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N40) );
+  sky130_fd_sc_hd__nor2_1 U101576 ( .A(n83223), .B(n83136), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N41) );
+  sky130_fd_sc_hd__o21ai_1 U101577 ( .A1(n83224), .A2(n83137), .B1(n86402), 
+        .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N42) );
+  sky130_fd_sc_hd__nor2_1 U101578 ( .A(n83225), .B(n83136), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N43) );
+  sky130_fd_sc_hd__nor2_1 U101579 ( .A(n83226), .B(n83136), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N44) );
+  sky130_fd_sc_hd__nor2_1 U101580 ( .A(n83227), .B(n83136), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N45) );
+  sky130_fd_sc_hd__nor2_1 U101581 ( .A(n83228), .B(n83136), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N46) );
+  sky130_fd_sc_hd__nor2_1 U101582 ( .A(n83229), .B(n83136), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N47) );
+  sky130_fd_sc_hd__nor2_1 U101583 ( .A(n41572), .B(n83136), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N48) );
+  sky130_fd_sc_hd__nor2_1 U101584 ( .A(n83230), .B(n83136), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N49) );
+  sky130_fd_sc_hd__nor2_1 U101585 ( .A(n83231), .B(n83136), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N50) );
+  sky130_fd_sc_hd__nor2_1 U101586 ( .A(n41574), .B(n83136), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N51) );
+  sky130_fd_sc_hd__nor2_1 U101587 ( .A(n41573), .B(n83136), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N52) );
+  sky130_fd_sc_hd__nor2_1 U101588 ( .A(n83234), .B(n83136), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N53) );
+  sky130_fd_sc_hd__nor2_1 U101589 ( .A(n83235), .B(n83136), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N54) );
+  sky130_fd_sc_hd__nor2_1 U101590 ( .A(n83236), .B(n83136), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N55) );
+  sky130_fd_sc_hd__nor2_1 U101591 ( .A(n83237), .B(n83136), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N56) );
+  sky130_fd_sc_hd__nor2_1 U101592 ( .A(n83238), .B(n83136), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N57) );
+  sky130_fd_sc_hd__nor2_1 U101593 ( .A(n83239), .B(n83136), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N58) );
+  sky130_fd_sc_hd__nor2_1 U101594 ( .A(n83240), .B(n83136), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N59) );
+  sky130_fd_sc_hd__nor2_1 U101595 ( .A(n83241), .B(n83136), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N60) );
+  sky130_fd_sc_hd__nor2_1 U101596 ( .A(n83242), .B(n83136), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N61) );
+  sky130_fd_sc_hd__nor2_1 U101597 ( .A(n83243), .B(n83136), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N62) );
+  sky130_fd_sc_hd__nor2_1 U101598 ( .A(n83244), .B(n83136), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N63) );
+  sky130_fd_sc_hd__nor2_1 U101599 ( .A(n83246), .B(n83136), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N64) );
+  sky130_fd_sc_hd__nand2_1 U101600 ( .A(n36846), .B(n83137), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N34) );
+  sky130_fd_sc_hd__nor2_1 U101601 ( .A(n83138), .B(n83250), .Y(n83141) );
+  sky130_fd_sc_hd__nor2_1 U101602 ( .A(n83253), .B(n83139), .Y(n83140) );
+  sky130_fd_sc_hd__nand2_1 U101603 ( .A(n83141), .B(n83140), .Y(n83148) );
+  sky130_fd_sc_hd__nor3_1 U101604 ( .A(n83179), .B(n83142), .C(n83279), .Y(
+        n83254) );
+  sky130_fd_sc_hd__nand2_1 U101605 ( .A(n83254), .B(n83180), .Y(n83144) );
+  sky130_fd_sc_hd__o21ai_1 U101607 ( .A1(n83280), .A2(n83144), .B1(n83143), 
+        .Y(n83145) );
+  sky130_fd_sc_hd__o22ai_1 U101608 ( .A1(n83148), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2__GEN_15_0_), .B1(n83147), .B2(n83145), .Y(n83146) );
+  sky130_fd_sc_hd__a31oi_1 U101609 ( .A1(n83148), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2__GEN_15_0_), .A3(n83147), .B1(n83146), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2__GEN_13_0_) );
+  sky130_fd_sc_hd__nand2_1 U101610 ( .A(n36846), .B(n83149), .Y(n83166) );
+  sky130_fd_sc_hd__nor3_1 U101611 ( .A(n83151), .B(n83150), .C(n83194), .Y(
+        n83152) );
+  sky130_fd_sc_hd__o21a_1 U101612 ( .A1(n83154), .A2(n83153), .B1(n83152), .X(
+        n83156) );
+  sky130_fd_sc_hd__nor2_1 U101613 ( .A(n36802), .B(n83156), .Y(n83155) );
+  sky130_fd_sc_hd__o22ai_1 U101614 ( .A1(n83164), .A2(n83155), .B1(n83156), 
+        .B2(n83829), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N108) );
+  sky130_fd_sc_hd__nor2_1 U101615 ( .A(n83156), .B(n83166), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N109) );
+  sky130_fd_sc_hd__nand2_1 U101616 ( .A(n37040), .B(n83156), .Y(n83161) );
+  sky130_fd_sc_hd__nor2_1 U101617 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_io_deq_bits_MPORT_addr[0]), .B(n83161), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N101) );
+  sky130_fd_sc_hd__nor3b_1 U101618 ( .C_N(n83157), .A(n83158), .B(n83161), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N102) );
+  sky130_fd_sc_hd__a221oi_1 U101619 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_io_deq_bits_MPORT_addr[2]), .A2(n83158), .B1(n83160), .B2(n83159), .C1(n83161), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N103) );
+  sky130_fd_sc_hd__a21oi_1 U101621 ( .A1(n83163), .A2(n83162), .B1(n83161), 
+        .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N104) );
+  sky130_fd_sc_hd__nor2_1 U101622 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_MPORT_addr[0]), .B(n83166), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N94) );
+  sky130_fd_sc_hd__nand2_1 U101623 ( .A(n83164), .B(n83167), .Y(n83174) );
+  sky130_fd_sc_hd__nor2b_1 U101624 ( .B_N(n83165), .A(n83174), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N95) );
+  sky130_fd_sc_hd__a221oi_1 U101625 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_MPORT_addr[2]), .A2(n83169), .B1(n83168), .B2(n83167), .C1(n83166), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N96) );
+  sky130_fd_sc_hd__o21ai_0 U101626 ( .A1(n83171), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N83), .B1(n79910), .Y(n83172) );
+  sky130_fd_sc_hd__o21ai_1 U101627 ( .A1(n83174), .A2(n83173), .B1(n83172), 
+        .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_N97) );
+  sky130_fd_sc_hd__nor2_1 U101628 ( .A(n83247), .B(n83175), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N35) );
+  sky130_fd_sc_hd__nor2_1 U101629 ( .A(n83248), .B(n83175), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N36) );
+  sky130_fd_sc_hd__nor2_1 U101630 ( .A(n83219), .B(n83175), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N37) );
+  sky130_fd_sc_hd__nor2_1 U101631 ( .A(n83220), .B(n83175), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N38) );
+  sky130_fd_sc_hd__nor2_1 U101632 ( .A(n83221), .B(n83175), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N39) );
+  sky130_fd_sc_hd__nor2_1 U101633 ( .A(n83222), .B(n83175), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N40) );
+  sky130_fd_sc_hd__nor2_1 U101635 ( .A(n83224), .B(n83175), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N42) );
+  sky130_fd_sc_hd__nor2_1 U101636 ( .A(n83225), .B(n83175), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N43) );
+  sky130_fd_sc_hd__nor2_1 U101637 ( .A(n83226), .B(n83175), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N44) );
+  sky130_fd_sc_hd__nor2_1 U101638 ( .A(n83227), .B(n83175), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N45) );
+  sky130_fd_sc_hd__nor2_1 U101639 ( .A(n83228), .B(n83175), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N46) );
+  sky130_fd_sc_hd__nor2_1 U101640 ( .A(n83229), .B(n83175), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N47) );
+  sky130_fd_sc_hd__nor2_1 U101641 ( .A(n41572), .B(n83175), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N48) );
+  sky130_fd_sc_hd__nor2_1 U101642 ( .A(n83230), .B(n83175), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N49) );
+  sky130_fd_sc_hd__nor2_1 U101643 ( .A(n83231), .B(n83175), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N50) );
+  sky130_fd_sc_hd__nor2_1 U101644 ( .A(n41574), .B(n83175), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N51) );
+  sky130_fd_sc_hd__nor2_1 U101645 ( .A(n41573), .B(n83175), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N52) );
+  sky130_fd_sc_hd__nor2_1 U101646 ( .A(n83234), .B(n83175), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N53) );
+  sky130_fd_sc_hd__nor2_1 U101647 ( .A(n83235), .B(n83175), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N54) );
+  sky130_fd_sc_hd__nor2_1 U101648 ( .A(n83236), .B(n83175), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N55) );
+  sky130_fd_sc_hd__nor2_1 U101649 ( .A(n83237), .B(n83175), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N56) );
+  sky130_fd_sc_hd__nor2_1 U101650 ( .A(n83238), .B(n83175), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N57) );
+  sky130_fd_sc_hd__nor2_1 U101651 ( .A(n83239), .B(n83175), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N58) );
+  sky130_fd_sc_hd__nor2_1 U101652 ( .A(n83240), .B(n83175), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N59) );
+  sky130_fd_sc_hd__nor2_1 U101653 ( .A(n83241), .B(n83175), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N60) );
+  sky130_fd_sc_hd__nor2_1 U101654 ( .A(n83242), .B(n83175), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N61) );
+  sky130_fd_sc_hd__nor2_1 U101655 ( .A(n83243), .B(n83175), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N62) );
+  sky130_fd_sc_hd__nor2_1 U101656 ( .A(n83244), .B(n83175), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N63) );
+  sky130_fd_sc_hd__nor2_1 U101657 ( .A(n83246), .B(n83175), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N64) );
+  sky130_fd_sc_hd__nand2_1 U101658 ( .A(n37039), .B(n83178), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N34) );
+  sky130_fd_sc_hd__nor2_1 U101659 ( .A(n83253), .B(n83176), .Y(n83186) );
+  sky130_fd_sc_hd__nor2_1 U101660 ( .A(n83177), .B(n83250), .Y(n83185) );
+  sky130_fd_sc_hd__o31ai_1 U101661 ( .A1(n83180), .A2(n83179), .A3(n83183), 
+        .B1(n83178), .Y(n83184) );
+  sky130_fd_sc_hd__nand3_1 U101662 ( .A(n83182), .B(n83181), .C(n83280), .Y(
+        n83255) );
+  sky130_fd_sc_hd__o22ai_1 U101663 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1__GEN_15_0_), .A2(n83184), .B1(n83183), .B2(n83255), .Y(n83190) );
+  sky130_fd_sc_hd__nand2_1 U101664 ( .A(n83186), .B(n83185), .Y(n83188) );
+  sky130_fd_sc_hd__nand2_1 U101665 ( .A(n83188), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1__GEN_15_0_), .Y(n83187) );
+  sky130_fd_sc_hd__o211ai_1 U101666 ( .A1(n83188), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1__GEN_15_0_), .B1(n83191), .C1(n83187), .Y(n83189) );
+  sky130_fd_sc_hd__nand2_1 U101668 ( .A(n36801), .B(n83192), .Y(n83210) );
+  sky130_fd_sc_hd__a211oi_1 U101669 ( .A1(n83196), .A2(n83195), .B1(n84076), 
+        .C1(n83194), .Y(n83198) );
+  sky130_fd_sc_hd__nor2_1 U101670 ( .A(n83631), .B(n83198), .Y(n83197) );
+  sky130_fd_sc_hd__o22ai_1 U101671 ( .A1(n83208), .A2(n83197), .B1(n83198), 
+        .B2(n83828), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N108) );
+  sky130_fd_sc_hd__nor2_1 U101672 ( .A(n83198), .B(n83210), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N109) );
+  sky130_fd_sc_hd__nand2_1 U101673 ( .A(n49230), .B(n83198), .Y(n83207) );
+  sky130_fd_sc_hd__nor2_1 U101674 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_io_deq_bits_MPORT_addr[0]), .B(n83207), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N101) );
+  sky130_fd_sc_hd__a21oi_1 U101675 ( .A1(n83200), .A2(n83199), .B1(n83207), 
+        .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N102) );
+  sky130_fd_sc_hd__a211oi_1 U101676 ( .A1(n83203), .A2(n83202), .B1(n83201), 
+        .C1(n83207), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N103) );
+  sky130_fd_sc_hd__a21oi_1 U101677 ( .A1(n83205), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_io_deq_bits_MPORT_addr[3]), .B1(n83204), .Y(n83206) );
+  sky130_fd_sc_hd__nor2_1 U101678 ( .A(n83207), .B(n83206), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N104) );
+  sky130_fd_sc_hd__nor2_1 U101679 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_MPORT_addr[0]), .B(n83210), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N94) );
+  sky130_fd_sc_hd__nand2_1 U101680 ( .A(n83208), .B(n83211), .Y(n83218) );
+  sky130_fd_sc_hd__nor2b_1 U101681 ( .B_N(n83209), .A(n83218), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N95) );
+  sky130_fd_sc_hd__a221oi_1 U101682 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_ram_mask_MPORT_addr[2]), .A2(n83213), .B1(n83212), .B2(n83211), .C1(n83210), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N96) );
+  sky130_fd_sc_hd__o21ai_0 U101683 ( .A1(n83215), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N83), .B1(n79910), .Y(n83216) );
+  sky130_fd_sc_hd__o21ai_1 U101684 ( .A1(n83218), .A2(n83217), .B1(n83216), 
+        .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_o_data_N97) );
+  sky130_fd_sc_hd__nor2_1 U101685 ( .A(n83247), .B(n83245), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N35) );
+  sky130_fd_sc_hd__nor2_1 U101686 ( .A(n83248), .B(n83245), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N36) );
+  sky130_fd_sc_hd__nor2_1 U101687 ( .A(n83219), .B(n83245), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N37) );
+  sky130_fd_sc_hd__nor2_1 U101688 ( .A(n83220), .B(n83245), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N38) );
+  sky130_fd_sc_hd__nor2_1 U101689 ( .A(n83221), .B(n83245), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N39) );
+  sky130_fd_sc_hd__nor2_1 U101690 ( .A(n83222), .B(n83245), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N40) );
+  sky130_fd_sc_hd__nor2_1 U101691 ( .A(n83223), .B(n83245), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N41) );
+  sky130_fd_sc_hd__nor2_1 U101692 ( .A(n83224), .B(n83245), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N42) );
+  sky130_fd_sc_hd__nor2_1 U101693 ( .A(n83225), .B(n83245), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N43) );
+  sky130_fd_sc_hd__nor2_1 U101694 ( .A(n83226), .B(n83245), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N44) );
+  sky130_fd_sc_hd__nor2_1 U101695 ( .A(n83227), .B(n83245), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N45) );
+  sky130_fd_sc_hd__nor2_1 U101696 ( .A(n83228), .B(n83245), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N46) );
+  sky130_fd_sc_hd__nor2_1 U101697 ( .A(n83229), .B(n83245), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N47) );
+  sky130_fd_sc_hd__nor2_1 U101698 ( .A(n41572), .B(n83245), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N48) );
+  sky130_fd_sc_hd__nor2_1 U101699 ( .A(n83230), .B(n83245), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N49) );
+  sky130_fd_sc_hd__nor2_1 U101700 ( .A(n83231), .B(n83245), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N50) );
+  sky130_fd_sc_hd__nor2_1 U101701 ( .A(n41574), .B(n83245), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N51) );
+  sky130_fd_sc_hd__nor2_1 U101702 ( .A(n41573), .B(n83245), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N52) );
+  sky130_fd_sc_hd__nor2_1 U101703 ( .A(n83234), .B(n83245), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N53) );
+  sky130_fd_sc_hd__nor2_1 U101704 ( .A(n83235), .B(n83245), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N54) );
+  sky130_fd_sc_hd__nor2_1 U101705 ( .A(n83236), .B(n83245), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N55) );
+  sky130_fd_sc_hd__nor2_1 U101706 ( .A(n83237), .B(n83245), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N56) );
+  sky130_fd_sc_hd__nor2_1 U101707 ( .A(n83238), .B(n83245), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N57) );
+  sky130_fd_sc_hd__nor2_1 U101708 ( .A(n83239), .B(n83245), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N58) );
+  sky130_fd_sc_hd__nor2_1 U101709 ( .A(n83240), .B(n83245), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N59) );
+  sky130_fd_sc_hd__nor2_1 U101710 ( .A(n83241), .B(n83245), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N60) );
+  sky130_fd_sc_hd__nor2_1 U101711 ( .A(n83242), .B(n83245), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N61) );
+  sky130_fd_sc_hd__nor2_1 U101712 ( .A(n83243), .B(n83245), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N62) );
+  sky130_fd_sc_hd__nor2_1 U101713 ( .A(n83244), .B(n83245), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N63) );
+  sky130_fd_sc_hd__nor2_1 U101714 ( .A(n83246), .B(n83245), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N64) );
+  sky130_fd_sc_hd__nand2_1 U101715 ( .A(n49230), .B(n83249), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N34) );
+  sky130_fd_sc_hd__nor2_1 U101716 ( .A(n83251), .B(n83250), .Y(n83258) );
+  sky130_fd_sc_hd__nor2_1 U101717 ( .A(n83253), .B(n83252), .Y(n83257) );
+  sky130_fd_sc_hd__o22ai_1 U101718 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker__GEN_15_0_), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_N26), .B1(n83256), .B2(n83255), .Y(n83262) );
+  sky130_fd_sc_hd__nand2_1 U101719 ( .A(n83258), .B(n83257), .Y(n83260) );
+  sky130_fd_sc_hd__nand2_1 U101720 ( .A(n83260), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker__GEN_15_0_), .Y(n83259) );
+  sky130_fd_sc_hd__o211ai_1 U101721 ( .A1(n83260), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker__GEN_15_0_), .B1(n83263), .C1(n83259), .Y(n83261) );
+  sky130_fd_sc_hd__o21ai_1 U101722 ( .A1(n83263), .A2(n83262), .B1(n83261), 
+        .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker__GEN_13_0_) );
+  sky130_fd_sc_hd__nor2_1 U101723 ( .A(n83264), .B(n36848), .Y(n83275) );
+  sky130_fd_sc_hd__nand2_1 U101724 ( .A(n83275), .B(n83265), .Y(n83273) );
+  sky130_fd_sc_hd__nand3_1 U101725 ( .A(n83351), .B(n83266), .C(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1__T_472), 
+        .Y(n83272) );
+  sky130_fd_sc_hd__o22ai_1 U101726 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter_2[0]), .A2(n83273), .B1(n83267), .B2(n83272), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N171) );
+  sky130_fd_sc_hd__nor2_1 U101727 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter_2[1]), .B(MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter_2[0]), 
+        .Y(n83269) );
+  sky130_fd_sc_hd__a21oi_1 U101728 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter_2[0]), .A2(MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter_2[1]), 
+        .B1(n83269), .Y(n83268) );
+  sky130_fd_sc_hd__nand2b_1 U101729 ( .A_N(n83272), .B(n85909), .Y(n83276) );
+  sky130_fd_sc_hd__a21oi_1 U101731 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter_2[2]), .A2(n83270), .B1(n83278), .Y(n83274) );
+  sky130_fd_sc_hd__o22ai_1 U101732 ( .A1(n83274), .A2(n83273), .B1(n83272), 
+        .B2(n83271), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N173) );
+  sky130_fd_sc_hd__nand2_1 U101733 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter_2[3]), .B(n83275), .Y(n83277) );
+  sky130_fd_sc_hd__o22ai_1 U101734 ( .A1(n83278), .A2(n83277), .B1(n84160), 
+        .B2(n83276), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N174) );
+  sky130_fd_sc_hd__a2bb2oi_1 U101735 ( .B1(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_auto_coupler_from_tile_tl_master_clock_xing_in_b_valid), .B2(n83281), .A1_N(n83280), .A2_N(n83279), .Y(n83282) );
+  sky130_fd_sc_hd__nor2_1 U101736 ( .A(n83282), .B(n83631), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N157) );
+  sky130_fd_sc_hd__nor2_1 U101737 ( .A(n36802), .B(n84066), .Y(n83290) );
+  sky130_fd_sc_hd__nand2_1 U101738 ( .A(n83290), .B(n83283), .Y(n83288) );
+  sky130_fd_sc_hd__nand3_1 U101739 ( .A(n84034), .B(n36473), .C(n83290), .Y(
+        n83287) );
+  sky130_fd_sc_hd__o22ai_1 U101740 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter[0]), 
+        .A2(n83288), .B1(n84016), .B2(n83287), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N132) );
+  sky130_fd_sc_hd__nor2_1 U101741 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter[1]), 
+        .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter[0]), 
+        .Y(n83285) );
+  sky130_fd_sc_hd__a21oi_1 U101742 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter[0]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter[1]), 
+        .B1(n83285), .Y(n83284) );
+  sky130_fd_sc_hd__nand2b_1 U101743 ( .A_N(n83287), .B(n84025), .Y(n83291) );
+  sky130_fd_sc_hd__o21ai_1 U101744 ( .A1(n83284), .A2(n83288), .B1(n83291), 
+        .Y(MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N133) );
+  sky130_fd_sc_hd__clkinv_1 U101745 ( .A(n83285), .Y(n83286) );
+  sky130_fd_sc_hd__nor3_1 U101746 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter[2]), 
+        .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter[1]), 
+        .C(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter[0]), 
+        .Y(n83293) );
+  sky130_fd_sc_hd__a21oi_1 U101747 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter[2]), 
+        .A2(n83286), .B1(n83293), .Y(n83289) );
+  sky130_fd_sc_hd__a21o_1 U101748 ( .A1(n84028), .A2(n84047), .B1(n84020), .X(
+        n84023) );
+  sky130_fd_sc_hd__o22ai_1 U101749 ( .A1(n83289), .A2(n83288), .B1(n83287), 
+        .B2(n84023), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N134) );
+  sky130_fd_sc_hd__nand2_1 U101750 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_counter[3]), 
+        .B(n83290), .Y(n83292) );
+  sky130_fd_sc_hd__o22ai_1 U101751 ( .A1(n83293), .A2(n83292), .B1(n84028), 
+        .B2(n83291), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N135) );
+  sky130_fd_sc_hd__nor2_1 U101752 ( .A(n36802), .B(n83310), .Y(n83311) );
+  sky130_fd_sc_hd__o21ai_0 U101753 ( .A1(n83311), .A2(n83305), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .Y(n83294) );
+  sky130_fd_sc_hd__nand2_1 U101755 ( .A(n83296), .B(n83295), .Y(n83301) );
+  sky130_fd_sc_hd__nor2_1 U101756 ( .A(n83297), .B(n83301), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N58) );
+  sky130_fd_sc_hd__nor2_1 U101757 ( .A(n83298), .B(n83301), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N55) );
+  sky130_fd_sc_hd__nor2_1 U101758 ( .A(n83299), .B(n83301), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N52) );
+  sky130_fd_sc_hd__a21oi_1 U101759 ( .A1(n83300), .A2(n83301), .B1(n60015), 
+        .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N49) );
+  sky130_fd_sc_hd__nand2b_1 U101760 ( .A_N(n83301), .B(n36846), .Y(n83304) );
+  sky130_fd_sc_hd__a221oi_1 U101761 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget__enable_T_6_0_), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget__enable_T_3_1_), .B1(n83303), .B2(n83302), .C1(n83304), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N46) );
+  sky130_fd_sc_hd__nor2_1 U101762 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget__enable_T_6_0_), .B(n83304), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N45) );
+  sky130_fd_sc_hd__nand2_1 U101763 ( .A(n83306), .B(n83305), .Y(n83307) );
+  sky130_fd_sc_hd__a21oi_1 U101764 ( .A1(n83309), .A2(n83308), .B1(n83307), 
+        .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_N39) );
+  sky130_fd_sc_hd__nor2_1 U101765 ( .A(n60015), .B(n83312), .Y(n83316) );
+  sky130_fd_sc_hd__o22ai_1 U101766 ( .A1(n83311), .A2(n83316), .B1(n83310), 
+        .B2(n83312), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N267) );
+  sky130_fd_sc_hd__nand2_1 U101767 ( .A(n49230), .B(n83312), .Y(n36417) );
+  sky130_fd_sc_hd__nor2_1 U101768 ( .A(n83320), .B(n36417), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N268) );
+  sky130_fd_sc_hd__nand2_1 U101769 ( .A(n83317), .B(n83316), .Y(n83313) );
+  sky130_fd_sc_hd__a21oi_1 U101770 ( .A1(n83315), .A2(n83314), .B1(n83313), 
+        .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N262) );
+  sky130_fd_sc_hd__a211oi_1 U101771 ( .A1(n83318), .A2(n83317), .B1(n83870), 
+        .C1(n83319), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N263) );
+  sky130_fd_sc_hd__nor2_1 U101772 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[0]), .B(n83319), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N261) );
+  sky130_fd_sc_hd__nor3_1 U101773 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[1]), .B(n36802), .C(n83320), .Y(n83321) );
+  sky130_fd_sc_hd__a22o_1 U101774 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[1]), .A2(n85887), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[0]), .B2(n83321), .X(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N256) );
+  sky130_fd_sc_hd__nor2_1 U101777 ( .A(n83326), .B(n83324), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N40) );
+  sky130_fd_sc_hd__nor2_1 U101778 ( .A(n83326), .B(n83325), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N41) );
+  sky130_fd_sc_hd__nor2_1 U101779 ( .A(n36802), .B(n84067), .Y(n83346) );
+  sky130_fd_sc_hd__nand2_1 U101780 ( .A(n83346), .B(n83327), .Y(n83343) );
+  sky130_fd_sc_hd__nand2_1 U101781 ( .A(n37039), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N30), .Y(n83349) );
+  sky130_fd_sc_hd__o22ai_1 U101782 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[0]), .A2(n83343), .B1(n83349), .B2(n83328), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N23) );
+  sky130_fd_sc_hd__nor2_1 U101783 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[0]), .Y(n83331) );
+  sky130_fd_sc_hd__a21oi_1 U101784 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[0]), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[1]), .B1(n83331), .Y(n83330) );
+  sky130_fd_sc_hd__o22ai_1 U101785 ( .A1(n83330), .A2(n83343), .B1(n83329), 
+        .B2(n83349), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N24) );
+  sky130_fd_sc_hd__clkinv_1 U101786 ( .A(n83331), .Y(n83333) );
+  sky130_fd_sc_hd__a21oi_1 U101787 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[2]), .A2(n83333), .B1(n83332), .Y(n83335) );
+  sky130_fd_sc_hd__o22ai_1 U101788 ( .A1(n83335), .A2(n83343), .B1(n83334), 
+        .B2(n83349), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N25) );
+  sky130_fd_sc_hd__a21oi_1 U101789 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[3]), .A2(n83337), .B1(n83336), .Y(n83339) );
+  sky130_fd_sc_hd__o22ai_1 U101790 ( .A1(n83339), .A2(n83343), .B1(n83338), 
+        .B2(n83349), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N26) );
+  sky130_fd_sc_hd__a21oi_1 U101791 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[4]), .A2(n83341), .B1(n83340), .Y(n83344) );
+  sky130_fd_sc_hd__o22ai_1 U101792 ( .A1(n83344), .A2(n83343), .B1(n83342), 
+        .B2(n83349), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N27) );
+  sky130_fd_sc_hd__nand3_1 U101793 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[5]), .B(n83346), .C(n83345), .Y(n83347) );
+  sky130_fd_sc_hd__o21ai_1 U101795 ( .A1(n83352), .A2(n83880), .B1(n83116), 
+        .Y(n83353) );
+  sky130_fd_sc_hd__a21oi_1 U101796 ( .A1(n83355), .A2(n83354), .B1(n83353), 
+        .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_N6) );
+  sky130_fd_sc_hd__nor2_1 U101797 ( .A(n83356), .B(n83880), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_N26) );
+  sky130_fd_sc_hd__a211oi_1 U101798 ( .A1(n83368), .A2(n83357), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_acknum[0]), .C1(n83370), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_N21) );
+  sky130_fd_sc_hd__nor2_1 U101799 ( .A(n83357), .B(n83370), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_N34) );
+  sky130_fd_sc_hd__nor2_1 U101800 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_acknum[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_acknum[0]), .Y(n83361) );
+  sky130_fd_sc_hd__a21oi_1 U101801 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_acknum[0]), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_acknum[1]), .B1(n83361), .Y(n83358) );
+  sky130_fd_sc_hd__nor3_1 U101802 ( .A(n83359), .B(n83358), .C(n83370), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_N22) );
+  sky130_fd_sc_hd__nor2_1 U101803 ( .A(n83360), .B(n83370), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_N35) );
+  sky130_fd_sc_hd__a21oi_1 U101804 ( .A1(n83362), .A2(n83366), .B1(n83369), 
+        .Y(n83363) );
+  sky130_fd_sc_hd__a21oi_1 U101805 ( .A1(n83364), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_acknum[2]), .B1(n83363), .Y(n83365) );
+  sky130_fd_sc_hd__nor2_1 U101806 ( .A(n83370), .B(n83365), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_N23) );
+  sky130_fd_sc_hd__nor2_1 U101807 ( .A(n83366), .B(n83370), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_N36) );
+  sky130_fd_sc_hd__a22oi_1 U101808 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_acknum[3]), .A2(n83369), .B1(n83368), .B2(n83367), .Y(n83371) );
+  sky130_fd_sc_hd__nor2_1 U101809 ( .A(n83371), .B(n83370), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_N24) );
+  sky130_fd_sc_hd__o21ai_1 U101810 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_full), .A2(n86375), .B1(n37039), .Y(n83372) );
+  sky130_fd_sc_hd__a21oi_1 U101811 ( .A1(n83373), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source__widx_T_1), .B1(n83372), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_N6) );
+  sky130_fd_sc_hd__o21a_1 U101812 ( .A1(n83374), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_auto_in_d_bits_size[0]), .B1(n83918), .X(n83382) );
+  sky130_fd_sc_hd__nor2_1 U101813 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_acknum[0]), .B(n83382), .Y(n83381) );
+  sky130_fd_sc_hd__a21oi_1 U101814 ( .A1(n83382), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_acknum[0]), .B1(n83381), .Y(n83377) );
+  sky130_fd_sc_hd__nor2_1 U101815 ( .A(n36802), .B(n83881), .Y(n83387) );
+  sky130_fd_sc_hd__nand2_1 U101816 ( .A(n83387), .B(n83375), .Y(n83385) );
+  sky130_fd_sc_hd__nand2_1 U101817 ( .A(n36846), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_N26), .Y(n83388) );
+  sky130_fd_sc_hd__o22ai_1 U101818 ( .A1(n83377), .A2(n83385), .B1(n83376), 
+        .B2(n83388), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_N21) );
+  sky130_fd_sc_hd__a21oi_1 U101819 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_acknum[1]), .A2(n83381), .B1(n83385), .Y(n83378) );
+  sky130_fd_sc_hd__o21ai_1 U101821 ( .A1(n83388), .A2(n83380), .B1(n83379), 
+        .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_N22) );
+  sky130_fd_sc_hd__nand2b_1 U101822 ( .A_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_acknum[1]), .B(n83381), .Y(n83383) );
+  sky130_fd_sc_hd__nor4_1 U101823 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_acknum[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_acknum[2]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_acknum[1]), .D(n83382), .Y(n83391) );
+  sky130_fd_sc_hd__a21oi_1 U101824 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_acknum[2]), .A2(n83383), .B1(n83391), .Y(n83386) );
+  sky130_fd_sc_hd__o22ai_1 U101825 ( .A1(n83386), .A2(n83385), .B1(n83384), 
+        .B2(n83388), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_N23) );
+  sky130_fd_sc_hd__nand2_1 U101826 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_acknum[3]), .B(n83387), .Y(n83390) );
+  sky130_fd_sc_hd__o22ai_1 U101827 ( .A1(n83391), .A2(n83390), .B1(n83389), 
+        .B2(n83388), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_N24) );
+  sky130_fd_sc_hd__nand2_1 U101828 ( .A(n83456), .B(n83392), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_auto_out_a_bits_mask[0]) );
+  sky130_fd_sc_hd__nand2_1 U101829 ( .A(n83457), .B(n83392), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_auto_out_a_bits_mask[1]) );
+  sky130_fd_sc_hd__nand2_1 U101830 ( .A(n83458), .B(n83392), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_auto_out_a_bits_mask[2]) );
+  sky130_fd_sc_hd__nand2_1 U101831 ( .A(n83459), .B(n83392), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_auto_out_a_bits_mask[3]) );
+  sky130_fd_sc_hd__nor2_1 U101832 ( .A(n83393), .B(n85888), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_auto_out_a_bits_size_0_) );
+  sky130_fd_sc_hd__nor2_1 U101833 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_N7), .Y(n83394) );
+  sky130_fd_sc_hd__a211oi_1 U101834 ( .A1(n83396), .A2(n83395), .B1(n83394), 
+        .C1(n36848), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_repeater_N6) );
+  sky130_fd_sc_hd__nor2_1 U101835 ( .A(n83408), .B(n83882), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_N26) );
+  sky130_fd_sc_hd__xnor2_1 U101836 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_acknum[0]), .B(n83399), .Y(n83397) );
+  sky130_fd_sc_hd__a221oi_1 U101837 ( .A1(n83397), .A2(n83408), .B1(n83398), 
+        .B2(n83407), .C1(n83410), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_N21) );
+  sky130_fd_sc_hd__nor2_1 U101838 ( .A(n83398), .B(n83410), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_N34) );
+  sky130_fd_sc_hd__nor2_1 U101839 ( .A(n83400), .B(n83410), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_N35) );
+  sky130_fd_sc_hd__nand2b_1 U101840 ( .A_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_acknum[0]), .B(n83399), .Y(n83403) );
+  sky130_fd_sc_hd__nor2_1 U101841 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_acknum[3]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_acknum[2]), .Y(n83401) );
+  sky130_fd_sc_hd__o22ai_1 U101842 ( .A1(n83401), .A2(n83406), .B1(n83400), 
+        .B2(n83408), .Y(n83402) );
+  sky130_fd_sc_hd__a21oi_1 U101843 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_acknum[1]), .A2(n83403), .B1(n83402), .Y(n83404) );
+  sky130_fd_sc_hd__nor2_1 U101844 ( .A(n83404), .B(n83410), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_N22) );
+  sky130_fd_sc_hd__a21oi_1 U101845 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_acknum[2]), .A2(n83406), .B1(n83405), .Y(n83409) );
+  sky130_fd_sc_hd__a221oi_1 U101846 ( .A1(n83409), .A2(n83408), .B1(n83411), 
+        .B2(n83407), .C1(n83410), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_N23) );
+  sky130_fd_sc_hd__nor2_1 U101847 ( .A(n83411), .B(n83410), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_debug_fragmenter_N36) );
+  sky130_fd_sc_hd__o21ai_0 U101848 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_io_full), .A2(n86376), .B1(n36846), .Y(n83412) );
+  sky130_fd_sc_hd__a21oi_1 U101849 ( .A1(n83415), .A2(n83413), .B1(n83412), 
+        .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_N6) );
+  sky130_fd_sc_hd__nor2_1 U101850 ( .A(n83426), .B(n83883), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_N26) );
+  sky130_fd_sc_hd__nor2_1 U101851 ( .A(n83895), .B(n83414), .Y(n83419) );
+  sky130_fd_sc_hd__nor2_1 U101852 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_acknum[0]), .B(n83419), .Y(n83418) );
+  sky130_fd_sc_hd__a21oi_1 U101853 ( .A1(n83419), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_acknum[0]), .B1(n83418), .Y(n83416) );
+  sky130_fd_sc_hd__nand2_1 U101854 ( .A(n83116), .B(n83415), .Y(n83434) );
+  sky130_fd_sc_hd__a221oi_1 U101855 ( .A1(n83416), .A2(n83426), .B1(n83417), 
+        .B2(n83431), .C1(n83434), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_N21) );
+  sky130_fd_sc_hd__nor2_1 U101856 ( .A(n83417), .B(n83434), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_N34) );
+  sky130_fd_sc_hd__nor2_1 U101857 ( .A(n83420), .B(n83434), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_N35) );
+  sky130_fd_sc_hd__nor2_1 U101858 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_acknum[3]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_acknum[2]), .Y(n83421) );
+  sky130_fd_sc_hd__or3_1 U101859 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_acknum[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_acknum[0]), .C(n83419), .X(n83425) );
+  sky130_fd_sc_hd__o22ai_1 U101860 ( .A1(n83421), .A2(n83425), .B1(n83420), 
+        .B2(n83426), .Y(n83422) );
+  sky130_fd_sc_hd__a21oi_1 U101861 ( .A1(n83423), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_acknum[1]), .B1(n83422), .Y(n83424) );
+  sky130_fd_sc_hd__nor2_1 U101862 ( .A(n83434), .B(n83424), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_N22) );
+  sky130_fd_sc_hd__nor2_1 U101863 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_acknum[2]), .B(n83425), .Y(n83429) );
+  sky130_fd_sc_hd__a21oi_1 U101864 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_acknum[2]), .A2(n83425), .B1(n83429), .Y(n83427) );
+  sky130_fd_sc_hd__a221oi_1 U101865 ( .A1(n83427), .A2(n83426), .B1(n83428), 
+        .B2(n83431), .C1(n83434), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_N23) );
+  sky130_fd_sc_hd__nor2_1 U101866 ( .A(n83428), .B(n83434), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_N36) );
+  sky130_fd_sc_hd__a22oi_1 U101867 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_acknum[3]), .A2(n83432), .B1(n83431), .B2(n83430), .Y(n83433) );
+  sky130_fd_sc_hd__nor2_1 U101868 ( .A(n83433), .B(n83434), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_N24) );
+  sky130_fd_sc_hd__nor2_1 U101869 ( .A(n83435), .B(n83434), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_N37) );
+  sky130_fd_sc_hd__o21ai_0 U101870 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .A2(n86454), .B1(n83116), .Y(n83436) );
+  sky130_fd_sc_hd__a21oi_1 U101871 ( .A1(n86412), .A2(n83437), .B1(n83436), 
+        .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_N6) );
+  sky130_fd_sc_hd__nor2_1 U101872 ( .A(n83884), .B(n83446), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_N26) );
+  sky130_fd_sc_hd__o21a_1 U101873 ( .A1(n83438), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_auto_plic_in_d_bits_size[0]), .B1(n83900), .X(n83439) );
+  sky130_fd_sc_hd__nor2_1 U101874 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_acknum[0]), .B(n83439), .Y(n83443) );
+  sky130_fd_sc_hd__a21oi_1 U101875 ( .A1(n83439), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_acknum[0]), .B1(n83443), .Y(n83442) );
+  sky130_fd_sc_hd__nand2_1 U101876 ( .A(n36801), .B(n83440), .Y(n83453) );
+  sky130_fd_sc_hd__a221oi_1 U101877 ( .A1(n83442), .A2(n83446), .B1(n83441), 
+        .B2(n83449), .C1(n83453), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_N21) );
+  sky130_fd_sc_hd__nor2_1 U101878 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_acknum[1]), .B(n83444), .Y(n83450) );
+  sky130_fd_sc_hd__a21oi_1 U101879 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_acknum[1]), .A2(n83444), .B1(n83450), .Y(n83447) );
+  sky130_fd_sc_hd__a221oi_1 U101880 ( .A1(n83447), .A2(n83446), .B1(n83445), 
+        .B2(n83449), .C1(n83453), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_N22) );
+  sky130_fd_sc_hd__a22oi_1 U101881 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_auto_plic_in_d_bits_source[2]), .A2(n83449), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_acknum[2]), .B2(n83448), .Y(n83451) );
+  sky130_fd_sc_hd__nand3b_1 U101882 ( .A_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_acknum[2]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_acknum[3]), .C(n83450), .Y(n83455) );
+  sky130_fd_sc_hd__a21oi_1 U101883 ( .A1(n83451), .A2(n83455), .B1(n83453), 
+        .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_N23) );
+  sky130_fd_sc_hd__nor3_1 U101884 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_acknum[0]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_acknum[1]), .C(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_acknum[2]), .Y(n83452) );
+  sky130_fd_sc_hd__a21oi_1 U101885 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_auto_plic_in_d_bits_source[3]), .A2(n83452), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_acknum[3]), .Y(n83454) );
+  sky130_fd_sc_hd__nor3b_1 U101886 ( .C_N(n83455), .A(n83454), .B(n83453), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_N24) );
+  sky130_fd_sc_hd__nand2_1 U101887 ( .A(n83456), .B(n83875), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_plic_fragmenter_out_a_bits_mask[0]) );
+  sky130_fd_sc_hd__nand2_1 U101888 ( .A(n83457), .B(n83875), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_plic_fragmenter_out_a_bits_mask[1]) );
+  sky130_fd_sc_hd__nand2_1 U101889 ( .A(n83458), .B(n83875), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_plic_fragmenter_out_a_bits_mask[2]) );
+  sky130_fd_sc_hd__nand2_1 U101890 ( .A(n83459), .B(n83875), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_plic_fragmenter_out_a_bits_mask[3]) );
+  sky130_fd_sc_hd__nor2_1 U101891 ( .A(n83460), .B(n85889), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_plic_fragmenter_out_a_bits_size_0_) );
+  sky130_fd_sc_hd__o22ai_1 U101892 ( .A1(n83462), .A2(n83461), .B1(n83466), 
+        .B2(n83465), .Y(n83467) );
+  sky130_fd_sc_hd__a21oi_1 U101893 ( .A1(n83464), .A2(n83467), .B1(n36848), 
+        .Y(n83463) );
+  sky130_fd_sc_hd__o21ai_1 U101894 ( .A1(n83464), .A2(n83467), .B1(n83463), 
+        .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N59) );
+  sky130_fd_sc_hd__nor2_1 U101895 ( .A(n83467), .B(n83474), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N60) );
+  sky130_fd_sc_hd__o21bai_1 U101896 ( .A1(n83466), .A2(n83465), .B1_N(n85890), 
+        .Y(n36353) );
+  sky130_fd_sc_hd__and3_1 U101897 ( .A(n83468), .B(n83467), .C(n36801), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N55) );
+  sky130_fd_sc_hd__nor2_1 U101898 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N43), .B(n83474), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleIn_0_d_q_N50) );
+  sky130_fd_sc_hd__nor2_1 U101899 ( .A(n36802), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_ram_opcode_MPORT_en), .Y(n83473) );
+  sky130_fd_sc_hd__nor2_1 U101900 ( .A(n83469), .B(n36416), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N55) );
+  sky130_fd_sc_hd__nand2_1 U101901 ( .A(n36801), .B(n83469), .Y(n36410) );
+  sky130_fd_sc_hd__nand2_1 U101902 ( .A(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_ram_opcode_MPORT_en), .Y(n83471) );
+  sky130_fd_sc_hd__a22o_1 U101903 ( .A1(n36410), .A2(n83471), .B1(n83469), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_ram_opcode_MPORT_en), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N54) );
+  sky130_fd_sc_hd__nor2_1 U101904 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .B(n83471), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N50) );
+  sky130_fd_sc_hd__nor2_1 U101905 ( .A(n83470), .B(n83469), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_buffer_bundleOut_0_a_q_N14) );
+  sky130_fd_sc_hd__nand2_1 U101906 ( .A(n83473), .B(n83472), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_N7) );
+  sky130_fd_sc_hd__a211oi_1 U101907 ( .A1(n83476), .A2(n83475), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[0]), .C1(n83474), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N87) );
+  sky130_fd_sc_hd__a21oi_1 U101908 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[0]), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[1]), .B1(n83480), .Y(n83479) );
+  sky130_fd_sc_hd__nand4_1 U101909 ( .A(n83478), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_auto_in_d_bits_size[2]), .C(n83477), .D(n85891), .Y(n83487) );
+  sky130_fd_sc_hd__nor2_1 U101911 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_auto_in_d_bits_size[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_auto_in_d_bits_size[0]), .Y(n83495) );
+  sky130_fd_sc_hd__o2bb2ai_1 U101912 ( .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[2]), .B2(n83480), .A1_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[2]), .A2_N(n83480), .Y(n83481) );
+  sky130_fd_sc_hd__o22ai_1 U101913 ( .A1(n83495), .A2(n83487), .B1(n83481), 
+        .B2(n83488), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N89) );
+  sky130_fd_sc_hd__a21oi_1 U101914 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[3]), .A2(n83483), .B1(n83482), .Y(n83484) );
+  sky130_fd_sc_hd__o22ai_1 U101915 ( .A1(n83484), .A2(n83488), .B1(n83499), 
+        .B2(n83487), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N90) );
+  sky130_fd_sc_hd__a21oi_1 U101916 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[4]), .A2(n83486), .B1(n83485), .Y(n83489) );
+  sky130_fd_sc_hd__o22ai_1 U101917 ( .A1(n83489), .A2(n83488), .B1(n83504), 
+        .B2(n83487), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N91) );
+  sky130_fd_sc_hd__nor2_1 U101918 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[0]), .Y(n83492) );
+  sky130_fd_sc_hd__a21oi_1 U101919 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[0]), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[1]), .B1(n83492), .Y(n83491) );
+  sky130_fd_sc_hd__nand2_1 U101920 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_auto_in_d_bits_size[2]), .B(n83490), .Y(n83503) );
+  sky130_fd_sc_hd__o21ai_1 U101921 ( .A1(n83491), .A2(n83505), .B1(n83503), 
+        .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N53) );
+  sky130_fd_sc_hd__clkinv_1 U101922 ( .A(n83492), .Y(n83494) );
+  sky130_fd_sc_hd__a21oi_1 U101923 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[2]), .A2(n83494), .B1(n83493), .Y(n83496) );
+  sky130_fd_sc_hd__o22ai_1 U101924 ( .A1(n83496), .A2(n83505), .B1(n83495), 
+        .B2(n83503), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N54) );
+  sky130_fd_sc_hd__a21oi_1 U101925 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[3]), .A2(n83498), .B1(n83497), .Y(n83500) );
+  sky130_fd_sc_hd__o22ai_1 U101926 ( .A1(n83500), .A2(n83505), .B1(n83499), 
+        .B2(n83503), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N55) );
+  sky130_fd_sc_hd__a21oi_1 U101927 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[4]), .A2(n83502), .B1(n83501), .Y(n83506) );
+  sky130_fd_sc_hd__o22ai_1 U101928 ( .A1(n83506), .A2(n83505), .B1(n83504), 
+        .B2(n83503), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N56) );
+  sky130_fd_sc_hd__nor2_1 U101929 ( .A(n83508), .B(n83512), .Y(n84040) );
+  sky130_fd_sc_hd__nor3_1 U101930 ( .A(n83510), .B(n83509), .C(n83534), .Y(
+        n83513) );
+  sky130_fd_sc_hd__o22ai_1 U101931 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter[0]), 
+        .A2(n83527), .B1(n84040), .B2(n83511), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N187) );
+  sky130_fd_sc_hd__nor2_1 U101932 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter[1]), 
+        .B(MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter[0]), .Y(n83516) );
+  sky130_fd_sc_hd__a21oi_1 U101933 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter[0]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter[1]), 
+        .B1(n83516), .Y(n83514) );
+  sky130_fd_sc_hd__nand2_1 U101934 ( .A(n83513), .B(n83512), .Y(n83525) );
+  sky130_fd_sc_hd__clkinv_1 U101936 ( .A(n83516), .Y(n83518) );
+  sky130_fd_sc_hd__a21oi_1 U101937 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter[2]), 
+        .A2(n83518), .B1(n83517), .Y(n83519) );
+  sky130_fd_sc_hd__o22ai_1 U101938 ( .A1(n84053), .A2(n83525), .B1(n83519), 
+        .B2(n83527), .Y(MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N189)
+         );
+  sky130_fd_sc_hd__a21oi_1 U101939 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter[3]), 
+        .A2(n83521), .B1(n83520), .Y(n83522) );
+  sky130_fd_sc_hd__o22ai_1 U101940 ( .A1(n84054), .A2(n83525), .B1(n83522), 
+        .B2(n83527), .Y(MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N190)
+         );
+  sky130_fd_sc_hd__a21oi_1 U101941 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_d_first_counter[4]), 
+        .A2(n83524), .B1(n83523), .Y(n83528) );
+  sky130_fd_sc_hd__o22ai_1 U101942 ( .A1(n83528), .A2(n83527), .B1(n83526), 
+        .B2(n83525), .Y(MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N191)
+         );
+  sky130_fd_sc_hd__a21oi_1 U101943 ( .A1(n83529), .A2(n83535), .B1(n74033), 
+        .Y(n83530) );
+  sky130_fd_sc_hd__o31a_1 U101944 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_s_0_state[0]), 
+        .A2(n83533), .A3(n36835), .B1(n83530), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N79) );
+  sky130_fd_sc_hd__o22ai_1 U101946 ( .A1(n83533), .A2(n83532), .B1(n36802), 
+        .B2(n83531), .Y(MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N80)
+         );
+  sky130_fd_sc_hd__nor2_1 U101947 ( .A(n83890), .B(n36305), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N60) );
+  sky130_fd_sc_hd__nand2_1 U101948 ( .A(n79910), .B(n83890), .Y(n36362) );
+  sky130_fd_sc_hd__a22o_1 U101949 ( .A1(n83890), .A2(n83535), .B1(n83534), 
+        .B2(n36362), .X(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleIn_0_d_q_N59) );
+  sky130_fd_sc_hd__a21oi_1 U101950 ( .A1(n84148), .A2(n83537), .B1(n37038), 
+        .Y(n83536) );
+  sky130_fd_sc_hd__o21ai_1 U101951 ( .A1(n84148), .A2(n83537), .B1(n83536), 
+        .Y(MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N59) );
+  sky130_fd_sc_hd__nor2_1 U101952 ( .A(n84148), .B(n36357), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_N60)
+         );
+  sky130_fd_sc_hd__a21o_1 U101953 ( .A1(n83912), .A2(n83919), .B1(n83538), .X(
+        n83543) );
+  sky130_fd_sc_hd__nand2_1 U101954 ( .A(n83544), .B(n83543), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N93) );
+  sky130_fd_sc_hd__o21ai_1 U101955 ( .A1(n83541), .A2(n83540), .B1(n83539), 
+        .Y(n83542) );
+  sky130_fd_sc_hd__nand3b_1 U101956 ( .A_N(n85892), .B(n83543), .C(n83542), 
+        .Y(MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_N94) );
+  sky130_fd_sc_hd__nand2b_1 U101957 ( .A_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_acknum[0]), .B(n83545), .Y(n83552) );
+  sky130_fd_sc_hd__nor2_1 U101958 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_acknum[3]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_acknum[2]), .Y(n83548) );
+  sky130_fd_sc_hd__o22ai_1 U101959 ( .A1(n83550), .A2(n83549), .B1(n83548), 
+        .B2(n83547), .Y(n83551) );
+  sky130_fd_sc_hd__a21oi_1 U101960 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_acknum[1]), .A2(n83552), .B1(n83551), .Y(n83554) );
+  sky130_fd_sc_hd__nor2_1 U101961 ( .A(n83554), .B(n83553), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_0_fragmenter_N22) );
+  sky130_fd_sc_hd__nor2_1 U101962 ( .A(n84164), .B(n83568), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_N26) );
+  sky130_fd_sc_hd__nor2_1 U101963 ( .A(n83556), .B(n83555), .Y(n83561) );
+  sky130_fd_sc_hd__nor2_1 U101964 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_acknum[0]), .B(n83561), .Y(n83560) );
+  sky130_fd_sc_hd__a21oi_1 U101965 ( .A1(n83561), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_acknum[0]), .B1(n83560), .Y(n83558) );
+  sky130_fd_sc_hd__nand2b_1 U101966 ( .A_N(n84164), .B(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .Y(
+        n83576) );
+  sky130_fd_sc_hd__a221oi_1 U101967 ( .A1(n83558), .A2(n83568), .B1(n83559), 
+        .B2(n83573), .C1(n83576), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_N21) );
+  sky130_fd_sc_hd__nor2_1 U101968 ( .A(n83559), .B(n83576), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_N34) );
+  sky130_fd_sc_hd__nor2_1 U101969 ( .A(n83562), .B(n83576), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_N35) );
+  sky130_fd_sc_hd__nor2_1 U101970 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_acknum[3]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_acknum[2]), .Y(n83563) );
+  sky130_fd_sc_hd__or3_1 U101971 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_acknum[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_acknum[0]), .C(n83561), .X(n83567) );
+  sky130_fd_sc_hd__o22ai_1 U101972 ( .A1(n83563), .A2(n83567), .B1(n83562), 
+        .B2(n83568), .Y(n83564) );
+  sky130_fd_sc_hd__a21oi_1 U101973 ( .A1(n83565), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_acknum[1]), .B1(n83564), .Y(n83566) );
+  sky130_fd_sc_hd__nor2_1 U101974 ( .A(n83576), .B(n83566), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_N22) );
+  sky130_fd_sc_hd__nor2_1 U101975 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_acknum[2]), .B(n83567), .Y(n83571) );
+  sky130_fd_sc_hd__a21oi_1 U101976 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_acknum[2]), .A2(n83567), .B1(n83571), .Y(n83569) );
+  sky130_fd_sc_hd__a221oi_1 U101977 ( .A1(n83569), .A2(n83568), .B1(n83570), 
+        .B2(n83573), .C1(n83576), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_N23) );
+  sky130_fd_sc_hd__nor2_1 U101978 ( .A(n83570), .B(n83576), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_N36) );
+  sky130_fd_sc_hd__a22oi_1 U101979 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_acknum[3]), .A2(n83574), .B1(n83573), .B2(n83572), .Y(n83575) );
+  sky130_fd_sc_hd__nor2_1 U101980 ( .A(n83575), .B(n83576), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_N24) );
+  sky130_fd_sc_hd__nor2_1 U101981 ( .A(n83577), .B(n83576), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_N37) );
+  sky130_fd_sc_hd__nor2_1 U101982 ( .A(n84165), .B(n83580), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_N26) );
+  sky130_fd_sc_hd__a31oi_1 U101983 ( .A1(n83579), .A2(n83578), .A3(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_acknum[0]), .B1(n83585), .Y(n83581) );
+  sky130_fd_sc_hd__a221oi_1 U101984 ( .A1(n83581), .A2(n83580), .B1(n83582), 
+        .B2(n83589), .C1(n83591), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_N21) );
+  sky130_fd_sc_hd__nor2_1 U101985 ( .A(n83582), .B(n83591), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_N34) );
+  sky130_fd_sc_hd__nor2_1 U101986 ( .A(n83583), .B(n83591), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_N35) );
+  sky130_fd_sc_hd__nor2_1 U101987 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_acknum[3]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_acknum[2]), .Y(n83587) );
+  sky130_fd_sc_hd__o22ai_1 U101988 ( .A1(n83587), .A2(n83586), .B1(n83585), 
+        .B2(n83584), .Y(n83588) );
+  sky130_fd_sc_hd__a21oi_1 U101989 ( .A1(n83590), .A2(n83589), .B1(n83588), 
+        .Y(n83592) );
+  sky130_fd_sc_hd__nor2_1 U101990 ( .A(n83592), .B(n83591), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_N22) );
+  sky130_fd_sc_hd__nor2_1 U101991 ( .A(n84166), .B(n83606), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_N26) );
+  sky130_fd_sc_hd__nor2_1 U101992 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_acknum[0]), .B(n83593), .Y(n83597) );
+  sky130_fd_sc_hd__a21oi_1 U101993 ( .A1(n83593), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_acknum[0]), .B1(n83597), .Y(n83594) );
+  sky130_fd_sc_hd__a221oi_1 U101994 ( .A1(n83594), .A2(n83606), .B1(n83595), 
+        .B2(n83601), .C1(n83607), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_N21) );
+  sky130_fd_sc_hd__nor2_1 U101995 ( .A(n83595), .B(n83607), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_N34) );
+  sky130_fd_sc_hd__nor2_1 U101996 ( .A(n83600), .B(n83607), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_N35) );
+  sky130_fd_sc_hd__nand2_1 U101997 ( .A(n83597), .B(n83596), .Y(n83602) );
+  sky130_fd_sc_hd__o21ai_1 U101998 ( .A1(n83597), .A2(n83596), .B1(n83602), 
+        .Y(n83598) );
+  sky130_fd_sc_hd__o21ai_1 U101999 ( .A1(n83601), .A2(n83598), .B1(n83604), 
+        .Y(n83599) );
+  sky130_fd_sc_hd__a21oi_1 U102000 ( .A1(n83601), .A2(n83600), .B1(n83599), 
+        .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_N22) );
+  sky130_fd_sc_hd__a22oi_1 U102001 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_acknum[3]), .A2(n83603), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_acknum[2]), .B2(n83602), .Y(n83608) );
+  sky130_fd_sc_hd__nand2_1 U102002 ( .A(n83605), .B(n83604), .Y(n83609) );
+  sky130_fd_sc_hd__o22ai_1 U102003 ( .A1(n83608), .A2(n83607), .B1(n83606), 
+        .B2(n83609), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_0_fragmenter_N23) );
+  sky130_fd_sc_hd__o21ai_0 U102004 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_io_full), .A2(n85915), .B1(n36846), .Y(n83610) );
+  sky130_fd_sc_hd__a21oi_1 U102005 ( .A1(n83612), .A2(n83611), .B1(n83610), 
+        .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_repeater_N6) );
+  sky130_fd_sc_hd__nor2_1 U102006 ( .A(n83627), .B(n84167), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_N26) );
+  sky130_fd_sc_hd__nand3_1 U102007 ( .A(n83614), .B(n83613), .C(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_acknum[0]), .Y(n83617) );
+  sky130_fd_sc_hd__o22ai_1 U102008 ( .A1(n83626), .A2(n83619), .B1(n83615), 
+        .B2(n83622), .Y(n83616) );
+  sky130_fd_sc_hd__a21oi_1 U102009 ( .A1(n83617), .A2(n83616), .B1(n83629), 
+        .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_N21) );
+  sky130_fd_sc_hd__nor2_1 U102010 ( .A(n83620), .B(n83629), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_N35) );
+  sky130_fd_sc_hd__a2bb2oi_1 U102012 ( .B1(n83622), .B2(n83621), .A1_N(n83620), 
+        .A2_N(n83627), .Y(n83623) );
+  sky130_fd_sc_hd__nor2_1 U102013 ( .A(n83623), .B(n83629), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_N22) );
+  sky130_fd_sc_hd__a21oi_1 U102014 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_acknum[2]), .A2(n83625), .B1(n83624), .Y(n83628) );
+  sky130_fd_sc_hd__a221oi_1 U102015 ( .A1(n83628), .A2(n83627), .B1(n83630), 
+        .B2(n83626), .C1(n83629), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_N23) );
+  sky130_fd_sc_hd__nor2_1 U102016 ( .A(n83630), .B(n83629), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_gpio_0_fragmenter_N36) );
+  sky130_fd_sc_hd__nor2_1 U102017 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_io_full), .B(n86304), .Y(n83632) );
+  sky130_fd_sc_hd__a211oi_1 U102018 ( .A1(n60928), .A2(n83633), .B1(n83632), 
+        .C1(n36802), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_repeater_N6) );
+  sky130_fd_sc_hd__nor2_1 U102019 ( .A(n83643), .B(n84168), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_N26) );
+  sky130_fd_sc_hd__a21oi_1 U102020 ( .A1(n83635), .A2(n83634), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_acknum[0]), .Y(n83638) );
+  sky130_fd_sc_hd__a31oi_1 U102021 ( .A1(n83635), .A2(n83634), .A3(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_acknum[0]), .B1(n83638), .Y(n83636) );
+  sky130_fd_sc_hd__a221oi_1 U102022 ( .A1(n83636), .A2(n83643), .B1(n83637), 
+        .B2(n83648), .C1(n83651), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_N21) );
+  sky130_fd_sc_hd__nor2_1 U102023 ( .A(n83637), .B(n83651), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_N34) );
+  sky130_fd_sc_hd__nor2_1 U102024 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_acknum[1]), .B(n83641), .Y(n83646) );
+  sky130_fd_sc_hd__a222oi_1 U102025 ( .A1(n83641), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_acknum[1]), .B1(n83648), .B2(n83640), .C1(n83639), .C2(n83646), .Y(n83642) );
+  sky130_fd_sc_hd__nor2_1 U102026 ( .A(n83642), .B(n83651), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_N22) );
+  sky130_fd_sc_hd__xnor2_1 U102027 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_acknum[2]), .B(n83646), .Y(n83644) );
+  sky130_fd_sc_hd__a221oi_1 U102028 ( .A1(n83644), .A2(n83643), .B1(n83645), 
+        .B2(n83648), .C1(n83651), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_N23) );
+  sky130_fd_sc_hd__nor2_1 U102029 ( .A(n83645), .B(n83651), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_N36) );
+  sky130_fd_sc_hd__nand2b_1 U102030 ( .A_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_acknum[2]), .B(n83646), .Y(n83649) );
+  sky130_fd_sc_hd__a22oi_1 U102031 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_acknum[3]), .A2(n83649), .B1(n83648), .B2(n83647), .Y(n83650) );
+  sky130_fd_sc_hd__nor2_1 U102032 ( .A(n83650), .B(n83651), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_N24) );
+  sky130_fd_sc_hd__nor2_1 U102033 ( .A(n83652), .B(n83651), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_N37) );
+  sky130_fd_sc_hd__nor2_1 U102034 ( .A(n83656), .B(n84169), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_N26) );
+  sky130_fd_sc_hd__nor2_1 U102035 ( .A(n83654), .B(n83653), .Y(n83655) );
+  sky130_fd_sc_hd__a221oi_1 U102036 ( .A1(n83657), .A2(n83656), .B1(n83658), 
+        .B2(n83669), .C1(n83672), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_N21) );
+  sky130_fd_sc_hd__nor2_1 U102037 ( .A(n83658), .B(n83672), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_N34) );
+  sky130_fd_sc_hd__nor2_1 U102038 ( .A(n83659), .B(n83672), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_N35) );
+  sky130_fd_sc_hd__nand2_1 U102039 ( .A(n83665), .B(n83666), .Y(n83660) );
+  sky130_fd_sc_hd__a222oi_1 U102040 ( .A1(n83662), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_acknum[1]), .B1(n83669), .B2(n83661), .C1(n83660), .C2(n83667), .Y(n83663) );
+  sky130_fd_sc_hd__nor2_1 U102041 ( .A(n83663), .B(n83672), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_N22) );
+  sky130_fd_sc_hd__o22ai_1 U102042 ( .A1(n83667), .A2(n83666), .B1(n83665), 
+        .B2(n83664), .Y(n83668) );
+  sky130_fd_sc_hd__a21oi_1 U102043 ( .A1(n83670), .A2(n83669), .B1(n83668), 
+        .Y(n83671) );
+  sky130_fd_sc_hd__nor2_1 U102044 ( .A(n83671), .B(n83672), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_N23) );
+  sky130_fd_sc_hd__nor2_1 U102045 ( .A(n83673), .B(n83672), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_ram_0_fragmenter_N36) );
+  sky130_fd_sc_hd__nor2_1 U102046 ( .A(n83674), .B(n83676), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_N37) );
+  sky130_fd_sc_hd__a21oi_1 U102047 ( .A1(n83675), .A2(n83676), .B1(n37038), 
+        .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_N31) );
+  sky130_fd_sc_hd__nand2b_1 U102048 ( .A_N(n83676), .B(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .Y(
+        n83679) );
+  sky130_fd_sc_hd__a221oi_1 U102049 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget__enable_T_6_0_), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget__enable_T_3_1_), .B1(n83678), .B2(n83677), .C1(n83679), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_N28) );
+  sky130_fd_sc_hd__nor2_1 U102050 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget__enable_T_6_0_), .B(n83679), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_N27) );
+  sky130_fd_sc_hd__nand2_1 U102051 ( .A(n36801), .B(n83680), .Y(n36373) );
+  sky130_fd_sc_hd__nor2_1 U102052 ( .A(n83681), .B(n36373), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N237) );
+  sky130_fd_sc_hd__and3_1 U102053 ( .A(n83683), .B(n83682), .C(n83684), .X(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N231) );
+  sky130_fd_sc_hd__a211oi_1 U102054 ( .A1(n83685), .A2(n83684), .B1(n55062), 
+        .C1(n83686), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N232) );
+  sky130_fd_sc_hd__nor2_1 U102055 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[0]), .B(n83686), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N230) );
+  sky130_fd_sc_hd__nand2b_1 U102056 ( .A_N(n83687), .B(n49230), .Y(n83691) );
+  sky130_fd_sc_hd__nor3_1 U102057 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[1]), .B(n83631), .C(n83687), .Y(n83688) );
+  sky130_fd_sc_hd__nor2_1 U102058 ( .A(n85893), .B(n83688), .Y(n83693) );
+  sky130_fd_sc_hd__a21oi_1 U102059 ( .A1(n83689), .A2(n83691), .B1(n83693), 
+        .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N225) );
+  sky130_fd_sc_hd__o22ai_1 U102060 ( .A1(n83693), .A2(n83692), .B1(n83691), 
+        .B2(n83690), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_N226) );
+  sky130_fd_sc_hd__nor2b_1 U102061 ( .B_N(n85894), .A(n83694), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N39) );
+  sky130_fd_sc_hd__nor2_1 U102062 ( .A(n83695), .B(n83694), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N40) );
+  sky130_fd_sc_hd__nor2_1 U102063 ( .A(n83705), .B(n84170), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N27) );
+  sky130_fd_sc_hd__nor2_1 U102064 ( .A(n36802), .B(n84170), .Y(n83704) );
+  sky130_fd_sc_hd__o21ai_1 U102065 ( .A1(n83705), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_auto_qspi_0_mem_xing_in_d_bits_source[0]), .B1(n83704), .Y(n83696) );
+  sky130_fd_sc_hd__nor2_1 U102066 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_acknum[0]), .B(n83696), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N20) );
+  sky130_fd_sc_hd__nor2_1 U102067 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_acknum[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_acknum[0]), .Y(n83700) );
+  sky130_fd_sc_hd__a21oi_1 U102068 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_acknum[0]), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_acknum[1]), .B1(n83700), .Y(n83697) );
+  sky130_fd_sc_hd__a211oi_1 U102069 ( .A1(n83711), .A2(n83698), .B1(n83697), 
+        .C1(n83713), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N21) );
+  sky130_fd_sc_hd__a21oi_1 U102070 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_acknum[2]), .A2(n83700), .B1(n83713), .Y(n83699) );
+  sky130_fd_sc_hd__a21oi_1 U102072 ( .A1(n83711), .A2(n83702), .B1(n83701), 
+        .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N22) );
+  sky130_fd_sc_hd__a21oi_1 U102073 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_acknum[3]), .A2(n83703), .B1(n83710), .Y(n83707) );
+  sky130_fd_sc_hd__o21ai_1 U102074 ( .A1(n83705), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_auto_qspi_0_mem_xing_in_d_bits_source[3]), .B1(n83704), .Y(n83706) );
+  sky130_fd_sc_hd__nor2_1 U102075 ( .A(n83707), .B(n83706), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N23) );
+  sky130_fd_sc_hd__o21bai_1 U102076 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_acknum[5]), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_auto_qspi_0_mem_xing_in_d_bits_source[4]), .B1_N(n83712), .Y(n83709) );
+  sky130_fd_sc_hd__a221oi_1 U102077 ( .A1(n83710), .A2(n83709), .B1(n83708), 
+        .B2(n83709), .C1(n83713), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N24) );
+  sky130_fd_sc_hd__a22oi_1 U102078 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_acknum[5]), .A2(n83712), .B1(n83711), .B2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_auto_qspi_0_mem_xing_in_d_bits_source[5]), .Y(n83714) );
+  sky130_fd_sc_hd__nor2_1 U102079 ( .A(n83714), .B(n83713), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_N25) );
+  sky130_fd_sc_hd__nor2_1 U102080 ( .A(n83722), .B(n84171), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_N26) );
+  sky130_fd_sc_hd__a21oi_1 U102081 ( .A1(n83717), .A2(n83716), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_acknum[0]), .Y(n83719) );
+  sky130_fd_sc_hd__a31oi_1 U102082 ( .A1(n83717), .A2(n83716), .A3(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_acknum[0]), .B1(n83719), .Y(n83718) );
+  sky130_fd_sc_hd__a221oi_1 U102083 ( .A1(n83718), .A2(n83722), .B1(n47792), 
+        .B2(n83731), .C1(n83733), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_N21) );
+  sky130_fd_sc_hd__nor2_1 U102084 ( .A(n47792), .B(n83733), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_N34) );
+  sky130_fd_sc_hd__nor2_1 U102085 ( .A(n83721), .B(n83733), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_N35) );
+  sky130_fd_sc_hd__nor2_1 U102086 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_acknum[1]), .B(n83720), .Y(n83724) );
+  sky130_fd_sc_hd__a21oi_1 U102087 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_acknum[1]), .A2(n83720), .B1(n83724), .Y(n83723) );
+  sky130_fd_sc_hd__a221oi_1 U102088 ( .A1(n83723), .A2(n83722), .B1(n83721), 
+        .B2(n83731), .C1(n83733), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_N22) );
+  sky130_fd_sc_hd__nor2_1 U102089 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_acknum[2]), .B(n83726), .Y(n83729) );
+  sky130_fd_sc_hd__a222oi_1 U102090 ( .A1(n83726), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_acknum[2]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_acknum[3]), .B2(n83729), .C1(n83725), .C2(n83731), .Y(n83727) );
+  sky130_fd_sc_hd__nor2_1 U102091 ( .A(n83727), .B(n83733), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_N23) );
+  sky130_fd_sc_hd__nor2_1 U102092 ( .A(n83728), .B(n83733), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_N36) );
+  sky130_fd_sc_hd__a22oi_1 U102093 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_acknum[3]), .A2(n83732), .B1(n83731), .B2(n83730), .Y(n83734) );
+  sky130_fd_sc_hd__nor2_1 U102094 ( .A(n83734), .B(n83733), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_N24) );
+  sky130_fd_sc_hd__o21ai_0 U102095 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_io_full), .A2(n86377), .B1(n86402), .Y(n83735) );
+  sky130_fd_sc_hd__a21oi_1 U102096 ( .A1(n83740), .A2(n83736), .B1(n83735), 
+        .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_repeater_N6) );
+  sky130_fd_sc_hd__nor2_1 U102097 ( .A(n83751), .B(n84172), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_N26) );
+  sky130_fd_sc_hd__nor2_1 U102098 ( .A(n83738), .B(n83737), .Y(n83744) );
+  sky130_fd_sc_hd__nor2_1 U102099 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_acknum[0]), .B(n83744), .Y(n83743) );
+  sky130_fd_sc_hd__a21oi_1 U102100 ( .A1(n83744), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_acknum[0]), .B1(n83743), .Y(n83741) );
+  sky130_fd_sc_hd__a221oi_1 U102101 ( .A1(n83741), .A2(n83751), .B1(n83742), 
+        .B2(n83756), .C1(n83759), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_N21) );
+  sky130_fd_sc_hd__nor2_1 U102102 ( .A(n83742), .B(n83759), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_N34) );
+  sky130_fd_sc_hd__nor2_1 U102103 ( .A(n83745), .B(n83759), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_N35) );
+  sky130_fd_sc_hd__nor2_1 U102104 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_acknum[3]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_acknum[2]), .Y(n83746) );
+  sky130_fd_sc_hd__or3_1 U102105 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_acknum[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_acknum[0]), .C(n83744), .X(n83750) );
+  sky130_fd_sc_hd__o22ai_1 U102106 ( .A1(n83746), .A2(n83750), .B1(n83745), 
+        .B2(n83751), .Y(n83747) );
+  sky130_fd_sc_hd__a21oi_1 U102107 ( .A1(n83748), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_acknum[1]), .B1(n83747), .Y(n83749) );
+  sky130_fd_sc_hd__nor2_1 U102108 ( .A(n83759), .B(n83749), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_N22) );
+  sky130_fd_sc_hd__nor2_1 U102109 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_acknum[2]), .B(n83750), .Y(n83754) );
+  sky130_fd_sc_hd__a21oi_1 U102110 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_acknum[2]), .A2(n83750), .B1(n83754), .Y(n83752) );
+  sky130_fd_sc_hd__a221oi_1 U102111 ( .A1(n83752), .A2(n83751), .B1(n83753), 
+        .B2(n83756), .C1(n83759), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_N23) );
+  sky130_fd_sc_hd__nor2_1 U102112 ( .A(n83753), .B(n83759), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_N36) );
+  sky130_fd_sc_hd__a22oi_1 U102113 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_acknum[3]), .A2(n83757), .B1(n83756), .B2(n83755), .Y(n83758) );
+  sky130_fd_sc_hd__nor2_1 U102114 ( .A(n83758), .B(n83759), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_N24) );
+  sky130_fd_sc_hd__nor2_1 U102115 ( .A(n83760), .B(n83759), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_4_fragmenter_N37) );
+  sky130_fd_sc_hd__a21oi_1 U102116 ( .A1(n83761), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_acknum[0]), .B1(n83769), .Y(n83765) );
+  sky130_fd_sc_hd__a221oi_1 U102117 ( .A1(n83765), .A2(n83764), .B1(n83763), 
+        .B2(n83762), .C1(n83773), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_N21) );
+  sky130_fd_sc_hd__o21ai_1 U102118 ( .A1(n83768), .A2(n83767), .B1(n83766), 
+        .Y(n83771) );
+  sky130_fd_sc_hd__nand2b_1 U102119 ( .A_N(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_acknum[1]), .B(n83769), .Y(n83770) );
+  sky130_fd_sc_hd__a22oi_1 U102120 ( .A1(n83772), .A2(n83771), .B1(n83770), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_acknum[2]), .Y(n83774) );
+  sky130_fd_sc_hd__nor2_1 U102121 ( .A(n83774), .B(n83773), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_N23) );
+  sky130_fd_sc_hd__nor2_1 U102122 ( .A(n83776), .B(n83775), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_N26) );
+  sky130_fd_sc_hd__nand2_1 U102123 ( .A(n86402), .B(n83782), .Y(n36367) );
+  sky130_fd_sc_hd__nor3_1 U102124 ( .A(n83779), .B(n83778), .C(n83777), .Y(
+        n83781) );
+  sky130_fd_sc_hd__a21oi_1 U102125 ( .A1(n83781), .A2(n83782), .B1(n83631), 
+        .Y(n83780) );
+  sky130_fd_sc_hd__nand2_1 U102127 ( .A(n36801), .B(n83783), .Y(n36354) );
+  sky130_fd_sc_hd__nor2_1 U102128 ( .A(n83782), .B(n36354), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N60)
+         );
+  sky130_fd_sc_hd__nor3_1 U102129 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_ram_opcode_io_deq_bits_MPORT_addr), .B(n83631), .C(n83783), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N55)
+         );
+  sky130_fd_sc_hd__nand2_1 U102130 ( .A(n37040), .B(n83787), .Y(n36369) );
+  sky130_fd_sc_hd__o221ai_1 U102131 ( .A1(n83786), .A2(n83787), .B1(n83785), 
+        .B2(n83784), .C1(n36801), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N59)
+         );
+  sky130_fd_sc_hd__nor2_1 U102132 ( .A(n36307), .B(n83787), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleOut_0_a_q_N60)
+         );
+  sky130_fd_sc_hd__a31oi_1 U102133 ( .A1(n83792), .A2(n85897), .A3(n85896), 
+        .B1(n83791), .Y(n83790) );
+  sky130_fd_sc_hd__nand2_1 U102134 ( .A(n83792), .B(n85895), .Y(n83789) );
+  sky130_fd_sc_hd__a211oi_1 U102135 ( .A1(n83790), .A2(n83789), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_d_first_counter[0]), 
+        .C1(n83788), .Y(MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_N155)
+         );
+  sky130_fd_sc_hd__nor2_1 U102136 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_d_first_counter[1]), 
+        .B(MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_d_first_counter[0]), .Y(n83795) );
+  sky130_fd_sc_hd__a21oi_1 U102137 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_d_first_counter[0]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_d_first_counter[1]), 
+        .B1(n83795), .Y(n83794) );
+  sky130_fd_sc_hd__nand2_1 U102138 ( .A(n83802), .B(n83791), .Y(n83799) );
+  sky130_fd_sc_hd__nand4_1 U102139 ( .A(n83793), .B(n83802), .C(n83792), .D(
+        n85895), .Y(n83804) );
+  sky130_fd_sc_hd__clkinv_1 U102141 ( .A(n83795), .Y(n83797) );
+  sky130_fd_sc_hd__a21oi_1 U102142 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_d_first_counter[2]), 
+        .A2(n83797), .B1(n83796), .Y(n83800) );
+  sky130_fd_sc_hd__nor2_1 U102143 ( .A(n85897), .B(n85896), .Y(n83798) );
+  sky130_fd_sc_hd__o22ai_1 U102144 ( .A1(n83800), .A2(n83799), .B1(n83798), 
+        .B2(n83804), .Y(MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_N157)
+         );
+  sky130_fd_sc_hd__nand3_1 U102145 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_d_first_counter[3]), 
+        .B(n83802), .C(n83801), .Y(n83803) );
+  sky130_fd_sc_hd__o21ai_1 U102146 ( .A1(n83805), .A2(n83804), .B1(n83803), 
+        .Y(MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_N158) );
+  sky130_fd_sc_hd__a31oi_1 U102147 ( .A1(n83808), .A2(n83810), .A3(n83807), 
+        .B1(n83806), .Y(MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_N77)
+         );
+  sky130_fd_sc_hd__nor2_1 U102148 ( .A(n83809), .B(n36366), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleIn_0_d_q_N60) );
+  sky130_fd_sc_hd__nand2b_1 U102149 ( .A_N(n36307), .B(n83810), .Y(n36312) );
+  sky130_fd_sc_hd__o21ai_0 U102150 ( .A1(n83812), .A2(n83631), .B1(n36312), 
+        .Y(n83811) );
+  sky130_fd_sc_hd__nor2_1 U102152 ( .A(n84001), .B(n36224), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_N60)
+         );
+  sky130_fd_sc_hd__o21ai_1 U102153 ( .A1(n83814), .A2(n83813), .B1(n37040), 
+        .Y(MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_N38) );
+  sky130_fd_sc_hd__nand2_1 U102155 ( .A(MarmotCaravelChip_slowTick_value[1]), 
+        .B(MarmotCaravelChip_slowTick_value[0]), .Y(n83818) );
+  sky130_fd_sc_hd__nand2_1 U102156 ( .A(wbs_dat_o[0]), .B(n83818), .Y(n36094)
+         );
+  sky130_fd_sc_hd__nor2_1 U102157 ( .A(MarmotCaravelChip_slowTick_value[1]), 
+        .B(MarmotCaravelChip_slowTick_value[0]), .Y(n83817) );
+  sky130_fd_sc_hd__nor2_1 U102158 ( .A(n36094), .B(n83817), .Y(
+        MarmotCaravelChip_N9) );
+  sky130_fd_sc_hd__nor2_1 U102159 ( .A(MarmotCaravelChip_slowTick_value[0]), 
+        .B(n84206), .Y(MarmotCaravelChip_N8) );
+  sky130_fd_sc_hd__nor3b_1 U102160 ( .C_N(MarmotCaravelChip_N4), .A(n84206), 
+        .B(n83818), .Y(MarmotCaravelChip_N6) );
+  sky130_fd_sc_hd__or3_1 U102161 ( .A(n36802), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_arbLost), .C(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_clkEn), .X(
+        n36639) );
+  sky130_fd_sc_hd__nand2_1 U102162 ( .A(n83351), .B(n83819), .Y(n36640) );
+  sky130_fd_sc_hd__or3_1 U102163 ( .A(n83631), .B(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_arbLost), .C(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_clkEn), .X(
+        n36634) );
+  sky130_fd_sc_hd__nand2_1 U102164 ( .A(n83116), .B(n83820), .Y(n36635) );
+  sky130_fd_sc_hd__nor3b_1 U102165 ( .C_N(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_opcode[2]), 
+        .A(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_opcode[1]), 
+        .B(MarmotCaravelChip_dut_sys_aon_1_crossing_auto_out_a_bits_opcode[0]), 
+        .Y(n36602) );
+  sky130_fd_sc_hd__o21ai_1 U102166 ( .A1(n83822), .A2(n83821), .B1(n37040), 
+        .Y(n36583) );
+  sky130_fd_sc_hd__o21ai_1 U102167 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_opcode[1]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_saved_opcode[0]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_repeater_io_full), .Y(n83825) );
+  sky130_fd_sc_hd__o21ai_1 U102168 ( .A1(n85900), .A2(n85899), .B1(n83823), 
+        .Y(n83824) );
+  sky130_fd_sc_hd__and3_1 U102169 ( .A(n83826), .B(n83825), .C(n83824), .X(
+        n36557) );
+  sky130_fd_sc_hd__nand2_1 U102170 ( .A(n86753), .B(n83827), .Y(n36483) );
+  sky130_fd_sc_hd__nand2_1 U102171 ( .A(n37037), .B(n83828), .Y(n36470) );
+  sky130_fd_sc_hd__nand2_1 U102172 ( .A(n83116), .B(n83829), .Y(n36469) );
+  sky130_fd_sc_hd__nand2_1 U102173 ( .A(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .B(
+        n83830), .Y(n36468) );
+  sky130_fd_sc_hd__nand2_1 U102174 ( .A(n36801), .B(n83831), .Y(n36467) );
+  sky130_fd_sc_hd__a22oi_1 U102175 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[39]), .B1(n83870), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[7]), .Y(n83835) );
+  sky130_fd_sc_hd__a22oi_1 U102176 ( .A1(n83864), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[31]), .B1(n83865), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[63]), .Y(n83834) );
+  sky130_fd_sc_hd__a22oi_1 U102177 ( .A1(n83868), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[15]), .B1(n83867), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[47]), .Y(n83833) );
+  sky130_fd_sc_hd__a22oi_1 U102178 ( .A1(n83863), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[55]), .B1(n83869), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[23]), .Y(n83832) );
+  sky130_fd_sc_hd__nand4_1 U102179 ( .A(n83835), .B(n83834), .C(n83833), .D(
+        n83832), .Y(n36426) );
+  sky130_fd_sc_hd__a22oi_1 U102180 ( .A1(n83867), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[46]), .B1(n83869), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[22]), .Y(n83839) );
+  sky130_fd_sc_hd__a22oi_1 U102181 ( .A1(n83868), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[14]), .B1(n83865), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[62]), .Y(n83838) );
+  sky130_fd_sc_hd__a22oi_1 U102182 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[38]), .B1(n83870), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[6]), .Y(n83837) );
+  sky130_fd_sc_hd__a22oi_1 U102183 ( .A1(n83864), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[30]), .B1(n83863), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[54]), .Y(n83836) );
+  sky130_fd_sc_hd__nand4_1 U102184 ( .A(n83839), .B(n83838), .C(n83837), .D(
+        n83836), .Y(n36425) );
+  sky130_fd_sc_hd__a22oi_1 U102185 ( .A1(n83867), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[45]), .B1(n83870), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[5]), .Y(n83843) );
+  sky130_fd_sc_hd__a22oi_1 U102186 ( .A1(n83865), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[61]), .B1(n83858), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[21]), .Y(n83842) );
+  sky130_fd_sc_hd__a22oi_1 U102187 ( .A1(n83868), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[13]), .B1(n83857), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[29]), .Y(n83841) );
+  sky130_fd_sc_hd__a22oi_1 U102188 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[37]), .B1(n83863), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[53]), .Y(n83840) );
+  sky130_fd_sc_hd__nand4_1 U102189 ( .A(n83843), .B(n83842), .C(n83841), .D(
+        n83840), .Y(n36424) );
+  sky130_fd_sc_hd__a22oi_1 U102190 ( .A1(n83870), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[4]), .B1(n83863), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[52]), .Y(n83848) );
+  sky130_fd_sc_hd__a22oi_1 U102191 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[36]), .B1(n83844), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[60]), .Y(n83847) );
+  sky130_fd_sc_hd__a22oi_1 U102192 ( .A1(n83868), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[12]), .B1(n83857), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[28]), .Y(n83846) );
+  sky130_fd_sc_hd__a22oi_1 U102193 ( .A1(n83867), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[44]), .B1(n83858), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[20]), .Y(n83845) );
+  sky130_fd_sc_hd__nand4_1 U102194 ( .A(n83848), .B(n83847), .C(n83846), .D(
+        n83845), .Y(n36423) );
+  sky130_fd_sc_hd__a22oi_1 U102195 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[35]), .B1(n83863), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[51]), .Y(n83852) );
+  sky130_fd_sc_hd__a22oi_1 U102196 ( .A1(n83870), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[3]), .B1(n83858), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[19]), .Y(n83851) );
+  sky130_fd_sc_hd__a22oi_1 U102197 ( .A1(n83868), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[11]), .B1(n83865), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[59]), .Y(n83850) );
+  sky130_fd_sc_hd__a22oi_1 U102198 ( .A1(n83867), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[43]), .B1(n83857), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[27]), .Y(n83849) );
+  sky130_fd_sc_hd__nand4_1 U102199 ( .A(n83852), .B(n83851), .C(n83850), .D(
+        n83849), .Y(n36422) );
+  sky130_fd_sc_hd__a22oi_1 U102200 ( .A1(n83863), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[50]), .B1(n83869), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[18]), .Y(n83856) );
+  sky130_fd_sc_hd__a22oi_1 U102201 ( .A1(n83867), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[42]), .B1(n83870), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[2]), .Y(n83855) );
+  sky130_fd_sc_hd__a22oi_1 U102202 ( .A1(n83868), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[10]), .B1(n83865), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[58]), .Y(n83854) );
+  sky130_fd_sc_hd__a22oi_1 U102203 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[34]), .B1(n83864), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[26]), .Y(n83853) );
+  sky130_fd_sc_hd__nand4_1 U102204 ( .A(n83856), .B(n83855), .C(n83854), .D(
+        n83853), .Y(n36421) );
+  sky130_fd_sc_hd__a22oi_1 U102205 ( .A1(n83870), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[1]), .B1(n83865), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[57]), .Y(n83862) );
+  sky130_fd_sc_hd__a22oi_1 U102206 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[33]), .B1(n83863), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[49]), .Y(n83861) );
+  sky130_fd_sc_hd__a22oi_1 U102207 ( .A1(n83868), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[9]), .B1(n83857), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[25]), .Y(n83860) );
+  sky130_fd_sc_hd__a22oi_1 U102208 ( .A1(n83867), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[41]), .B1(n83858), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[17]), .Y(n83859) );
+  sky130_fd_sc_hd__nand4_1 U102209 ( .A(n83862), .B(n83861), .C(n83860), .D(
+        n83859), .Y(n36420) );
+  sky130_fd_sc_hd__a22oi_1 U102210 ( .A1(n83864), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[24]), .B1(n83863), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[48]), .Y(n83874) );
+  sky130_fd_sc_hd__a22oi_1 U102211 ( .A1(n83866), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[32]), .B1(n83865), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[56]), .Y(n83873) );
+  sky130_fd_sc_hd__a22oi_1 U102212 ( .A1(n83868), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[8]), .B1(n83867), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[40]), .Y(n83872) );
+  sky130_fd_sc_hd__a22oi_1 U102213 ( .A1(n83870), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[0]), .B1(n83869), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_data[16]), .Y(n83871) );
+  sky130_fd_sc_hd__nand4_1 U102214 ( .A(n83874), .B(n83873), .C(n83872), .D(
+        n83871), .Y(n36419) );
+  sky130_fd_sc_hd__nand2_1 U102215 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_opcode[2]), .Y(n83878) );
+  sky130_fd_sc_hd__nand3_1 U102216 ( .A(n83876), .B(n85901), .C(n83875), .Y(
+        n83877) );
+  sky130_fd_sc_hd__o31ai_1 U102217 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_opcode[1]), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_opcode[0]), .A3(n83878), .B1(n83877), .Y(n36414) );
+  sky130_fd_sc_hd__nand2b_1 U102218 ( .A_N(n86453), .B(n86402), .Y(n36413) );
+  sky130_fd_sc_hd__nand2_1 U102219 ( .A(n86753), .B(n83880), .Y(n36361) );
+  sky130_fd_sc_hd__nand2_1 U102220 ( .A(n86753), .B(n83881), .Y(n36360) );
+  sky130_fd_sc_hd__nand2_1 U102221 ( .A(n86753), .B(n83882), .Y(n36358) );
+  sky130_fd_sc_hd__nand2_1 U102222 ( .A(n36846), .B(n83883), .Y(n36356) );
+  sky130_fd_sc_hd__nand2_1 U102223 ( .A(n86402), .B(n83884), .Y(n36355) );
+  sky130_fd_sc_hd__nor2_1 U102224 ( .A(n83886), .B(n83885), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N530) );
+  sky130_fd_sc_hd__nand2b_1 U102225 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N530), .B(n36801), .Y(n36347) );
+  sky130_fd_sc_hd__nor2_1 U102226 ( .A(n83888), .B(n83887), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N475) );
+  sky130_fd_sc_hd__nand2b_1 U102227 ( .A_N(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N475), .B(n83116), .Y(n36346) );
+  sky130_fd_sc_hd__a21oi_1 U102228 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft[0]), .A2(
+        n83890), .B1(n83889), .Y(n83930) );
+  sky130_fd_sc_hd__nor4_1 U102229 ( .A(n83906), .B(n83941), .C(n83905), .D(
+        n83966), .Y(n83928) );
+  sky130_fd_sc_hd__nor4_1 U102230 ( .A(n83900), .B(n83899), .C(n83942), .D(
+        n83961), .Y(n83927) );
+  sky130_fd_sc_hd__nor2_1 U102231 ( .A(n83892), .B(n83891), .Y(n83903) );
+  sky130_fd_sc_hd__nand2b_1 U102232 ( .A_N(n83893), .B(n83903), .Y(n83936) );
+  sky130_fd_sc_hd__or3_1 U102233 ( .A(n83902), .B(n83946), .C(n83955), .X(
+        n83894) );
+  sky130_fd_sc_hd__nand3_1 U102235 ( .A(n83897), .B(n83896), .C(n83895), .Y(
+        n83938) );
+  sky130_fd_sc_hd__or3_1 U102236 ( .A(n83900), .B(n83899), .C(n83898), .X(
+        n83962) );
+  sky130_fd_sc_hd__nand2b_1 U102237 ( .A_N(n83902), .B(n83901), .Y(n83956) );
+  sky130_fd_sc_hd__nand2b_1 U102238 ( .A_N(n83937), .B(n83903), .Y(n83953) );
+  sky130_fd_sc_hd__nand2_1 U102239 ( .A(n83904), .B(n83923), .Y(n83945) );
+  sky130_fd_sc_hd__nand2_1 U102240 ( .A(n83980), .B(n83915), .Y(n83957) );
+  sky130_fd_sc_hd__nand4_1 U102241 ( .A(n83962), .B(n83956), .C(n83953), .D(
+        n83957), .Y(n83914) );
+  sky130_fd_sc_hd__nor2_1 U102242 ( .A(n83906), .B(n83905), .Y(n83907) );
+  sky130_fd_sc_hd__nand2b_1 U102243 ( .A_N(n83908), .B(n83907), .Y(n83965) );
+  sky130_fd_sc_hd__nand3b_1 U102244 ( .A_N(n83917), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_auto_tl_in_d_bits_opcode_0_), .C(n83909), .Y(n83952) );
+  sky130_fd_sc_hd__or3_1 U102245 ( .A(n83920), .B(n83910), .C(n83919), .X(
+        n83964) );
+  sky130_fd_sc_hd__or3_1 U102246 ( .A(n83912), .B(n83911), .C(n83939), .X(
+        n83959) );
+  sky130_fd_sc_hd__nand4_1 U102247 ( .A(n83965), .B(n83952), .C(n83964), .D(
+        n83959), .Y(n83913) );
+  sky130_fd_sc_hd__nor2_1 U102248 ( .A(n83914), .B(n83913), .Y(n83933) );
+  sky130_fd_sc_hd__nand2_1 U102249 ( .A(n83916), .B(n83915), .Y(n83944) );
+  sky130_fd_sc_hd__nor2_1 U102250 ( .A(n83958), .B(n83944), .Y(n83979) );
+  sky130_fd_sc_hd__nor4_1 U102251 ( .A(n83918), .B(n83917), .C(n83940), .D(
+        n83951), .Y(n83922) );
+  sky130_fd_sc_hd__nor4_1 U102252 ( .A(n83920), .B(n83919), .C(n83943), .D(
+        n83963), .Y(n83921) );
+  sky130_fd_sc_hd__a211oi_1 U102253 ( .A1(n83979), .A2(n83923), .B1(n83922), 
+        .C1(n83921), .Y(n83924) );
+  sky130_fd_sc_hd__o211ai_1 U102254 ( .A1(n83938), .A2(n83960), .B1(n83933), 
+        .C1(n83924), .Y(n83925) );
+  sky130_fd_sc_hd__nor4_1 U102255 ( .A(n83928), .B(n83927), .C(n83926), .D(
+        n83925), .Y(n83929) );
+  sky130_fd_sc_hd__o22ai_1 U102256 ( .A1(n83930), .A2(n83975), .B1(n83929), 
+        .B2(n83988), .Y(n36342) );
+  sky130_fd_sc_hd__a21oi_1 U102257 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft[1]), .A2(
+        n83931), .B1(n83935), .Y(n83932) );
+  sky130_fd_sc_hd__o22ai_1 U102258 ( .A1(n83933), .A2(n83988), .B1(n83932), 
+        .B2(n83975), .Y(n36341) );
+  sky130_fd_sc_hd__o22ai_1 U102260 ( .A1(n83939), .A2(n83938), .B1(n83937), 
+        .B2(n83936), .Y(n83950) );
+  sky130_fd_sc_hd__o22ai_1 U102261 ( .A1(n83941), .A2(n83965), .B1(n83952), 
+        .B2(n83940), .Y(n83949) );
+  sky130_fd_sc_hd__o22ai_1 U102262 ( .A1(n83943), .A2(n83964), .B1(n83942), 
+        .B2(n83962), .Y(n83948) );
+  sky130_fd_sc_hd__o22ai_1 U102263 ( .A1(n83946), .A2(n83956), .B1(n83945), 
+        .B2(n83944), .Y(n83947) );
+  sky130_fd_sc_hd__nor4_1 U102264 ( .A(n83950), .B(n83949), .C(n83948), .D(
+        n83947), .Y(n83977) );
+  sky130_fd_sc_hd__o22ai_1 U102265 ( .A1(n83954), .A2(n83953), .B1(n83952), 
+        .B2(n83951), .Y(n83970) );
+  sky130_fd_sc_hd__o22ai_1 U102266 ( .A1(n83958), .A2(n83957), .B1(n83956), 
+        .B2(n83955), .Y(n83969) );
+  sky130_fd_sc_hd__o22ai_1 U102267 ( .A1(n83962), .A2(n83961), .B1(n83960), 
+        .B2(n83959), .Y(n83968) );
+  sky130_fd_sc_hd__o22ai_1 U102268 ( .A1(n83966), .A2(n83965), .B1(n83964), 
+        .B2(n83963), .Y(n83967) );
+  sky130_fd_sc_hd__nor4_1 U102269 ( .A(n83970), .B(n83969), .C(n83968), .D(
+        n83967), .Y(n83971) );
+  sky130_fd_sc_hd__a21oi_1 U102270 ( .A1(n83977), .A2(n83971), .B1(n83988), 
+        .Y(n83972) );
+  sky130_fd_sc_hd__a21o_1 U102271 ( .A1(n83984), .A2(n83973), .B1(n83972), .X(
+        n36340) );
+  sky130_fd_sc_hd__a21oi_1 U102272 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft[3]), .A2(
+        n83974), .B1(n83982), .Y(n83976) );
+  sky130_fd_sc_hd__o22ai_1 U102273 ( .A1(n83977), .A2(n83988), .B1(n83976), 
+        .B2(n83975), .Y(n36339) );
+  sky130_fd_sc_hd__nand2_1 U102274 ( .A(n83980), .B(n83979), .Y(n83987) );
+  sky130_fd_sc_hd__nand2_1 U102276 ( .A(n83985), .B(n83984), .Y(n83986) );
+  sky130_fd_sc_hd__o21ai_0 U102277 ( .A1(n83988), .A2(n83987), .B1(n83986), 
+        .Y(n36338) );
+  sky130_fd_sc_hd__nand2_1 U102278 ( .A(n86753), .B(n83989), .Y(n36409) );
+  sky130_fd_sc_hd__nand2_1 U102279 ( .A(n84221), .B(n83990), .Y(n83991) );
+  sky130_fd_sc_hd__nand2_1 U102280 ( .A(n83992), .B(n83991), .Y(n36313) );
+  sky130_fd_sc_hd__o22ai_1 U102281 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_beatsLeft[0]), .A2(
+        n83999), .B1(n84000), .B2(n84001), .Y(n83998) );
+  sky130_fd_sc_hd__and3_1 U102282 ( .A(n83994), .B(n85903), .C(n83993), .X(
+        n83996) );
+  sky130_fd_sc_hd__nand4_1 U102283 ( .A(n83994), .B(n85903), .C(n83993), .D(
+        n85902), .Y(n84010) );
+  sky130_fd_sc_hd__a31oi_1 U102284 ( .A1(n83996), .A2(n85905), .A3(n85904), 
+        .B1(n83995), .Y(n83997) );
+  sky130_fd_sc_hd__o21ai_1 U102285 ( .A1(n83998), .A2(n36368), .B1(n83997), 
+        .Y(n36311) );
+  sky130_fd_sc_hd__nand2_1 U102286 ( .A(n84000), .B(n83999), .Y(n84002) );
+  sky130_fd_sc_hd__nor3_1 U102287 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_beatsLeft[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_beatsLeft[0]), .C(
+        n84001), .Y(n84007) );
+  sky130_fd_sc_hd__a21oi_1 U102288 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_beatsLeft[1]), .A2(
+        n84002), .B1(n84007), .Y(n84003) );
+  sky130_fd_sc_hd__nor2_1 U102290 ( .A(n85905), .B(n85904), .Y(n84006) );
+  sky130_fd_sc_hd__a21oi_1 U102291 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_beatsLeft[2]), .A2(
+        n84007), .B1(n36368), .Y(n84004) );
+  sky130_fd_sc_hd__o22ai_1 U102295 ( .A1(n84011), .A2(n84010), .B1(n60015), 
+        .B2(n84009), .Y(n36308) );
+  sky130_fd_sc_hd__nand2_1 U102296 ( .A(n37037), .B(n84012), .Y(n36306) );
+  sky130_fd_sc_hd__nand2_1 U102297 ( .A(n84015), .B(n84013), .Y(n84014) );
+  sky130_fd_sc_hd__nand3b_1 U102298 ( .A_N(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft[0]), .B(n84013), .C(n84015), .Y(n84018) );
+  sky130_fd_sc_hd__nand3_1 U102299 ( .A(n84015), .B(n85906), .C(n84034), .Y(
+        n84026) );
+  sky130_fd_sc_hd__o22ai_1 U102300 ( .A1(n84017), .A2(n36474), .B1(n84026), 
+        .B2(n84016), .Y(n36298) );
+  sky130_fd_sc_hd__nor2_1 U102301 ( .A(n84018), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft[1]), .Y(n84021) );
+  sky130_fd_sc_hd__a21oi_1 U102302 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft[1]), .A2(n84018), .B1(n84021), .Y(n84019) );
+  sky130_fd_sc_hd__o22ai_1 U102303 ( .A1(n84020), .A2(n84026), .B1(n84019), 
+        .B2(n36474), .Y(n36297) );
+  sky130_fd_sc_hd__nor2_1 U102304 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft[2]), .B(n84022), .Y(n84030) );
+  sky130_fd_sc_hd__a21oi_1 U102305 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft[2]), .A2(n84022), .B1(n84030), .Y(n84024) );
+  sky130_fd_sc_hd__o22ai_1 U102306 ( .A1(n84024), .A2(n36474), .B1(n84026), 
+        .B2(n84023), .Y(n36296) );
+  sky130_fd_sc_hd__nand2_1 U102307 ( .A(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft[3]), .Y(n84029) );
+  sky130_fd_sc_hd__nand2b_1 U102308 ( .A_N(n84026), .B(n84025), .Y(n84027) );
+  sky130_fd_sc_hd__o22ai_1 U102309 ( .A1(n84030), .A2(n84029), .B1(n84028), 
+        .B2(n84027), .Y(n36295) );
+  sky130_fd_sc_hd__o21ai_1 U102310 ( .A1(n84032), .A2(n84031), .B1(n84041), 
+        .Y(n84037) );
+  sky130_fd_sc_hd__and4_1 U102311 ( .A(n84035), .B(n84034), .C(n84033), .D(
+        n85907), .X(n84042) );
+  sky130_fd_sc_hd__o21ai_1 U102312 ( .A1(n84064), .A2(n84047), .B1(n84043), 
+        .Y(n84036) );
+  sky130_fd_sc_hd__a22oi_1 U102313 ( .A1(n84050), .A2(n84037), .B1(n84042), 
+        .B2(n84036), .Y(n84038) );
+  sky130_fd_sc_hd__o21ai_1 U102314 ( .A1(n84040), .A2(n84039), .B1(n84038), 
+        .Y(n36294) );
+  sky130_fd_sc_hd__a21oi_1 U102315 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_beatsLeft[1]), 
+        .A2(n84041), .B1(n84046), .Y(n84044) );
+  sky130_fd_sc_hd__nand2b_1 U102316 ( .A_N(n84043), .B(n84042), .Y(n84063) );
+  sky130_fd_sc_hd__o211ai_1 U102317 ( .A1(n84044), .A2(n84058), .B1(n84063), 
+        .C1(n84052), .Y(n36293) );
+  sky130_fd_sc_hd__a21oi_1 U102319 ( .A1(n84064), .A2(n84047), .B1(n84063), 
+        .Y(n84048) );
+  sky130_fd_sc_hd__a21oi_1 U102320 ( .A1(n84050), .A2(n84049), .B1(n84048), 
+        .Y(n84051) );
+  sky130_fd_sc_hd__a21oi_1 U102322 ( .A1(n84056), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_beatsLeft[3]), 
+        .B1(n84055), .Y(n84057) );
+  sky130_fd_sc_hd__nor2_1 U102323 ( .A(n84058), .B(n84057), .Y(n84059) );
+  sky130_fd_sc_hd__a21oi_1 U102324 ( .A1(n84061), .A2(n84060), .B1(n84059), 
+        .Y(n84062) );
+  sky130_fd_sc_hd__o21ai_1 U102325 ( .A1(n84064), .A2(n84063), .B1(n84062), 
+        .Y(n36291) );
+  sky130_fd_sc_hd__nand2_1 U102326 ( .A(n36801), .B(n84065), .Y(n36363) );
+  sky130_fd_sc_hd__nand2_1 U102327 ( .A(n86753), .B(n84066), .Y(n36290) );
+  sky130_fd_sc_hd__nand2_1 U102328 ( .A(n86753), .B(n84067), .Y(n36289) );
+  sky130_fd_sc_hd__a21o_1 U102329 ( .A1(n84069), .A2(n84068), .B1(n37038), .X(
+        n36288) );
+  sky130_fd_sc_hd__nor2_1 U102330 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft_1[0]), .B(n84096), .Y(n84093) );
+  sky130_fd_sc_hd__a21oi_1 U102331 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft_1[0]), .A2(n84096), .B1(n84093), .Y(n84091) );
+  sky130_fd_sc_hd__nor3b_1 U102332 ( .C_N(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_size[2]), .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_opcode[2]), .B(n84085), .Y(n84104) );
+  sky130_fd_sc_hd__nor3b_1 U102333 ( .C_N(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_size[2]), .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_opcode[2]), .B(n84079), .Y(n84107) );
+  sky130_fd_sc_hd__nor2_1 U102334 ( .A(n84071), .B(n84070), .Y(n84099) );
+  sky130_fd_sc_hd__nor4_1 U102335 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_opcode[2]), .B(n84077), .C(n84076), .D(n84106), .Y(n84100) );
+  sky130_fd_sc_hd__nor4_1 U102336 ( .A(n84104), .B(n84107), .C(n84099), .D(
+        n84100), .Y(n84075) );
+  sky130_fd_sc_hd__nor2_1 U102337 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_opcode[2]), .B(n84072), .Y(n84084) );
+  sky130_fd_sc_hd__nand2_1 U102338 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_size[2]), .B(n84084), .Y(n84108) );
+  sky130_fd_sc_hd__nand2b_1 U102339 ( .A_N(n84074), .B(n84073), .Y(n84115) );
+  sky130_fd_sc_hd__a21oi_1 U102340 ( .A1(n84075), .A2(n84108), .B1(n84115), 
+        .Y(n84094) );
+  sky130_fd_sc_hd__nor3_1 U102341 ( .A(n84077), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_opcode[2]), .C(n84076), .Y(n84078) );
+  sky130_fd_sc_hd__nand2_1 U102342 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_size[0]), .B(n84078), .Y(n84105) );
+  sky130_fd_sc_hd__nor2_1 U102343 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_opcode[2]), .B(n84079), .Y(n84080) );
+  sky130_fd_sc_hd__nand3_1 U102344 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_size[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_size[0]), .C(n84080), .Y(n84081) );
+  sky130_fd_sc_hd__a31oi_1 U102346 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_size[1]), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_6_bits_size[0]), .A3(n84084), .B1(n84083), .Y(n84088) );
+  sky130_fd_sc_hd__nor2_1 U102347 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_opcode[2]), .B(n84085), .Y(n84086) );
+  sky130_fd_sc_hd__nand3_1 U102348 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_size[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_size[0]), .C(n84086), .Y(n84087) );
+  sky130_fd_sc_hd__a21oi_1 U102349 ( .A1(n84088), .A2(n84087), .B1(n84115), 
+        .Y(n84089) );
+  sky130_fd_sc_hd__nor2_1 U102350 ( .A(n84094), .B(n84089), .Y(n84090) );
+  sky130_fd_sc_hd__o21ai_1 U102351 ( .A1(n84091), .A2(n36472), .B1(n84090), 
+        .Y(n36282) );
+  sky130_fd_sc_hd__nand2_1 U102352 ( .A(n84093), .B(n84092), .Y(n84097) );
+  sky130_fd_sc_hd__o21a_1 U102353 ( .A1(n84093), .A2(n84092), .B1(n84097), .X(
+        n84095) );
+  sky130_fd_sc_hd__o21bai_1 U102354 ( .A1(n36472), .A2(n84095), .B1_N(n84094), 
+        .Y(n36281) );
+  sky130_fd_sc_hd__nor4_1 U102355 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft_1[0]), .B(MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft_1[2]), .C(MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft_1[1]), .D(n84096), .Y(n84118) );
+  sky130_fd_sc_hd__a21oi_1 U102356 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft_1[2]), .A2(n84097), .B1(n84118), .Y(n84113) );
+  sky130_fd_sc_hd__a22oi_1 U102357 ( .A1(n84100), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_size[1]), .B1(n84099), .B2(n84098), .Y(n84102) );
+  sky130_fd_sc_hd__a22oi_1 U102358 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_size[1]), .A2(n84104), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_size[1]), .B2(n84107), .Y(n84101) );
+  sky130_fd_sc_hd__o211ai_1 U102359 ( .A1(n84103), .A2(n84108), .B1(n84102), 
+        .C1(n84101), .Y(n84114) );
+  sky130_fd_sc_hd__o2bb2ai_1 U102360 ( .B1(n84106), .B2(n84105), .A1_N(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_5_bits_size[0]), .A2_N(n84104), .Y(n84111) );
+  sky130_fd_sc_hd__o2bb2ai_1 U102361 ( .B1(n84109), .B2(n84108), .A1_N(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_size[0]), .A2_N(n84107), .Y(n84110) );
+  sky130_fd_sc_hd__nor3_1 U102362 ( .A(n84114), .B(n84111), .C(n84110), .Y(
+        n84112) );
+  sky130_fd_sc_hd__o22ai_1 U102363 ( .A1(n84113), .A2(n36472), .B1(n84112), 
+        .B2(n84115), .Y(n36280) );
+  sky130_fd_sc_hd__nand2_1 U102364 ( .A(n86753), .B(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_beatsLeft_1[3]), .Y(n84117) );
+  sky130_fd_sc_hd__o22ai_1 U102365 ( .A1(n84118), .A2(n84117), .B1(n84116), 
+        .B2(n84115), .Y(n36279) );
+  sky130_fd_sc_hd__a22o_1 U102366 ( .A1(n84122), .A2(n84121), .B1(n84120), 
+        .B2(n84119), .X(n36277) );
+  sky130_fd_sc_hd__nor2_1 U102367 ( .A(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_cs_assert), 
+        .B(n84123), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_N43) );
+  sky130_fd_sc_hd__nand2_1 U102368 ( .A(n36846), .B(n84124), .Y(n36275) );
+  sky130_fd_sc_hd__nor2_1 U102369 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_beatsLeft[0]), .B(n84126), .Y(n84132) );
+  sky130_fd_sc_hd__a21oi_1 U102370 ( .A1(n84126), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_beatsLeft[0]), .B1(n84132), .Y(n84131) );
+  sky130_fd_sc_hd__nand4_1 U102371 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_size[1]), .B(n84128), .C(n85908), .D(n84127), .Y(n84129) );
+  sky130_fd_sc_hd__o22ai_1 U102372 ( .A1(n84146), .A2(n84131), .B1(n84130), 
+        .B2(n84129), .Y(n36270) );
+  sky130_fd_sc_hd__nor2_1 U102373 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_beatsLeft[1]), .B(n84133), .Y(n84136) );
+  sky130_fd_sc_hd__a21oi_1 U102374 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_beatsLeft[1]), .A2(n84133), .B1(n84136), .Y(n84134) );
+  sky130_fd_sc_hd__nor2_1 U102375 ( .A(n84146), .B(n84134), .Y(n36269) );
+  sky130_fd_sc_hd__nand2_1 U102376 ( .A(n84136), .B(n84135), .Y(n84137) );
+  sky130_fd_sc_hd__a221oi_1 U102377 ( .A1(n84136), .A2(n84137), .B1(n84135), 
+        .B2(n84137), .C1(n84146), .Y(n36268) );
+  sky130_fd_sc_hd__nor2_1 U102378 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_beatsLeft[3]), .B(n84137), .Y(n84140) );
+  sky130_fd_sc_hd__a21oi_1 U102379 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_beatsLeft[3]), .A2(n84137), .B1(n84140), .Y(n84138) );
+  sky130_fd_sc_hd__nor2_1 U102380 ( .A(n84146), .B(n84138), .Y(n36267) );
+  sky130_fd_sc_hd__nand2_1 U102381 ( .A(n84140), .B(n84139), .Y(n84141) );
+  sky130_fd_sc_hd__a221oi_1 U102382 ( .A1(n84140), .A2(n84141), .B1(n84139), 
+        .B2(n84141), .C1(n84146), .Y(n36266) );
+  sky130_fd_sc_hd__nor2_1 U102383 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_beatsLeft[5]), .B(n84141), .Y(n84144) );
+  sky130_fd_sc_hd__a21oi_1 U102384 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_beatsLeft[5]), .A2(n84141), .B1(n84144), .Y(n84142) );
+  sky130_fd_sc_hd__nor2_1 U102385 ( .A(n84146), .B(n84142), .Y(n36265) );
+  sky130_fd_sc_hd__nand2_1 U102386 ( .A(n84144), .B(n84143), .Y(n84145) );
+  sky130_fd_sc_hd__a221oi_1 U102387 ( .A1(n84144), .A2(n84145), .B1(n84143), 
+        .B2(n84145), .C1(n84146), .Y(n36264) );
+  sky130_fd_sc_hd__nand2_1 U102388 ( .A(n49230), .B(n84147), .Y(n36260) );
+  sky130_fd_sc_hd__nand2_1 U102389 ( .A(n36846), .B(n84148), .Y(n36258) );
+  sky130_fd_sc_hd__a21oi_1 U102390 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_beatsLeft[1]), .A2(
+        n84151), .B1(n84150), .Y(n84153) );
+  sky130_fd_sc_hd__nand2_1 U102391 ( .A(n84152), .B(n85909), .Y(n84159) );
+  sky130_fd_sc_hd__o21ai_1 U102392 ( .A1(n84153), .A2(n36415), .B1(n84159), 
+        .Y(n36256) );
+  sky130_fd_sc_hd__a21oi_1 U102393 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_beatsLeft[3]), .A2(
+        n84154), .B1(n84158), .Y(n84155) );
+  sky130_fd_sc_hd__o22ai_1 U102394 ( .A1(n84160), .A2(n84159), .B1(n84155), 
+        .B2(n36415), .Y(n36254) );
+  sky130_fd_sc_hd__nor2_1 U102396 ( .A(n84160), .B(n84159), .Y(n84161) );
+  sky130_fd_sc_hd__a22o_1 U102397 ( .A1(n84163), .A2(n84162), .B1(n84161), 
+        .B2(n85910), .X(n36253) );
+  sky130_fd_sc_hd__nand2_1 U102398 ( .A(n37040), .B(n84164), .Y(n36236) );
+  sky130_fd_sc_hd__nand2_1 U102399 ( .A(n79910), .B(n84165), .Y(n36235) );
+  sky130_fd_sc_hd__nand2_1 U102400 ( .A(n86753), .B(n84166), .Y(n36234) );
+  sky130_fd_sc_hd__nand2_1 U102401 ( .A(n36846), .B(n84167), .Y(n36233) );
+  sky130_fd_sc_hd__nand2_1 U102402 ( .A(n86753), .B(n84168), .Y(n36232) );
+  sky130_fd_sc_hd__nand2_1 U102403 ( .A(n86753), .B(n84169), .Y(n36231) );
+  sky130_fd_sc_hd__nand2_1 U102404 ( .A(n86753), .B(n84170), .Y(n36230) );
+  sky130_fd_sc_hd__nand2_1 U102405 ( .A(n36846), .B(n84171), .Y(n36229) );
+  sky130_fd_sc_hd__nand2_1 U102406 ( .A(n49230), .B(n84172), .Y(n36228) );
+  sky130_fd_sc_hd__o21ai_0 U102407 ( .A1(n84173), .A2(n84176), .B1(n79910), 
+        .Y(n36198) );
+  sky130_fd_sc_hd__nand2_1 U102409 ( .A(n86753), .B(n84175), .Y(n36196) );
+  sky130_fd_sc_hd__nand2_1 U102412 ( .A(n36801), .B(n84180), .Y(n36181) );
+  sky130_fd_sc_hd__nand2_1 U102413 ( .A(n83351), .B(n84181), .Y(n36180) );
+  sky130_fd_sc_hd__nand2_1 U102414 ( .A(n49230), .B(n84182), .Y(n36179) );
+  sky130_fd_sc_hd__nand2_1 U102415 ( .A(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .B(
+        n84183), .Y(n36173) );
+  sky130_fd_sc_hd__nand2_1 U102416 ( .A(n49230), .B(n84184), .Y(n36172) );
+  sky130_fd_sc_hd__nand2_1 U102417 ( .A(n86402), .B(n84185), .Y(n36171) );
+  sky130_fd_sc_hd__nand2_1 U102418 ( .A(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .B(
+        n84186), .Y(n36170) );
+  sky130_fd_sc_hd__nand2_1 U102419 ( .A(n36801), .B(n84188), .Y(n36157) );
+  sky130_fd_sc_hd__nand2_1 U102420 ( .A(n83351), .B(n84189), .Y(n36156) );
+  sky130_fd_sc_hd__nand2_1 U102421 ( .A(n37037), .B(n84190), .Y(n36155) );
+  sky130_fd_sc_hd__nand2_1 U102422 ( .A(n86753), .B(n84191), .Y(n36150) );
+  sky130_fd_sc_hd__nand2_1 U102423 ( .A(n36801), .B(n84192), .Y(n36149) );
+  sky130_fd_sc_hd__nand2_1 U102424 ( .A(n49230), .B(n84193), .Y(n36148) );
+  sky130_fd_sc_hd__nand2_1 U102425 ( .A(n36801), .B(n84194), .Y(n36147) );
+  sky130_fd_sc_hd__nand2_1 U102426 ( .A(n49230), .B(n84195), .Y(n36136) );
+  sky130_fd_sc_hd__o21ai_1 U102427 ( .A1(n84196), .A2(n84197), .B1(n36801), 
+        .Y(n36135) );
+  sky130_fd_sc_hd__o21ai_1 U102429 ( .A1(n84199), .A2(n84198), .B1(n79910), 
+        .Y(n36130) );
+  sky130_fd_sc_hd__nand2_1 U102430 ( .A(n86753), .B(n84201), .Y(n36120) );
+  sky130_fd_sc_hd__o21ai_0 U102431 ( .A1(n84204), .A2(n84203), .B1(n79910), 
+        .Y(n36119) );
+  sky130_fd_sc_hd__nand2_1 U102432 ( .A(n86753), .B(n84205), .Y(n36111) );
+  sky130_fd_sc_hd__clkinv_1 U102433 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_io_resetCause_latches_latch_1_N3), .Y(n443) );
+  sky130_fd_sc_hd__nor3_1 U102434 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_pmu_core_io_resetCause_latches_latch_1_N3), .B(MarmotCaravelChip_dut_sys_debug_1_io_ctrl_ndreset), .C(n84206), .Y(n441)
+         );
+  sky130_fd_sc_hd__nor2_1 U102435 ( .A(n85911), .B(n85912), .Y(n440) );
+  sky130_fd_sc_hd__nor2_1 U102436 ( .A(n85912), .B(n84207), .Y(n438) );
+  sky130_fd_sc_hd__o22ai_1 U102437 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog__countReset_zerocmp_T), .A2(
+        n84233), .B1(n84210), .B2(n84208), .Y(n433) );
+  sky130_fd_sc_hd__o22ai_1 U102438 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog__countReset_zerocmp_T), .A2(
+        n84211), .B1(n84210), .B2(n84209), .Y(n434) );
+  sky130_fd_sc_hd__o21ai_0 U102439 ( .A1(n84213), .A2(n84216), .B1(n84212), 
+        .Y(n84226) );
+  sky130_fd_sc_hd__nor4_1 U102440 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_back_io_deq_bits_mask[1]), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_back_io_deq_bits_mask[0]), .C(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_back_io_deq_bits_mask[3]), .D(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_back_io_deq_bits_mask[2]), .Y(
+        n84224) );
+  sky130_fd_sc_hd__nand3_1 U102441 ( .A(n84229), .B(n84215), .C(n84214), .Y(
+        n84223) );
+  sky130_fd_sc_hd__a31oi_1 U102442 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[2]), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[0]), .A3(n84217), .B1(
+        n84216), .Y(n84220) );
+  sky130_fd_sc_hd__a21oi_1 U102443 ( .A1(n84221), .A2(n84218), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_472_0_), .Y(n84219) );
+  sky130_fd_sc_hd__a31oi_1 U102444 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_oindex[1]), .A2(n84221), .A3(
+        n84220), .B1(n84219), .Y(n84222) );
+  sky130_fd_sc_hd__o21ai_0 U102445 ( .A1(n84224), .A2(n84223), .B1(n84222), 
+        .Y(n84225) );
+  sky130_fd_sc_hd__a21oi_1 U102446 ( .A1(n84227), .A2(n84226), .B1(n84225), 
+        .Y(n436) );
+  sky130_fd_sc_hd__nand3_1 U102447 ( .A(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_back_io_deq_bits_mask[1]), .B(
+        n84229), .C(n84228), .Y(n84231) );
+  sky130_fd_sc_hd__nand2_1 U102448 ( .A(n84231), .B(
+        MarmotCaravelChip_dut_sys_aon_1_aon_out_prepend_23_12_), .Y(n84230) );
+  sky130_fd_sc_hd__o21ai_0 U102449 ( .A1(n84232), .A2(n84231), .B1(n84230), 
+        .Y(n437) );
+  sky130_fd_sc_hd__o21ai_1 U102450 ( .A1(n84234), .A2(n84233), .B1(n443), .Y(
+        n444) );
+  sky130_fd_sc_hd__nand2_1 U102451 ( .A(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_ctrl_dmactive), .B(n84237), .Y(n84246) );
+  sky130_fd_sc_hd__nand3_1 U102452 ( .A(n84239), .B(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_ctrl_dmactive), .C(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_data[31]), .Y(n84244) );
+  sky130_fd_sc_hd__o21ai_1 U102454 ( .A1(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_data[1]), .A2(n84237), 
+        .B1(MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_ctrl_dmactive), .Y(
+        n84236) );
+  sky130_fd_sc_hd__a21oi_1 U102455 ( .A1(n84238), .A2(n84237), .B1(n84236), 
+        .Y(n448) );
+  sky130_fd_sc_hd__nand2_1 U102456 ( .A(n84239), .B(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_data[0]), .Y(n84240) );
+  sky130_fd_sc_hd__nand2_1 U102457 ( .A(n84246), .B(n84240), .Y(n449) );
+  sky130_fd_sc_hd__a21oi_1 U102458 ( .A1(n84241), .A2(
+        MarmotCaravelChip_dut_sys_dtm_N38), .B1(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_dmi2tl_auto_out_a_valid), 
+        .Y(n84242) );
+  sky130_fd_sc_hd__nor2_1 U102459 ( .A(n84243), .B(n84242), .Y(n450) );
+  sky130_fd_sc_hd__o21ai_1 U102460 ( .A1(n84246), .A2(n84245), .B1(n84244), 
+        .Y(n451) );
+  sky130_fd_sc_hd__nor2_1 U102461 ( .A(n84248), .B(n84247), .Y(n84253) );
+  sky130_fd_sc_hd__nand2_1 U102462 ( .A(n84250), .B(n84249), .Y(n84252) );
+  sky130_fd_sc_hd__a22oi_1 U102463 ( .A1(n84253), .A2(n84252), .B1(n84251), 
+        .B2(MarmotCaravelChip_dut_sys_dtm_downgradeOpReg), .Y(n84254) );
+  sky130_fd_sc_hd__nor2_1 U102464 ( .A(n84255), .B(n84254), .Y(n452) );
+  sky130_fd_sc_hd__a22oi_1 U102465 ( .A1(n84257), .A2(n84259), .B1(n84256), 
+        .B2(n84258), .Y(n453) );
+  sky130_fd_sc_hd__a22o_1 U102466 ( .A1(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_io_output_instruction[1]), .A2(n84258), .B1(n84259), .B2(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_io_update_bits[1]), .X(n454) );
+  sky130_fd_sc_hd__a22o_1 U102467 ( .A1(n84259), .A2(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_io_update_bits[2]), .B1(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_io_output_instruction[2]), .B2(n84258), .X(n455) );
+  sky130_fd_sc_hd__a22o_1 U102468 ( .A1(n84259), .A2(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_irChain_io_update_bits[3]), .B1(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_io_output_instruction[3]), .B2(n84258), .X(n456) );
+  sky130_fd_sc_hd__nand2_1 U56021 ( .A(n37389), .B(n37390), .Y(n37724) );
+  sky130_fd_sc_hd__xnor2_1 U52728 ( .A(n36988), .B(n53957), .Y(n85624) );
+  sky130_fd_sc_hd__nand2_2 U56183 ( .A(n58314), .B(n37515), .Y(n76497) );
+  sky130_fd_sc_hd__o211ai_2 U56972 ( .A1(n57910), .A2(n57911), .B1(n57909), 
+        .C1(n57908), .Y(n38215) );
+  sky130_fd_sc_hd__nand3_2 U56286 ( .A(n37583), .B(n53898), .C(n37582), .Y(
+        n66080) );
+  sky130_fd_sc_hd__o21ai_1 U73504 ( .A1(n54263), .A2(n53876), .B1(n53875), .Y(
+        n54281) );
+  sky130_fd_sc_hd__a22oi_2 U57008 ( .A1(n38269), .A2(n59427), .B1(n68045), 
+        .B2(n58841), .Y(n58842) );
+  sky130_fd_sc_hd__a22oi_2 U56465 ( .A1(n57893), .A2(n57892), .B1(n37739), 
+        .B2(n57844), .Y(n37738) );
+  sky130_fd_sc_hd__nand2_1 U52774 ( .A(n58818), .B(n58294), .Y(n38219) );
+  sky130_fd_sc_hd__nand2_2 U47035 ( .A(n38885), .B(n38884), .Y(n38906) );
+  sky130_fd_sc_hd__a21oi_2 U57570 ( .A1(n52297), .A2(n52296), .B1(n41533), .Y(
+        n43547) );
+  sky130_fd_sc_hd__o211ai_1 U46921 ( .A1(n59577), .A2(n59575), .B1(n59578), 
+        .C1(n59576), .Y(n38905) );
+  sky130_fd_sc_hd__a21oi_1 U57559 ( .A1(n43316), .A2(n41526), .B1(n41525), .Y(
+        n54226) );
+  sky130_fd_sc_hd__nand2_2 U58226 ( .A(n39140), .B(n39139), .Y(n59441) );
+  sky130_fd_sc_hd__nor2_2 U60581 ( .A(n41397), .B(n41456), .Y(n41398) );
+  sky130_fd_sc_hd__nor2_1 U60694 ( .A(n41510), .B(n41511), .Y(n46294) );
+  sky130_fd_sc_hd__inv_4 U60540 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_param[2]), .Y(n41405) );
+  sky130_fd_sc_hd__mux2_4 U53030 ( .A0(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_37[2]), 
+        .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_38[2]), 
+        .S(n59352), .X(n57768) );
+  sky130_fd_sc_hd__o21ai_2 U52773 ( .A1(n57922), .A2(n57829), .B1(n57828), .Y(
+        n38254) );
+  sky130_fd_sc_hd__o22ai_2 U56731 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_29[2]), 
+        .A2(n58014), .B1(n38881), .B2(n38880), .Y(n37969) );
+  sky130_fd_sc_hd__o21ai_2 U77144 ( .A1(n58100), .A2(n58848), .B1(n58099), .Y(
+        n58286) );
+  sky130_fd_sc_hd__mux2_2 U76966 ( .A0(n38542), .A1(n57755), .S(n59352), .X(
+        n57758) );
+  sky130_fd_sc_hd__nand3_2 U56470 ( .A(n37743), .B(n37742), .C(n37150), .Y(
+        n59353) );
+  sky130_fd_sc_hd__nand3b_1 U56472 ( .A_N(n37746), .B(n57762), .C(n57760), .Y(
+        n37743) );
+  sky130_fd_sc_hd__nand2_2 U54665 ( .A(n79920), .B(n61065), .Y(n79881) );
+  sky130_fd_sc_hd__a22oi_2 U46249 ( .A1(n83711), .A2(n85007), .B1(n83705), 
+        .B2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_dOrig[1]), .Y(n63432) );
+  sky130_fd_sc_hd__and2b_1 U45950 ( .B(n60912), .A_N(n55292), .X(n83612) );
+  sky130_fd_sc_hd__a21oi_4 U52641 ( .A1(n57753), .A2(n57752), .B1(n57764), .Y(
+        n59352) );
+  sky130_fd_sc_hd__o21a_2 U55791 ( .A1(n59556), .A2(n39063), .B1(n39062), .X(
+        n59552) );
+  sky130_fd_sc_hd__nand2_2 U52639 ( .A(n59599), .B(n38777), .Y(n59598) );
+  sky130_fd_sc_hd__nand2_2 U52736 ( .A(n37060), .B(n38019), .Y(n37871) );
+  sky130_fd_sc_hd__o21ai_1 U56076 ( .A1(n37884), .A2(n37625), .B1(n38796), .Y(
+        n37441) );
+  sky130_fd_sc_hd__nand2_1 U55609 ( .A(n37537), .B(n37536), .Y(n37625) );
+  sky130_fd_sc_hd__a21oi_2 U56633 ( .A1(n58105), .A2(n39045), .B1(n39055), .Y(
+        n59562) );
+  sky130_fd_sc_hd__a21oi_4 U56445 ( .A1(n37718), .A2(n38708), .B1(n38714), .Y(
+        n59597) );
+  sky130_fd_sc_hd__nor2_1 U53129 ( .A(n59553), .B(n38283), .Y(n38282) );
+  sky130_fd_sc_hd__inv_2 U56804 ( .A(n59452), .Y(n38046) );
+  sky130_fd_sc_hd__nor2_1 U56214 ( .A(n57917), .B(n59490), .Y(n37538) );
+  sky130_fd_sc_hd__nand2_1 U56498 ( .A(n59335), .B(n58168), .Y(n59334) );
+  sky130_fd_sc_hd__a21oi_2 U77150 ( .A1(n58105), .A2(n58104), .B1(n58114), .Y(
+        n59333) );
+  sky130_fd_sc_hd__nand2_2 U56827 ( .A(n38069), .B(n37189), .Y(n58815) );
+  sky130_fd_sc_hd__inv_1 U53697 ( .A(n58277), .Y(n58279) );
+  sky130_fd_sc_hd__o21a_2 U52943 ( .A1(n57683), .A2(n57682), .B1(n57681), .X(
+        n59367) );
+  sky130_fd_sc_hd__inv_1 U57822 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_44[2]), 
+        .Y(n57679) );
+  sky130_fd_sc_hd__nand2_2 U61916 ( .A(n42387), .B(n42386), .Y(n42401) );
+  sky130_fd_sc_hd__a21oi_2 U55663 ( .A1(n57685), .A2(n57684), .B1(n57698), .Y(
+        n59365) );
+  sky130_fd_sc_hd__inv_1 U46142 ( .A(n42387), .Y(n42373) );
+  sky130_fd_sc_hd__buf_2 U45980 ( .A(n42379), .X(n37014) );
+  sky130_fd_sc_hd__dfxtp_4 MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_s1_pc_reg_12_ ( 
+        .D(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_io_cpu_npc[12]), .CLK(wb_clk_i), .Q(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[12]) );
+  sky130_fd_sc_hd__o31ai_2 U46003 ( .A1(n42830), .A2(n42829), .A3(n42828), 
+        .B1(n73048), .Y(n85331) );
+  sky130_fd_sc_hd__nand3_2 U46031 ( .A(n42827), .B(n42826), .C(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_reset_waddr[9]), .Y(n73048) );
+  sky130_fd_sc_hd__a21oi_1 U52657 ( .A1(n57864), .A2(n57863), .B1(n57885), .Y(
+        n59357) );
+  sky130_fd_sc_hd__nand2_1 U52656 ( .A(n59359), .B(n57873), .Y(n59358) );
+  sky130_fd_sc_hd__o21ai_2 U45966 ( .A1(n57917), .A2(n68061), .B1(n57916), .Y(
+        n57918) );
+  sky130_fd_sc_hd__nand3_2 U47780 ( .A(n37726), .B(n37725), .C(n37108), .Y(
+        n37728) );
+  sky130_fd_sc_hd__inv_1 U55440 ( .A(n38784), .Y(n38768) );
+  sky130_fd_sc_hd__o21a_2 U77057 ( .A1(n57947), .A2(n57934), .B1(n57933), .X(
+        n59415) );
+  sky130_fd_sc_hd__inv_1 U52649 ( .A(n37858), .Y(n59482) );
+  sky130_fd_sc_hd__nand2_1 U52637 ( .A(n59599), .B(n38777), .Y(n36977) );
+  sky130_fd_sc_hd__a22oi_2 U52775 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_48[2]), 
+        .A2(n46436), .B1(n38702), .B2(n38701), .Y(n57837) );
+  sky130_fd_sc_hd__o21a_2 U77072 ( .A1(n57957), .A2(n59422), .B1(n57956), .X(
+        n59427) );
+  sky130_fd_sc_hd__o22ai_4 U56728 ( .A1(n58011), .A2(n58032), .B1(n37967), 
+        .B2(n37966), .Y(n59369) );
+  sky130_fd_sc_hd__o21a_2 U52691 ( .A1(n58848), .A2(n58271), .B1(n58270), .X(
+        n76493) );
+  sky130_fd_sc_hd__o21ai_1 U77052 ( .A1(n37446), .A2(n68061), .B1(n57928), .Y(
+        n58291) );
+  sky130_fd_sc_hd__mux2_2 U77033 ( .A0(n57877), .A1(n57876), .S(n59401), .X(
+        n57901) );
+  sky130_fd_sc_hd__a2bb2oi_1 U57894 ( .B1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_47[2]), 
+        .B2(n46398), .A1_N(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_48[1]), 
+        .A2_N(n57840), .Y(n38702) );
+  sky130_fd_sc_hd__nand2_1 U69153 ( .A(n56313), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[15]), .Y(n49114) );
+  sky130_fd_sc_hd__nand2_1 U57130 ( .A(n52948), .B(n56878), .Y(n52951) );
+  sky130_fd_sc_hd__a21oi_1 U47529 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[11]), .A2(n68230), .B1(n68229), .Y(n68233) );
+  sky130_fd_sc_hd__nand2_1 U56080 ( .A(n59490), .B(n38800), .Y(n37445) );
+  sky130_fd_sc_hd__inv_4 U69131 ( .A(n49105), .Y(n63558) );
+  sky130_fd_sc_hd__inv_1 U46173 ( .A(n57782), .Y(n57783) );
+  sky130_fd_sc_hd__and2_2 U55436 ( .A(n37616), .B(n57705), .X(n37047) );
+  sky130_fd_sc_hd__o22a_2 U52630 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_io_full), .A2(n85806), .B1(n83355), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address[7]), .X(n36975) );
+  sky130_fd_sc_hd__nand2_1 U65501 ( .A(n57163), .B(n57320), .Y(n46794) );
+  sky130_fd_sc_hd__nand2_1 U57536 ( .A(n57123), .B(n45727), .Y(n56444) );
+  sky130_fd_sc_hd__nand2_1 U55893 ( .A(n55037), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_address[7]), .Y(n37285) );
+  sky130_fd_sc_hd__nand2_1 U61188 ( .A(n41606), .B(n43358), .Y(n52121) );
+  sky130_fd_sc_hd__inv_1 U48234 ( .A(n59331), .Y(n37615) );
+  sky130_fd_sc_hd__nand3_1 U76979 ( .A(n57775), .B(n57774), .C(n57773), .Y(
+        n59409) );
+  sky130_fd_sc_hd__inv_1 U46355 ( .A(n41612), .Y(n41620) );
+  sky130_fd_sc_hd__inv_1 U46313 ( .A(n50926), .Y(n46315) );
+  sky130_fd_sc_hd__inv_4 U60818 ( .A(n51484), .Y(n57123) );
+  sky130_fd_sc_hd__nor2_1 U55948 ( .A(n37118), .B(n50192), .Y(n48079) );
+  sky130_fd_sc_hd__nand2_4 U52685 ( .A(n55037), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_size[2]), .Y(n37279) );
+  sky130_fd_sc_hd__a22o_1 U60810 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_io_full), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_size[0]), .B1(n83355), .B2(n84860), .X(n54338) );
+  sky130_fd_sc_hd__inv_2 U53737 ( .A(n51099), .Y(n56277) );
+  sky130_fd_sc_hd__nand2_1 U55888 ( .A(n55037), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_buffer_bundleOut_0_a_q_ram_size[0]), .Y(n37281) );
+  sky130_fd_sc_hd__buf_6 U60828 ( .A(n41609), .X(n57422) );
+  sky130_fd_sc_hd__nor4_1 U70412 ( .A(n50501), .B(n50500), .C(n50499), .D(
+        n50498), .Y(n50504) );
+  sky130_fd_sc_hd__nand2_1 U56998 ( .A(n38547), .B(n38546), .Y(n57753) );
+  sky130_fd_sc_hd__inv_1 U55630 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_18[1]), 
+        .Y(n38841) );
+  sky130_fd_sc_hd__o21a_2 U49366 ( .A1(n38327), .A2(n38325), .B1(n57962), .X(
+        n59388) );
+  sky130_fd_sc_hd__nand2_1 U57065 ( .A(n38332), .B(n38331), .Y(n58100) );
+  sky130_fd_sc_hd__nand2_1 U56385 ( .A(n59573), .B(n38954), .Y(n59572) );
+  sky130_fd_sc_hd__a21oi_2 U57056 ( .A1(n37105), .A2(n58040), .B1(n38322), .Y(
+        n59571) );
+  sky130_fd_sc_hd__nor2_1 U54676 ( .A(n38429), .B(n84432), .Y(n59505) );
+  sky130_fd_sc_hd__nand3_2 U56619 ( .A(n85418), .B(n59449), .C(n38278), .Y(
+        n62947) );
+  sky130_fd_sc_hd__mux2i_1 U67549 ( .A0(n55059), .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_saved_size[0]), .S(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_2_fragmenter_repeater_io_full), .Y(n55282) );
+  sky130_fd_sc_hd__o21ai_2 U49003 ( .A1(n47852), .A2(n47540), .B1(n47849), .Y(
+        n83570) );
+  sky130_fd_sc_hd__nand2_1 U58102 ( .A(n62944), .B(n38958), .Y(n38959) );
+  sky130_fd_sc_hd__nor2_1 U56309 ( .A(n48018), .B(n37762), .Y(n48718) );
+  sky130_fd_sc_hd__inv_1 U48411 ( .A(n60889), .Y(n47731) );
+  sky130_fd_sc_hd__inv_1 U53863 ( .A(n47892), .Y(n47947) );
+  sky130_fd_sc_hd__nand2_1 U70956 ( .A(n56840), .B(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[4]), .Y(n51166) );
+  sky130_fd_sc_hd__nand3_1 U47502 ( .A(n49481), .B(n62024), .C(n62026), .Y(
+        n51991) );
+  sky130_fd_sc_hd__nor4_1 U70969 ( .A(n51179), .B(n51178), .C(n51177), .D(
+        n51176), .Y(n51180) );
+  sky130_fd_sc_hd__o21a_2 U77008 ( .A1(n57892), .A2(n57837), .B1(n57836), .X(
+        n59399) );
+  sky130_fd_sc_hd__o21a_1 U56324 ( .A1(n55233), .A2(n47726), .B1(n47961), .X(
+        n47965) );
+  sky130_fd_sc_hd__nor2_1 U48849 ( .A(n55231), .B(n83605), .Y(n55537) );
+  sky130_fd_sc_hd__mux2i_1 U67505 ( .A0(n47491), .A1(n47490), .S(n55160), .Y(
+        n48000) );
+  sky130_fd_sc_hd__a2bb2oi_1 U57142 ( .B1(n47730), .B2(n47729), .A1_N(n60890), 
+        .A2_N(n47815), .Y(n63314) );
+  sky130_fd_sc_hd__inv_2 U52821 ( .A(n37025), .Y(n37026) );
+  sky130_fd_sc_hd__o21ai_1 U53070 ( .A1(n39115), .A2(n39114), .B1(n39128), .Y(
+        n38020) );
+  sky130_fd_sc_hd__nand3b_2 U47012 ( .A_N(n36986), .B(n53795), .C(n53794), .Y(
+        n68603) );
+  sky130_fd_sc_hd__ha_1 U79720 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_14[6]), .B(n60708), .COUT(n60711), .SUM(n60757) );
+  sky130_fd_sc_hd__and2b_1 U57218 ( .B(n62284), .A_N(n62283), .X(n62388) );
+  sky130_fd_sc_hd__a21oi_1 U67970 ( .A1(n55293), .A2(n47887), .B1(n47886), .Y(
+        n47954) );
+  sky130_fd_sc_hd__nor2_1 U61299 ( .A(n55638), .B(n84025), .Y(n77567) );
+  sky130_fd_sc_hd__and2b_1 U57223 ( .B(n62116), .A_N(n62115), .X(n62617) );
+  sky130_fd_sc_hd__and2b_1 U52719 ( .B(n62137), .A_N(n62136), .X(n62725) );
+  sky130_fd_sc_hd__inv_6 U45960 ( .A(n37038), .Y(n37037) );
+  sky130_fd_sc_hd__and2b_1 U57196 ( .B(n62224), .A_N(n62223), .X(n62400) );
+  sky130_fd_sc_hd__o22a_1 U56734 ( .A1(n37193), .A2(n48778), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[19]), .B2(n48749), .X(n56614) );
+  sky130_fd_sc_hd__nor2_1 U55449 ( .A(n63457), .B(n60287), .Y(n73753) );
+  sky130_fd_sc_hd__o21a_1 U68025 ( .A1(n47981), .A2(n63489), .B1(n47952), .X(
+        n55573) );
+  sky130_fd_sc_hd__o22ai_2 U46683 ( .A1(n61471), .A2(n78349), .B1(n41827), 
+        .B2(n77572), .Y(n84013) );
+  sky130_fd_sc_hd__or2b_2 U52772 ( .A(n49573), .B_N(n49062), .X(n56914) );
+  sky130_fd_sc_hd__nor2_2 U76010 ( .A(n56175), .B(n73804), .Y(n60928) );
+  sky130_fd_sc_hd__o21ai_1 U46681 ( .A1(n65420), .A2(n65417), .B1(n65418), .Y(
+        n65451) );
+  sky130_fd_sc_hd__nor2_1 U56037 ( .A(n62803), .B(n62804), .Y(n65757) );
+  sky130_fd_sc_hd__nor2_1 U76340 ( .A(n56646), .B(n63510), .Y(n61085) );
+  sky130_fd_sc_hd__inv_2 U55597 ( .A(n68969), .Y(n69413) );
+  sky130_fd_sc_hd__inv_2 U47033 ( .A(n37041), .Y(n37040) );
+  sky130_fd_sc_hd__nand2_1 U46498 ( .A(n61081), .B(n79920), .Y(n80109) );
+  sky130_fd_sc_hd__nand2_1 U78580 ( .A(n60000), .B(n79920), .Y(n80136) );
+  sky130_fd_sc_hd__o2bb2ai_1 U45928 ( .B1(n54615), .B2(n54747), .A1_N(n37033), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[19]), .Y(n54616) );
+  sky130_fd_sc_hd__o2bb2ai_1 U45930 ( .B1(n54695), .B2(n54747), .A1_N(n37033), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[11]), .Y(n54696) );
+  sky130_fd_sc_hd__o2bb2ai_1 U45932 ( .B1(n54675), .B2(n54747), .A1_N(n37033), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[13]), .Y(n54676) );
+  sky130_fd_sc_hd__o2bb2ai_1 U45933 ( .B1(n54655), .B2(n54747), .A1_N(n37033), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[15]), .Y(n54656) );
+  sky130_fd_sc_hd__o2bb2ai_1 U45923 ( .B1(n54595), .B2(n54747), .A1_N(n37033), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[21]), .Y(n54596) );
+  sky130_fd_sc_hd__o2bb2ai_1 U45924 ( .B1(n54535), .B2(n54747), .A1_N(n37033), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[27]), .Y(n54536) );
+  sky130_fd_sc_hd__o2bb2ai_1 U45925 ( .B1(n54625), .B2(n54747), .A1_N(n37033), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[18]), .Y(n54626) );
+  sky130_fd_sc_hd__o2bb2ai_1 U45926 ( .B1(n54716), .B2(n54747), .A1_N(n37033), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[9]), .Y(n54717) );
+  sky130_fd_sc_hd__o2bb2ai_1 U45929 ( .B1(n54545), .B2(n54747), .A1_N(n37033), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[26]), .Y(n54546) );
+  sky130_fd_sc_hd__o2bb2ai_1 U45931 ( .B1(n54736), .B2(n54747), .A1_N(n37033), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[7]), .Y(n54737) );
+  sky130_fd_sc_hd__o2bb2ai_1 U45927 ( .B1(n54575), .B2(n54747), .A1_N(n37033), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[23]), .Y(n54576) );
+  sky130_fd_sc_hd__o2bb2ai_1 U45920 ( .B1(n54585), .B2(n54747), .A1_N(n55987), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[22]), .Y(n54586) );
+  sky130_fd_sc_hd__o2bb2ai_1 U45917 ( .B1(n54665), .B2(n54747), .A1_N(n55987), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[14]), .Y(n54666) );
+  sky130_fd_sc_hd__o2bb2ai_1 U45919 ( .B1(n54726), .B2(n54747), .A1_N(n55987), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[8]), .Y(n54727) );
+  sky130_fd_sc_hd__o2bb2ai_1 U45921 ( .B1(n54685), .B2(n54747), .A1_N(n55987), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[12]), .Y(n54686) );
+  sky130_fd_sc_hd__o2bb2ai_1 U45922 ( .B1(n54525), .B2(n54747), .A1_N(n55987), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[28]), .Y(n54526) );
+  sky130_fd_sc_hd__o2bb2ai_1 U46410 ( .B1(n54705), .B2(n54747), .A1_N(n55987), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[10]), .Y(n54706) );
+  sky130_fd_sc_hd__nand2b_1 U47266 ( .A_N(n66800), .B(n61077), .Y(n79880) );
+  sky130_fd_sc_hd__nor3_2 U78126 ( .A(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_MPORT_addr[2]), .B(n81074), .C(n62973), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_N32) );
+  sky130_fd_sc_hd__nor2b_1 U54496 ( .B_N(n82962), .A(n82868), .Y(n82960) );
+  sky130_fd_sc_hd__nor2b_1 U54498 ( .B_N(n82956), .A(n82868), .Y(n82954) );
+  sky130_fd_sc_hd__nor2b_1 U54499 ( .B_N(n82953), .A(n82868), .Y(n82951) );
+  sky130_fd_sc_hd__nor2b_1 U54500 ( .B_N(n82950), .A(n82868), .Y(n82948) );
+  sky130_fd_sc_hd__nor2b_1 U54501 ( .B_N(n82947), .A(n82868), .Y(n82945) );
+  sky130_fd_sc_hd__nor2b_1 U54502 ( .B_N(n82944), .A(n82868), .Y(n82942) );
+  sky130_fd_sc_hd__nor2b_1 U54503 ( .B_N(n82941), .A(n82868), .Y(n82939) );
+  sky130_fd_sc_hd__nor2b_1 U54504 ( .B_N(n82938), .A(n82868), .Y(n82936) );
+  sky130_fd_sc_hd__nor2b_1 U54505 ( .B_N(n82935), .A(n82868), .Y(n82933) );
+  sky130_fd_sc_hd__clkinv_2 U57435 ( .A(n37080), .Y(n70374) );
+  sky130_fd_sc_hd__nor2_1 U52744 ( .A(n73099), .B(n37514), .Y(n54928) );
+  sky130_fd_sc_hd__nand3_2 U46055 ( .A(n76542), .B(n76541), .C(n76540), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_addr[2]) );
+  sky130_fd_sc_hd__inv_4 U46050 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_addr[0]), .Y(n37248) );
+  sky130_fd_sc_hd__nand2b_1 U55535 ( .A_N(n79880), .B(n36846), .Y(n66807) );
+  sky130_fd_sc_hd__o2bb2ai_1 U45878 ( .B1(n83220), .B2(n38491), .A1_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[3]), .A2_N(n54319), .Y(n84451) );
+  sky130_fd_sc_hd__o2bb2ai_1 U45879 ( .B1(n83222), .B2(n38491), .A1_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[5]), .A2_N(n54319), .Y(n84343) );
+  sky130_fd_sc_hd__o2bb2ai_1 U46620 ( .B1(n83221), .B2(n38491), .A1_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[4]), .A2_N(n54319), .Y(n84453) );
+  sky130_fd_sc_hd__inv_2 U55573 ( .A(n63154), .Y(n86341) );
+  sky130_fd_sc_hd__nor2_2 U61907 ( .A(n42393), .B(n42380), .Y(n42376) );
+  sky130_fd_sc_hd__o21ai_1 U58217 ( .A1(n39113), .A2(n59484), .B1(n39112), .Y(
+        n39132) );
+  sky130_fd_sc_hd__buf_4 U46637 ( .A(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .X(
+        n49230) );
+  sky130_fd_sc_hd__inv_2 U57436 ( .A(n37080), .Y(n70335) );
+  sky130_fd_sc_hd__nor2_1 U45876 ( .A(n47957), .B(n47920), .Y(n61081) );
+  sky130_fd_sc_hd__inv_4 U45880 ( .A(n36842), .Y(n36669) );
+  sky130_fd_sc_hd__inv_4 U45881 ( .A(n37265), .Y(n36739) );
+  sky130_fd_sc_hd__inv_2 U45882 ( .A(n36764), .Y(n36692) );
+  sky130_fd_sc_hd__inv_2 U45899 ( .A(n36680), .Y(n36683) );
+  sky130_fd_sc_hd__inv_1 U45902 ( .A(n36724), .Y(n36677) );
+  sky130_fd_sc_hd__inv_2 U45916 ( .A(n86370), .Y(n36722) );
+  sky130_fd_sc_hd__inv_2 U45918 ( .A(n74033), .Y(n79910) );
+  sky130_fd_sc_hd__nand3_1 U45938 ( .A(n84157), .B(n41368), .C(n38400), .Y(
+        n60870) );
+  sky130_fd_sc_hd__nor2_1 U45939 ( .A(n53611), .B(n53598), .Y(n86737) );
+  sky130_fd_sc_hd__inv_1 U45942 ( .A(n36692), .Y(n36693) );
+  sky130_fd_sc_hd__bufinv_16 U45947 ( .A(n36692), .Y(n86605) );
+  sky130_fd_sc_hd__bufinv_16 U45951 ( .A(n36692), .Y(n36694) );
+  sky130_fd_sc_hd__inv_4 U45970 ( .A(n36723), .Y(n86735) );
+  sky130_fd_sc_hd__bufinv_16 U45972 ( .A(n36723), .Y(n36678) );
+  sky130_fd_sc_hd__inv_8 U45975 ( .A(n37272), .Y(n37274) );
+  sky130_fd_sc_hd__inv_8 U45981 ( .A(n37272), .Y(n37240) );
+  sky130_fd_sc_hd__inv_8 U45985 ( .A(n37272), .Y(n37273) );
+  sky130_fd_sc_hd__inv_8 U45991 ( .A(n37272), .Y(n37239) );
+  sky130_fd_sc_hd__inv_8 U45993 ( .A(n86745), .Y(n36711) );
+  sky130_fd_sc_hd__or2_4 U46000 ( .A(n77620), .B(n77624), .X(n86745) );
+  sky130_fd_sc_hd__inv_8 U46008 ( .A(n86746), .Y(n36709) );
+  sky130_fd_sc_hd__or2_4 U46020 ( .A(n77620), .B(n77625), .X(n86746) );
+  sky130_fd_sc_hd__inv_8 U46021 ( .A(n86744), .Y(n36707) );
+  sky130_fd_sc_hd__or2_4 U46025 ( .A(n77620), .B(n77623), .X(n86744) );
+  sky130_fd_sc_hd__inv_8 U46026 ( .A(n86747), .Y(n36705) );
+  sky130_fd_sc_hd__or2_4 U46027 ( .A(n77620), .B(n77626), .X(n86747) );
+  sky130_fd_sc_hd__inv_8 U46032 ( .A(n86739), .Y(n36703) );
+  sky130_fd_sc_hd__or2_4 U46033 ( .A(n77627), .B(n77623), .X(n86739) );
+  sky130_fd_sc_hd__inv_8 U46036 ( .A(n86742), .Y(n36701) );
+  sky130_fd_sc_hd__or2_4 U46060 ( .A(n77627), .B(n77626), .X(n86742) );
+  sky130_fd_sc_hd__inv_8 U46061 ( .A(n86741), .Y(n36699) );
+  sky130_fd_sc_hd__or2_4 U46062 ( .A(n77627), .B(n77625), .X(n86741) );
+  sky130_fd_sc_hd__inv_8 U46063 ( .A(n86740), .Y(n36697) );
+  sky130_fd_sc_hd__or2_4 U46064 ( .A(n77627), .B(n77624), .X(n86740) );
+  sky130_fd_sc_hd__inv_4 U46065 ( .A(n36677), .Y(n86601) );
+  sky130_fd_sc_hd__clkinv_4 U46066 ( .A(n86601), .Y(n86602) );
+  sky130_fd_sc_hd__bufinv_16 U46067 ( .A(n86601), .Y(n86603) );
+  sky130_fd_sc_hd__inv_8 U46070 ( .A(n36692), .Y(n86604) );
+  sky130_fd_sc_hd__bufinv_16 U46071 ( .A(n36692), .Y(n36695) );
+  sky130_fd_sc_hd__bufinv_8 U46072 ( .A(n36693), .Y(n86606) );
+  sky130_fd_sc_hd__clkinv_16 U46073 ( .A(n36722), .Y(n36726) );
+  sky130_fd_sc_hd__inv_8 U46074 ( .A(n36683), .Y(n86607) );
+  sky130_fd_sc_hd__clkinv_8 U46075 ( .A(n86607), .Y(n86608) );
+  sky130_fd_sc_hd__clkinv_8 U46076 ( .A(n86607), .Y(n86609) );
+  sky130_fd_sc_hd__bufinv_16 U46077 ( .A(n86607), .Y(n86610) );
+  sky130_fd_sc_hd__o21ai_0 U46078 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_resp_paddr[5]), .A2(n74833), .B1(n74832), .Y(n74837) );
+  sky130_fd_sc_hd__o21ai_0 U46079 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[1]), .A2(n75925), .B1(n75924), .Y(n75931) );
+  sky130_fd_sc_hd__o21ai_0 U46080 ( .A1(n75247), .A2(n75246), .B1(n75245), .Y(
+        n75249) );
+  sky130_fd_sc_hd__o21ai_0 U46081 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[2]), .A2(n75435), .B1(n75433), .Y(n75437) );
+  sky130_fd_sc_hd__o21ai_0 U46082 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[2]), .A2(n75435), .B1(n74214), .Y(n74216) );
+  sky130_fd_sc_hd__o21ai_0 U46083 ( .A1(n74217), .A2(n74216), .B1(n38380), .Y(
+        n74218) );
+  sky130_fd_sc_hd__o21ai_0 U46084 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[3]), .A2(n55811), .B1(n55810), .Y(n55813) );
+  sky130_fd_sc_hd__o21ai_0 U46085 ( .A1(n75005), .A2(n75004), .B1(n75003), .Y(
+        n75008) );
+  sky130_fd_sc_hd__o21ai_0 U46086 ( .A1(n74221), .A2(n74220), .B1(n74219), .Y(
+        n74225) );
+  sky130_fd_sc_hd__o21ai_0 U46087 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[4]), .A2(n75440), .B1(n75181), .Y(n75077) );
+  sky130_fd_sc_hd__o21ai_0 U46088 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[1]), .A2(n55678), .B1(n55741), .Y(n55679) );
+  sky130_fd_sc_hd__o21ai_0 U46089 ( .A1(n75465), .A2(n75464), .B1(n75463), .Y(
+        n75468) );
+  sky130_fd_sc_hd__o21ai_0 U46100 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[1]), .A2(n41845), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[0]), .Y(n71655) );
+  sky130_fd_sc_hd__o21ai_0 U46101 ( .A1(n59633), .A2(n59632), .B1(n59631), .Y(
+        n59635) );
+  sky130_fd_sc_hd__o21ai_0 U46102 ( .A1(n74459), .A2(n74458), .B1(n74457), .Y(
+        n74467) );
+  sky130_fd_sc_hd__o21ai_0 U46103 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_cfg_a[0]), .A2(n70923), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_cfg_a[1]), .Y(n70924) );
+  sky130_fd_sc_hd__o21ai_0 U46104 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[2]), 
+        .A2(n59635), .B1(n59634), .Y(n59638) );
+  sky130_fd_sc_hd__o21ai_0 U46113 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[17]), .A2(n75959), .B1(n75958), .Y(n75961) );
+  sky130_fd_sc_hd__o21ai_0 U46123 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[10]), .A2(n59053), .B1(n55873), .Y(n55827) );
+  sky130_fd_sc_hd__o21ai_0 U46128 ( .A1(n75191), .A2(n72078), .B1(n72077), .Y(
+        n72079) );
+  sky130_fd_sc_hd__o21ai_0 U46143 ( .A1(n74550), .A2(n74549), .B1(n74548), .Y(
+        n74551) );
+  sky130_fd_sc_hd__o21ai_0 U46144 ( .A1(n75018), .A2(n75017), .B1(n75016), .Y(
+        n75023) );
+  sky130_fd_sc_hd__o21ai_0 U46152 ( .A1(n71511), .A2(n74768), .B1(n71510), .Y(
+        n71512) );
+  sky130_fd_sc_hd__o21ai_0 U46154 ( .A1(n71383), .A2(n74940), .B1(n71382), .Y(
+        n71384) );
+  sky130_fd_sc_hd__o21ai_0 U46155 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[1]), .A2(n41845), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[0]), .Y(n71579) );
+  sky130_fd_sc_hd__o21ai_0 U46159 ( .A1(n77193), .A2(n77192), .B1(n77191), .Y(
+        n77194) );
+  sky130_fd_sc_hd__o21ai_0 U46163 ( .A1(n74846), .A2(n74844), .B1(n74776), .Y(
+        n74777) );
+  sky130_fd_sc_hd__o21ai_0 U46166 ( .A1(n74553), .A2(n74552), .B1(n74551), .Y(
+        n74554) );
+  sky130_fd_sc_hd__o21ai_0 U46172 ( .A1(n74679), .A2(n74678), .B1(n74677), .Y(
+        n74680) );
+  sky130_fd_sc_hd__o21ai_0 U46176 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[1]), .A2(n59057), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[0]), .Y(n58911) );
+  sky130_fd_sc_hd__o21ai_0 U46184 ( .A1(n55831), .A2(n55832), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[11]), .Y(n55835) );
+  sky130_fd_sc_hd__o21ai_0 U46186 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[1]), .A2(n41845), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[0]), .Y(n71126) );
+  sky130_fd_sc_hd__o21ai_0 U46196 ( .A1(n71066), .A2(n74363), .B1(n71065), .Y(
+        n71067) );
+  sky130_fd_sc_hd__o21ai_0 U46206 ( .A1(n77196), .A2(n77195), .B1(n77194), .Y(
+        n77199) );
+  sky130_fd_sc_hd__o21ai_0 U46213 ( .A1(n74845), .A2(n74842), .B1(n74736), .Y(
+        n74740) );
+  sky130_fd_sc_hd__o21ai_0 U46215 ( .A1(n74507), .A2(n74506), .B1(n74505), .Y(
+        n74547) );
+  sky130_fd_sc_hd__o21ai_0 U46220 ( .A1(n74694), .A2(n74693), .B1(n74692), .Y(
+        n74695) );
+  sky130_fd_sc_hd__o21ai_0 U46221 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[18]), .A2(n75792), .B1(n75479), .Y(n75482) );
+  sky130_fd_sc_hd__o21ai_0 U46222 ( .A1(n75199), .A2(n75198), .B1(n75197), .Y(
+        n75203) );
+  sky130_fd_sc_hd__o21ai_0 U46224 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[1]), .A2(n59057), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_address[0]), .Y(n59059) );
+  sky130_fd_sc_hd__o21ai_0 U46225 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[1]), .A2(n41845), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[0]), .Y(n71283) );
+  sky130_fd_sc_hd__o21ai_0 U46232 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[16]), .A2(n70629), .B1(n71932), .Y(n71972) );
+  sky130_fd_sc_hd__o21ai_0 U46236 ( .A1(n71735), .A2(n75311), .B1(n71734), .Y(
+        n71741) );
+  sky130_fd_sc_hd__o21ai_0 U46239 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[10]), .A2(n71652), .B1(n71651), .Y(n71673) );
+  sky130_fd_sc_hd__o21ai_0 U46243 ( .A1(n70961), .A2(n74552), .B1(n70960), .Y(
+        n70962) );
+  sky130_fd_sc_hd__o21ai_0 U46245 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[1]), .A2(n41845), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[0]), .Y(n70835) );
+  sky130_fd_sc_hd__o21ai_0 U46248 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_358[6]), 
+        .A2(n59647), .B1(n59645), .Y(n59646) );
+  sky130_fd_sc_hd__o21ai_0 U46257 ( .A1(n76055), .A2(n76069), .B1(n75972), .Y(
+        n75973) );
+  sky130_fd_sc_hd__o21ai_0 U46271 ( .A1(n74697), .A2(n74696), .B1(n74695), .Y(
+        n74698) );
+  sky130_fd_sc_hd__o21ai_0 U46278 ( .A1(n75354), .A2(n75353), .B1(n75352), .Y(
+        n75378) );
+  sky130_fd_sc_hd__o21ai_0 U46281 ( .A1(n74941), .A2(n74940), .B1(n74939), .Y(
+        n74942) );
+  sky130_fd_sc_hd__o21ai_0 U46283 ( .A1(n58916), .A2(n58915), .B1(n58914), .Y(
+        n58920) );
+  sky130_fd_sc_hd__o21ai_0 U46285 ( .A1(n71984), .A2(n75495), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .Y(n71985) );
+  sky130_fd_sc_hd__o21ai_0 U46288 ( .A1(n71970), .A2(n71931), .B1(n71930), .Y(
+        n71975) );
+  sky130_fd_sc_hd__o21ai_0 U46291 ( .A1(n74377), .A2(n71071), .B1(n71070), .Y(
+        n71072) );
+  sky130_fd_sc_hd__o21ai_0 U46292 ( .A1(n71049), .A2(n71048), .B1(n71047), .Y(
+        n71050) );
+  sky130_fd_sc_hd__o21ai_0 U46295 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[1]), .A2(n41845), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[0]), .Y(n70634) );
+  sky130_fd_sc_hd__o21ai_0 U46301 ( .A1(n73407), .A2(n73406), .B1(n73405), .Y(
+        n73412) );
+  sky130_fd_sc_hd__o21ai_0 U46315 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[23]), .A2(n78433), .B1(n75973), .Y(n75974) );
+  sky130_fd_sc_hd__o21ai_0 U46319 ( .A1(n67479), .A2(n67478), .B1(n67477), .Y(
+        n67483) );
+  sky130_fd_sc_hd__o21ai_0 U46332 ( .A1(n74794), .A2(n74793), .B1(n74792), .Y(
+        n74795) );
+  sky130_fd_sc_hd__o21ai_0 U46333 ( .A1(n75345), .A2(n75344), .B1(n75343), .Y(
+        n75346) );
+  sky130_fd_sc_hd__o21ai_0 U46334 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_7_addr[28]), .A2(n71986), .B1(n71985), .Y(n71987) );
+  sky130_fd_sc_hd__o21ai_0 U46340 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[16]), .A2(n70629), .B1(n71649), .Y(n71690) );
+  sky130_fd_sc_hd__o21ai_0 U46342 ( .A1(n74624), .A2(n70743), .B1(n70742), .Y(
+        n70744) );
+  sky130_fd_sc_hd__o21ai_0 U46343 ( .A1(n58688), .A2(n58682), .B1(n58687), .Y(
+        n58683) );
+  sky130_fd_sc_hd__clkinv_1 U46345 ( .A(n68214), .Y(n68218) );
+  sky130_fd_sc_hd__o21ai_0 U46370 ( .A1(n58688), .A2(n76188), .B1(n58687), .Y(
+        n58671) );
+  sky130_fd_sc_hd__o21ai_0 U46371 ( .A1(n76957), .A2(n76956), .B1(n76955), .Y(
+        n76983) );
+  sky130_fd_sc_hd__inv_2 U46380 ( .A(n38143), .Y(n38142) );
+  sky130_fd_sc_hd__o21ai_0 U46384 ( .A1(n74583), .A2(n74582), .B1(n74581), .Y(
+        n74584) );
+  sky130_fd_sc_hd__o21ai_0 U46386 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[23]), .A2(n74318), .B1(n74317), .Y(n74320) );
+  sky130_fd_sc_hd__o21ai_0 U46389 ( .A1(n75390), .A2(n75389), .B1(n75388), .Y(
+        n75391) );
+  sky130_fd_sc_hd__o21ai_0 U46392 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[21]), .A2(n75893), .B1(n74879), .Y(n74882) );
+  sky130_fd_sc_hd__o21ai_0 U46400 ( .A1(n55800), .A2(n55799), .B1(n55921), .Y(
+        n55857) );
+  sky130_fd_sc_hd__o21ai_0 U46406 ( .A1(n72097), .A2(n75217), .B1(n72096), .Y(
+        n72098) );
+  sky130_fd_sc_hd__o21ai_0 U46408 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_5_addr[14]), .A2(n72276), .B1(n71601), .Y(n71605) );
+  sky130_fd_sc_hd__o21ai_0 U46417 ( .A1(n71703), .A2(n75413), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .Y(n71704) );
+  sky130_fd_sc_hd__clkinv_1 U46419 ( .A(n59223), .Y(n59184) );
+  sky130_fd_sc_hd__o21ai_0 U46422 ( .A1(n73213), .A2(n72389), .B1(n72390), .Y(
+        n58700) );
+  sky130_fd_sc_hd__o21ai_0 U46434 ( .A1(n72395), .A2(n72333), .B1(n72334), .Y(
+        n58645) );
+  sky130_fd_sc_hd__o21ai_0 U46444 ( .A1(n68817), .A2(n68814), .B1(n68818), .Y(
+        n58729) );
+  sky130_fd_sc_hd__o21ai_0 U46453 ( .A1(n73416), .A2(n73415), .B1(n73414), .Y(
+        n73417) );
+  sky130_fd_sc_hd__o21ai_0 U46467 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes__2[4]), .A2(n76998), .B1(
+        n76997), .Y(n76999) );
+  sky130_fd_sc_hd__o21ai_0 U46468 ( .A1(n51298), .A2(n51297), .B1(n67466), .Y(
+        n51299) );
+  sky130_fd_sc_hd__o21ai_0 U46474 ( .A1(n67616), .A2(n67615), .B1(n67614), .Y(
+        n67620) );
+  sky130_fd_sc_hd__o21ai_0 U46483 ( .A1(n67608), .A2(n67607), .B1(n67606), .Y(
+        n67609) );
+  sky130_fd_sc_hd__clkinv_1 U46486 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_48[0]), 
+        .Y(n45818) );
+  sky130_fd_sc_hd__clkinv_1 U46491 ( .A(n57852), .Y(n57853) );
+  sky130_fd_sc_hd__clkinv_1 U46499 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_31[0]), 
+        .Y(n45770) );
+  sky130_fd_sc_hd__o21ai_0 U46509 ( .A1(n74591), .A2(n74590), .B1(n74589), .Y(
+        n74592) );
+  sky130_fd_sc_hd__o21ai_0 U46521 ( .A1(n75019), .A2(n74990), .B1(n74909), .Y(
+        n74910) );
+  sky130_fd_sc_hd__o21ai_0 U46529 ( .A1(n55857), .A2(n55856), .B1(n55899), .Y(
+        n55861) );
+  sky130_fd_sc_hd__o21ai_0 U46538 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[10]), .A2(n71652), .B1(n71123), .Y(n71144) );
+  sky130_fd_sc_hd__o21ai_0 U46539 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[10]), .A2(n71652), .B1(n71210), .Y(n71229) );
+  sky130_fd_sc_hd__o21ai_0 U46556 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[14]), .A2(n72276), .B1(n71233), .Y(n71236) );
+  sky130_fd_sc_hd__o21ai_0 U46557 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[28]), .A2(n71705), .B1(n71704), .Y(n71706) );
+  sky130_fd_sc_hd__o21ai_0 U46559 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_1_status_prv[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_scounteren[2]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_read_mcounteren[2]), .Y(n59208) );
+  sky130_fd_sc_hd__o21ai_0 U46561 ( .A1(n76210), .A2(n58641), .B1(n58640), .Y(
+        n58644) );
+  sky130_fd_sc_hd__o21ai_0 U46596 ( .A1(n85508), .A2(n76198), .B1(n37512), .Y(
+        n53320) );
+  sky130_fd_sc_hd__o21ai_0 U46599 ( .A1(n76212), .A2(n58641), .B1(n58640), .Y(
+        n58648) );
+  sky130_fd_sc_hd__o21ai_0 U46600 ( .A1(n72321), .A2(n72267), .B1(n72268), .Y(
+        n66433) );
+  sky130_fd_sc_hd__o21ai_0 U46602 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_318[8]), 
+        .A2(n68220), .B1(n68219), .Y(n68228) );
+  sky130_fd_sc_hd__o21ai_0 U46605 ( .A1(n69001), .A2(n72218), .B1(n69002), .Y(
+        n64987) );
+  sky130_fd_sc_hd__o21ai_0 U46606 ( .A1(n58713), .A2(n66431), .B1(n58712), .Y(
+        n58714) );
+  sky130_fd_sc_hd__o21ai_0 U46607 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[1]), .A2(n72905), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[0]), .Y(n72906) );
+  sky130_fd_sc_hd__o21ai_0 U46610 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_298[7]), 
+        .A2(n73419), .B1(n73417), .Y(n73418) );
+  sky130_fd_sc_hd__o21ai_0 U46612 ( .A1(n62677), .A2(n62650), .B1(n62268), .Y(
+        n62269) );
+  sky130_fd_sc_hd__o21ai_0 U46614 ( .A1(n62403), .A2(n62674), .B1(n62215), .Y(
+        n62216) );
+  sky130_fd_sc_hd__o21ai_0 U46617 ( .A1(n62677), .A2(n62736), .B1(n62620), .Y(
+        n62621) );
+  sky130_fd_sc_hd__o21ai_0 U46619 ( .A1(n62677), .A2(n62714), .B1(n62676), .Y(
+        n62678) );
+  sky130_fd_sc_hd__o21ai_0 U46626 ( .A1(n62677), .A2(n62579), .B1(n62578), .Y(
+        n62580) );
+  sky130_fd_sc_hd__o21ai_0 U46629 ( .A1(n62736), .A2(n62674), .B1(n38406), .Y(
+        n62448) );
+  sky130_fd_sc_hd__o21ai_0 U46640 ( .A1(n77749), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[7]), .B1(n81772), .Y(
+        n77758) );
+  sky130_fd_sc_hd__o21ai_0 U46650 ( .A1(n83240), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[24]), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[30]), .Y(n54512) );
+  sky130_fd_sc_hd__o21ai_0 U46651 ( .A1(n61589), .A2(n51300), .B1(n51299), .Y(
+        n51301) );
+  sky130_fd_sc_hd__o21ai_0 U46654 ( .A1(n61522), .A2(n61521), .B1(n61520), .Y(
+        n61525) );
+  sky130_fd_sc_hd__clkinv_1 U46661 ( .A(n59403), .Y(n38210) );
+  sky130_fd_sc_hd__clkinv_1 U46665 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_12[1]), 
+        .Y(n58108) );
+  sky130_fd_sc_hd__clkinv_1 U46670 ( .A(n39093), .Y(n39095) );
+  sky130_fd_sc_hd__o21ai_0 U46691 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_enableVec_0[47]), 
+        .A2(n67342), .B1(n66907), .Y(n66911) );
+  sky130_fd_sc_hd__clkinv_1 U46696 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_51[2]), 
+        .Y(n57870) );
+  sky130_fd_sc_hd__clkinv_1 U46697 ( .A(n58111), .Y(n58150) );
+  sky130_fd_sc_hd__o21ai_0 U46725 ( .A1(n69977), .A2(n58762), .B1(n44141), .Y(
+        n44142) );
+  sky130_fd_sc_hd__clkinv_1 U46731 ( .A(n40032), .Y(n40011) );
+  sky130_fd_sc_hd__o21ai_0 U46736 ( .A1(n74804), .A2(n74803), .B1(n74802), .Y(
+        n74805) );
+  sky130_fd_sc_hd__o21ai_0 U46753 ( .A1(n74712), .A2(n74711), .B1(n74710), .Y(
+        n74713) );
+  sky130_fd_sc_hd__o21ai_0 U46755 ( .A1(n75218), .A2(n75217), .B1(n75216), .Y(
+        n75219) );
+  sky130_fd_sc_hd__or2_0 U46759 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[1]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[2]), .X(n37120) );
+  sky130_fd_sc_hd__o21ai_0 U46780 ( .A1(n59076), .A2(n59075), .B1(n59092), .Y(
+        n59080) );
+  sky130_fd_sc_hd__o21ai_0 U46795 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_address[0]), .A2(n55803), .B1(n55741), .Y(n55743) );
+  sky130_fd_sc_hd__o21ai_0 U46818 ( .A1(n55708), .A2(n55707), .B1(n55744), .Y(
+        n55709) );
+  sky130_fd_sc_hd__o21ai_0 U46821 ( .A1(n71535), .A2(n74803), .B1(n71534), .Y(
+        n71536) );
+  sky130_fd_sc_hd__o21ai_0 U46824 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_3_addr[10]), .A2(n71652), .B1(n71280), .Y(n71299) );
+  sky130_fd_sc_hd__o21ai_0 U46872 ( .A1(n72101), .A2(n75223), .B1(n72100), .Y(
+        n72102) );
+  sky130_fd_sc_hd__o21ai_0 U46904 ( .A1(n71992), .A2(n71991), .B1(n75496), .Y(
+        n71993) );
+  sky130_fd_sc_hd__o21ai_0 U46958 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[10]), .A2(n71652), .B1(n70832), .Y(n70851) );
+  sky130_fd_sc_hd__clkinv_1 U46959 ( .A(n85530), .Y(n59263) );
+  sky130_fd_sc_hd__o21ai_0 U47000 ( .A1(n85508), .A2(n67335), .B1(n37512), .Y(
+        n37511) );
+  sky130_fd_sc_hd__o21ai_0 U47037 ( .A1(n61134), .A2(n61131), .B1(n61132), .Y(
+        n53333) );
+  sky130_fd_sc_hd__o21ai_0 U47042 ( .A1(n66432), .A2(n72385), .B1(n66431), .Y(
+        n72266) );
+  sky130_fd_sc_hd__o21ai_0 U47056 ( .A1(n58395), .A2(n53314), .B1(n53313), .Y(
+        n53315) );
+  sky130_fd_sc_hd__o21ai_0 U47062 ( .A1(n66440), .A2(n73223), .B1(n66439), .Y(
+        n68944) );
+  sky130_fd_sc_hd__o21ai_0 U47100 ( .A1(n67841), .A2(n67840), .B1(n67839), .Y(
+        n67842) );
+  sky130_fd_sc_hd__o21ai_0 U47108 ( .A1(n72172), .A2(n72169), .B1(n72173), .Y(
+        n69217) );
+  sky130_fd_sc_hd__o21ai_0 U47168 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[0]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_prediction_history[3]), .B1(n72939), .Y(n72919) );
+  sky130_fd_sc_hd__clkinv_1 U47173 ( .A(n58065), .Y(n37797) );
+  sky130_fd_sc_hd__clkinv_1 U47174 ( .A(n39109), .Y(n39113) );
+  sky130_fd_sc_hd__o21ai_0 U47180 ( .A1(n62367), .A2(n38368), .B1(n62326), .Y(
+        n62327) );
+  sky130_fd_sc_hd__o21ai_0 U47182 ( .A1(n62391), .A2(n36769), .B1(n62390), .Y(
+        n62392) );
+  sky130_fd_sc_hd__o21ai_0 U47194 ( .A1(n62403), .A2(n62699), .B1(n62246), .Y(
+        n62247) );
+  sky130_fd_sc_hd__o21ai_0 U47196 ( .A1(n62373), .A2(n62699), .B1(n62196), .Y(
+        n62197) );
+  sky130_fd_sc_hd__o21ai_0 U47201 ( .A1(n62650), .A2(n62657), .B1(n62121), .Y(
+        n62122) );
+  sky130_fd_sc_hd__o21ai_0 U47205 ( .A1(n62728), .A2(n62699), .B1(n62698), .Y(
+        n62700) );
+  sky130_fd_sc_hd__o21ai_0 U47210 ( .A1(n62736), .A2(n62699), .B1(n62554), .Y(
+        n62555) );
+  sky130_fd_sc_hd__or2_0 U47216 ( .A(n37026), .B(n36800), .X(n62134) );
+  sky130_fd_sc_hd__o21ai_0 U47220 ( .A1(n76993), .A2(n76992), .B1(n76991), .Y(
+        n77012) );
+  sky130_fd_sc_hd__o21ai_0 U47227 ( .A1(n51214), .A2(n51213), .B1(n51217), .Y(
+        n37695) );
+  sky130_fd_sc_hd__o21ai_0 U47231 ( .A1(n80424), .A2(n77953), .B1(n49923), .Y(
+        n49924) );
+  sky130_fd_sc_hd__inv_2 U47244 ( .A(n73754), .Y(n37677) );
+  sky130_fd_sc_hd__o21ai_0 U47246 ( .A1(n67947), .A2(n67946), .B1(n67945), .Y(
+        n67948) );
+  sky130_fd_sc_hd__o21ai_0 U47250 ( .A1(n46382), .A2(n58228), .B1(n46381), .Y(
+        n46383) );
+  sky130_fd_sc_hd__clkinv_1 U47254 ( .A(n57804), .Y(n57805) );
+  sky130_fd_sc_hd__clkinv_1 U47256 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_45[2]), 
+        .Y(n38577) );
+  sky130_fd_sc_hd__clkinv_1 U47260 ( .A(n59529), .Y(n39084) );
+  sky130_fd_sc_hd__clkinv_1 U47264 ( .A(n38753), .Y(n38745) );
+  sky130_fd_sc_hd__a21boi_0 U47276 ( .A1(n58070), .A2(n58072), .B1_N(n58077), 
+        .Y(n38172) );
+  sky130_fd_sc_hd__clkinv_1 U47280 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_19[1]), 
+        .Y(n57936) );
+  sky130_fd_sc_hd__clkinv_1 U47287 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_47[1]), 
+        .Y(n57840) );
+  sky130_fd_sc_hd__clkinv_1 U47289 ( .A(n38940), .Y(n38941) );
+  sky130_fd_sc_hd__clkinv_1 U47296 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_25[1]), 
+        .Y(n38915) );
+  sky130_fd_sc_hd__clkinv_1 U47308 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_52[2]), 
+        .Y(n57869) );
+  sky130_fd_sc_hd__and2_0 U47316 ( .A(n58849), .B(n58085), .X(n36775) );
+  sky130_fd_sc_hd__o21ai_0 U47353 ( .A1(n76202), .A2(n53277), .B1(n43014), .Y(
+        n43022) );
+  sky130_fd_sc_hd__o21ai_0 U47356 ( .A1(n75895), .A2(n75282), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[22]), .Y(n75284) );
+  sky130_fd_sc_hd__o21ai_0 U47361 ( .A1(n62714), .A2(n62693), .B1(n62455), .Y(
+        n62456) );
+  sky130_fd_sc_hd__clkinv_1 U47370 ( .A(n62123), .Y(n62183) );
+  sky130_fd_sc_hd__o21ai_0 U47373 ( .A1(n85387), .A2(n58900), .B1(n58899), .Y(
+        n58946) );
+  sky130_fd_sc_hd__o21ai_0 U47379 ( .A1(n55711), .A2(n55710), .B1(n55709), .Y(
+        n55715) );
+  sky130_fd_sc_hd__o21ai_0 U47400 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[16]), .A2(n70629), .B1(n71121), .Y(n71162) );
+  sky130_fd_sc_hd__o21ai_0 U47473 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_4_addr[16]), .A2(n70629), .B1(n71208), .Y(n71246) );
+  sky130_fd_sc_hd__o21ai_0 U47475 ( .A1(n71613), .A2(n71572), .B1(n71571), .Y(
+        n71618) );
+  sky130_fd_sc_hd__o21ai_0 U47476 ( .A1(n70774), .A2(n74711), .B1(n70773), .Y(
+        n70775) );
+  sky130_fd_sc_hd__o21ai_0 U47477 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[10]), .A2(n71652), .B1(n70631), .Y(n70650) );
+  sky130_fd_sc_hd__o21ai_0 U47490 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[42]), .A2(n61412), .B1(n61386), .Y(n61387) );
+  sky130_fd_sc_hd__o21ai_0 U47546 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[126]), .A2(n61421), .B1(n61403), .Y(n61365) );
+  sky130_fd_sc_hd__o21ai_0 U47559 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[82]), .A2(n61412), .B1(n61416), .Y(n61282) );
+  sky130_fd_sc_hd__clkinv_1 U47565 ( .A(n85400), .Y(n59265) );
+  sky130_fd_sc_hd__mux2_2 U47571 ( .A0(n85508), .A1(n37511), .S(n59770), .X(
+        n53319) );
+  sky130_fd_sc_hd__o21ai_0 U47587 ( .A1(n76206), .A2(n58641), .B1(n58640), .Y(
+        n58642) );
+  sky130_fd_sc_hd__o21ai_0 U47613 ( .A1(n53287), .A2(n58501), .B1(n53286), .Y(
+        n53391) );
+  sky130_fd_sc_hd__o21ai_0 U47614 ( .A1(n72330), .A2(n73223), .B1(n72329), .Y(
+        n72397) );
+  sky130_fd_sc_hd__o21ai_0 U47615 ( .A1(n73310), .A2(n58554), .B1(n58555), .Y(
+        n44176) );
+  sky130_fd_sc_hd__o21ai_0 U47617 ( .A1(n67858), .A2(n67857), .B1(n67856), .Y(
+        n67862) );
+  sky130_fd_sc_hd__clkinv_1 U47653 ( .A(n64986), .Y(n72220) );
+  sky130_fd_sc_hd__o21ai_0 U47698 ( .A1(n58737), .A2(n64986), .B1(n58736), .Y(
+        n69386) );
+  sky130_fd_sc_hd__o21ai_0 U47716 ( .A1(n70533), .A2(n70537), .B1(n70534), .Y(
+        n72438) );
+  sky130_fd_sc_hd__o21ai_0 U47748 ( .A1(n73076), .A2(n73080), .B1(n73077), .Y(
+        n58666) );
+  sky130_fd_sc_hd__clkinv_1 U47781 ( .A(n58025), .Y(n58036) );
+  sky130_fd_sc_hd__clkinv_1 U47851 ( .A(n58271), .Y(n37963) );
+  sky130_fd_sc_hd__o21ai_0 U47853 ( .A1(n63591), .A2(n63590), .B1(n63589), .Y(
+        n63592) );
+  sky130_fd_sc_hd__o21ai_0 U47855 ( .A1(n65887), .A2(n65886), .B1(n65885), .Y(
+        n69732) );
+  sky130_fd_sc_hd__o21ai_0 U47905 ( .A1(n62391), .A2(n37074), .B1(n62318), .Y(
+        n62319) );
+  sky130_fd_sc_hd__o21ai_0 U47914 ( .A1(n62579), .A2(n38486), .B1(n62440), .Y(
+        n62441) );
+  sky130_fd_sc_hd__o21ai_0 U47929 ( .A1(n62579), .A2(n38368), .B1(n62505), .Y(
+        n62506) );
+  sky130_fd_sc_hd__o21ai_0 U47982 ( .A1(n81827), .A2(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_727[8]), .B1(n77759), .Y(
+        n77763) );
+  sky130_fd_sc_hd__o21ai_0 U48009 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[30]), .A2(n76158), .B1(n75986), .Y(n75989) );
+  sky130_fd_sc_hd__o21ai_0 U48011 ( .A1(
+        MarmotCaravelChip_dut_sys_clint_oldBytes_1_4[7]), .A2(n76900), .B1(
+        n76899), .Y(n76901) );
+  sky130_fd_sc_hd__o21ai_0 U48012 ( .A1(n83224), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[7]), .B1(n54513), .Y(n54514) );
+  sky130_fd_sc_hd__o21ai_0 U48042 ( .A1(n83241), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[25]), .B1(n54427), .Y(n54428) );
+  sky130_fd_sc_hd__maj3_2 U48045 ( .A(n63115), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_318[11]), 
+        .C(n63114), .X(n63116) );
+  sky130_fd_sc_hd__o21ai_0 U48046 ( .A1(n50265), .A2(n50264), .B1(n50263), .Y(
+        n50268) );
+  sky130_fd_sc_hd__clkinv_1 U48051 ( .A(n45655), .Y(n46816) );
+  sky130_fd_sc_hd__o21ai_0 U48069 ( .A1(n49881), .A2(n49880), .B1(n63062), .Y(
+        n49890) );
+  sky130_fd_sc_hd__o21ai_0 U48076 ( .A1(n49382), .A2(n49381), .B1(n67466), .Y(
+        n49386) );
+  sky130_fd_sc_hd__o21ai_0 U48089 ( .A1(n67640), .A2(n67639), .B1(n67638), .Y(
+        n67644) );
+  sky130_fd_sc_hd__o21ai_0 U48093 ( .A1(n67503), .A2(n67502), .B1(n67501), .Y(
+        n67505) );
+  sky130_fd_sc_hd__o21ai_0 U48111 ( .A1(n67970), .A2(n67969), .B1(n67968), .Y(
+        n67974) );
+  sky130_fd_sc_hd__inv_2 U48122 ( .A(n56255), .Y(n56248) );
+  sky130_fd_sc_hd__clkinv_1 U48126 ( .A(n38676), .Y(n38660) );
+  sky130_fd_sc_hd__clkinv_1 U48224 ( .A(n57921), .Y(n57823) );
+  sky130_fd_sc_hd__o21ai_0 U48255 ( .A1(n50474), .A2(n46333), .B1(n57415), .Y(
+        n45868) );
+  sky130_fd_sc_hd__o21ai_0 U48267 ( .A1(n57493), .A2(n56559), .B1(n56981), .Y(
+        n45856) );
+  sky130_fd_sc_hd__clkinv_1 U48296 ( .A(n57790), .Y(n57778) );
+  sky130_fd_sc_hd__o21ai_0 U48392 ( .A1(n58205), .A2(n58204), .B1(n58203), .Y(
+        n58207) );
+  sky130_fd_sc_hd__clkinv_1 U48399 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_27[1]), 
+        .Y(n58024) );
+  sky130_fd_sc_hd__clkinv_1 U48465 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_53[0]), 
+        .Y(n57859) );
+  sky130_fd_sc_hd__clkinv_1 U48479 ( .A(n57694), .Y(n57724) );
+  sky130_fd_sc_hd__clkinv_1 U48518 ( .A(n57798), .Y(n57759) );
+  sky130_fd_sc_hd__clkinv_1 U48522 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_42[1]), 
+        .Y(n57709) );
+  sky130_fd_sc_hd__o21ai_0 U48553 ( .A1(n66925), .A2(n66924), .B1(n66923), .Y(
+        n66926) );
+  sky130_fd_sc_hd__inv_2 U48561 ( .A(n38469), .Y(n38791) );
+  sky130_fd_sc_hd__o21ai_0 U48593 ( .A1(n38150), .A2(n59588), .B1(n38147), .Y(
+        n38963) );
+  sky130_fd_sc_hd__clkinv_1 U48624 ( .A(n38260), .Y(n38259) );
+  sky130_fd_sc_hd__inv_2 U48709 ( .A(n57736), .Y(n37612) );
+  sky130_fd_sc_hd__clkinv_1 U48717 ( .A(n57901), .Y(n37729) );
+  sky130_fd_sc_hd__or2_0 U48718 ( .A(n42450), .B(n42449), .X(n42451) );
+  sky130_fd_sc_hd__or2_0 U48783 ( .A(n42409), .B(n42408), .X(n42410) );
+  sky130_fd_sc_hd__o21ai_0 U48828 ( .A1(n44761), .A2(n44760), .B1(n76491), .Y(
+        n44762) );
+  sky130_fd_sc_hd__o21ai_0 U48829 ( .A1(n41830), .A2(n39992), .B1(n54979), .Y(
+        n39988) );
+  sky130_fd_sc_hd__o21ai_0 U48831 ( .A1(n74259), .A2(n74258), .B1(n74257), .Y(
+        n74260) );
+  sky130_fd_sc_hd__o21ai_0 U48862 ( .A1(n75224), .A2(n75223), .B1(n75222), .Y(
+        n75225) );
+  sky130_fd_sc_hd__o21ai_0 U48882 ( .A1(n41780), .A2(n46310), .B1(n46762), .Y(
+        n43607) );
+  sky130_fd_sc_hd__and2_0 U48883 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics__GEN_39[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_param[2]), .X(n41504) );
+  sky130_fd_sc_hd__o21ai_0 U48885 ( .A1(n43171), .A2(n60094), .B1(n43170), .Y(
+        n43172) );
+  sky130_fd_sc_hd__clkinv_1 U48889 ( .A(n85371), .Y(n86726) );
+  sky130_fd_sc_hd__o21ai_0 U48892 ( .A1(n62511), .A2(n37073), .B1(n62469), .Y(
+        n62470) );
+  sky130_fd_sc_hd__o21ai_0 U48894 ( .A1(n62579), .A2(n62674), .B1(n38405), .Y(
+        n62471) );
+  sky130_fd_sc_hd__o21ai_0 U48897 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[210]), .A2(n61412), .B1(n61416), .Y(n41079) );
+  sky130_fd_sc_hd__o21ai_0 U48907 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[194]), .A2(n61412), .B1(n61394), .Y(n41070) );
+  sky130_fd_sc_hd__o21ai_0 U48938 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[162]), .A2(n61412), .B1(n61386), .Y(n41046) );
+  sky130_fd_sc_hd__o21ai_0 U48981 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[170]), .A2(n61412), .B1(n61386), .Y(n40985) );
+  sky130_fd_sc_hd__o21ai_0 U49020 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_vb_array[255]), .A2(n61411), .B1(n40950), .Y(n40952) );
+  sky130_fd_sc_hd__clkinv_1 U49048 ( .A(n61414), .Y(n61404) );
+  sky130_fd_sc_hd__o21ai_0 U49075 ( .A1(n85387), .A2(n55842), .B1(n59049), .Y(
+        n59095) );
+  sky130_fd_sc_hd__o21ai_0 U49141 ( .A1(n58944), .A2(n58898), .B1(n58897), .Y(
+        n58953) );
+  sky130_fd_sc_hd__o21ai_0 U49242 ( .A1(n55889), .A2(n55867), .B1(n55866), .Y(
+        n55868) );
+  sky130_fd_sc_hd__o21ai_0 U49304 ( .A1(n71539), .A2(n74809), .B1(n71538), .Y(
+        n71540) );
+  sky130_fd_sc_hd__o21ai_0 U49385 ( .A1(n71176), .A2(n74200), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .Y(n71177) );
+  sky130_fd_sc_hd__o21ai_0 U49390 ( .A1(n71259), .A2(n71258), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .Y(n71260) );
+  sky130_fd_sc_hd__o21ai_0 U49398 ( .A1(n72106), .A2(n72105), .B1(n72104), .Y(
+        n72107) );
+  sky130_fd_sc_hd__o21ai_0 U49413 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[16]), .A2(n70629), .B1(n70830), .Y(n70868) );
+  sky130_fd_sc_hd__clkinv_1 U49670 ( .A(n62983), .Y(n40165) );
+  sky130_fd_sc_hd__clkinv_1 U49687 ( .A(n39089), .Y(n39106) );
+  sky130_fd_sc_hd__or2_0 U49761 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[8]), .B(n53283), .X(n38473) );
+  sky130_fd_sc_hd__o21ai_0 U49772 ( .A1(n58338), .A2(n53336), .B1(n53335), .Y(
+        n58716) );
+  sky130_fd_sc_hd__o21ai_0 U49928 ( .A1(n78493), .A2(n58370), .B1(n58369), .Y(
+        n58371) );
+  sky130_fd_sc_hd__o21ai_0 U49956 ( .A1(n73316), .A2(n58550), .B1(n58551), .Y(
+        n58420) );
+  sky130_fd_sc_hd__o21ai_0 U49957 ( .A1(n68234), .A2(n68233), .B1(n68232), .Y(
+        n68235) );
+  sky130_fd_sc_hd__clkinv_1 U49959 ( .A(n68830), .Y(n68838) );
+  sky130_fd_sc_hd__clkinv_1 U50014 ( .A(n72491), .Y(n72500) );
+  sky130_fd_sc_hd__o21ai_0 U50065 ( .A1(n57544), .A2(n57543), .B1(n57542), .Y(
+        n57545) );
+  sky130_fd_sc_hd__o21ai_0 U50159 ( .A1(n57433), .A2(n57432), .B1(n57431), .Y(
+        n57434) );
+  sky130_fd_sc_hd__o21ai_0 U50210 ( .A1(n69572), .A2(n66284), .B1(n66283), .Y(
+        n66290) );
+  sky130_fd_sc_hd__o21ai_0 U50254 ( .A1(n38658), .A2(n37995), .B1(n37994), .Y(
+        n38678) );
+  sky130_fd_sc_hd__o21ai_0 U50255 ( .A1(n57119), .A2(n51484), .B1(n57524), .Y(
+        n57132) );
+  sky130_fd_sc_hd__o21ai_0 U50315 ( .A1(n76681), .A2(n76685), .B1(n76686), .Y(
+        n76007) );
+  sky130_fd_sc_hd__o21ai_0 U50356 ( .A1(n76637), .A2(n76641), .B1(n76642), .Y(
+        n76005) );
+  sky130_fd_sc_hd__o21ai_0 U50424 ( .A1(n62367), .A2(n62713), .B1(n62352), .Y(
+        n62353) );
+  sky130_fd_sc_hd__clkinv_1 U51216 ( .A(n65506), .Y(n65507) );
+  sky130_fd_sc_hd__o21ai_0 U51388 ( .A1(n65427), .A2(n64523), .B1(n64522), .Y(
+        n64524) );
+  sky130_fd_sc_hd__o21ai_0 U51496 ( .A1(n65394), .A2(n65391), .B1(n65392), .Y(
+        n62610) );
+  sky130_fd_sc_hd__o21ai_0 U51526 ( .A1(n65886), .A2(n62834), .B1(n62833), .Y(
+        n69716) );
+  sky130_fd_sc_hd__o21ai_0 U51793 ( .A1(n44009), .A2(n60094), .B1(n44008), .Y(
+        n44010) );
+  sky130_fd_sc_hd__o21ai_0 U52200 ( .A1(n77764), .A2(n77763), .B1(n77762), .Y(
+        n77765) );
+  sky130_fd_sc_hd__clkinv_1 U52203 ( .A(n41406), .Y(n41407) );
+  sky130_fd_sc_hd__and2_0 U52209 ( .A(n60263), .B(n60265), .X(n37055) );
+  sky130_fd_sc_hd__inv_2 U52379 ( .A(n37888), .Y(n37887) );
+  sky130_fd_sc_hd__clkinv_1 U52384 ( .A(n53075), .Y(n56358) );
+  sky130_fd_sc_hd__o21ai_0 U52489 ( .A1(n52714), .A2(n56430), .B1(n52713), .Y(
+        n52715) );
+  sky130_fd_sc_hd__o21ai_0 U52490 ( .A1(n52013), .A2(n52012), .B1(n56285), .Y(
+        n52014) );
+  sky130_fd_sc_hd__o21ai_0 U52491 ( .A1(n51668), .A2(n51667), .B1(n51666), .Y(
+        n51669) );
+  sky130_fd_sc_hd__clkinv_1 U52492 ( .A(n56313), .Y(n56322) );
+  sky130_fd_sc_hd__o21ai_0 U52493 ( .A1(n50991), .A2(n52180), .B1(n50990), .Y(
+        n50992) );
+  sky130_fd_sc_hd__clkinv_1 U52494 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[18]), 
+        .Y(n51098) );
+  sky130_fd_sc_hd__o21ai_0 U52495 ( .A1(n52073), .A2(n57414), .B1(n50486), .Y(
+        n50491) );
+  sky130_fd_sc_hd__o21ai_0 U52496 ( .A1(n52267), .A2(n50475), .B1(n50358), .Y(
+        n50362) );
+  sky130_fd_sc_hd__clkinv_1 U52497 ( .A(n44329), .Y(n50333) );
+  sky130_fd_sc_hd__clkinv_1 U52498 ( .A(n50441), .Y(n50202) );
+  sky130_fd_sc_hd__o21ai_0 U52499 ( .A1(n50115), .A2(n50114), .B1(n56669), .Y(
+        n50116) );
+  sky130_fd_sc_hd__o21ai_0 U52500 ( .A1(n63531), .A2(n81391), .B1(n49768), .Y(
+        n49769) );
+  sky130_fd_sc_hd__o21ai_0 U52501 ( .A1(n49685), .A2(n77878), .B1(n49684), .Y(
+        n49710) );
+  sky130_fd_sc_hd__clkinv_1 U52502 ( .A(n56914), .Y(n49508) );
+  sky130_fd_sc_hd__o21ai_0 U52503 ( .A1(n49371), .A2(n49370), .B1(n63062), .Y(
+        n49375) );
+  sky130_fd_sc_hd__inv_2 U52504 ( .A(n49116), .Y(n37479) );
+  sky130_fd_sc_hd__clkinv_1 U52505 ( .A(n49069), .Y(n56902) );
+  sky130_fd_sc_hd__o21ai_0 U52506 ( .A1(n54207), .A2(n54204), .B1(n54205), .Y(
+        n41440) );
+  sky130_fd_sc_hd__o21ai_0 U52507 ( .A1(n78335), .A2(n85799), .B1(n78329), .Y(
+        n60051) );
+  sky130_fd_sc_hd__clkinv_1 U52508 ( .A(n38799), .Y(n38785) );
+  sky130_fd_sc_hd__o21ai_0 U52509 ( .A1(n50805), .A2(n45268), .B1(n45269), .Y(
+        n41489) );
+  sky130_fd_sc_hd__o21ai_0 U52510 ( .A1(n57168), .A2(n57413), .B1(n47091), .Y(
+        n46335) );
+  sky130_fd_sc_hd__clkinv_1 U52511 ( .A(n57902), .Y(n57904) );
+  sky130_fd_sc_hd__o21ai_0 U52512 ( .A1(n46048), .A2(n57432), .B1(n57431), .Y(
+        n46052) );
+  sky130_fd_sc_hd__o21ai_0 U52513 ( .A1(n57000), .A2(n57188), .B1(n46022), .Y(
+        n46023) );
+  sky130_fd_sc_hd__o21ai_0 U52514 ( .A1(n47100), .A2(n52121), .B1(n45900), .Y(
+        n45901) );
+  sky130_fd_sc_hd__o21ai_0 U52515 ( .A1(n56539), .A2(n52404), .B1(n45859), .Y(
+        n46778) );
+  sky130_fd_sc_hd__clkinv_1 U52516 ( .A(n57701), .Y(n57677) );
+  sky130_fd_sc_hd__o21ai_0 U52517 ( .A1(n59395), .A2(n59394), .B1(n59393), .Y(
+        n59396) );
+  sky130_fd_sc_hd__o21ai_0 U52518 ( .A1(n59529), .A2(n58132), .B1(n38309), .Y(
+        n38290) );
+  sky130_fd_sc_hd__clkinv_1 U52519 ( .A(n38115), .Y(n59607) );
+  sky130_fd_sc_hd__clkinv_1 U52520 ( .A(n57867), .Y(n57879) );
+  sky130_fd_sc_hd__clkinv_1 U52521 ( .A(n59343), .Y(n58130) );
+  sky130_fd_sc_hd__o21ai_0 U52522 ( .A1(n58227), .A2(n58228), .B1(n58187), .Y(
+        n58188) );
+  sky130_fd_sc_hd__o21ai_0 U52523 ( .A1(n66957), .A2(n78175), .B1(n66956), .Y(
+        n67012) );
+  sky130_fd_sc_hd__o21ai_0 U52524 ( .A1(n57484), .A2(n57179), .B1(n45443), .Y(
+        n45445) );
+  sky130_fd_sc_hd__o21ai_0 U52525 ( .A1(n46321), .A2(n56430), .B1(n52080), .Y(
+        n45644) );
+  sky130_fd_sc_hd__o21ai_0 U52526 ( .A1(n52199), .A2(n52126), .B1(n52414), .Y(
+        n46495) );
+  sky130_fd_sc_hd__clkinv_1 U52527 ( .A(n38790), .Y(n59461) );
+  sky130_fd_sc_hd__clkinv_1 U52528 ( .A(n38720), .Y(n38712) );
+  sky130_fd_sc_hd__a21boi_0 U52529 ( .A1(n37166), .A2(n38528), .B1_N(n38529), 
+        .Y(n37480) );
+  sky130_fd_sc_hd__clkinv_1 U52530 ( .A(n38906), .Y(n38943) );
+  sky130_fd_sc_hd__clkinv_1 U52531 ( .A(n39098), .Y(n59553) );
+  sky130_fd_sc_hd__clkinv_1 U52532 ( .A(n38290), .Y(n59521) );
+  sky130_fd_sc_hd__clkinv_1 U52533 ( .A(n42639), .Y(n42663) );
+  sky130_fd_sc_hd__o21ai_0 U52534 ( .A1(n69572), .A2(n69131), .B1(n69130), .Y(
+        n69137) );
+  sky130_fd_sc_hd__o21ai_0 U52535 ( .A1(n43104), .A2(n43091), .B1(n43090), .Y(
+        n43092) );
+  sky130_fd_sc_hd__o21ai_0 U52536 ( .A1(n57177), .A2(n52216), .B1(n48117), .Y(
+        n44866) );
+  sky130_fd_sc_hd__o21ai_0 U52537 ( .A1(n69572), .A2(n68497), .B1(n68496), .Y(
+        n68503) );
+  sky130_fd_sc_hd__clkinv_1 U52538 ( .A(n50923), .Y(n50470) );
+  sky130_fd_sc_hd__o21ai_0 U52539 ( .A1(n58421), .A2(n58425), .B1(n58422), .Y(
+        n44177) );
+  sky130_fd_sc_hd__o21ai_0 U52540 ( .A1(n74607), .A2(n74606), .B1(n74605), .Y(
+        n74608) );
+  sky130_fd_sc_hd__o21ai_0 U52541 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_6_addr[28]), .A2(n75897), .B1(n75425), .Y(n75501) );
+  sky130_fd_sc_hd__o21ai_0 U52542 ( .A1(n69572), .A2(n69192), .B1(n69191), .Y(
+        n69198) );
+  sky130_fd_sc_hd__o21ai_0 U52543 ( .A1(n57473), .A2(n41772), .B1(n44234), .Y(
+        n43753) );
+  sky130_fd_sc_hd__clkinv_1 U52544 ( .A(n50160), .Y(n57168) );
+  sky130_fd_sc_hd__o21ai_0 U52545 ( .A1(n69572), .A2(n64441), .B1(n64440), .Y(
+        n64448) );
+  sky130_fd_sc_hd__o21ai_0 U52546 ( .A1(n62511), .A2(n62657), .B1(n62475), .Y(
+        n62476) );
+  sky130_fd_sc_hd__o21ai_0 U52547 ( .A1(n47100), .A2(n51822), .B1(n57312), .Y(
+        n48289) );
+  sky130_fd_sc_hd__o21ai_0 U52548 ( .A1(n66059), .A2(n64865), .B1(n68466), .Y(
+        n64866) );
+  sky130_fd_sc_hd__o21ai_0 U52549 ( .A1(n59093), .A2(n59048), .B1(n59047), .Y(
+        n59102) );
+  sky130_fd_sc_hd__o21ai_0 U52550 ( .A1(n58953), .A2(n58952), .B1(n58951), .Y(
+        n58954) );
+  sky130_fd_sc_hd__clkinv_1 U52551 ( .A(n36771), .Y(n40091) );
+  sky130_fd_sc_hd__o21ai_0 U52552 ( .A1(n69572), .A2(n69571), .B1(n69570), .Y(
+        n69578) );
+  sky130_fd_sc_hd__o21ai_0 U52553 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_tmatch[0]), .A2(n55871), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_tmatch[1]), .Y(n55870) );
+  sky130_fd_sc_hd__o21ai_0 U52554 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_2_addr[28]), .A2(n71178), .B1(n71177), .Y(n71179) );
+  sky130_fd_sc_hd__o21ai_0 U52555 ( .A1(n71329), .A2(n74820), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[30]), .Y(n71330) );
+  sky130_fd_sc_hd__o21ai_0 U52556 ( .A1(n71635), .A2(n71634), .B1(n71633), .Y(
+        n72111) );
+  sky130_fd_sc_hd__o21ai_0 U52557 ( .A1(n70990), .A2(n74599), .B1(n70989), .Y(
+        n70991) );
+  sky130_fd_sc_hd__o21ai_0 U52558 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_0_addr[16]), .A2(n70629), .B1(n70628), .Y(n70667) );
+  sky130_fd_sc_hd__o21ai_0 U52559 ( .A1(n65105), .A2(n59217), .B1(n59216), .Y(
+        n59218) );
+  sky130_fd_sc_hd__clkinv_1 U52560 ( .A(n39143), .Y(n37675) );
+  sky130_fd_sc_hd__o21ai_0 U52561 ( .A1(n53297), .A2(n53296), .B1(n53295), .Y(
+        n58656) );
+  sky130_fd_sc_hd__o21ai_0 U52562 ( .A1(n73224), .A2(n73223), .B1(n73222), .Y(
+        n73225) );
+  sky130_fd_sc_hd__o21ai_0 U52563 ( .A1(n61142), .A2(n61141), .B1(n61140), .Y(
+        n61143) );
+  sky130_fd_sc_hd__o21ai_0 U52564 ( .A1(n61136), .A2(n61135), .B1(n61134), .Y(
+        n61137) );
+  sky130_fd_sc_hd__o21ai_0 U52565 ( .A1(n58530), .A2(n73262), .B1(n58529), .Y(
+        n58531) );
+  sky130_fd_sc_hd__o21ai_0 U52566 ( .A1(n67866), .A2(n67865), .B1(n67864), .Y(
+        n67867) );
+  sky130_fd_sc_hd__o21ai_0 U52567 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_pos[1]), .A2(n43124), .B1(n42992), .Y(n42993) );
+  sky130_fd_sc_hd__o21ai_0 U52568 ( .A1(n76654), .A2(n76353), .B1(n76354), .Y(
+        n76670) );
+  sky130_fd_sc_hd__clkinv_1 U52569 ( .A(n56456), .Y(n57339) );
+  sky130_fd_sc_hd__clkinv_1 U52570 ( .A(n58272), .Y(n58273) );
+  sky130_fd_sc_hd__o21ai_0 U52571 ( .A1(n49486), .A2(n60445), .B1(n49483), .Y(
+        n49482) );
+  sky130_fd_sc_hd__clkinv_1 U52572 ( .A(n51509), .Y(n52100) );
+  sky130_fd_sc_hd__o21ai_0 U52573 ( .A1(n56325), .A2(n56324), .B1(n56323), .Y(
+        n56326) );
+  sky130_fd_sc_hd__o21ai_0 U52574 ( .A1(n56287), .A2(n56286), .B1(n56285), .Y(
+        n56288) );
+  sky130_fd_sc_hd__o21ai_0 U52575 ( .A1(n73449), .A2(n73448), .B1(n73447), .Y(
+        n73450) );
+  sky130_fd_sc_hd__o21ai_0 U52576 ( .A1(n79632), .A2(n85792), .B1(n79622), .Y(
+        n78117) );
+  sky130_fd_sc_hd__o21ai_0 U52577 ( .A1(n49565), .A2(n49566), .B1(n49567), .Y(
+        n49564) );
+  sky130_fd_sc_hd__o21ai_0 U52578 ( .A1(n47445), .A2(n47294), .B1(n47443), .Y(
+        n47427) );
+  sky130_fd_sc_hd__clkinv_1 U52579 ( .A(n69734), .Y(n65863) );
+  sky130_fd_sc_hd__o21ai_0 U52580 ( .A1(n65795), .A2(n65794), .B1(n65793), .Y(
+        n65796) );
+  sky130_fd_sc_hd__o21ai_0 U52581 ( .A1(n65736), .A2(n65732), .B1(n65733), .Y(
+        n62799) );
+  sky130_fd_sc_hd__o21ai_0 U52582 ( .A1(n65688), .A2(n64540), .B1(n64539), .Y(
+        n64541) );
+  sky130_fd_sc_hd__clkinv_1 U52583 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_divisor[11]), .Y(n64528) );
+  sky130_fd_sc_hd__o21ai_0 U52584 ( .A1(n62367), .A2(n37074), .B1(n62105), .Y(
+        n62106) );
+  sky130_fd_sc_hd__o21ai_0 U52585 ( .A1(n77898), .A2(n77897), .B1(n77896), .Y(
+        n77899) );
+  sky130_fd_sc_hd__clkinv_1 U52586 ( .A(n73748), .Y(n63300) );
+  sky130_fd_sc_hd__o21ai_0 U52587 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[1]), .A2(n77356), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[0]), .Y(n77357) );
+  sky130_fd_sc_hd__o21ai_0 U52588 ( .A1(n73998), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_io_addr_bits_hold[0]), .B1(n73997), .Y(n73999) );
+  sky130_fd_sc_hd__o21ai_0 U52589 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_fragmenter_dOrig[2]), .A2(n83731), .B1(n55531), .Y(n63280) );
+  sky130_fd_sc_hd__clkinv_1 U52590 ( .A(n85454), .Y(n47721) );
+  sky130_fd_sc_hd__o21ai_0 U52591 ( .A1(n48459), .A2(n41414), .B1(n41407), .Y(
+        n41439) );
+  sky130_fd_sc_hd__o21ai_0 U52592 ( .A1(n76749), .A2(n76746), .B1(n76747), .Y(
+        n75999) );
+  sky130_fd_sc_hd__clkinv_1 U52593 ( .A(n55321), .Y(n55576) );
+  sky130_fd_sc_hd__o21a_1 U52594 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar__readys_unready_T_10[20]), .A2(n48715), .B1(n48020), .X(n48717) );
+  sky130_fd_sc_hd__clkinv_1 U52595 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[5]), .Y(n86756) );
+  sky130_fd_sc_hd__o21ai_0 U52596 ( .A1(n47403), .A2(n47395), .B1(n47401), .Y(
+        n47410) );
+  sky130_fd_sc_hd__o21ai_0 U52597 ( .A1(n47403), .A2(n47402), .B1(n47401), .Y(
+        n47412) );
+  sky130_fd_sc_hd__o21ai_0 U52598 ( .A1(n47403), .A2(n47397), .B1(n47401), .Y(
+        n47408) );
+  sky130_fd_sc_hd__o21ai_0 U52599 ( .A1(n63546), .A2(n52946), .B1(n52945), .Y(
+        n52947) );
+  sky130_fd_sc_hd__o21ai_0 U52600 ( .A1(n52688), .A2(n47324), .B1(n47315), .Y(
+        n47336) );
+  sky130_fd_sc_hd__o21ai_0 U52601 ( .A1(n52736), .A2(n52735), .B1(n57549), .Y(
+        n52737) );
+  sky130_fd_sc_hd__o21ai_0 U52602 ( .A1(n47403), .A2(n47296), .B1(n47401), .Y(
+        n47387) );
+  sky130_fd_sc_hd__o21ai_0 U52619 ( .A1(n47445), .A2(n47429), .B1(n47443), .Y(
+        n47431) );
+  sky130_fd_sc_hd__o21ai_0 U52653 ( .A1(n37363), .A2(n47306), .B1(n47351), .Y(
+        n47377) );
+  sky130_fd_sc_hd__o211ai_1 U52663 ( .A1(n56726), .A2(n52341), .B1(n51657), 
+        .C1(n51656), .Y(n68092) );
+  sky130_fd_sc_hd__o21ai_0 U52698 ( .A1(n37363), .A2(n47347), .B1(n47351), .Y(
+        n47365) );
+  sky130_fd_sc_hd__o21ai_0 U52725 ( .A1(n37363), .A2(n47345), .B1(n47351), .Y(
+        n47363) );
+  sky130_fd_sc_hd__o21ai_0 U52731 ( .A1(n57176), .A2(n51861), .B1(n44823), .Y(
+        n45647) );
+  sky130_fd_sc_hd__o21ai_0 U52765 ( .A1(n56237), .A2(n47324), .B1(n47320), .Y(
+        n47328) );
+  sky130_fd_sc_hd__o21ai_0 U52766 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[5]), 
+        .A2(n56319), .B1(n51279), .Y(n51280) );
+  sky130_fd_sc_hd__o21ai_0 U52770 ( .A1(n51203), .A2(n51202), .B1(n51201), .Y(
+        n51204) );
+  sky130_fd_sc_hd__o21ai_0 U52793 ( .A1(n51780), .A2(n51336), .B1(n51337), .Y(
+        n47331) );
+  sky130_fd_sc_hd__o21ai_0 U52794 ( .A1(n47369), .A2(n51597), .B1(n47368), .Y(
+        n47370) );
+  sky130_fd_sc_hd__clkinv_1 U52801 ( .A(n37001), .Y(n61716) );
+  sky130_fd_sc_hd__o21ai_0 U52815 ( .A1(n51658), .A2(n50841), .B1(n56726), .Y(
+        n50845) );
+  sky130_fd_sc_hd__o21ai_0 U52831 ( .A1(n37363), .A2(n47313), .B1(n47351), .Y(
+        n47374) );
+  sky130_fd_sc_hd__o21ai_0 U52833 ( .A1(n41452), .A2(n41410), .B1(n41450), .Y(
+        n41472) );
+  sky130_fd_sc_hd__clkinv_1 U52834 ( .A(n52194), .Y(n52182) );
+  sky130_fd_sc_hd__o21ai_0 U52839 ( .A1(n56259), .A2(n50866), .B1(n50116), .Y(
+        n50117) );
+  sky130_fd_sc_hd__o21ai_0 U52840 ( .A1(n61720), .A2(n50842), .B1(n50102), .Y(
+        n50103) );
+  sky130_fd_sc_hd__o21ai_0 U52841 ( .A1(n56639), .A2(n47324), .B1(n47323), .Y(
+        n47326) );
+  sky130_fd_sc_hd__clkinv_1 U52846 ( .A(n56870), .Y(n49953) );
+  sky130_fd_sc_hd__o21ai_0 U52860 ( .A1(n49278), .A2(n49277), .B1(n49276), .Y(
+        n49279) );
+  sky130_fd_sc_hd__o21ai_0 U52862 ( .A1(n73385), .A2(n51741), .B1(n49361), .Y(
+        n49537) );
+  sky130_fd_sc_hd__o21ai_0 U52863 ( .A1(n67648), .A2(n67647), .B1(n67646), .Y(
+        n67649) );
+  sky130_fd_sc_hd__clkinv_1 U52864 ( .A(n43803), .Y(n56952) );
+  sky130_fd_sc_hd__o21ai_0 U52883 ( .A1(n61554), .A2(n61553), .B1(n61552), .Y(
+        n61558) );
+  sky130_fd_sc_hd__o21ai_0 U52904 ( .A1(n41545), .A2(n41382), .B1(n41543), .Y(
+        n41553) );
+  sky130_fd_sc_hd__o21ai_0 U52940 ( .A1(n37363), .A2(n47349), .B1(n47351), .Y(
+        n47357) );
+  sky130_fd_sc_hd__o21ai_0 U52967 ( .A1(n48123), .A2(n56421), .B1(n48122), .Y(
+        n48124) );
+  sky130_fd_sc_hd__o21ai_0 U53006 ( .A1(n56558), .A2(n56538), .B1(n48078), .Y(
+        n48081) );
+  sky130_fd_sc_hd__o21ai_0 U53069 ( .A1(n56474), .A2(n57020), .B1(n48065), .Y(
+        n48066) );
+  sky130_fd_sc_hd__o21ai_0 U53094 ( .A1(n47445), .A2(n47444), .B1(n47443), .Y(
+        n47450) );
+  sky130_fd_sc_hd__o21ai_0 U53247 ( .A1(n67978), .A2(n67977), .B1(n67976), .Y(
+        n67979) );
+  sky130_fd_sc_hd__o21ai_0 U53248 ( .A1(n56949), .A2(n47324), .B1(n47318), .Y(
+        n47338) );
+  sky130_fd_sc_hd__o21ai_0 U53281 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_param[2]), .A2(MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_6[0]), .B1(
+        n41418), .Y(n41437) );
+  sky130_fd_sc_hd__o21ai_0 U53287 ( .A1(n50179), .A2(n47187), .B1(n47186), .Y(
+        n47188) );
+  sky130_fd_sc_hd__o21ai_0 U53318 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_param[2]), .A2(MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_2[0]), .B1(
+        n41420), .Y(n41427) );
+  sky130_fd_sc_hd__clkinv_1 U53324 ( .A(n57414), .Y(n50449) );
+  sky130_fd_sc_hd__o21ai_0 U53330 ( .A1(n46495), .A2(n46494), .B1(n52744), .Y(
+        n46496) );
+  sky130_fd_sc_hd__o21ai_0 U53338 ( .A1(n57508), .A2(n50907), .B1(n46351), .Y(
+        n46352) );
+  sky130_fd_sc_hd__clkinv_1 U53351 ( .A(n52181), .Y(n57188) );
+  sky130_fd_sc_hd__o21ai_0 U53366 ( .A1(n38642), .A2(n59564), .B1(n38650), .Y(
+        n38688) );
+  sky130_fd_sc_hd__o21ai_0 U53369 ( .A1(n46017), .A2(n46016), .B1(n48133), .Y(
+        n46018) );
+  sky130_fd_sc_hd__clkinv_1 U53377 ( .A(n57450), .Y(n47079) );
+  sky130_fd_sc_hd__clkinv_1 U53380 ( .A(n58143), .Y(n58126) );
+  sky130_fd_sc_hd__clkinv_1 U53383 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_4[1]), 
+        .Y(n45528) );
+  sky130_fd_sc_hd__clkinv_1 U53395 ( .A(n38302), .Y(n38297) );
+  sky130_fd_sc_hd__clkinv_1 U53401 ( .A(n58858), .Y(n58853) );
+  sky130_fd_sc_hd__o21ai_0 U53430 ( .A1(n57729), .A2(n57696), .B1(n37849), .Y(
+        n37848) );
+  sky130_fd_sc_hd__a21boi_0 U53451 ( .A1(n58218), .A2(n58225), .B1_N(n58231), 
+        .Y(n37784) );
+  sky130_fd_sc_hd__clkinv_1 U53489 ( .A(n38764), .Y(n38716) );
+  sky130_fd_sc_hd__a21boi_0 U53492 ( .A1(n38931), .A2(n38928), .B1_N(n38953), 
+        .Y(n37664) );
+  sky130_fd_sc_hd__o21ai_0 U53645 ( .A1(n59555), .A2(n59556), .B1(n59553), .Y(
+        n59560) );
+  sky130_fd_sc_hd__o21ai_0 U53688 ( .A1(n59521), .A2(n59522), .B1(n59520), .Y(
+        n59526) );
+  sky130_fd_sc_hd__clkinv_1 U53689 ( .A(n58236), .Y(n58251) );
+  sky130_fd_sc_hd__clkinv_1 U53719 ( .A(n56482), .Y(n57485) );
+  sky130_fd_sc_hd__o21ai_0 U53736 ( .A1(n41452), .A2(n41448), .B1(n41450), .Y(
+        n41457) );
+  sky130_fd_sc_hd__o21ai_0 U53742 ( .A1(n41452), .A2(n41451), .B1(n41450), .Y(
+        n41459) );
+  sky130_fd_sc_hd__clkinv_1 U53750 ( .A(n52150), .Y(n52252) );
+  sky130_fd_sc_hd__clkinv_1 U53765 ( .A(n52217), .Y(n52167) );
+  sky130_fd_sc_hd__o21ai_0 U53774 ( .A1(n73262), .A2(n44146), .B1(n44145), .Y(
+        n44147) );
+  sky130_fd_sc_hd__o21ai_0 U53802 ( .A1(n41452), .A2(n41404), .B1(n41450), .Y(
+        n41477) );
+  sky130_fd_sc_hd__o21ai_0 U53883 ( .A1(n74816), .A2(n74815), .B1(n74814), .Y(
+        n74817) );
+  sky130_fd_sc_hd__o21ai_0 U53893 ( .A1(n74431), .A2(n74430), .B1(n74429), .Y(
+        n74432) );
+  sky130_fd_sc_hd__o21ai_0 U53900 ( .A1(n50919), .A2(n51822), .B1(n45665), .Y(
+        n43768) );
+  sky130_fd_sc_hd__clkinv_1 U53903 ( .A(n51822), .Y(n56588) );
+  sky130_fd_sc_hd__o21ai_0 U53905 ( .A1(n41545), .A2(n41387), .B1(n41543), .Y(
+        n41541) );
+  sky130_fd_sc_hd__o21ai_0 U53906 ( .A1(n43671), .A2(n46483), .B1(n50502), .Y(
+        n43672) );
+  sky130_fd_sc_hd__o21ai_0 U53912 ( .A1(n56992), .A2(n57413), .B1(n44248), .Y(
+        n43653) );
+  sky130_fd_sc_hd__clkinv_1 U53940 ( .A(n41780), .Y(n57526) );
+  sky130_fd_sc_hd__o21ai_0 U53947 ( .A1(n41545), .A2(n41389), .B1(n41543), .Y(
+        n41534) );
+  sky130_fd_sc_hd__o21ai_0 U53955 ( .A1(n52746), .A2(n43346), .B1(n50990), .Y(
+        n43347) );
+  sky130_fd_sc_hd__o21ai_0 U53965 ( .A1(n46351), .A2(n46720), .B1(n43367), .Y(
+        n46761) );
+  sky130_fd_sc_hd__o21ai_0 U54073 ( .A1(n41503), .A2(n41493), .B1(n41501), .Y(
+        n41518) );
+  sky130_fd_sc_hd__o21ai_0 U54116 ( .A1(n41675), .A2(n41674), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_io_full), .Y(n41676) );
+  sky130_fd_sc_hd__clkinv_1 U54187 ( .A(n54280), .Y(n54283) );
+  sky130_fd_sc_hd__o21ai_0 U54199 ( .A1(n59102), .A2(n59101), .B1(n59100), .Y(
+        n59103) );
+  sky130_fd_sc_hd__clkinv_1 U54242 ( .A(n64356), .Y(n64333) );
+  sky130_fd_sc_hd__o21ai_0 U54259 ( .A1(n69490), .A2(n69489), .B1(n69488), .Y(
+        n69491) );
+  sky130_fd_sc_hd__clkinv_1 U54261 ( .A(n62998), .Y(n63010) );
+  sky130_fd_sc_hd__clkinv_1 U54278 ( .A(n41834), .Y(n39939) );
+  sky130_fd_sc_hd__o21ai_0 U54325 ( .A1(n71543), .A2(n74815), .B1(n71542), .Y(
+        n71544) );
+  sky130_fd_sc_hd__o21ai_0 U54353 ( .A1(n71264), .A2(n71263), .B1(n71262), .Y(
+        n72002) );
+  sky130_fd_sc_hd__o21ai_0 U54360 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_pmp_1_addr[28]), .A2(n70885), .B1(n70884), .Y(n70886) );
+  sky130_fd_sc_hd__o21ai_0 U54387 ( .A1(n70665), .A2(n70627), .B1(n70626), .Y(
+        n70670) );
+  sky130_fd_sc_hd__o21ai_0 U54457 ( .A1(n69484), .A2(n69433), .B1(n68524), .Y(
+        n66065) );
+  sky130_fd_sc_hd__clkinv_1 U54469 ( .A(n64168), .Y(n59243) );
+  sky130_fd_sc_hd__clkinv_1 U54475 ( .A(n39154), .Y(n37439) );
+  sky130_fd_sc_hd__o21ai_0 U54484 ( .A1(n58681), .A2(n72385), .B1(n58695), .Y(
+        n53339) );
+  sky130_fd_sc_hd__o21ai_0 U54485 ( .A1(n73194), .A2(n73262), .B1(n73193), .Y(
+        n73195) );
+  sky130_fd_sc_hd__o21ai_0 U54497 ( .A1(n73262), .A2(n58373), .B1(n58372), .Y(
+        n58374) );
+  sky130_fd_sc_hd__clkinv_1 U54563 ( .A(n79545), .Y(n76337) );
+  sky130_fd_sc_hd__o21ai_0 U54575 ( .A1(n73105), .A2(n70603), .B1(n70602), .Y(
+        n70604) );
+  sky130_fd_sc_hd__o21ai_0 U54588 ( .A1(n73105), .A2(n72196), .B1(n72195), .Y(
+        n72197) );
+  sky130_fd_sc_hd__o21ai_0 U54615 ( .A1(n76761), .A2(n76764), .B1(n76765), .Y(
+        n76774) );
+  sky130_fd_sc_hd__o21ai_0 U54616 ( .A1(n73298), .A2(n60851), .B1(n60850), .Y(
+        n60852) );
+  sky130_fd_sc_hd__o21ai_0 U54629 ( .A1(n59719), .A2(n59718), .B1(n59717), .Y(
+        n59720) );
+  sky130_fd_sc_hd__clkinv_1 U54630 ( .A(n52691), .Y(n50931) );
+  sky130_fd_sc_hd__o21ai_0 U54664 ( .A1(n56431), .A2(n50974), .B1(n50237), .Y(
+        n57343) );
+  sky130_fd_sc_hd__clkinv_1 U54678 ( .A(n38160), .Y(n38159) );
+  sky130_fd_sc_hd__o21ai_0 U54717 ( .A1(n57217), .A2(n57216), .B1(n57215), .Y(
+        n57218) );
+  sky130_fd_sc_hd__o21ai_0 U54722 ( .A1(n57087), .A2(n57316), .B1(n57086), .Y(
+        n57263) );
+  sky130_fd_sc_hd__clkinv_1 U54725 ( .A(n56777), .Y(n56778) );
+  sky130_fd_sc_hd__o21ai_0 U54729 ( .A1(n49661), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_ram_MPORT_addr[1]), .B1(n49660), .Y(n49662) );
+  sky130_fd_sc_hd__o21ai_0 U54737 ( .A1(n49791), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_7[17]), .B1(n49790), .Y(n49793) );
+  sky130_fd_sc_hd__o21ai_0 U54778 ( .A1(n49997), .A2(n49996), .B1(n49995), .Y(
+        n49998) );
+  sky130_fd_sc_hd__o21ai_0 U54828 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_io_deq_bits_MPORT_addr[0]), .A2(n81074), .B1(n49319), .Y(n49321) );
+  sky130_fd_sc_hd__o21ai_0 U54852 ( .A1(n56661), .A2(n56664), .B1(n56326), .Y(
+        n56327) );
+  sky130_fd_sc_hd__o21ai_0 U54863 ( .A1(n56259), .A2(n56668), .B1(n56258), .Y(
+        n56260) );
+  sky130_fd_sc_hd__o21ai_0 U54868 ( .A1(n49399), .A2(n49396), .B1(n49395), .Y(
+        n49397) );
+  sky130_fd_sc_hd__o21ai_0 U54891 ( .A1(n49753), .A2(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_MPORT_addr[1]), .B1(n49752), .Y(n49754) );
+  sky130_fd_sc_hd__o21ai_0 U54895 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_txq_ram_MPORT_addr[1]), .A2(n60469), .B1(n49560), .Y(n49561) );
+  sky130_fd_sc_hd__o21ai_0 U54937 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[1]), .A2(n77288), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2__out_out_bits_data_WIRE_1_6[0]), .Y(n77289) );
+  sky130_fd_sc_hd__o21ai_0 U54955 ( .A1(n47424), .A2(n50066), .B1(n47423), .Y(
+        n47425) );
+  sky130_fd_sc_hd__o21ai_0 U54977 ( .A1(n36817), .A2(n56085), .B1(n56084), .Y(
+        n56086) );
+  sky130_fd_sc_hd__o21ai_0 U55075 ( .A1(n84105), .A2(n84082), .B1(n84081), .Y(
+        n84083) );
+  sky130_fd_sc_hd__o21ai_0 U55136 ( .A1(n39587), .A2(n39591), .B1(n39594), .Y(
+        n39605) );
+  sky130_fd_sc_hd__or2_0 U55141 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_mulReg[41]), .B(n64520), .X(n38411) );
+  sky130_fd_sc_hd__o21ai_0 U55164 ( .A1(n65386), .A2(n64517), .B1(n64516), .Y(
+        n65412) );
+  sky130_fd_sc_hd__o21ai_0 U55179 ( .A1(n65275), .A2(n64508), .B1(n64507), .Y(
+        n64509) );
+  sky130_fd_sc_hd__o21ai_0 U55198 ( .A1(n77166), .A2(n81628), .B1(n77165), .Y(
+        n81547) );
+  sky130_fd_sc_hd__clkinv_1 U55255 ( .A(n48860), .Y(n48863) );
+  sky130_fd_sc_hd__o21ai_0 U55304 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_out_prepend_18[8]), .A2(n73980), .B1(n73965), .Y(n73982) );
+  sky130_fd_sc_hd__o21ai_0 U55335 ( .A1(n83888), .A2(n43997), .B1(n43996), .Y(
+        n43998) );
+  sky130_fd_sc_hd__o21ai_0 U55355 ( .A1(n39425), .A2(n54916), .B1(n39424), .Y(
+        n39426) );
+  sky130_fd_sc_hd__o21ai_0 U55399 ( .A1(n61980), .A2(n76449), .B1(n55594), .Y(
+        n55595) );
+  sky130_fd_sc_hd__o21ai_0 U55400 ( .A1(n76325), .A2(n55541), .B1(n55540), .Y(
+        n63312) );
+  sky130_fd_sc_hd__clkinv_1 U55401 ( .A(n55542), .Y(n55567) );
+  sky130_fd_sc_hd__clkinv_1 U55406 ( .A(n48845), .Y(n48846) );
+  sky130_fd_sc_hd__clkinv_1 U55437 ( .A(n47954), .Y(n47909) );
+  sky130_fd_sc_hd__clkinv_1 U55541 ( .A(n84970), .Y(n47713) );
+  sky130_fd_sc_hd__o21ai_0 U55556 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_7_bits_address[23]), .A2(n54575), .B1(n53978), .Y(n53979) );
+  sky130_fd_sc_hd__or2_0 U55562 ( .A(n65589), .B(n65588), .X(n65597) );
+  sky130_fd_sc_hd__o21ai_0 U55564 ( .A1(n41524), .A2(n43317), .B1(n41523), .Y(
+        n41525) );
+  sky130_fd_sc_hd__o21ai_0 U55572 ( .A1(n53770), .A2(n53618), .B1(n53768), .Y(
+        n53606) );
+  sky130_fd_sc_hd__or2_0 U55605 ( .A(n83737), .B(n37699), .X(n36770) );
+  sky130_fd_sc_hd__o21ai_0 U55702 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[21]), .A2(n60332), .B1(n40645), .Y(n40646) );
+  sky130_fd_sc_hd__clkinv_1 U55718 ( .A(n72786), .Y(n39382) );
+  sky130_fd_sc_hd__o21ai_0 U55762 ( .A1(n44417), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_o_data_ram_mask_MPORT_addr[3]), .B1(n44414), .Y(n44415) );
+  sky130_fd_sc_hd__o21ai_0 U55768 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_maybe_full), .A2(n54403), .B1(n84660), .Y(n54404) );
+  sky130_fd_sc_hd__o21ai_0 U55783 ( .A1(n77853), .A2(n77852), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_arb__T_1_1_), 
+        .Y(n77851) );
+  sky130_fd_sc_hd__o21ai_0 U55818 ( .A1(n52918), .A2(n73840), .B1(n52917), .Y(
+        n52919) );
+  sky130_fd_sc_hd__clkinv_1 U55819 ( .A(n57040), .Y(n37334) );
+  sky130_fd_sc_hd__o21ai_0 U55950 ( .A1(n77859), .A2(n77858), .B1(n77832), .Y(
+        n77833) );
+  sky130_fd_sc_hd__o21ai_0 U55984 ( .A1(n56259), .A2(n51988), .B1(n51987), .Y(
+        n51989) );
+  sky130_fd_sc_hd__clkinv_1 U56041 ( .A(n51932), .Y(n51934) );
+  sky130_fd_sc_hd__o21ai_0 U56065 ( .A1(n48281), .A2(n46492), .B1(n50314), .Y(
+        n52751) );
+  sky130_fd_sc_hd__o21ai_0 U56090 ( .A1(n50021), .A2(n50018), .B1(n50019), .Y(
+        n51339) );
+  sky130_fd_sc_hd__or2_0 U56091 ( .A(n41465), .B(n41466), .X(n38455) );
+  sky130_fd_sc_hd__or2_0 U56100 ( .A(n46470), .B(n46409), .X(n50911) );
+  sky130_fd_sc_hd__or2_0 U56111 ( .A(n46470), .B(n46414), .X(n43818) );
+  sky130_fd_sc_hd__o21ai_0 U56112 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_txq_ram_io_deq_bits_MPORT_addr[0]), .A2(n81013), .B1(n49399), .Y(n49394) );
+  sky130_fd_sc_hd__clkinv_1 U56166 ( .A(n48897), .Y(n48887) );
+  sky130_fd_sc_hd__o21ai_0 U56171 ( .A1(n51003), .A2(n52079), .B1(n52237), .Y(
+        n51005) );
+  sky130_fd_sc_hd__o21ai_0 U56172 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[2]), .A2(n52613), .B1(n50865), .Y(n50870) );
+  sky130_fd_sc_hd__o21ai_0 U56179 ( .A1(n56981), .A2(n57527), .B1(n57542), .Y(
+        n50501) );
+  sky130_fd_sc_hd__clkinv_1 U56225 ( .A(n46311), .Y(n50392) );
+  sky130_fd_sc_hd__o21ai_0 U56260 ( .A1(n50475), .A2(n50357), .B1(n50356), .Y(
+        n50373) );
+  sky130_fd_sc_hd__o21ai_0 U56281 ( .A1(n52194), .A2(n57069), .B1(n50334), .Y(
+        n50335) );
+  sky130_fd_sc_hd__or2_0 U56332 ( .A(n41474), .B(n41475), .X(n38467) );
+  sky130_fd_sc_hd__o21ai_0 U56396 ( .A1(n50146), .A2(n56662), .B1(n50145), .Y(
+        n73377) );
+  sky130_fd_sc_hd__o21ai_0 U56412 ( .A1(n49953), .A2(n60429), .B1(n49952), .Y(
+        n49954) );
+  sky130_fd_sc_hd__clkinv_1 U56421 ( .A(n49533), .Y(n49534) );
+  sky130_fd_sc_hd__clkinv_1 U56499 ( .A(n48318), .Y(n56440) );
+  sky130_fd_sc_hd__o21ai_0 U56609 ( .A1(n47344), .A2(n47478), .B1(n47343), .Y(
+        n48192) );
+  sky130_fd_sc_hd__clkinv_1 U56738 ( .A(n56499), .Y(n56557) );
+  sky130_fd_sc_hd__clkinv_1 U56757 ( .A(n67464), .Y(n61589) );
+  sky130_fd_sc_hd__o21ai_0 U56862 ( .A1(n52838), .A2(n52842), .B1(n52843), .Y(
+        n47259) );
+  sky130_fd_sc_hd__o21ai_0 U56907 ( .A1(n41779), .A2(n57334), .B1(n46352), .Y(
+        n46353) );
+  sky130_fd_sc_hd__clkinv_1 U56918 ( .A(n47236), .Y(n52812) );
+  sky130_fd_sc_hd__o21ai_0 U56973 ( .A1(n57899), .A2(n58858), .B1(n57898), .Y(
+        n57911) );
+  sky130_fd_sc_hd__o21ai_0 U57046 ( .A1(n50909), .A2(n67681), .B1(n46068), .Y(
+        n46069) );
+  sky130_fd_sc_hd__o21ai_0 U57085 ( .A1(n46067), .A2(n56598), .B1(n46018), .Y(
+        n46019) );
+  sky130_fd_sc_hd__clkinv_1 U57158 ( .A(n45988), .Y(n45989) );
+  sky130_fd_sc_hd__clkinv_1 U57178 ( .A(n56458), .Y(n52183) );
+  sky130_fd_sc_hd__o21ai_0 U57197 ( .A1(n57711), .A2(n67802), .B1(n45552), .Y(
+        n45553) );
+  sky130_fd_sc_hd__o21ai_0 U57227 ( .A1(n58228), .A2(n58192), .B1(n58227), .Y(
+        n58193) );
+  sky130_fd_sc_hd__o21ai_0 U57245 ( .A1(n51861), .A2(n47162), .B1(n46015), .Y(
+        n45405) );
+  sky130_fd_sc_hd__or2_0 U57272 ( .A(n69084), .B(n69083), .X(n37051) );
+  sky130_fd_sc_hd__o21ai_0 U57273 ( .A1(n39667), .A2(n39664), .B1(n39665), .Y(
+        n39569) );
+  sky130_fd_sc_hd__clkinv_1 U57274 ( .A(n50472), .Y(n50357) );
+  sky130_fd_sc_hd__clkinv_1 U57275 ( .A(n68603), .Y(n68604) );
+  sky130_fd_sc_hd__o21ai_0 U57278 ( .A1(n69572), .A2(n66101), .B1(n66100), .Y(
+        n66107) );
+  sky130_fd_sc_hd__o21ai_0 U57319 ( .A1(n56015), .A2(n80498), .B1(n84034), .Y(
+        n41823) );
+  sky130_fd_sc_hd__clkinv_1 U57356 ( .A(n46797), .Y(n56569) );
+  sky130_fd_sc_hd__clkinv_1 U57357 ( .A(n39421), .Y(n39422) );
+  sky130_fd_sc_hd__or2_0 U57358 ( .A(n66267), .B(n66266), .X(n68672) );
+  sky130_fd_sc_hd__o21ai_0 U57362 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_clint_fragmenter_repeater_io_full), .A2(n41669), .B1(n41678), .Y(n41670) );
+  sky130_fd_sc_hd__o21ai_0 U57493 ( .A1(n75631), .A2(n75630), .B1(n75629), .Y(
+        n75632) );
+  sky130_fd_sc_hd__or2_0 U57523 ( .A(n75610), .B(n75609), .X(n75655) );
+  sky130_fd_sc_hd__or2_0 U57547 ( .A(n66380), .B(n66379), .X(n37050) );
+  sky130_fd_sc_hd__o21ai_0 U57549 ( .A1(n74054), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__GEN_366[15]), .B1(n43875), .Y(n43876) );
+  sky130_fd_sc_hd__o21ai_0 U57596 ( .A1(n64886), .A2(n66387), .B1(n64885), .Y(
+        n65595) );
+  sky130_fd_sc_hd__o21ai_0 U57628 ( .A1(n43819), .A2(n43818), .B1(n43817), .Y(
+        n43820) );
+  sky130_fd_sc_hd__o21ai_0 U57629 ( .A1(n43738), .A2(n43737), .B1(n52237), .Y(
+        n43739) );
+  sky130_fd_sc_hd__o21ai_0 U57681 ( .A1(n45659), .A2(n43653), .B1(n50332), .Y(
+        n43662) );
+  sky130_fd_sc_hd__clkinv_1 U57710 ( .A(n43730), .Y(n57448) );
+  sky130_fd_sc_hd__clkinv_1 U57793 ( .A(n48064), .Y(n57449) );
+  sky130_fd_sc_hd__or2_0 U57812 ( .A(n66477), .B(n66476), .X(n37048) );
+  sky130_fd_sc_hd__clkinv_1 U57873 ( .A(n62996), .Y(n64378) );
+  sky130_fd_sc_hd__and2b_1 U57911 ( .B(n52065), .A_N(n52827), .X(n52807) );
+  sky130_fd_sc_hd__clkinv_1 U57915 ( .A(n51507), .Y(n45431) );
+  sky130_fd_sc_hd__o21ai_0 U57950 ( .A1(n50995), .A2(n47102), .B1(n56989), .Y(
+        n41786) );
+  sky130_fd_sc_hd__clkinv_1 U57968 ( .A(n43358), .Y(n43363) );
+  sky130_fd_sc_hd__clkinv_1 U58026 ( .A(n38102), .Y(n40224) );
+  sky130_fd_sc_hd__or2_0 U58071 ( .A(n65953), .B(n65952), .X(n38498) );
+  sky130_fd_sc_hd__o21ai_0 U58184 ( .A1(n41116), .A2(n83822), .B1(n41115), .Y(
+        n41117) );
+  sky130_fd_sc_hd__o21ai_0 U58215 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_tmatch[1]), .A2(n59020), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_0_control_x), .Y(n59021) );
+  sky130_fd_sc_hd__and2_0 U58328 ( .A(n64353), .B(n64352), .X(n70223) );
+  sky130_fd_sc_hd__o21ai_0 U58446 ( .A1(n70286), .A2(n70285), .B1(n70284), .Y(
+        n70297) );
+  sky130_fd_sc_hd__or2_0 U58478 ( .A(n68981), .B(n68980), .X(n37084) );
+  sky130_fd_sc_hd__o21ai_0 U58516 ( .A1(n71334), .A2(n71333), .B1(n71332), .Y(
+        n71552) );
+  sky130_fd_sc_hd__o21ai_0 U58519 ( .A1(n71185), .A2(n71184), .B1(n71183), .Y(
+        n71192) );
+  sky130_fd_sc_hd__o21ai_0 U58523 ( .A1(n82561), .A2(n59734), .B1(n59744), .Y(
+        n57379) );
+  sky130_fd_sc_hd__clkinv_1 U58524 ( .A(n66061), .Y(n70282) );
+  sky130_fd_sc_hd__clkinv_1 U58650 ( .A(n76529), .Y(n39165) );
+  sky130_fd_sc_hd__o21ai_0 U58660 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[1]), .A2(n61194), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0__out_out_bits_data_WIRE_1_6[0]), .Y(n61195) );
+  sky130_fd_sc_hd__o21ai_0 U58690 ( .A1(n62968), .A2(n76545), .B1(n62967), .Y(
+        n62969) );
+  sky130_fd_sc_hd__o21ai_0 U58718 ( .A1(n82652), .A2(n59689), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_scratchpadOn), .Y(n59691) );
+  sky130_fd_sc_hd__o21ai_0 U58723 ( .A1(n77600), .A2(n41327), .B1(n76553), .Y(
+        n76561) );
+  sky130_fd_sc_hd__o21ai_0 U58730 ( .A1(n60243), .A2(n60242), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_iofSelReg[21]), 
+        .Y(n60241) );
+  sky130_fd_sc_hd__o21ai_0 U58739 ( .A1(n58533), .A2(n43065), .B1(n58532), .Y(
+        n58564) );
+  sky130_fd_sc_hd__o21ai_0 U58829 ( .A1(n67873), .A2(n67872), .B1(n67871), .Y(
+        n67878) );
+  sky130_fd_sc_hd__o21ai_0 U58888 ( .A1(n72918), .A2(n72994), .B1(n72917), .Y(
+        n72987) );
+  sky130_fd_sc_hd__o21ai_0 U58923 ( .A1(n76124), .A2(n76123), .B1(n76122), .Y(
+        n76135) );
+  sky130_fd_sc_hd__o21ai_0 U59045 ( .A1(n76819), .A2(n76818), .B1(n76817), .Y(
+        n76820) );
+  sky130_fd_sc_hd__o21ai_0 U59270 ( .A1(n76699), .A2(n76703), .B1(n76700), .Y(
+        n76714) );
+  sky130_fd_sc_hd__o21ai_0 U59645 ( .A1(n57516), .A2(n57515), .B1(n57514), .Y(
+        n57518) );
+  sky130_fd_sc_hd__o21ai_0 U59685 ( .A1(n72374), .A2(n64072), .B1(n64045), .Y(
+        n70514) );
+  sky130_fd_sc_hd__o21ai_0 U59706 ( .A1(n72374), .A2(n63991), .B1(n64045), .Y(
+        n70517) );
+  sky130_fd_sc_hd__clkinv_1 U59895 ( .A(n57056), .Y(n57358) );
+  sky130_fd_sc_hd__o21ai_0 U59908 ( .A1(n76751), .A2(n76750), .B1(n76749), .Y(
+        n76752) );
+  sky130_fd_sc_hd__o21ai_0 U59998 ( .A1(n76147), .A2(n76146), .B1(n76145), .Y(
+        n76162) );
+  sky130_fd_sc_hd__clkinv_1 U60032 ( .A(n49101), .Y(n56679) );
+  sky130_fd_sc_hd__o21ai_0 U60064 ( .A1(n76078), .A2(n76077), .B1(n76076), .Y(
+        n76092) );
+  sky130_fd_sc_hd__o21ai_0 U60096 ( .A1(n49939), .A2(n49938), .B1(n49937), .Y(
+        n49940) );
+  sky130_fd_sc_hd__o21ai_0 U60150 ( .A1(n50005), .A2(n50004), .B1(n50003), .Y(
+        n50006) );
+  sky130_fd_sc_hd__o21ai_0 U60174 ( .A1(n57452), .A2(n57319), .B1(n56537), .Y(
+        n56564) );
+  sky130_fd_sc_hd__clkinv_1 U60182 ( .A(n52227), .Y(n56408) );
+  sky130_fd_sc_hd__clkinv_1 U60207 ( .A(n67027), .Y(n68379) );
+  sky130_fd_sc_hd__o21ai_0 U60215 ( .A1(n68158), .A2(n68157), .B1(n68156), .Y(
+        n68159) );
+  sky130_fd_sc_hd__clkinv_1 U60311 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[15]), 
+        .Y(n67185) );
+  sky130_fd_sc_hd__o21ai_0 U60357 ( .A1(MarmotCaravelChip_dut_sys_spi_0_cs_0), 
+        .A2(n80377), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_state[1]), 
+        .Y(n80376) );
+  sky130_fd_sc_hd__o21ai_0 U60362 ( .A1(n80372), .A2(n80371), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_state[1]), 
+        .Y(n80370) );
+  sky130_fd_sc_hd__o21ai_0 U60415 ( .A1(n52897), .A2(n52901), .B1(n52898), .Y(
+        n56105) );
+  sky130_fd_sc_hd__clkinv_1 U60463 ( .A(n66841), .Y(n68410) );
+  sky130_fd_sc_hd__clkinv_1 U60477 ( .A(n67280), .Y(n68401) );
+  sky130_fd_sc_hd__clkinv_1 U60534 ( .A(n66856), .Y(n73348) );
+  sky130_fd_sc_hd__o21ai_0 U60560 ( .A1(n83456), .A2(n83457), .B1(n36803), .Y(
+        n77485) );
+  sky130_fd_sc_hd__o21ai_0 U60566 ( .A1(n76629), .A2(n76818), .B1(n76628), .Y(
+        n76640) );
+  sky130_fd_sc_hd__o21ai_0 U60570 ( .A1(n69722), .A2(n69740), .B1(n69721), .Y(
+        n37431) );
+  sky130_fd_sc_hd__o21ai_0 U60583 ( .A1(n65828), .A2(n69740), .B1(n65827), .Y(
+        n37407) );
+  sky130_fd_sc_hd__o21ai_0 U60591 ( .A1(n65518), .A2(n65517), .B1(n65516), .Y(
+        n65519) );
+  sky130_fd_sc_hd__o21ai_0 U60595 ( .A1(n65453), .A2(n65517), .B1(n65452), .Y(
+        n65454) );
+  sky130_fd_sc_hd__o21ai_0 U60602 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_3_fragmenter_repeater_io_full), .A2(n60307), .B1(n60306), .Y(n60309) );
+  sky130_fd_sc_hd__clkinv_1 U60620 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[14]), 
+        .Y(n67180) );
+  sky130_fd_sc_hd__or2_0 U60628 ( .A(n64137), .B(n78552), .X(n37080) );
+  sky130_fd_sc_hd__o21ai_0 U60645 ( .A1(n39426), .A2(n39536), .B1(n39433), .Y(
+        n39427) );
+  sky130_fd_sc_hd__o21ai_0 U60648 ( .A1(n49673), .A2(n49672), .B1(n49671), .Y(
+        n49681) );
+  sky130_fd_sc_hd__o21ai_0 U60658 ( .A1(n55582), .A2(n63428), .B1(n55581), .Y(
+        n55583) );
+  sky130_fd_sc_hd__o21ai_0 U60662 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_spi_0_fragmenter_dOrig[2]), .A2(n83648), .B1(n55528), .Y(n63276) );
+  sky130_fd_sc_hd__o21ai_0 U60684 ( .A1(n73567), .A2(n56614), .B1(n36770), .Y(
+        n56615) );
+  sky130_fd_sc_hd__and2_0 U60687 ( .A(n55238), .B(n55237), .X(n55239) );
+  sky130_fd_sc_hd__inv_2 U60721 ( .A(n48768), .Y(n47569) );
+  sky130_fd_sc_hd__clkinv_1 U60754 ( .A(n61054), .Y(n62019) );
+  sky130_fd_sc_hd__o21ai_0 U60755 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_size[2]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_size[1]), 
+        .B1(n55453), .Y(n55454) );
+  sky130_fd_sc_hd__o21ai_0 U60756 ( .A1(n77748), .A2(n77727), .B1(n77708), .Y(
+        n81685) );
+  sky130_fd_sc_hd__o21ai_0 U60757 ( .A1(n77748), .A2(n77719), .B1(n77701), .Y(
+        n81743) );
+  sky130_fd_sc_hd__o21ai_0 U60789 ( .A1(n81499), .A2(n81945), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aonrst_catch__io_sync_reset_WIRE), .Y(
+        n78659) );
+  sky130_fd_sc_hd__o21ai_0 U60802 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar__readys_unready_T_8[10]), .A2(n59825), .B1(n41738), .Y(n41749) );
+  sky130_fd_sc_hd__o21ai_0 U60819 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2__GEN_15_0_), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N26), .B1(n83144), .Y(n83143) );
+  sky130_fd_sc_hd__o21ai_0 U60910 ( .A1(n69092), .A2(n69091), .B1(n69090), .Y(
+        n70185) );
+  sky130_fd_sc_hd__o21ai_0 U60966 ( .A1(n54209), .A2(n54208), .B1(n54207), .Y(
+        n54210) );
+  sky130_fd_sc_hd__o21ai_0 U60968 ( .A1(n76794), .A2(n76793), .B1(n76792), .Y(
+        n76795) );
+  sky130_fd_sc_hd__o21ai_0 U60987 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[3]), .A2(n69844), .B1(n69842), .Y(n70083) );
+  sky130_fd_sc_hd__nor2_1 U60997 ( .A(n47805), .B(n73563), .Y(n60250) );
+  sky130_fd_sc_hd__o21ai_0 U61044 ( .A1(n76911), .A2(n77028), .B1(n76910), .Y(
+        n76917) );
+  sky130_fd_sc_hd__o21ai_0 U61139 ( .A1(n77928), .A2(n84069), .B1(n74018), .Y(
+        n74019) );
+  sky130_fd_sc_hd__o21ai_0 U61140 ( .A1(n59871), .A2(n83265), .B1(n59890), .Y(
+        n59872) );
+  sky130_fd_sc_hd__o21ai_0 U61238 ( .A1(n74035), .A2(n74017), .B1(n75832), .Y(
+        n60379) );
+  sky130_fd_sc_hd__o21ai_0 U61245 ( .A1(n53162), .A2(n53161), .B1(n53160), .Y(
+        n53163) );
+  sky130_fd_sc_hd__o21ai_0 U61269 ( .A1(n51948), .A2(n53161), .B1(n51947), .Y(
+        n53094) );
+  sky130_fd_sc_hd__clkinv_1 U61277 ( .A(n48207), .Y(n52027) );
+  sky130_fd_sc_hd__o21ai_0 U61305 ( .A1(n53019), .A2(n56391), .B1(n53018), .Y(
+        n53025) );
+  sky130_fd_sc_hd__nor2_1 U61874 ( .A(n61067), .B(n55577), .Y(n49481) );
+  sky130_fd_sc_hd__nor3_1 U61875 ( .A(n59998), .B(n84167), .C(n63293), .Y(
+        n59999) );
+  sky130_fd_sc_hd__o21ai_0 U61894 ( .A1(n52560), .A2(n52559), .B1(n52558), .Y(
+        n52561) );
+  sky130_fd_sc_hd__clkinv_1 U61921 ( .A(n48944), .Y(n52918) );
+  sky130_fd_sc_hd__clkinv_1 U62394 ( .A(n76316), .Y(n63511) );
+  sky130_fd_sc_hd__o21ai_0 U62469 ( .A1(n51599), .A2(n51598), .B1(n51597), .Y(
+        n52505) );
+  sky130_fd_sc_hd__o21ai_0 U62493 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[3]), .A2(n52318), .B1(n52317), .Y(n67961) );
+  sky130_fd_sc_hd__o21ai_0 U62507 ( .A1(n67225), .A2(n56787), .B1(n52021), .Y(
+        n52022) );
+  sky130_fd_sc_hd__o21ai_0 U62539 ( .A1(n45451), .A2(n56451), .B1(n46808), .Y(
+        n51852) );
+  sky130_fd_sc_hd__o21ai_0 U62560 ( .A1(n51779), .A2(n51783), .B1(n51780), .Y(
+        n51340) );
+  sky130_fd_sc_hd__clkinv_1 U62584 ( .A(n37691), .Y(n51222) );
+  sky130_fd_sc_hd__o21ai_0 U62667 ( .A1(n50900), .A2(n51598), .B1(n50899), .Y(
+        n50901) );
+  sky130_fd_sc_hd__o21ai_0 U62705 ( .A1(n50785), .A2(n50784), .B1(n55987), .Y(
+        n50786) );
+  sky130_fd_sc_hd__clkinv_1 U62781 ( .A(n57588), .Y(n57276) );
+  sky130_fd_sc_hd__clkinv_1 U62794 ( .A(n49087), .Y(n49458) );
+  sky130_fd_sc_hd__clkinv_1 U62796 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__countReset_feed_T_1[1]), .Y(n49968) );
+  sky130_fd_sc_hd__o21ai_0 U62803 ( .A1(n48697), .A2(n48696), .B1(n37033), .Y(
+        n48698) );
+  sky130_fd_sc_hd__o21ai_0 U62870 ( .A1(n48500), .A2(n48499), .B1(n48498), .Y(
+        n48506) );
+  sky130_fd_sc_hd__o21ai_0 U62960 ( .A1(n52693), .A2(n52404), .B1(n47199), .Y(
+        n48098) );
+  sky130_fd_sc_hd__clkinv_1 U62998 ( .A(n53518), .Y(n60267) );
+  sky130_fd_sc_hd__o21ai_0 U63048 ( .A1(n67985), .A2(n67984), .B1(n67983), .Y(
+        n67991) );
+  sky130_fd_sc_hd__nand2_1 U63087 ( .A(n47469), .B(n37356), .Y(n37355) );
+  sky130_fd_sc_hd__o21ai_0 U63197 ( .A1(n54192), .A2(n54196), .B1(n54193), .Y(
+        n47138) );
+  sky130_fd_sc_hd__o21ai_0 U63203 ( .A1(n47019), .A2(n47018), .B1(n55987), .Y(
+        n47020) );
+  sky130_fd_sc_hd__inv_2 U63325 ( .A(n52253), .Y(n44826) );
+  sky130_fd_sc_hd__or2_0 U63407 ( .A(n50210), .B(n46834), .X(n46367) );
+  sky130_fd_sc_hd__o21ai_0 U63408 ( .A1(n46276), .A2(n46275), .B1(n55987), .Y(
+        n46277) );
+  sky130_fd_sc_hd__o21ai_0 U63439 ( .A1(n45990), .A2(n47041), .B1(n45989), .Y(
+        n51931) );
+  sky130_fd_sc_hd__o21ai_0 U63466 ( .A1(n45919), .A2(n45918), .B1(n48329), .Y(
+        n45920) );
+  sky130_fd_sc_hd__clkinv_1 U63469 ( .A(n43766), .Y(n46805) );
+  sky130_fd_sc_hd__o21ai_0 U63482 ( .A1(n45500), .A2(n68017), .B1(n52780), .Y(
+        n45501) );
+  sky130_fd_sc_hd__or2_0 U63555 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[5]), .B(n39571), .X(n37087) );
+  sky130_fd_sc_hd__o21ai_0 U63576 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_intXbar_auto_int_out_0), .A2(n60942), .B1(n70490), .Y(n39552) );
+  sky130_fd_sc_hd__o21ai_0 U63598 ( .A1(n50804), .A2(n50808), .B1(n50805), .Y(
+        n45273) );
+  sky130_fd_sc_hd__o21ai_0 U63671 ( .A1(n45062), .A2(n45061), .B1(n55987), .Y(
+        n45063) );
+  sky130_fd_sc_hd__clkinv_1 U63732 ( .A(n51552), .Y(n57051) );
+  sky130_fd_sc_hd__o21ai_0 U63847 ( .A1(n44795), .A2(n51581), .B1(n48180), .Y(
+        n44796) );
+  sky130_fd_sc_hd__o21ai_0 U63860 ( .A1(n66388), .A2(n66387), .B1(n66386), .Y(
+        n68448) );
+  sky130_fd_sc_hd__o21ai_0 U63910 ( .A1(n80542), .A2(n80541), .B1(n80540), .Y(
+        n80561) );
+  sky130_fd_sc_hd__o21ai_0 U63911 ( .A1(n44581), .A2(n44580), .B1(n37033), .Y(
+        n44582) );
+  sky130_fd_sc_hd__o21ai_0 U63951 ( .A1(n57189), .A2(n36838), .B1(n46042), .Y(
+        n44326) );
+  sky130_fd_sc_hd__o21ai_0 U63995 ( .A1(n39536), .A2(n37045), .B1(n41831), .Y(
+        n39538) );
+  sky130_fd_sc_hd__o21ai_0 U63999 ( .A1(n39442), .A2(n39921), .B1(n39433), .Y(
+        n39418) );
+  sky130_fd_sc_hd__inv_2 U64023 ( .A(n40056), .Y(n37905) );
+  sky130_fd_sc_hd__o21ai_0 U64038 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_status_mpp[1]), .A2(n64078), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[9]), .Y(n64075) );
+  sky130_fd_sc_hd__o21ai_0 U64052 ( .A1(n66129), .A2(n62481), .B1(n66130), .Y(
+        n66146) );
+  sky130_fd_sc_hd__o21ai_0 U64183 ( .A1(n43318), .A2(n47041), .B1(n43317), .Y(
+        n48467) );
+  sky130_fd_sc_hd__o21ai_0 U64360 ( .A1(n75921), .A2(n43217), .B1(n43216), .Y(
+        n43218) );
+  sky130_fd_sc_hd__clkinv_1 U64463 ( .A(n39376), .Y(n41838) );
+  sky130_fd_sc_hd__clkinv_1 U64562 ( .A(n57490), .Y(n46720) );
+  sky130_fd_sc_hd__o21ai_0 U64565 ( .A1(n65667), .A2(n65664), .B1(n65665), .Y(
+        n65681) );
+  sky130_fd_sc_hd__nand2_1 U64570 ( .A(n37581), .B(n69503), .Y(n69447) );
+  sky130_fd_sc_hd__o21ai_0 U64682 ( .A1(n75922), .A2(n43217), .B1(n41106), .Y(
+        n41107) );
+  sky130_fd_sc_hd__o21ai_0 U64731 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_tmatch[1]), .A2(n59171), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_bp_1_control_x), .Y(n59172) );
+  sky130_fd_sc_hd__o21ai_0 U64763 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_wb_reg_cause[0]), .A2(n65607), .B1(n43070), .Y(n43069) );
+  sky130_fd_sc_hd__o21ai_0 U64809 ( .A1(n55946), .A2(n59175), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_load), .Y(n55947) );
+  sky130_fd_sc_hd__clkinv_1 U64861 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_beatsLeft[3]), .Y(n86759) );
+  sky130_fd_sc_hd__o21ai_0 U64876 ( .A1(n70686), .A2(n70685), .B1(n70684), .Y(
+        n71002) );
+  sky130_fd_sc_hd__o21ai_0 U64885 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1s3_slaveAddr[13]), .A2(n61255), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_s1_slaveValid), .Y(n61256) );
+  sky130_fd_sc_hd__o21ai_0 U65031 ( .A1(n72374), .A2(n63998), .B1(n64045), .Y(
+        n64055) );
+  sky130_fd_sc_hd__clkinv_1 U65079 ( .A(n38103), .Y(n62994) );
+  sky130_fd_sc_hd__o21ai_0 U65134 ( .A1(
+        MarmotCaravelChip_dut_sys_dtm_io_dmi_req_bits_op[1]), .A2(n78305), 
+        .B1(n78304), .Y(n79551) );
+  sky130_fd_sc_hd__o21ai_0 U65226 ( .A1(n76545), .A2(n61149), .B1(n61148), .Y(
+        n61150) );
+  sky130_fd_sc_hd__nand2_1 U65276 ( .A(n85772), .B(n36843), .Y(n76543) );
+  sky130_fd_sc_hd__o21ai_0 U65288 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_16[21]), .A2(n60106), .B1(n77509), .Y(n60105) );
+  sky130_fd_sc_hd__o21ai_0 U65290 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[20]), .A2(n60224), .B1(n77505), .Y(n60223) );
+  sky130_fd_sc_hd__o21ai_0 U65294 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_out_prepend_16[22]), .A2(n60236), .B1(n77496), .Y(n60235) );
+  sky130_fd_sc_hd__o21ai_0 U65375 ( .A1(n73197), .A2(n43065), .B1(n73196), .Y(
+        n73238) );
+  sky130_fd_sc_hd__clkinv_1 U65378 ( .A(n73073), .Y(n70609) );
+  sky130_fd_sc_hd__clkinv_1 U65415 ( .A(n73013), .Y(n72998) );
+  sky130_fd_sc_hd__and2_0 U65436 ( .A(n72952), .B(n72951), .X(n72901) );
+  sky130_fd_sc_hd__clkinv_1 U65440 ( .A(n72669), .Y(n72651) );
+  sky130_fd_sc_hd__o21ai_0 U65446 ( .A1(n73276), .A2(n73063), .B1(n73062), .Y(
+        n73065) );
+  sky130_fd_sc_hd__o21ai_0 U65484 ( .A1(n76285), .A2(n76274), .B1(n76273), .Y(
+        n76276) );
+  sky130_fd_sc_hd__o21ai_0 U65495 ( .A1(n72721), .A2(n73063), .B1(n70514), .Y(
+        n70515) );
+  sky130_fd_sc_hd__o21ai_0 U65508 ( .A1(n73296), .A2(n73063), .B1(n70514), .Y(
+        n64046) );
+  sky130_fd_sc_hd__o21ai_0 U65517 ( .A1(n76880), .A2(n57276), .B1(n57275), .Y(
+        n57350) );
+  sky130_fd_sc_hd__o21ai_0 U65567 ( .A1(n57237), .A2(n57276), .B1(n57236), .Y(
+        n57243) );
+  sky130_fd_sc_hd__o21ai_0 U65577 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_auto_out_c_bits_data[29]), .A2(n76152), .B1(n76151), .Y(n76153) );
+  sky130_fd_sc_hd__nand2_1 U65647 ( .A(n61085), .B(n76316), .Y(n62076) );
+  sky130_fd_sc_hd__o21ai_0 U65950 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_maybe_full), .A2(n60547), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_out_prepend_5[0]), .Y(n61086) );
+  sky130_fd_sc_hd__o21ai_0 U65955 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_maybe_full), .A2(n60549), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_out_prepend_5[0]), .Y(n61076) );
+  sky130_fd_sc_hd__o21ai_0 U65961 ( .A1(n52994), .A2(n50007), .B1(n50006), .Y(
+        n50009) );
+  sky130_fd_sc_hd__nand2_1 U66003 ( .A(n73373), .B(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_16[16]), .Y(n63561) );
+  sky130_fd_sc_hd__o21ai_0 U66021 ( .A1(n49407), .A2(n49406), .B1(n49405), .Y(
+        n49408) );
+  sky130_fd_sc_hd__clkinv_1 U66029 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_pendingUInt_lo[25]), 
+        .Y(n67037) );
+  sky130_fd_sc_hd__o21ai_0 U66032 ( .A1(n80228), .A2(n80186), .B1(n80208), .Y(
+        n80190) );
+  sky130_fd_sc_hd__o21ai_0 U66081 ( .A1(n79447), .A2(n79462), .B1(n79446), .Y(
+        n79448) );
+  sky130_fd_sc_hd__o21ai_0 U66118 ( .A1(n77949), .A2(n77948), .B1(n77947), .Y(
+        n77950) );
+  sky130_fd_sc_hd__clkinv_1 U66119 ( .A(n61044), .Y(n61050) );
+  sky130_fd_sc_hd__o21ai_0 U66122 ( .A1(n79331), .A2(n79356), .B1(n79330), .Y(
+        n79332) );
+  sky130_fd_sc_hd__o21ai_0 U66150 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb_io_outer_cs_hold), .A2(n75839), .B1(n75838), .Y(n75840) );
+  sky130_fd_sc_hd__clkinv_1 U66156 ( .A(n67285), .Y(n67279) );
+  sky130_fd_sc_hd__o21ai_0 U66162 ( .A1(n84046), .A2(n84045), .B1(n84056), .Y(
+        n84049) );
+  sky130_fd_sc_hd__nor2b_1 U66188 ( .B_N(n82964), .A(n82868), .Y(n82641) );
+  sky130_fd_sc_hd__nor2b_1 U66227 ( .B_N(n82959), .A(n82868), .Y(n82957) );
+  sky130_fd_sc_hd__o21ai_0 U66273 ( .A1(n78276), .A2(n78254), .B1(n78253), .Y(
+        n78255) );
+  sky130_fd_sc_hd__o21ai_0 U66284 ( .A1(n82486), .A2(n82485), .B1(n82484), .Y(
+        n82487) );
+  sky130_fd_sc_hd__o21ai_0 U66308 ( .A1(n70301), .A2(n72545), .B1(n65591), .Y(
+        n65592) );
+  sky130_fd_sc_hd__o21ai_0 U66378 ( .A1(n39762), .A2(n39761), .B1(n39760), .Y(
+        n39763) );
+  sky130_fd_sc_hd__clkinv_1 U66380 ( .A(n69657), .Y(n69697) );
+  sky130_fd_sc_hd__o21ai_0 U66394 ( .A1(n62843), .A2(n69740), .B1(n62842), .Y(
+        n62844) );
+  sky130_fd_sc_hd__o21ai_0 U66395 ( .A1(n65277), .A2(n65276), .B1(n65275), .Y(
+        n65285) );
+  sky130_fd_sc_hd__o21ai_0 U66447 ( .A1(n77729), .A2(n81627), .B1(n77728), .Y(
+        n81508) );
+  sky130_fd_sc_hd__o21ai_0 U66459 ( .A1(n79404), .A2(n79413), .B1(n79403), .Y(
+        n79405) );
+  sky130_fd_sc_hd__o21ai_0 U66460 ( .A1(n77264), .A2(n81323), .B1(n77261), .Y(
+        n77260) );
+  sky130_fd_sc_hd__o21ai_0 U66486 ( .A1(n77369), .A2(n81092), .B1(n77365), .Y(
+        n77364) );
+  sky130_fd_sc_hd__o21ai_0 U66550 ( .A1(n48732), .A2(n48731), .B1(n48730), .Y(
+        n49389) );
+  sky130_fd_sc_hd__o21ai_0 U66651 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_7_13), .A2(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_out_prepend_7_12), 
+        .B1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[0]), 
+        .Y(n67397) );
+  sky130_fd_sc_hd__o21ai_0 U66767 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_7_12), .A2(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_out_prepend_7_13), .B1(MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1__out_T_329[0]), 
+        .Y(n68256) );
+  sky130_fd_sc_hd__o21ai_0 U66963 ( .A1(n83983), .A2(n83982), .B1(n83981), .Y(
+        n83985) );
+  sky130_fd_sc_hd__o21ai_0 U66998 ( .A1(n83954), .A2(n83936), .B1(n83894), .Y(
+        n83926) );
+  sky130_fd_sc_hd__o21ai_0 U67068 ( .A1(n73866), .A2(n73865), .B1(n73864), .Y(
+        n75831) );
+  sky130_fd_sc_hd__o21ai_0 U67070 ( .A1(n85735), .A2(n74007), .B1(n74006), .Y(
+        n74008) );
+  sky130_fd_sc_hd__o21ai_0 U67085 ( .A1(n72567), .A2(n55958), .B1(n55957), .Y(
+        n55959) );
+  sky130_fd_sc_hd__and2_0 U67163 ( .A(n78276), .B(n73123), .X(n73182) );
+  sky130_fd_sc_hd__and2_0 U67166 ( .A(n78557), .B(n78502), .X(n78499) );
+  sky130_fd_sc_hd__o21ai_0 U67168 ( .A1(n77439), .A2(n55648), .B1(n77447), .Y(
+        n82610) );
+  sky130_fd_sc_hd__o21ai_0 U67214 ( .A1(n55992), .A2(n83940), .B1(n55632), .Y(
+        n55633) );
+  sky130_fd_sc_hd__o21ai_0 U67268 ( .A1(n64159), .A2(n64168), .B1(n65106), .Y(
+        n64161) );
+  sky130_fd_sc_hd__o21ai_0 U67321 ( .A1(n79757), .A2(n79756), .B1(n79755), .Y(
+        n79762) );
+  sky130_fd_sc_hd__o21ai_0 U67325 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_a_0_bits_address[1]), .A2(n55455), .B1(n55454), .Y(n55471) );
+  sky130_fd_sc_hd__o21ai_0 U67336 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_acknum[1]), .A2(n75725), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_i2c_1_fragmenter_acknum[2]), .Y(n75727) );
+  sky130_fd_sc_hd__o21ai_0 U67350 ( .A1(n83619), .A2(n83618), .B1(n83625), .Y(
+        n83621) );
+  sky130_fd_sc_hd__clkinv_1 U67357 ( .A(n48715), .Y(n60280) );
+  sky130_fd_sc_hd__clkinv_1 U67365 ( .A(n47763), .Y(n55261) );
+  sky130_fd_sc_hd__clkinv_1 U67373 ( .A(n55249), .Y(n55250) );
+  sky130_fd_sc_hd__clkinv_1 U67380 ( .A(n83605), .Y(n55232) );
+  sky130_fd_sc_hd__clkinv_1 U67383 ( .A(n55052), .Y(n63466) );
+  sky130_fd_sc_hd__or2_0 U67386 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_ram_opcode[5]), .B(n55203), .X(n36765) );
+  sky130_fd_sc_hd__and2_0 U67395 ( .A(n55542), .B(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_0_fragmenter_repeater_io_full), .X(n55333) );
+  sky130_fd_sc_hd__o21ai_0 U67396 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_cnt[15]), .A2(
+        n79820), .B1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_out_prepend_1[7]), .Y(n55001) );
+  sky130_fd_sc_hd__o21ai_0 U67399 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[1]), .A2(n78725), .B1(
+        n78669), .Y(n78672) );
+  sky130_fd_sc_hd__o21ai_0 U67403 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[7]), .A2(n78725), .B1(
+        n78699), .Y(n78701) );
+  sky130_fd_sc_hd__o21ai_0 U67418 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[9]), .A2(n78725), .B1(
+        n78708), .Y(n78710) );
+  sky130_fd_sc_hd__o21ai_0 U67436 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[13]), .A2(n78725), .B1(
+        n78724), .Y(n78730) );
+  sky130_fd_sc_hd__o21ai_0 U67440 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[12]), .A2(n78739), .B1(
+        n78580), .Y(n78582) );
+  sky130_fd_sc_hd__o21ai_0 U67449 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[17]), .A2(n78651), .B1(
+        n78597), .Y(n78600) );
+  sky130_fd_sc_hd__o21ai_0 U67464 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[21]), .A2(n78651), .B1(
+        n78613), .Y(n78616) );
+  sky130_fd_sc_hd__o21ai_0 U67466 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_520[27]), .A2(n78651), .B1(
+        n78637), .Y(n78640) );
+  sky130_fd_sc_hd__clkinv_1 U67476 ( .A(n66641), .Y(n41833) );
+  sky130_fd_sc_hd__and2_0 U67618 ( .A(n39356), .B(n38067), .X(n37044) );
+  sky130_fd_sc_hd__clkinv_1 U67686 ( .A(n83899), .Y(n83541) );
+  sky130_fd_sc_hd__o21ai_0 U67707 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_widx), .A2(n84780), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleIn_0_d_sink_source_ready), .Y(n54846) );
+  sky130_fd_sc_hd__o2bb2ai_1 U68105 ( .B1(n54748), .B2(n54747), .A1_N(n55987), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[6]), .Y(n54749) );
+  sky130_fd_sc_hd__o2bb2ai_1 U68122 ( .B1(n54645), .B2(n54747), .A1_N(n55987), 
+        .A2_N(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_out_4_bits_address[16]), .Y(n54646) );
+  sky130_fd_sc_hd__o21ai_0 U68131 ( .A1(n83179), .A2(n83142), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1__T_472), 
+        .Y(n83183) );
+  sky130_fd_sc_hd__o21ai_0 U68156 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_param[1]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_param[0]), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_c_q_ram_opcode_io_deq_bits_MPORT_addr), .Y(n59706) );
+  sky130_fd_sc_hd__clkinv_1 U68204 ( .A(n41659), .Y(n41600) );
+  sky130_fd_sc_hd__clkinv_1 U68205 ( .A(n54337), .Y(n54340) );
+  sky130_fd_sc_hd__and2_0 U68218 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s2_victim_way_r), .B(n74049), .X(n74157) );
+  sky130_fd_sc_hd__o21ai_0 U68245 ( .A1(n78210), .A2(n78395), .B1(n83034), .Y(
+        n54290) );
+  sky130_fd_sc_hd__o21ai_0 U68360 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__T_118[2]), .A2(n54236), .B1(n78224), .Y(n78394) );
+  sky130_fd_sc_hd__o21ai_0 U68380 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_24[1]), .A2(
+        n54220), .B1(n54219), .Y(n54221) );
+  sky130_fd_sc_hd__o21ai_0 U68411 ( .A1(n65135), .A2(n54183), .B1(n54348), .Y(
+        n54184) );
+  sky130_fd_sc_hd__o21ai_0 U68414 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_cmd[1]), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_tlb_io_req_bits_cmd[2]), .B1(n77604), .Y(n77606) );
+  sky130_fd_sc_hd__clkinv_1 U68453 ( .A(n41652), .Y(n59829) );
+  sky130_fd_sc_hd__o21ai_0 U68485 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[5]), .A2(n76823), .B1(n76759), .Y(n76760) );
+  sky130_fd_sc_hd__o21ai_0 U68500 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[7]), .A2(n76823), .B1(n76786), .Y(n76788) );
+  sky130_fd_sc_hd__o21ai_0 U68591 ( .A1(n53646), .A2(n53645), .B1(n53644), .Y(
+        n53692) );
+  sky130_fd_sc_hd__o21ai_0 U68685 ( .A1(n83978), .A2(n83375), .B1(n53588), .Y(
+        n83909) );
+  sky130_fd_sc_hd__clkinv_1 U68687 ( .A(n55051), .Y(n63465) );
+  sky130_fd_sc_hd__o21ai_0 U68712 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache__repl_way_v0_T[10]), .A2(n77634), .B1(n77632), .Y(n77633) );
+  sky130_fd_sc_hd__o21ai_0 U69130 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lfsr[10]), .A2(n77614), .B1(n77612), .Y(n77613) );
+  sky130_fd_sc_hd__nor2_1 U69161 ( .A(n54241), .B(n74159), .Y(n74151) );
+  sky130_fd_sc_hd__o21ai_0 U69164 ( .A1(n61191), .A2(n60458), .B1(n61190), .Y(
+        n60459) );
+  sky130_fd_sc_hd__clkinv_1 U69217 ( .A(n54349), .Y(n54781) );
+  sky130_fd_sc_hd__o21ai_0 U69274 ( .A1(n60997), .A2(n83306), .B1(n60996), .Y(
+        n61477) );
+  sky130_fd_sc_hd__o21ai_0 U69322 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0__out_out_bits_data_WIRE_1_5_0_), .A2(n55468), .B1(n55467), .Y(n80675) );
+  sky130_fd_sc_hd__o21ai_0 U69376 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_19[0]), .A2(
+        n56152), .B1(n53154), .Y(n53155) );
+  sky130_fd_sc_hd__o21ai_0 U69451 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_20[0]), .A2(
+        n56152), .B1(n53089), .Y(n53090) );
+  sky130_fd_sc_hd__o21ai_0 U69467 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_18[0]), .A2(
+        n56152), .B1(n53042), .Y(n53043) );
+  sky130_fd_sc_hd__o21ai_0 U69483 ( .A1(n52991), .A2(n56914), .B1(n52990), .Y(
+        n52992) );
+  sky130_fd_sc_hd__o21ai_0 U69499 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_bitCmd[2]), 
+        .A2(n79596), .B1(n79595), .Y(n79603) );
+  sky130_fd_sc_hd__o21ai_0 U69505 ( .A1(n78104), .A2(n78112), .B1(n79633), .Y(
+        n78116) );
+  sky130_fd_sc_hd__o21ai_0 U69567 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_26[0]), .A2(
+        n56152), .B1(n52894), .Y(n52895) );
+  sky130_fd_sc_hd__o21ai_0 U69605 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_5[0]), .A2(
+        n56152), .B1(n52853), .Y(n52854) );
+  sky130_fd_sc_hd__and2_0 U69633 ( .A(n57136), .B(n45408), .X(n57193) );
+  sky130_fd_sc_hd__clkinv_1 U69637 ( .A(n73361), .Y(n73470) );
+  sky130_fd_sc_hd__o21ai_0 U69718 ( .A1(n79357), .A2(n79356), .B1(n79355), .Y(
+        n79358) );
+  sky130_fd_sc_hd__o21ai_0 U69727 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0__out_out_bits_data_WIRE_1_5_0_), .A2(n53101), .B1(n53100), .Y(n80983) );
+  sky130_fd_sc_hd__o21ai_0 U69735 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_10[0]), .A2(
+        n56152), .B1(n52501), .Y(n52502) );
+  sky130_fd_sc_hd__o21ai_0 U69784 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_25[0]), .A2(
+        n54216), .B1(n52292), .Y(n52293) );
+  sky130_fd_sc_hd__o21ai_0 U69798 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_14[0]), .A2(
+        n56152), .B1(n52038), .Y(n52039) );
+  sky130_fd_sc_hd__o21ai_0 U69815 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_21[0]), .A2(
+        n56152), .B1(n51943), .Y(n51944) );
+  sky130_fd_sc_hd__o21ai_0 U69819 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_2[0]), .A2(
+        n56152), .B1(n51776), .Y(n51777) );
+  sky130_fd_sc_hd__o21ai_0 U69890 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_11[0]), .A2(
+        n56152), .B1(n51593), .Y(n51595) );
+  sky130_fd_sc_hd__o21ai_0 U69934 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_22[0]), .A2(
+        n56152), .B1(n51386), .Y(n51387) );
+  sky130_fd_sc_hd__o21ai_0 U69945 ( .A1(n66809), .A2(n76449), .B1(n53493), .Y(
+        n53494) );
+  sky130_fd_sc_hd__o21ai_0 U69953 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_3[0]), .A2(
+        n56152), .B1(n51333), .Y(n51334) );
+  sky130_fd_sc_hd__o21ai_0 U70000 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_12[1]), .A2(
+        n56144), .B1(n51035), .Y(n51036) );
+  sky130_fd_sc_hd__inv_2 U70005 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__gang_T_3), 
+        .Y(n63070) );
+  sky130_fd_sc_hd__o21ai_0 U70006 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_9[0]), .A2(
+        n56152), .B1(n50895), .Y(n50896) );
+  sky130_fd_sc_hd__o21ai_0 U70015 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_16[1]), .A2(
+        n56144), .B1(n50818), .Y(n50819) );
+  sky130_fd_sc_hd__o21ai_0 U70029 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_13[0]), .A2(
+        n56152), .B1(n50302), .Y(n50303) );
+  sky130_fd_sc_hd__o21ai_0 U70062 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_13[1]), .A2(
+        n54220), .B1(n50290), .Y(n50291) );
+  sky130_fd_sc_hd__o21ai_0 U70065 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_23[0]), .A2(
+        n56152), .B1(n50062), .Y(n50063) );
+  sky130_fd_sc_hd__clkinv_1 U70100 ( .A(n62076), .Y(n76346) );
+  sky130_fd_sc_hd__o21ai_0 U70119 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_31[0]), .A2(
+        n56152), .B1(n49210), .Y(n49211) );
+  sky130_fd_sc_hd__o21ai_0 U70120 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_7[0]), .A2(
+        n56152), .B1(n49127), .Y(n49128) );
+  sky130_fd_sc_hd__o21ai_0 U70152 ( .A1(n80447), .A2(n80484), .B1(n80446), .Y(
+        n80522) );
+  sky130_fd_sc_hd__o21ai_0 U70227 ( .A1(n46351), .A2(n46720), .B1(n50918), .Y(
+        n48454) );
+  sky130_fd_sc_hd__o21ai_0 U70246 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_28[0]), .A2(
+        n56152), .B1(n48420), .Y(n48423) );
+  sky130_fd_sc_hd__clkinv_1 U70268 ( .A(n76448), .Y(n79915) );
+  sky130_fd_sc_hd__o21ai_0 U70280 ( .A1(n56349), .A2(n60110), .B1(n48217), .Y(
+        n48218) );
+  sky130_fd_sc_hd__o21ai_0 U70281 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_8[1]), .A2(
+        n54220), .B1(n48178), .Y(n48179) );
+  sky130_fd_sc_hd__o21ai_0 U70309 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_6[0]), .A2(
+        n54216), .B1(n47256), .Y(n47257) );
+  sky130_fd_sc_hd__o21ai_0 U70320 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_3[0]), .A2(
+        n54216), .B1(n47131), .Y(n47132) );
+  sky130_fd_sc_hd__o21ai_0 U70321 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_19[1]), .A2(
+        n54220), .B1(n46292), .Y(n46293) );
+  sky130_fd_sc_hd__o21ai_0 U70394 ( .A1(n46062), .A2(n57501), .B1(n46061), .Y(
+        n46063) );
+  sky130_fd_sc_hd__o21ai_0 U70407 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_0[0]), .A2(
+        n54216), .B1(n45928), .Y(n45929) );
+  sky130_fd_sc_hd__and2_0 U70491 ( .A(n68045), .B(n59362), .X(n37100) );
+  sky130_fd_sc_hd__clkinv_1 U70631 ( .A(n58845), .Y(n58847) );
+  sky130_fd_sc_hd__o21ai_0 U70633 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_4[0]), .A2(
+        n54216), .B1(n45512), .Y(n45513) );
+  sky130_fd_sc_hd__and2_0 U70658 ( .A(n59509), .B(n59508), .X(n37129) );
+  sky130_fd_sc_hd__o21ai_0 U70660 ( .A1(n65309), .A2(n62706), .B1(n45348), .Y(
+        n66310) );
+  sky130_fd_sc_hd__o21ai_0 U70682 ( .A1(n73288), .A2(n73063), .B1(n64055), .Y(
+        n64056) );
+  sky130_fd_sc_hd__clkinv_1 U70704 ( .A(n73063), .Y(n72753) );
+  sky130_fd_sc_hd__o21ai_0 U70727 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_prepend_38[1]), 
+        .A2(n76505), .B1(n76504), .Y(n76506) );
+  sky130_fd_sc_hd__o21ai_0 U70732 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_17[1]), .A2(
+        n54220), .B1(n45266), .Y(n45267) );
+  sky130_fd_sc_hd__o21ai_0 U70793 ( .A1(n80474), .A2(n80484), .B1(n80473), .Y(
+        n80531) );
+  sky130_fd_sc_hd__o21ai_0 U70799 ( .A1(n57050), .A2(n57051), .B1(n44879), .Y(
+        n46329) );
+  sky130_fd_sc_hd__o21ai_0 U70825 ( .A1(n70301), .A2(n72555), .B1(n68606), .Y(
+        n68607) );
+  sky130_fd_sc_hd__o21ai_0 U70827 ( .A1(n39669), .A2(n39668), .B1(n39667), .Y(
+        n39670) );
+  sky130_fd_sc_hd__o21ai_0 U70975 ( .A1(n44593), .A2(n44592), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_written_once), .Y(n45072) );
+  sky130_fd_sc_hd__inv_2 U70996 ( .A(n52690), .Y(n57222) );
+  sky130_fd_sc_hd__o21ai_0 U71072 ( .A1(n44196), .A2(n39921), .B1(n54911), .Y(
+        n44198) );
+  sky130_fd_sc_hd__o21ai_0 U71089 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_15[1]), .A2(
+        n54220), .B1(n44060), .Y(n44061) );
+  sky130_fd_sc_hd__o21ai_0 U71090 ( .A1(n70301), .A2(n72553), .B1(n66383), .Y(
+        n66384) );
+  sky130_fd_sc_hd__o21ai_0 U71117 ( .A1(n60130), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_b_q_maybe_full), .B1(n83036), .Y(n43902) );
+  sky130_fd_sc_hd__o21ai_0 U71118 ( .A1(n70301), .A2(n72554), .B1(n64878), .Y(
+        n64879) );
+  sky130_fd_sc_hd__and2_0 U71122 ( .A(n72679), .B(n64368), .X(n38388) );
+  sky130_fd_sc_hd__o21ai_0 U71167 ( .A1(n44602), .A2(n76023), .B1(n43530), .Y(
+        n43533) );
+  sky130_fd_sc_hd__o21ai_0 U71168 ( .A1(n44593), .A2(n43419), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_bundleIn_0_d_bits_data_rdata_written_once), .Y(n51918) );
+  sky130_fd_sc_hd__inv_2 U71236 ( .A(n51926), .Y(n36790) );
+  sky130_fd_sc_hd__o21ai_0 U71318 ( .A1(n83055), .A2(n83054), .B1(n83053), .Y(
+        n83058) );
+  sky130_fd_sc_hd__o21ai_0 U71330 ( .A1(n70301), .A2(n72534), .B1(n65221), .Y(
+        n65222) );
+  sky130_fd_sc_hd__nand3_1 U71334 ( .A(n59984), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_valid), .C(n62865), .Y(n39909) );
+  sky130_fd_sc_hd__o21ai_0 U71395 ( .A1(n70301), .A2(n72540), .B1(n69156), .Y(
+        n69157) );
+  sky130_fd_sc_hd__clkinv_1 U71406 ( .A(n70275), .Y(n68524) );
+  sky130_fd_sc_hd__o21ai_0 U71505 ( .A1(n70301), .A2(n72538), .B1(n69443), .Y(
+        n69444) );
+  sky130_fd_sc_hd__o21ai_0 U71506 ( .A1(n59308), .A2(n59175), .B1(n59309), .Y(
+        n59310) );
+  sky130_fd_sc_hd__o21ai_0 U71542 ( .A1(n65309), .A2(n62582), .B1(n40899), .Y(
+        n66539) );
+  sky130_fd_sc_hd__o21ai_0 U71623 ( .A1(n70270), .A2(n70269), .B1(n70268), .Y(
+        n72150) );
+  sky130_fd_sc_hd__o21ai_0 U71624 ( .A1(n70301), .A2(n72523), .B1(n69273), .Y(
+        n69274) );
+  sky130_fd_sc_hd__clkinv_1 U71627 ( .A(n78252), .Y(n72576) );
+  sky130_fd_sc_hd__o21ai_0 U71666 ( .A1(n69884), .A2(n69497), .B1(n66496), .Y(
+        n66497) );
+  sky130_fd_sc_hd__o21ai_0 U71690 ( .A1(n70301), .A2(n72521), .B1(n69501), .Y(
+        n69502) );
+  sky130_fd_sc_hd__o21ai_0 U71699 ( .A1(n44602), .A2(n76721), .B1(n40801), .Y(
+        n40804) );
+  sky130_fd_sc_hd__o21ai_0 U71714 ( .A1(n40670), .A2(n40669), .B1(n83064), .Y(
+        n54789) );
+  sky130_fd_sc_hd__o21ai_0 U71717 ( .A1(n71191), .A2(n71190), .B1(n71189), .Y(
+        n72123) );
+  sky130_fd_sc_hd__o21ai_0 U71853 ( .A1(n70301), .A2(n66076), .B1(n66075), .Y(
+        n66077) );
+  sky130_fd_sc_hd__o21ai_0 U71856 ( .A1(n69829), .A2(n68527), .B1(n66071), .Y(
+        n69557) );
+  sky130_fd_sc_hd__o21ai_0 U71874 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_out_prepend_39[1]), 
+        .A2(n76534), .B1(n76533), .Y(n76535) );
+  sky130_fd_sc_hd__o21ai_0 U72000 ( .A1(n79538), .A2(n79537), .B1(n79536), .Y(
+        n79539) );
+  sky130_fd_sc_hd__clkinv_1 U72010 ( .A(n78459), .Y(n60177) );
+  sky130_fd_sc_hd__o21ai_0 U72066 ( .A1(n60245), .A2(n60244), .B1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[21]), 
+        .Y(n60246) );
+  sky130_fd_sc_hd__clkinv_1 U72174 ( .A(n64030), .Y(n64031) );
+  sky130_fd_sc_hd__o2bb2ai_1 U72184 ( .B1(n76241), .B2(n63140), .A1_N(n72747), 
+        .A2_N(n63139), .Y(n73153) );
+  sky130_fd_sc_hd__clkinv_1 U72192 ( .A(n68240), .Y(n68248) );
+  sky130_fd_sc_hd__clkinv_1 U72245 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_status_debug), .Y(n74165) );
+  sky130_fd_sc_hd__o21ai_0 U72256 ( .A1(n72588), .A2(n72658), .B1(n72651), .Y(
+        n72583) );
+  sky130_fd_sc_hd__o21ai_0 U72290 ( .A1(n72665), .A2(n72610), .B1(n72651), .Y(
+        n72608) );
+  sky130_fd_sc_hd__o21ai_0 U72310 ( .A1(n72652), .A2(n72637), .B1(n72651), .Y(
+        n72635) );
+  sky130_fd_sc_hd__o21ai_0 U72337 ( .A1(n72665), .A2(n72600), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_r[29]), .Y(n72595) );
+  sky130_fd_sc_hd__o21ai_0 U72391 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount[3]), .A2(n83050), .B1(n83059), .Y(n83053) );
+  sky130_fd_sc_hd__o21ai_0 U72415 ( .A1(n73065), .A2(n73064), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[0]), .Y(n73066) );
+  sky130_fd_sc_hd__clkinv_1 U72435 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .Y(n72746) );
+  sky130_fd_sc_hd__o21ai_0 U72438 ( .A1(n57406), .A2(n57405), .B1(n57404), .Y(
+        n57599) );
+  sky130_fd_sc_hd__clkinv_1 U72476 ( .A(n85541), .Y(n78504) );
+  sky130_fd_sc_hd__o21ai_0 U72489 ( .A1(n70519), .A2(n70512), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[25]), .Y(n70513) );
+  sky130_fd_sc_hd__o21ai_0 U72559 ( .A1(n82547), .A2(n82563), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_waddr_right_subtree_state[7]), .Y(n82549) );
+  sky130_fd_sc_hd__o21ai_0 U72564 ( .A1(n82584), .A2(n82581), .B1(n82580), .Y(
+        n82582) );
+  sky130_fd_sc_hd__o21ai_0 U72630 ( .A1(n73058), .A2(n73057), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[21]), .Y(n73059) );
+  sky130_fd_sc_hd__o21ai_0 U72720 ( .A1(n64052), .A2(n64017), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[7]), .Y(n64018) );
+  sky130_fd_sc_hd__clkinv_1 U72727 ( .A(n86313), .Y(n59746) );
+  sky130_fd_sc_hd__o21ai_0 U72753 ( .A1(n73058), .A2(n63930), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[20]), .Y(n63931) );
+  sky130_fd_sc_hd__o21ai_0 U72774 ( .A1(n82539), .A2(n82578), .B1(n82580), .Y(
+        n82544) );
+  sky130_fd_sc_hd__o21ai_0 U72776 ( .A1(n57363), .A2(n57362), .B1(n57361), .Y(
+        n57364) );
+  sky130_fd_sc_hd__o21ai_0 U72778 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[3]), .A2(n78545), .B1(n78544), .Y(n78547) );
+  sky130_fd_sc_hd__o21ai_0 U72933 ( .A1(n76153), .A2(n76157), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[29]), .Y(n76154) );
+  sky130_fd_sc_hd__o21ai_0 U72943 ( .A1(n45206), .A2(n45205), .B1(n54746), .Y(
+        n45207) );
+  sky130_fd_sc_hd__o21ai_0 U73076 ( .A1(n81427), .A2(n81426), .B1(n81425), .Y(
+        n81428) );
+  sky130_fd_sc_hd__o21ai_0 U73118 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_counter[2]), .A2(n81394), .B1(n81430), .Y(n81393) );
+  sky130_fd_sc_hd__o21ai_0 U73163 ( .A1(n49798), .A2(n49797), .B1(n49796), .Y(
+        n77783) );
+  sky130_fd_sc_hd__o21ai_0 U73595 ( .A1(n85859), .A2(n81299), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txq_ram_MPORT_addr[2]), .Y(n81300) );
+  sky130_fd_sc_hd__o21ai_0 U73648 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_counter[2]), .A2(n81309), .B1(n81347), .Y(n81308) );
+  sky130_fd_sc_hd__o21ai_0 U73860 ( .A1(n81328), .A2(n81327), .B1(n81326), .Y(
+        n81329) );
+  sky130_fd_sc_hd__o21ai_0 U73887 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_counter[2]), .A2(n81225), .B1(n81264), .Y(n81224) );
+  sky130_fd_sc_hd__o21ai_0 U73889 ( .A1(n81261), .A2(n81260), .B1(n81259), .Y(
+        n81262) );
+  sky130_fd_sc_hd__clkinv_1 U73909 ( .A(n86579), .Y(n63479) );
+  sky130_fd_sc_hd__clkinv_1 U73911 ( .A(n81148), .Y(n81150) );
+  sky130_fd_sc_hd__o21ai_0 U73918 ( .A1(n81187), .A2(n81186), .B1(n81185), .Y(
+        n81188) );
+  sky130_fd_sc_hd__o21ai_0 U73919 ( .A1(n85853), .A2(n81070), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txq_ram_MPORT_addr[2]), .Y(n81071) );
+  sky130_fd_sc_hd__o21ai_0 U73925 ( .A1(n81116), .A2(n81115), .B1(n81114), .Y(
+        n81117) );
+  sky130_fd_sc_hd__inv_2 U73933 ( .A(n67809), .Y(n68164) );
+  sky130_fd_sc_hd__o21ai_0 U74019 ( .A1(n45018), .A2(n45017), .B1(n55985), .Y(
+        n45019) );
+  sky130_fd_sc_hd__o21ai_0 U74085 ( .A1(n67058), .A2(n78174), .B1(n79910), .Y(
+        n67693) );
+  sky130_fd_sc_hd__o21ai_0 U74115 ( .A1(n67018), .A2(n78174), .B1(n36801), .Y(
+        n68285) );
+  sky130_fd_sc_hd__o21ai_0 U74198 ( .A1(n67758), .A2(n78174), .B1(n79910), .Y(
+        n68173) );
+  sky130_fd_sc_hd__o21ai_0 U74200 ( .A1(n78151), .A2(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic__out_T_1313[3]), .B1(
+        n79910), .Y(n68012) );
+  sky130_fd_sc_hd__o21ai_0 U74228 ( .A1(n79640), .A2(n60435), .B1(n79644), .Y(
+        n60436) );
+  sky130_fd_sc_hd__o21ai_0 U74239 ( .A1(n79643), .A2(n60433), .B1(n79647), .Y(
+        n60434) );
+  sky130_fd_sc_hd__o21ai_0 U74294 ( .A1(n79595), .A2(n83819), .B1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_sdaOen), .Y(
+        n78108) );
+  sky130_fd_sc_hd__o21ai_0 U74327 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__receivedData_T[0]), .A2(n79630), .B1(n79584), .Y(n79585) );
+  sky130_fd_sc_hd__o21ai_0 U74360 ( .A1(n85838), .A2(n80389), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_ram_MPORT_addr[2]), .Y(n80390) );
+  sky130_fd_sc_hd__clkinv_1 U74393 ( .A(n80402), .Y(n80404) );
+  sky130_fd_sc_hd__o21ai_0 U74426 ( .A1(n79468), .A2(n80337), .B1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_scnt[7]), 
+        .Y(n79469) );
+  sky130_fd_sc_hd__o21ai_0 U74490 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[2]), 
+        .A2(n77520), .B1(io_in[16]), .Y(n77521) );
+  sky130_fd_sc_hd__o21ai_0 U74500 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[3]), 
+        .A2(n77517), .B1(io_in[17]), .Y(n77518) );
+  sky130_fd_sc_hd__o21ai_0 U74570 ( .A1(MarmotCaravelChip_dut_sys_spi_0_cs_1), 
+        .A2(n80375), .B1(n56186), .Y(n80351) );
+  sky130_fd_sc_hd__o21ai_0 U74598 ( .A1(n77933), .A2(n56180), .B1(n56179), .Y(
+        n80375) );
+  sky130_fd_sc_hd__clkinv_1 U74607 ( .A(n63496), .Y(n81194) );
+  sky130_fd_sc_hd__o21ai_0 U74614 ( .A1(n81212), .A2(n81211), .B1(n81209), .Y(
+        n81210) );
+  sky130_fd_sc_hd__o21ai_0 U74634 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm__countdown_T[6]), .A2(n79126), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_state), 
+        .Y(n79125) );
+  sky130_fd_sc_hd__o21ai_0 U74643 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[18]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[18]), .B1(io_in[32]), .Y(n77501) );
+  sky130_fd_sc_hd__o21ai_0 U74679 ( .A1(n85854), .A2(n81132), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_ram_MPORT_addr[2]), .Y(n81133) );
+  sky130_fd_sc_hd__o21ai_0 U74690 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[5]), .A2(n79216), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm__countdown_T[6]), .Y(n79218) );
+  sky130_fd_sc_hd__o21ai_0 U74771 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[20]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[20]), .B1(io_in[34]), .Y(n77498) );
+  sky130_fd_sc_hd__o21ai_0 U74787 ( .A1(n56153), .A2(n48421), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_24[1]), .Y(
+        n56154) );
+  sky130_fd_sc_hd__o21ai_0 U74805 ( .A1(n56108), .A2(n48421), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_27[1]), .Y(
+        n56109) );
+  sky130_fd_sc_hd__o21ai_0 U74814 ( .A1(n79420), .A2(n80647), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt[7]), .Y(n79421) );
+  sky130_fd_sc_hd__o21ai_0 U74863 ( .A1(n84158), .A2(n84157), .B1(n84156), .Y(
+        n84162) );
+  sky130_fd_sc_hd__o21ai_0 U74963 ( .A1(n77591), .A2(n83092), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_got_e), .Y(n77596) );
+  sky130_fd_sc_hd__o21ai_0 U75119 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_auto_qspi_ram_0_mem_xing_in_d_bits_source[1]), .A2(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_auto_qspi_ram_0_mem_xing_in_d_bits_source[2]), .B1(n41799), .Y(n41821) );
+  sky130_fd_sc_hd__o21ai_0 U75147 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__io_fpu_time_T[2]), .A2(n70485), .B1(n78535), .Y(n70486) );
+  sky130_fd_sc_hd__clkinv_1 U75206 ( .A(n85554), .Y(n65982) );
+  sky130_fd_sc_hd__clkinv_1 U75324 ( .A(n85745), .Y(n70511) );
+  sky130_fd_sc_hd__clkinv_1 U75339 ( .A(n85744), .Y(n76371) );
+  sky130_fd_sc_hd__o21ai_0 U75357 ( .A1(n82373), .A2(n77489), .B1(
+        MarmotCaravelChip_dut_sys_debug_1_dmInner_dmInner__GEN_3659_0_), .Y(
+        n77491) );
+  sky130_fd_sc_hd__clkinv_1 U75364 ( .A(n85575), .Y(n82412) );
+  sky130_fd_sc_hd__clkinv_1 U75397 ( .A(n85582), .Y(n82408) );
+  sky130_fd_sc_hd__clkinv_1 U75403 ( .A(n85873), .Y(n82390) );
+  sky130_fd_sc_hd__clkinv_1 U75416 ( .A(n85861), .Y(n82376) );
+  sky130_fd_sc_hd__o21ai_0 U75451 ( .A1(n46187), .A2(n46186), .B1(n54746), .Y(
+        n46188) );
+  sky130_fd_sc_hd__o21ai_0 U75463 ( .A1(n46177), .A2(n46176), .B1(n54781), .Y(
+        n46189) );
+  sky130_fd_sc_hd__o21ai_0 U75506 ( .A1(n44490), .A2(n44489), .B1(n54746), .Y(
+        n44491) );
+  sky130_fd_sc_hd__o21ai_0 U75508 ( .A1(n46599), .A2(n46598), .B1(n54746), .Y(
+        n46600) );
+  sky130_fd_sc_hd__o21ai_0 U75522 ( .A1(n76141), .A2(n76157), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_resp_bits_store_data[28]), .Y(n76142) );
+  sky130_fd_sc_hd__o21ai_0 U75528 ( .A1(n46212), .A2(n46211), .B1(n54782), .Y(
+        n46235) );
+  sky130_fd_sc_hd__o21ai_0 U75532 ( .A1(n45116), .A2(n45115), .B1(n54746), .Y(
+        n45117) );
+  sky130_fd_sc_hd__or2_0 U75594 ( .A(n65662), .B(n65243), .X(n66196) );
+  sky130_fd_sc_hd__o21ai_0 U75622 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_alu_io_fn[2]), .A2(n69603), .B1(n69602), .Y(n69605) );
+  sky130_fd_sc_hd__o21ai_0 U75623 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_count[3]), .A2(n82470), .B1(n82474), .Y(n82471) );
+  sky130_fd_sc_hd__clkinv_1 U75637 ( .A(n36132), .Y(n81122) );
+  sky130_fd_sc_hd__clkinv_1 U75679 ( .A(n36145), .Y(n81034) );
+  sky130_fd_sc_hd__o21ai_0 U75683 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_scnt[0]), .A2(n79371), .B1(n79417), .Y(n77926) );
+  sky130_fd_sc_hd__clkinv_1 U75693 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm__elapsed_center_T_3), .Y(n63690) );
+  sky130_fd_sc_hd__o21ai_0 U75710 ( .A1(n85858), .A2(n81276), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_ram_MPORT_addr[2]), .Y(n81277) );
+  sky130_fd_sc_hd__o21ai_0 U75732 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[5]), .A2(n79035), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm__countdown_T[6]), .Y(n79037) );
+  sky130_fd_sc_hd__o21ai_0 U75738 ( .A1(n81291), .A2(n81290), .B1(n81288), .Y(
+        n81289) );
+  sky130_fd_sc_hd__o21ai_0 U75740 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_debounce[1]), .A2(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_debounce[0]), .B1(n81286), .Y(n81290) );
+  sky130_fd_sc_hd__o21ai_0 U75777 ( .A1(n85852), .A2(n81049), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_ram_MPORT_addr[2]), .Y(n81050) );
+  sky130_fd_sc_hd__o21ai_0 U75795 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[5]), .A2(n79304), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm__countdown_T[6]), .Y(n79306) );
+  sky130_fd_sc_hd__o21ai_0 U75829 ( .A1(n81111), .A2(n77369), .B1(n77366), .Y(
+        n77389) );
+  sky130_fd_sc_hd__o21ai_0 U75874 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4__out_out_bits_data_WIRE_1_6[3]), .A2(n77363), .B1(n77362), .Y(n77365) );
+  sky130_fd_sc_hd__clkinv_1 U75927 ( .A(n61695), .Y(n63700) );
+  sky130_fd_sc_hd__o21ai_0 U75937 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0__out_T_329[1]), 
+        .A2(n67398), .B1(n67400), .Y(n67399) );
+  sky130_fd_sc_hd__and2_0 U75958 ( .A(n60348), .B(n73777), .X(n66809) );
+  sky130_fd_sc_hd__o21ai_0 U75991 ( .A1(n83935), .A2(n83934), .B1(n83974), .Y(
+        n83973) );
+  sky130_fd_sc_hd__o21ai_0 U76000 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[6]), .A2(n77451), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[7]), .Y(n77445) );
+  sky130_fd_sc_hd__o21ai_0 U76006 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache__s2_valid_cached_miss_T_2), .A2(n37023), .B1(n37039), .Y(n78242) );
+  sky130_fd_sc_hd__o21ai_0 U76020 ( .A1(n48607), .A2(n48606), .B1(n54746), .Y(
+        n48608) );
+  sky130_fd_sc_hd__o21ai_0 U76027 ( .A1(n46920), .A2(n46919), .B1(n54781), .Y(
+        n46932) );
+  sky130_fd_sc_hd__o21ai_0 U76106 ( .A1(n50585), .A2(n50584), .B1(n55985), .Y(
+        n50586) );
+  sky130_fd_sc_hd__o21ai_0 U76109 ( .A1(n55981), .A2(n55980), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[0]), .Y(n55984) );
+  sky130_fd_sc_hd__o21ai_0 U76119 ( .A1(n80429), .A2(n80428), .B1(n80427), .Y(
+        n80430) );
+  sky130_fd_sc_hd__o21ai_0 U76140 ( .A1(n77928), .A2(n77927), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_arb_io_outer_cs_hold), .Y(n77929) );
+  sky130_fd_sc_hd__o21ai_0 U76168 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_ptw_io_requestor_0_status_debug), .A2(n60045), .B1(n59913), .Y(n83887) );
+  sky130_fd_sc_hd__o21ai_0 U76169 ( .A1(n78543), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[2]), .B1(n70480), .Y(n70481) );
+  sky130_fd_sc_hd__clkinv_1 U76178 ( .A(n78500), .Y(n70507) );
+  sky130_fd_sc_hd__clkinv_1 U76293 ( .A(n85596), .Y(n78506) );
+  sky130_fd_sc_hd__clkinv_1 U76319 ( .A(n85631), .Y(n70497) );
+  sky130_fd_sc_hd__o21ai_0 U76530 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_d_0_corrupt), 
+        .A2(n85165), .B1(n57367), .Y(n55645) );
+  sky130_fd_sc_hd__o21ai_0 U76594 ( .A1(n82606), .A2(n76588), .B1(n82602), .Y(
+        n83006) );
+  sky130_fd_sc_hd__o21ai_0 U76620 ( .A1(n85534), .A2(n64168), .B1(n64167), .Y(
+        n64169) );
+  sky130_fd_sc_hd__o21ai_0 U76649 ( .A1(n39431), .A2(n39921), .B1(n39913), .Y(
+        n39335) );
+  sky130_fd_sc_hd__o21ai_0 U76660 ( .A1(n85845), .A2(n80713), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_txq_ram_MPORT_addr[2]), .Y(n80714) );
+  sky130_fd_sc_hd__o21ai_0 U76684 ( .A1(n79801), .A2(n60541), .B1(n79805), .Y(
+        n60542) );
+  sky130_fd_sc_hd__o21ai_0 U76723 ( .A1(n79766), .A2(n83820), .B1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_sdaOen), .Y(
+        n78082) );
+  sky130_fd_sc_hd__o21ai_0 U76750 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__receivedData_T[0]), .A2(n79790), .B1(n79726), .Y(n79727) );
+  sky130_fd_sc_hd__o21ai_0 U76781 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_out_f_data[6]), 
+        .A2(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_startCond), 
+        .B1(n79910), .Y(n78139) );
+  sky130_fd_sc_hd__o21ai_0 U76789 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__fSCL_T[2]), 
+        .A2(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__fSCL_T[1]), 
+        .B1(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__fSCL_T[3]), 
+        .Y(n78097) );
+  sky130_fd_sc_hd__o21ai_0 U76814 ( .A1(n55498), .A2(n55497), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_gennum[0]), .Y(n55501) );
+  sky130_fd_sc_hd__or2_0 U76823 ( .A(n68185), .B(n68184), .X(n76418) );
+  sky130_fd_sc_hd__o21ai_0 U76924 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_out_f_data[6]), 
+        .A2(MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_startCond), 
+        .B1(n49230), .Y(n78136) );
+  sky130_fd_sc_hd__clkinv_1 U76972 ( .A(n36244), .Y(n79718) );
+  sky130_fd_sc_hd__clkinv_1 U77039 ( .A(n36146), .Y(n81022) );
+  sky130_fd_sc_hd__o21ai_0 U77199 ( .A1(n85850), .A2(n80996), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_ram_MPORT_addr[2]), .Y(n80997) );
+  sky130_fd_sc_hd__clkinv_1 U77203 ( .A(n36169), .Y(n80723) );
+  sky130_fd_sc_hd__o21ai_0 U77300 ( .A1(n85844), .A2(n80694), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_ram_MPORT_addr[2]), .Y(n80695) );
+  sky130_fd_sc_hd__o21ai_0 U77302 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[3]), 
+        .A2(n67440), .B1(n67439), .Y(n67442) );
+  sky130_fd_sc_hd__o21ai_0 U77331 ( .A1(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2__out_T_329[1]), 
+        .A2(n67433), .B1(n67432), .Y(n67434) );
+  sky130_fd_sc_hd__clkinv_1 U77422 ( .A(n85832), .Y(n75742) );
+  sky130_fd_sc_hd__o21ai_0 U77424 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[22]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[22]), .B1(io_in[36]), .Y(n77495) );
+  sky130_fd_sc_hd__o21ai_0 U77534 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[13]), .A2(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[13]), 
+        .B1(io_in[27]), .Y(n77508) );
+  sky130_fd_sc_hd__nand2_1 U77545 ( .A(n79920), .B(n61062), .Y(n80108) );
+  sky130_fd_sc_hd__and2_0 U77548 ( .A(n36801), .B(n80109), .X(n38413) );
+  sky130_fd_sc_hd__nand2b_2 U77559 ( .A_N(n66800), .B(n66799), .Y(n67122) );
+  sky130_fd_sc_hd__clkinv_1 U77591 ( .A(n85835), .Y(n75764) );
+  sky130_fd_sc_hd__clkinv_1 U77601 ( .A(n48000), .Y(n49035) );
+  sky130_fd_sc_hd__o21ai_0 U77634 ( .A1(n50031), .A2(n50030), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_widget_bundleIn_0_d_bits_data_rdata_written_once), .Y(n60154) );
+  sky130_fd_sc_hd__nor2_1 U77656 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_acknum[3]), .B(n83703), .Y(n83710) );
+  sky130_fd_sc_hd__o21ai_0 U77660 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_acknum[2]), .A2(n83700), .B1(n83699), .Y(n83701) );
+  sky130_fd_sc_hd__clkinv_1 U77665 ( .A(n83661), .Y(n83659) );
+  sky130_fd_sc_hd__o21ai_0 U77669 ( .A1(n47613), .A2(n47873), .B1(n47870), .Y(
+        n83620) );
+  sky130_fd_sc_hd__clkinv_1 U77676 ( .A(n83573), .Y(n83568) );
+  sky130_fd_sc_hd__o21ai_0 U77679 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_pwm_1_fragmenter_repeater_io_full), .A2(n60906), .B1(n36801), .Y(n73744) );
+  sky130_fd_sc_hd__clkinv_1 U77682 ( .A(n83725), .Y(n83728) );
+  sky130_fd_sc_hd__clkinv_1 U77688 ( .A(n60909), .Y(n47792) );
+  sky130_fd_sc_hd__o21ai_0 U77750 ( .A1(n83768), .A2(n83761), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_2_fragmenter_acknum[3]), .Y(n83772) );
+  sky130_fd_sc_hd__clkinv_1 U77753 ( .A(n83738), .Y(n55251) );
+  sky130_fd_sc_hd__clkinv_1 U77800 ( .A(n83756), .Y(n83751) );
+  sky130_fd_sc_hd__clkinv_1 U77801 ( .A(n63171), .Y(n63172) );
+  sky130_fd_sc_hd__clkinv_1 U77818 ( .A(n73787), .Y(n60010) );
+  sky130_fd_sc_hd__clkinv_1 U77826 ( .A(n73812), .Y(n61091) );
+  sky130_fd_sc_hd__o21ai_0 U77844 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_beatsLeft[2]), .A2(
+        n84008), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_beatsLeft[3]), .Y(
+        n84009) );
+  sky130_fd_sc_hd__o21ai_0 U77888 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_beatsLeft[2]), .A2(
+        n84007), .B1(n84004), .Y(n84005) );
+  sky130_fd_sc_hd__o21ai_0 U77921 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_cam_s_0_state[1]), 
+        .A2(n86392), .B1(n83810), .Y(n60887) );
+  sky130_fd_sc_hd__o21ai_0 U77922 ( .A1(n79734), .A2(n79493), .B1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0__nextCmd_T[5]), 
+        .Y(n79489) );
+  sky130_fd_sc_hd__o21ai_0 U77939 ( .A1(n79779), .A2(n79778), .B1(n79777), .Y(
+        n79796) );
+  sky130_fd_sc_hd__o21ai_0 U77953 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_102[12]), .A2(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[12]), 
+        .B1(io_in[26]), .Y(n77510) );
+  sky130_fd_sc_hd__o21ai_0 U77965 ( .A1(n77748), .A2(n77717), .B1(n77716), .Y(
+        n81662) );
+  sky130_fd_sc_hd__o21ai_0 U78011 ( .A1(n77688), .A2(n77687), .B1(n77686), .Y(
+        n81853) );
+  sky130_fd_sc_hd__o21ai_0 U78013 ( .A1(n81499), .A2(n81972), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_aonrst_catch__io_sync_reset_WIRE), .Y(
+        n78739) );
+  sky130_fd_sc_hd__o21ai_0 U78046 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[29]), .A2(n78846), .B1(
+        n78865), .Y(n78848) );
+  sky130_fd_sc_hd__o21ai_0 U78053 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[24]), .A2(n78827), .B1(
+        n78831), .Y(n78828) );
+  sky130_fd_sc_hd__o21ai_0 U78143 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[18]), .A2(n78812), .B1(
+        n78815), .Y(n78813) );
+  sky130_fd_sc_hd__o21ai_0 U78190 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[11]), .A2(n78790), .B1(
+        n78793), .Y(n78791) );
+  sky130_fd_sc_hd__o21ai_0 U78201 ( .A1(n83178), .A2(n77591), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_got_e), .Y(n77582) );
+  sky130_fd_sc_hd__o21ai_0 U78245 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_fragmenter_acknum[2]), .A2(n82516), .B1(n82512), .Y(n82513) );
+  sky130_fd_sc_hd__and2_0 U78247 ( .A(n54941), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_io_s2_vaddr[17]), .X(n37113) );
+  sky130_fd_sc_hd__o21ai_0 U78253 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_count[2]), .A2(n78473), .B1(n78472), .Y(n78475) );
+  sky130_fd_sc_hd__o21ai_0 U78283 ( .A1(n54890), .A2(n54891), .B1(n85879), .Y(
+        n54887) );
+  sky130_fd_sc_hd__clkinv_1 U78320 ( .A(n85499), .Y(n76186) );
+  sky130_fd_sc_hd__inv_2 U78338 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr), .Y(n82522) );
+  sky130_fd_sc_hd__o21ai_0 U78484 ( .A1(n54859), .A2(n54855), .B1(n77543), .Y(
+        n77548) );
+  sky130_fd_sc_hd__o21ai_0 U78510 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_saved_opcode[2]), .A2(n83875), .B1(n60249), .Y(n83437) );
+  sky130_fd_sc_hd__o21ai_0 U78526 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_acknum[1]), .A2(n83381), .B1(n83378), .Y(n83379) );
+  sky130_fd_sc_hd__o21ai_0 U78549 ( .A1(n54834), .A2(n54830), .B1(n77557), .Y(
+        n77563) );
+  sky130_fd_sc_hd__o21ai_0 U78558 ( .A1(n77552), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_ridx), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_asource_bundleOut_0_a_source_sink_ready), .Y(n77551) );
+  sky130_fd_sc_hd__o21ai_0 U78575 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_396[4]), .A2(n78866), .B1(
+        n78865), .Y(n78868) );
+  sky130_fd_sc_hd__o21ai_0 U78584 ( .A1(n54791), .A2(n54790), .B1(n54789), .Y(
+        n54794) );
+  sky130_fd_sc_hd__clkinv_1 U78587 ( .A(n85886), .Y(n83245) );
+  sky130_fd_sc_hd__o21ai_0 U78636 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3__GEN_15_0_), .A2(n83094), .B1(n83093), .Y(n83108) );
+  sky130_fd_sc_hd__clkinv_1 U78645 ( .A(n83431), .Y(n83426) );
+  sky130_fd_sc_hd__o21ai_0 U78654 ( .A1(n41703), .A2(n41640), .B1(n41705), .Y(
+        n83411) );
+  sky130_fd_sc_hd__o21ai_0 U78697 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[5]), .A2(n77464), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_counter[6]), .Y(n77465) );
+  sky130_fd_sc_hd__clkinv_1 U78964 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[28]), .Y(n75899) );
+  sky130_fd_sc_hd__clkinv_1 U78978 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[26]), .Y(n75889) );
+  sky130_fd_sc_hd__clkinv_1 U78985 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[25]), .Y(n75905) );
+  sky130_fd_sc_hd__clkinv_1 U78988 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[23]), .Y(n75893) );
+  sky130_fd_sc_hd__clkinv_1 U78989 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_s1_paddr[19]), .Y(n75794) );
+  sky130_fd_sc_hd__o21ai_0 U78995 ( .A1(n54221), .A2(n36790), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_24[0]), .Y(
+        n54230) );
+  sky130_fd_sc_hd__o21ai_0 U79029 ( .A1(n54203), .A2(n36790), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_7[0]), .Y(
+        n54214) );
+  sky130_fd_sc_hd__o21ai_0 U79375 ( .A1(n83160), .A2(n83159), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_o_data_ram_mask_io_deq_bits_MPORT_addr[3]), .Y(n83162) );
+  sky130_fd_sc_hd__o21ai_0 U79377 ( .A1(n78222), .A2(n78209), .B1(n78225), .Y(
+        n78212) );
+  sky130_fd_sc_hd__o21ai_0 U79400 ( .A1(n85779), .A2(n85910), .B1(n85909), .Y(
+        n83271) );
+  sky130_fd_sc_hd__clkinv_1 U79406 ( .A(n38066), .Y(n73346) );
+  sky130_fd_sc_hd__o21ai_0 U79409 ( .A1(n54155), .A2(n54157), .B1(n54896), .Y(
+        n54154) );
+  sky130_fd_sc_hd__nand2b_1 U79518 ( .A_N(n85816), .B(n78374), .Y(n78376) );
+  sky130_fd_sc_hd__clkinv_1 U79533 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_buffer_bundleOut_0_a_q_ram_opcode_io_deq_bits_MPORT_addr[2]), .Y(n83685) );
+  sky130_fd_sc_hd__clkinv_1 U79537 ( .A(n55053), .Y(n62023) );
+  sky130_fd_sc_hd__o21ai_0 U79576 ( .A1(n85887), .A2(n83321), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_ram_opcode_MPORT_addr[2]), .Y(n83322) );
+  sky130_fd_sc_hd__o21ai_0 U79729 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_o_data_ram_mask_MPORT_addr[3]), .A2(n83090), .B1(n83088), .Y(n83089) );
+  sky130_fd_sc_hd__clkinv_1 U79828 ( .A(n36272), .Y(n77636) );
+  sky130_fd_sc_hd__o21ai_0 U79876 ( .A1(n85857), .A2(n85856), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txq_ram_MPORT_addr[2]), .Y(n81218) );
+  sky130_fd_sc_hd__o21ai_0 U80016 ( .A1(n60556), .A2(n40668), .B1(n59922), .Y(
+        n72676) );
+  sky130_fd_sc_hd__o21ai_0 U80058 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_metaArb_io_in_0_valid), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_REG), .B1(n37039), .Y(n63868) );
+  sky130_fd_sc_hd__clkinv_1 U80079 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_tlb_io_resp_paddr[4]), .Y(n44172) );
+  sky130_fd_sc_hd__o21ai_0 U80080 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt[0]), .A2(n79316), .B1(n79360), .Y(n77876) );
+  sky130_fd_sc_hd__o21ai_0 U80119 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_widx), 
+        .A2(n84778), .B1(
+        MarmotCaravelChip_dut_sys_aon_1_crossing_bundleOut_0_a_sink_source_ready), .Y(n54840) );
+  sky130_fd_sc_hd__a21boi_0 U80123 ( .A1(n64030), .A2(n37093), .B1_N(n37944), 
+        .Y(n76547) );
+  sky130_fd_sc_hd__o21ai_0 U80128 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_gennum[5]), .A2(n54770), .B1(n53199), .Y(n53200) );
+  sky130_fd_sc_hd__o21ai_0 U80232 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_full), .A2(n60993), .B1(n53509), .Y(n83320) );
+  sky130_fd_sc_hd__o21ai_0 U80258 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_s_0_state[1]), 
+        .A2(n36835), .B1(n83530), .Y(n83532) );
+  sky130_fd_sc_hd__o21ai_0 U80260 ( .A1(n46955), .A2(n46954), .B1(n54782), .Y(
+        n46978) );
+  sky130_fd_sc_hd__o21ai_0 U80295 ( .A1(n46132), .A2(n46131), .B1(n54781), .Y(
+        n46144) );
+  sky130_fd_sc_hd__o21ai_0 U80360 ( .A1(n60950), .A2(n84069), .B1(n83295), .Y(
+        n84067) );
+  sky130_fd_sc_hd__or2_0 U80385 ( .A(n62848), .B(n62849), .X(n67054) );
+  sky130_fd_sc_hd__o21ai_0 U80465 ( .A1(n53044), .A2(n52974), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_18[0]), .Y(
+        n53049) );
+  sky130_fd_sc_hd__o21ai_0 U80484 ( .A1(n79508), .A2(n79507), .B1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1__nextCmd_T[5]), 
+        .Y(n79517) );
+  sky130_fd_sc_hd__and2_0 U80553 ( .A(n63161), .B(n36801), .X(n79513) );
+  sky130_fd_sc_hd__o21ai_0 U80612 ( .A1(n79624), .A2(n79617), .B1(n79604), .Y(
+        n79605) );
+  sky130_fd_sc_hd__nand2_2 U80635 ( .A(n63514), .B(n79920), .Y(n36766) );
+  sky130_fd_sc_hd__o21ai_0 U80786 ( .A1(n52837), .A2(n36790), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_5[0]), .Y(
+        n52849) );
+  sky130_fd_sc_hd__o21ai_0 U81079 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_io_link_rx_valid), .A2(MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_last_d), 
+        .B1(n80890), .Y(n77874) );
+  sky130_fd_sc_hd__o21ai_0 U81200 ( .A1(n79363), .A2(n80963), .B1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_scnt[7]), .Y(n79364) );
+  sky130_fd_sc_hd__clkinv_1 U81221 ( .A(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N102), 
+        .Y(n80883) );
+  sky130_fd_sc_hd__o21ai_0 U81282 ( .A1(n52490), .A2(n36790), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_10[0]), .Y(
+        n52497) );
+  sky130_fd_sc_hd__o21ai_0 U81301 ( .A1(n52294), .A2(n36790), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_25[0]), .Y(
+        n52301) );
+  sky130_fd_sc_hd__o21ai_0 U81335 ( .A1(n52040), .A2(n52974), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_14[0]), .Y(
+        n52050) );
+  sky130_fd_sc_hd__o21ai_0 U81355 ( .A1(n51944), .A2(n36818), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_21[1]), .Y(
+        n51959) );
+  sky130_fd_sc_hd__o21ai_0 U81402 ( .A1(n80858), .A2(n80857), .B1(n80890), .Y(
+        n80859) );
+  sky130_fd_sc_hd__o21ai_0 U81414 ( .A1(n51777), .A2(n51594), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_2[1]), .Y(
+        n51787) );
+  sky130_fd_sc_hd__o21ai_0 U81441 ( .A1(n51579), .A2(n36790), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_11[0]), .Y(
+        n51589) );
+  sky130_fd_sc_hd__o21ai_0 U81466 ( .A1(n51387), .A2(n36818), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_22[1]), .Y(
+        n51396) );
+  sky130_fd_sc_hd__o21ai_0 U81520 ( .A1(n51334), .A2(n36818), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_3[1]), .Y(
+        n51345) );
+  sky130_fd_sc_hd__o21ai_0 U81529 ( .A1(n51185), .A2(n51594), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_4[1]), .Y(
+        n51192) );
+  sky130_fd_sc_hd__o21ai_0 U81542 ( .A1(n51034), .A2(n51594), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_12[1]), .Y(
+        n51043) );
+  sky130_fd_sc_hd__o21ai_0 U81559 ( .A1(n50817), .A2(n51594), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_16[1]), .Y(
+        n50827) );
+  sky130_fd_sc_hd__o21ai_0 U81562 ( .A1(n50792), .A2(n50791), .B1(n50790), .Y(
+        n50793) );
+  sky130_fd_sc_hd__o21ai_0 U81568 ( .A1(n50303), .A2(n51594), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_13[1]), .Y(
+        n50313) );
+  sky130_fd_sc_hd__o21ai_0 U81578 ( .A1(n50064), .A2(n52974), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_23[0]), .Y(
+        n50075) );
+  sky130_fd_sc_hd__o21ai_0 U81596 ( .A1(n50016), .A2(n48421), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_1[1]), .Y(
+        n50026) );
+  sky130_fd_sc_hd__o21ai_0 U81603 ( .A1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm__countdown_T[6]), .A2(n78949), .B1(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_state), 
+        .Y(n78948) );
+  sky130_fd_sc_hd__o21ai_0 U81638 ( .A1(n81385), .A2(n81384), .B1(n81382), .Y(
+        n81383) );
+  sky130_fd_sc_hd__inv_2 U81661 ( .A(n37974), .Y(n37973) );
+  sky130_fd_sc_hd__o21ai_0 U81686 ( .A1(n49129), .A2(n52974), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_7[0]), .Y(
+        n49140) );
+  sky130_fd_sc_hd__o21ai_0 U81694 ( .A1(n48703), .A2(n48702), .B1(n50790), .Y(
+        n48704) );
+  sky130_fd_sc_hd__o21ai_0 U81740 ( .A1(n48462), .A2(n36791), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_23[1]), .Y(
+        n48475) );
+  sky130_fd_sc_hd__o21ai_0 U81759 ( .A1(n48424), .A2(n52974), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_28[0]), .Y(
+        n48430) );
+  sky130_fd_sc_hd__o21ai_0 U81808 ( .A1(n48388), .A2(n52974), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_30[0]), .Y(
+        n48396) );
+  sky130_fd_sc_hd__o21ai_0 U81828 ( .A1(n48191), .A2(n52974), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_8[0]), .Y(
+        n48197) );
+  sky130_fd_sc_hd__o21ai_0 U81833 ( .A1(n48179), .A2(n48464), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_8[0]), .Y(
+        n48185) );
+  sky130_fd_sc_hd__o21ai_0 U81845 ( .A1(n48048), .A2(n52974), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_indexes_29[0]), .Y(
+        n48055) );
+  sky130_fd_sc_hd__o21ai_0 U81849 ( .A1(n47133), .A2(n36790), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_3[0]), .Y(
+        n47142) );
+  sky130_fd_sc_hd__o21ai_0 U82005 ( .A1(n47036), .A2(n36790), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_18[0]), .Y(
+        n47044) );
+  sky130_fd_sc_hd__o21ai_0 U82031 ( .A1(n47025), .A2(n47024), .B1(n50790), .Y(
+        n47026) );
+  sky130_fd_sc_hd__o21ai_0 U82054 ( .A1(n46709), .A2(n36791), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_29[1]), .Y(
+        n46710) );
+  sky130_fd_sc_hd__o21ai_0 U82066 ( .A1(n80550), .A2(n80549), .B1(n80582), .Y(
+        n80551) );
+  sky130_fd_sc_hd__inv_2 U82067 ( .A(n59549), .Y(n62948) );
+  sky130_fd_sc_hd__o21ai_0 U82139 ( .A1(n46293), .A2(n36790), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_19[0]), .Y(
+        n46301) );
+  sky130_fd_sc_hd__o21ai_0 U82162 ( .A1(n46282), .A2(n46281), .B1(n50790), .Y(
+        n46283) );
+  sky130_fd_sc_hd__o21ai_0 U82183 ( .A1(n45930), .A2(n36790), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_0[0]), .Y(
+        n45937) );
+  sky130_fd_sc_hd__o21ai_0 U82369 ( .A1(n82429), .A2(n82428), .B1(n36801), .Y(
+        n82431) );
+  sky130_fd_sc_hd__o21ai_0 U82455 ( .A1(n78175), .A2(n78174), .B1(n79910), .Y(
+        n78196) );
+  sky130_fd_sc_hd__clkinv_1 U82486 ( .A(n38219), .Y(n62974) );
+  sky130_fd_sc_hd__o21ai_0 U82491 ( .A1(n45386), .A2(n36790), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_14[0]), .Y(
+        n45393) );
+  sky130_fd_sc_hd__o21ai_0 U82506 ( .A1(n64061), .A2(n64056), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[19]), .Y(n64057) );
+  sky130_fd_sc_hd__clkinv_1 U82517 ( .A(n85877), .Y(n76489) );
+  sky130_fd_sc_hd__o21ai_0 U82554 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_bundleOut_1_0_REG[2]), 
+        .A2(n76507), .B1(n76506), .Y(n76508) );
+  sky130_fd_sc_hd__o21ai_0 U82585 ( .A1(n45265), .A2(n36791), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_17[1]), .Y(
+        n45278) );
+  sky130_fd_sc_hd__o21ai_0 U82720 ( .A1(n45256), .A2(n45255), .B1(n50790), .Y(
+        n45257) );
+  sky130_fd_sc_hd__o21ai_0 U82887 ( .A1(n80556), .A2(n80555), .B1(n80582), .Y(
+        n80557) );
+  sky130_fd_sc_hd__o21ai_0 U82905 ( .A1(n46329), .A2(n44882), .B1(n57361), .Y(
+        n44883) );
+  sky130_fd_sc_hd__clkinv_1 U82961 ( .A(n85552), .Y(n72215) );
+  sky130_fd_sc_hd__o21ai_0 U82971 ( .A1(n73136), .A2(n73135), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_r_btb_updatePipe_valid), .Y(n82593) );
+  sky130_fd_sc_hd__o21ai_0 U83010 ( .A1(n71554), .A2(n74895), .B1(n70815), .Y(
+        n60035) );
+  sky130_fd_sc_hd__o21ai_0 U83012 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_io_rw_addr[7]), .A2(n69978), .B1(n37039), .Y(n78534) );
+  sky130_fd_sc_hd__o21ai_0 U83043 ( .A1(n80544), .A2(n80543), .B1(n80582), .Y(
+        n80545) );
+  sky130_fd_sc_hd__o21ai_0 U83072 ( .A1(n44588), .A2(n44587), .B1(n50790), .Y(
+        n44589) );
+  sky130_fd_sc_hd__o21ai_0 U83073 ( .A1(n44208), .A2(n36790), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_27[0]), .Y(
+        n44215) );
+  sky130_fd_sc_hd__o21ai_0 U83082 ( .A1(n70260), .A2(n70259), .B1(n70258), .Y(
+        n70261) );
+  sky130_fd_sc_hd__clkinv_1 U83083 ( .A(n85747), .Y(n72316) );
+  sky130_fd_sc_hd__o21ai_0 U83087 ( .A1(n44061), .A2(n36790), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_15[0]), .Y(
+        n44062) );
+  sky130_fd_sc_hd__o21ai_0 U83097 ( .A1(n78246), .A2(n78245), .B1(n83116), .Y(
+        n82488) );
+  sky130_fd_sc_hd__o21ai_0 U83222 ( .A1(n43709), .A2(n36791), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_28[1]), .Y(
+        n43710) );
+  sky130_fd_sc_hd__o21ai_0 U83224 ( .A1(n76470), .A2(n53947), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_bypass_1), .Y(n43701) );
+  sky130_fd_sc_hd__o21ai_0 U83226 ( .A1(n43536), .A2(n53947), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_bypass_1), .Y(n43540) );
+  sky130_fd_sc_hd__o21ai_0 U83300 ( .A1(n64497), .A2(n64496), .B1(n64495), .Y(
+        n72573) );
+  sky130_fd_sc_hd__o21ai_0 U83304 ( .A1(n43313), .A2(n36790), .B1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_indexes_22[0]), .Y(
+        n43322) );
+  sky130_fd_sc_hd__o21ai_0 U83306 ( .A1(n76783), .A2(n53947), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_bypass_1), .Y(n43307) );
+  sky130_fd_sc_hd__o21ai_0 U83454 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_value[5]), .A2(n78550), .B1(n78549), .Y(n78551) );
+  sky130_fd_sc_hd__o21ai_0 U83515 ( .A1(n75923), .A2(n53947), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_rs_bypass_1), .Y(n41211) );
+  sky130_fd_sc_hd__o21ai_0 U83550 ( .A1(n69481), .A2(n68590), .B1(n68589), .Y(
+        n68728) );
+  sky130_fd_sc_hd__o21ai_0 U83556 ( .A1(n76288), .A2(n76287), .B1(n76286), .Y(
+        n76290) );
+  sky130_fd_sc_hd__o21ai_0 U83561 ( .A1(n40625), .A2(n40621), .B1(n73136), .Y(
+        n72370) );
+  sky130_fd_sc_hd__o21ai_0 U83566 ( .A1(n70519), .A2(n63983), .B1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb__GEN_433[27]), .Y(n63984) );
+  sky130_fd_sc_hd__clkinv_1 U83584 ( .A(n73341), .Y(n73250) );
+  sky130_fd_sc_hd__o21ai_0 U83737 ( .A1(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_ridx), 
+        .A2(n77652), .B1(
+        MarmotCaravelChip_dut_sys_debug_1_dmOuter_io_innerCtrl_source_sink_ready), .Y(n77651) );
+  sky130_fd_sc_hd__o21ai_0 U83932 ( .A1(n79524), .A2(n79522), .B1(n79536), .Y(
+        n78309) );
+  sky130_fd_sc_hd__clkinv_1 U83945 ( .A(n79530), .Y(n61475) );
+  sky130_fd_sc_hd__clkinv_1 U83948 ( .A(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_io_output_instruction[4]), .Y(n60776) );
+  sky130_fd_sc_hd__o21ai_0 U83962 ( .A1(n78409), .A2(n78393), .B1(n78399), .Y(
+        n78404) );
+  sky130_fd_sc_hd__o21ai_0 U84188 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[21]), 
+        .A2(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0__out_T_82[21]), 
+        .B1(n60246), .Y(n60247) );
+  sky130_fd_sc_hd__o21ai_0 U84234 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_portReg[27]), 
+        .A2(MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_xorReg[27]), .B1(MarmotCaravelChip_dut_io_pins_gpio_pins_27_o_oe), .Y(n77529) );
+  sky130_fd_sc_hd__o21ai_0 U84281 ( .A1(n76342), .A2(n79530), .B1(n76341), .Y(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_N3) );
+  sky130_fd_sc_hd__o21ai_0 U84319 ( .A1(n61002), .A2(n37038), .B1(n83294), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_widget_repeated_repeater_N6) );
+  sky130_fd_sc_hd__and2_0 U84397 ( .A(n36815), .B(n36801), .X(n86082) );
+  sky130_fd_sc_hd__o21ai_0 U84458 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_lrscCount[0]), .A2(n83055), .B1(n83045), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N461) );
+  sky130_fd_sc_hd__o21ai_0 U84468 ( .A1(n86301), .A2(n68927), .B1(n64901), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1291) );
+  sky130_fd_sc_hd__o21ai_0 U84494 ( .A1(n70384), .A2(n72314), .B1(n70383), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1288) );
+  sky130_fd_sc_hd__o21ai_0 U84499 ( .A1(n78295), .A2(n78294), .B1(n78293), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N884) );
+  sky130_fd_sc_hd__clkinv_1 U84525 ( .A(n69346), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1299) );
+  sky130_fd_sc_hd__o21ai_0 U84531 ( .A1(n86301), .A2(n72259), .B1(n68484), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1289) );
+  sky130_fd_sc_hd__o21ai_0 U84533 ( .A1(n73340), .A2(n72309), .B1(n68518), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N53) );
+  sky130_fd_sc_hd__o21ai_0 U84578 ( .A1(n76722), .A2(n76721), .B1(n76720), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[17]) );
+  sky130_fd_sc_hd__o21ai_0 U84708 ( .A1(n78519), .A2(n78522), .B1(n78518), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N947) );
+  sky130_fd_sc_hd__o21ai_0 U84756 ( .A1(n78517), .A2(n78522), .B1(n78516), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N946) );
+  sky130_fd_sc_hd__o21ai_0 U84781 ( .A1(n78506), .A2(n78522), .B1(n78505), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N940) );
+  sky130_fd_sc_hd__o21ai_0 U84812 ( .A1(n70521), .A2(n73067), .B1(n70520), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1525) );
+  sky130_fd_sc_hd__o21ai_0 U84842 ( .A1(n73068), .A2(n72778), .B1(n72777), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1503) );
+  sky130_fd_sc_hd__o21ai_0 U84926 ( .A1(n82544), .A2(n82541), .B1(n82540), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1607) );
+  sky130_fd_sc_hd__o21ai_0 U84932 ( .A1(n64063), .A2(n72778), .B1(n64059), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_N1519) );
+  sky130_fd_sc_hd__o21ai_0 U84935 ( .A1(n76247), .A2(n73055), .B1(n73054), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_io_btb_resp_entry[3]) );
+  sky130_fd_sc_hd__o21ai_0 U84959 ( .A1(n73340), .A2(n73176), .B1(n73175), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N28) );
+  sky130_fd_sc_hd__o21ai_0 U85104 ( .A1(n37220), .A2(n76501), .B1(n76500), .Y(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_1_io_max[2]) );
+  sky130_fd_sc_hd__o21ai_0 U85153 ( .A1(n76047), .A2(n76046), .B1(n76045), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[20]) );
+  sky130_fd_sc_hd__o21ai_0 U85160 ( .A1(n76024), .A2(n76023), .B1(n76022), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[18]) );
+  sky130_fd_sc_hd__o21ai_0 U85183 ( .A1(n56950), .A2(n56949), .B1(n56948), .Y(
+        n85310) );
+  sky130_fd_sc_hd__o21ai_0 U85186 ( .A1(n80116), .A2(n80002), .B1(n79939), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N476) );
+  sky130_fd_sc_hd__o21ai_0 U85188 ( .A1(n80134), .A2(n80040), .B1(n79986), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N498) );
+  sky130_fd_sc_hd__o21ai_0 U85227 ( .A1(n80115), .A2(n79937), .B1(n79936), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N475) );
+  sky130_fd_sc_hd__o21ai_0 U85231 ( .A1(n81392), .A2(n81405), .B1(n81397), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_txm_N50) );
+  sky130_fd_sc_hd__o21ai_0 U85284 ( .A1(n81307), .A2(n81322), .B1(n81313), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_txm_N50) );
+  sky130_fd_sc_hd__o21ai_0 U85405 ( .A1(n81223), .A2(n81239), .B1(n81227), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_txm_N50) );
+  sky130_fd_sc_hd__o21ai_0 U85485 ( .A1(n81151), .A2(n81165), .B1(n81155), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_txm_N50) );
+  sky130_fd_sc_hd__o21ai_0 U85508 ( .A1(n81078), .A2(n81110), .B1(n81082), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_txm_N50) );
+  sky130_fd_sc_hd__o21ai_0 U85532 ( .A1(n80127), .A2(n80026), .B1(n79971), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N491) );
+  sky130_fd_sc_hd__o21ai_0 U85534 ( .A1(n68440), .A2(n76236), .B1(n68439), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N650) );
+  sky130_fd_sc_hd__o21ai_0 U85540 ( .A1(
+        MarmotCaravelChip_dut_sys_iof_0_iof_0_16_i_ival), .A2(n80065), .B1(
+        n68433), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N517) );
+  sky130_fd_sc_hd__o21ai_0 U85544 ( .A1(n56950), .A2(n56338), .B1(n56337), .Y(
+        n85273) );
+  sky130_fd_sc_hd__o21ai_0 U85620 ( .A1(n56950), .A2(n56245), .B1(n56244), .Y(
+        n85269) );
+  sky130_fd_sc_hd__o21ai_0 U85686 ( .A1(n80209), .A2(n79998), .B1(n79930), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N472) );
+  sky130_fd_sc_hd__o21ai_0 U85718 ( .A1(n56950), .A2(n56241), .B1(n56240), .Y(
+        n85266) );
+  sky130_fd_sc_hd__o21ai_0 U85736 ( .A1(n80119), .A2(n80009), .B1(n79945), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N479) );
+  sky130_fd_sc_hd__o21ai_0 U85760 ( .A1(n80126), .A2(n80022), .B1(n79967), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N489) );
+  sky130_fd_sc_hd__o21ai_0 U85808 ( .A1(n80129), .A2(n80030), .B1(n79975), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N493) );
+  sky130_fd_sc_hd__o21ai_0 U85814 ( .A1(n80118), .A2(n80006), .B1(n79943), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N478) );
+  sky130_fd_sc_hd__o21ai_0 U85823 ( .A1(n75830), .A2(n76485), .B1(n75829), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_N59) );
+  sky130_fd_sc_hd__o21ai_0 U85842 ( .A1(n80124), .A2(n80013), .B1(n79959), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N485) );
+  sky130_fd_sc_hd__o21ai_0 U85859 ( .A1(n76192), .A2(n76236), .B1(n76191), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N639) );
+  sky130_fd_sc_hd__o21ai_0 U86110 ( .A1(n80246), .A2(n80245), .B1(n80244), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N244) );
+  sky130_fd_sc_hd__o21ai_0 U86226 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg[26]), 
+        .A2(n80091), .B1(n79908), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N527) );
+  sky130_fd_sc_hd__o21ai_0 U86294 ( .A1(n79662), .A2(n79713), .B1(n79661), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N368) );
+  sky130_fd_sc_hd__o21ai_0 U86320 ( .A1(n79582), .A2(n79581), .B1(n79580), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N438) );
+  sky130_fd_sc_hd__o21ai_0 U86324 ( .A1(n80391), .A2(n36802), .B1(n80390), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_fifo_rxq_N41) );
+  sky130_fd_sc_hd__o21ai_0 U86380 ( .A1(n80192), .A2(n80400), .B1(n80191), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N237) );
+  sky130_fd_sc_hd__o21ai_0 U86401 ( .A1(n80400), .A2(n77971), .B1(n77970), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N252) );
+  sky130_fd_sc_hd__o21ai_0 U86545 ( .A1(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_tcnt[0]), 
+        .A2(n80347), .B1(n80330), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N123) );
+  sky130_fd_sc_hd__o21ai_0 U86549 ( .A1(n80362), .A2(n80361), .B1(n80360), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_N76) );
+  sky130_fd_sc_hd__o21ai_0 U86569 ( .A1(n80381), .A2(n80375), .B1(n80374), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_N59) );
+  sky130_fd_sc_hd__o21ai_0 U86572 ( .A1(n84174), .A2(n84176), .B1(n37037), .Y(
+        n36197) );
+  sky130_fd_sc_hd__o21ai_0 U86755 ( .A1(n84177), .A2(n84176), .B1(
+        MarmotCaravelChip_dut_sys_reset_catcher__io_sync_reset_WIRE), .Y(
+        n36189) );
+  sky130_fd_sc_hd__o21ai_0 U86773 ( .A1(n56950), .A2(n56169), .B1(n56168), .Y(
+        n85240) );
+  sky130_fd_sc_hd__o21ai_0 U86776 ( .A1(n81199), .A2(n36848), .B1(n81198), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxq_N41) );
+  sky130_fd_sc_hd__o21ai_0 U86779 ( .A1(n79121), .A2(n79120), .B1(n79119), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_N33) );
+  sky130_fd_sc_hd__o21ai_0 U86839 ( .A1(n77304), .A2(n77303), .B1(n77305), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_2_uart_2_rxm_prescaler_next[1]) );
+  sky130_fd_sc_hd__o21ai_0 U86857 ( .A1(n56950), .A2(n56164), .B1(n56163), .Y(
+        n85238) );
+  sky130_fd_sc_hd__o21ai_0 U86860 ( .A1(n81134), .A2(n81137), .B1(n81133), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxq_N41) );
+  sky130_fd_sc_hd__o21ai_0 U86863 ( .A1(n77338), .A2(n77337), .B1(n77339), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_next[1]) );
+  sky130_fd_sc_hd__o21ai_0 U86995 ( .A1(n77334), .A2(n77333), .B1(n77336), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_3_uart_3_rxm_prescaler_next[11]) );
+  sky130_fd_sc_hd__o21ai_0 U87093 ( .A1(n80128), .A2(n80028), .B1(n79973), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N492) );
+  sky130_fd_sc_hd__and2_0 U87180 ( .A(n85834), .B(n62084), .X(n85939) );
+  sky130_fd_sc_hd__o21ai_0 U87227 ( .A1(n80131), .A2(n80034), .B1(n79980), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N495) );
+  sky130_fd_sc_hd__and2_0 U87230 ( .A(n85837), .B(n62086), .X(n85987) );
+  sky130_fd_sc_hd__o21ai_0 U87234 ( .A1(n56950), .A2(n56075), .B1(n56074), .Y(
+        n85225) );
+  sky130_fd_sc_hd__o21ai_0 U87254 ( .A1(n82407), .A2(n68421), .B1(n68420), .Y(
+        MarmotCaravelChip_dut_sys_clint_N59) );
+  sky130_fd_sc_hd__o21ai_0 U87274 ( .A1(n82408), .A2(n68421), .B1(n68416), .Y(
+        MarmotCaravelChip_dut_sys_clint_N60) );
+  sky130_fd_sc_hd__o21ai_0 U87297 ( .A1(n77022), .A2(n68352), .B1(n68351), .Y(
+        MarmotCaravelChip_dut_sys_clint_N88) );
+  sky130_fd_sc_hd__o21ai_0 U87306 ( .A1(n82411), .A2(n68348), .B1(n66884), .Y(
+        MarmotCaravelChip_dut_sys_clint_N95) );
+  sky130_fd_sc_hd__o21ai_0 U87321 ( .A1(n83271), .A2(n77479), .B1(n77478), .Y(
+        n36255) );
+  sky130_fd_sc_hd__o21ai_0 U87342 ( .A1(n84053), .A2(n84052), .B1(n84051), .Y(
+        n36292) );
+  sky130_fd_sc_hd__o21ai_0 U87355 ( .A1(n70137), .A2(n72156), .B1(n70136), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1144) );
+  sky130_fd_sc_hd__o21ai_0 U87382 ( .A1(n86301), .A2(n73181), .B1(n69122), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1286) );
+  sky130_fd_sc_hd__o21ai_0 U87407 ( .A1(n78529), .A2(n72378), .B1(n72377), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N431) );
+  sky130_fd_sc_hd__o21ai_0 U87408 ( .A1(n65968), .A2(n72156), .B1(n65967), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1153) );
+  sky130_fd_sc_hd__o21ai_0 U87418 ( .A1(n78529), .A2(n78258), .B1(n70522), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N429) );
+  sky130_fd_sc_hd__o21ai_0 U87431 ( .A1(n78275), .A2(n78274), .B1(n78273), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N351) );
+  sky130_fd_sc_hd__o21ai_0 U87515 ( .A1(n65334), .A2(n64700), .B1(n64699), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[29]) );
+  sky130_fd_sc_hd__o21ai_0 U87517 ( .A1(n65334), .A2(n65916), .B1(n65915), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[24]) );
+  sky130_fd_sc_hd__o21ai_0 U87609 ( .A1(n65334), .A2(n66378), .B1(n66377), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[17]) );
+  sky130_fd_sc_hd__o21ai_0 U87824 ( .A1(n65334), .A2(n66730), .B1(n66729), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[12]) );
+  sky130_fd_sc_hd__o21ai_0 U87846 ( .A1(n65334), .A2(n65942), .B1(n65941), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[10]) );
+  sky130_fd_sc_hd__o21ai_0 U87858 ( .A1(n78442), .A2(n76121), .B1(n76120), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[26]) );
+  sky130_fd_sc_hd__clkinv_1 U87871 ( .A(n65465), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N150) );
+  sky130_fd_sc_hd__and2_0 U87879 ( .A(n62846), .B(n69796), .X(n86075) );
+  sky130_fd_sc_hd__o21ai_0 U87882 ( .A1(n72574), .A2(n72573), .B1(n72572), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N41) );
+  sky130_fd_sc_hd__o21ai_0 U87913 ( .A1(n63513), .A2(n63530), .B1(n37040), .Y(
+        n36099) );
+  sky130_fd_sc_hd__nand2_2 U87926 ( .A(n73554), .B(n86752), .Y(n36100) );
+  sky130_fd_sc_hd__o21ai_0 U87983 ( .A1(n63525), .A2(n63524), .B1(n36846), .Y(
+        n36122) );
+  sky130_fd_sc_hd__and2_0 U88042 ( .A(n36124), .B(n62082), .X(n86056) );
+  sky130_fd_sc_hd__and2_0 U88126 ( .A(n36132), .B(n62081), .X(n86031) );
+  sky130_fd_sc_hd__o21ai_0 U88158 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_tcnt[0]), .A2(n80973), .B1(n80956), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N123)
+         );
+  sky130_fd_sc_hd__o21ai_0 U88168 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_tcnt[0]), .A2(n80657), .B1(n80640), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_phy_N123) );
+  sky130_fd_sc_hd__and2_0 U88174 ( .A(n85287), .B(n62086), .X(n86057) );
+  sky130_fd_sc_hd__and2_0 U88237 ( .A(n85670), .B(n62086), .X(n85968) );
+  sky130_fd_sc_hd__and2_0 U88260 ( .A(n85835), .B(n62086), .X(n85975) );
+  sky130_fd_sc_hd__o21ai_0 U88511 ( .A1(n81271), .A2(n81279), .B1(n81270), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxq_N51) );
+  sky130_fd_sc_hd__o21ai_0 U88535 ( .A1(n79029), .A2(n79028), .B1(n79027), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_N32) );
+  sky130_fd_sc_hd__o21ai_0 U88541 ( .A1(n77270), .A2(n77269), .B1(n77271), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_1_uart_1_rxm_prescaler_next[1]) );
+  sky130_fd_sc_hd__o21ai_0 U88619 ( .A1(n63525), .A2(n73548), .B1(n49230), .Y(
+        n36126) );
+  sky130_fd_sc_hd__o21ai_0 U88626 ( .A1(n84199), .A2(n84197), .B1(n36801), .Y(
+        n36134) );
+  sky130_fd_sc_hd__o21ai_0 U88706 ( .A1(n81051), .A2(n81054), .B1(n81050), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxq_N41) );
+  sky130_fd_sc_hd__o21ai_0 U88709 ( .A1(n79298), .A2(n79297), .B1(n79296), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_N32) );
+  sky130_fd_sc_hd__o21ai_0 U88714 ( .A1(n77383), .A2(n77382), .B1(n77384), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_4_uart_4_rxm_prescaler_next[5]) );
+  sky130_fd_sc_hd__o21ai_0 U88779 ( .A1(n68437), .A2(n63700), .B1(n63699), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm__elapsed_center_T_3) );
+  sky130_fd_sc_hd__clkinv_1 U88789 ( .A(n67050), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_pwm_0_pwm_N54) );
+  sky130_fd_sc_hd__o21ai_0 U88847 ( .A1(n68437), .A2(n63500), .B1(n63499), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__elapsed_center_T_3) );
+  sky130_fd_sc_hd__o21ai_0 U88851 ( .A1(n83794), .A2(n83799), .B1(n83804), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_atomics_N156) );
+  sky130_fd_sc_hd__o21ai_0 U88856 ( .A1(n83514), .A2(n83527), .B1(n83525), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_N188) );
+  sky130_fd_sc_hd__o21ai_0 U88872 ( .A1(n74036), .A2(n74039), .B1(n74038), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_ram_N211) );
+  sky130_fd_sc_hd__o21ai_0 U88884 ( .A1(n72875), .A2(n76247), .B1(n72874), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_io_btb_resp_bht_history[0]) );
+  sky130_fd_sc_hd__o21ai_0 U88886 ( .A1(n72857), .A2(n76247), .B1(n72856), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_io_btb_resp_bht_history[2]) );
+  sky130_fd_sc_hd__o21ai_0 U88891 ( .A1(n72839), .A2(n76247), .B1(n72838), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf_io_btb_resp_bht_history[4]) );
+  sky130_fd_sc_hd__o21ai_0 U88893 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .A2(n72828), .B1(n72824), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N277) );
+  sky130_fd_sc_hd__o21ai_0 U88950 ( .A1(n83821), .A2(n70476), .B1(n83116), .Y(
+        n36582) );
+  sky130_fd_sc_hd__o21ai_0 U88974 ( .A1(n73121), .A2(n73120), .B1(n73119), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N448) );
+  sky130_fd_sc_hd__o21ai_0 U88975 ( .A1(n78529), .A2(n68562), .B1(n68561), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N425) );
+  sky130_fd_sc_hd__o21ai_0 U88984 ( .A1(n70398), .A2(n70397), .B1(n70396), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N792) );
+  sky130_fd_sc_hd__o21ai_0 U88989 ( .A1(n78502), .A2(n78523), .B1(n73124), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1046) );
+  sky130_fd_sc_hd__o21ai_0 U89026 ( .A1(n70500), .A2(n70507), .B1(n70499), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1022) );
+  sky130_fd_sc_hd__o21ai_0 U89099 ( .A1(n70017), .A2(n72156), .B1(n70016), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1154) );
+  sky130_fd_sc_hd__o21ai_0 U89123 ( .A1(n86301), .A2(n66424), .B1(n66349), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1290) );
+  sky130_fd_sc_hd__o21ai_0 U89168 ( .A1(n78554), .A2(n78248), .B1(n66356), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1258) );
+  sky130_fd_sc_hd__o21ai_0 U89177 ( .A1(n78537), .A2(n70009), .B1(n70008), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1515) );
+  sky130_fd_sc_hd__o21ai_0 U89182 ( .A1(n78295), .A2(n78286), .B1(n78285), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N855) );
+  sky130_fd_sc_hd__o21ai_0 U89218 ( .A1(n56005), .A2(n83946), .B1(n55636), .Y(
+        n85160) );
+  sky130_fd_sc_hd__o21ai_0 U89272 ( .A1(n73340), .A2(n72136), .B1(n72135), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N101) );
+  sky130_fd_sc_hd__o21ai_0 U89308 ( .A1(n64156), .A2(n64155), .B1(n64167), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_ctrl_decoder_11[1]) );
+  sky130_fd_sc_hd__o21ai_0 U89328 ( .A1(n64241), .A2(n78376), .B1(n64240), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N755) );
+  sky130_fd_sc_hd__o21ai_0 U89398 ( .A1(n79823), .A2(n79873), .B1(n79822), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N368) );
+  sky130_fd_sc_hd__o21ai_0 U89419 ( .A1(n79725), .A2(n79724), .B1(n79723), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N438) );
+  sky130_fd_sc_hd__o21ai_0 U89421 ( .A1(n77538), .A2(n55046), .B1(n55486), .Y(
+        n85083) );
+  sky130_fd_sc_hd__o21ai_0 U89465 ( .A1(n80998), .A2(n81001), .B1(n80997), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_fifo_rxq_N41)
+         );
+  sky130_fd_sc_hd__and2_0 U89474 ( .A(n73873), .B(n85286), .X(n86050) );
+  sky130_fd_sc_hd__o21ai_0 U89479 ( .A1(n80696), .A2(n83631), .B1(n80695), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N41) );
+  sky130_fd_sc_hd__o21ai_0 U89514 ( .A1(n80689), .A2(n80699), .B1(n80686), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_fifo_rxq_N51) );
+  sky130_fd_sc_hd__clkinv_1 U89515 ( .A(n67455), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N61) );
+  sky130_fd_sc_hd__o21ai_0 U89518 ( .A1(n67055), .A2(n67054), .B1(n86752), .Y(
+        n36162) );
+  sky130_fd_sc_hd__o21ai_0 U89521 ( .A1(n67052), .A2(n67054), .B1(n37037), .Y(
+        n36164) );
+  sky130_fd_sc_hd__o21ai_0 U89540 ( .A1(n61971), .A2(n84178), .B1(n36801), .Y(
+        n36184) );
+  sky130_fd_sc_hd__o21ai_0 U89543 ( .A1(n84179), .A2(n84178), .B1(n36846), .Y(
+        n36185) );
+  sky130_fd_sc_hd__o21ai_0 U89549 ( .A1(n67265), .A2(n67264), .B1(n49230), .Y(
+        n36166) );
+  sky130_fd_sc_hd__o21ai_0 U89589 ( .A1(
+        MarmotCaravelChip_dut_sys_iof_0_iof_0_14_i_ival), .A2(n79899), .B1(
+        n79898), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N515) );
+  sky130_fd_sc_hd__o21ai_0 U89591 ( .A1(
+        MarmotCaravelChip_dut_sys_iof_0_iof_0_13_i_ival), .A2(n79897), .B1(
+        n79896), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N514) );
+  sky130_fd_sc_hd__clkinv_1 U89617 ( .A(n36811), .Y(n86351) );
+  sky130_fd_sc_hd__and2_0 U89622 ( .A(n85287), .B(n62084), .X(n86058) );
+  sky130_fd_sc_hd__and2_0 U89657 ( .A(n85285), .B(n62084), .X(n85998) );
+  sky130_fd_sc_hd__and2_0 U89659 ( .A(n85835), .B(n62084), .X(n85981) );
+  sky130_fd_sc_hd__o21ai_0 U89732 ( .A1(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_inSyncReg[29]), 
+        .A2(n80100), .B1(n79914), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N530) );
+  sky130_fd_sc_hd__and2_0 U89860 ( .A(n85287), .B(n62085), .X(n86059) );
+  sky130_fd_sc_hd__and2_0 U89875 ( .A(n85670), .B(n62085), .X(n85970) );
+  sky130_fd_sc_hd__and2_0 U89877 ( .A(n79913), .B(n62085), .X(n85994) );
+  sky130_fd_sc_hd__clkinv_1 U89879 ( .A(n36812), .Y(n86349) );
+  sky130_fd_sc_hd__clkinv_1 U89904 ( .A(n36848), .Y(n37039) );
+  sky130_fd_sc_hd__o21ai_0 U89908 ( .A1(n80132), .A2(n80036), .B1(n79982), .Y(
+        MarmotCaravelChip_dut_sys_gpioClockDomainWrapper_gpio_0_N496) );
+  sky130_fd_sc_hd__inv_2 U89919 ( .A(n49022), .Y(n85049) );
+  sky130_fd_sc_hd__o21ai_0 U89956 ( .A1(n55367), .A2(n55366), .B1(n55365), .Y(
+        n85137) );
+  sky130_fd_sc_hd__o21ai_0 U89973 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_auto_qspi_0_mem_xing_in_d_bits_source[5]), .A2(n47605), .B1(n47604), .Y(n85006) );
+  sky130_fd_sc_hd__o21ai_0 U89982 ( .A1(n73748), .A2(n73760), .B1(n63507), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_out_xbar_N158) );
+  sky130_fd_sc_hd__o21ai_0 U89987 ( .A1(n83645), .A2(n83647), .B1(n55274), .Y(
+        n85144) );
+  sky130_fd_sc_hd__buf_1 U90022 ( .A(n84994), .X(n37006) );
+  sky130_fd_sc_hd__and2_0 U90023 ( .A(n63310), .B(n62861), .X(n86093) );
+  sky130_fd_sc_hd__and2_0 U90068 ( .A(n63171), .B(n76332), .X(n85959) );
+  sky130_fd_sc_hd__o21ai_0 U90277 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_qspi_0_1_fragmenter_repeater_io_full), .A2(n55124), .B1(n55123), .Y(n85701) );
+  sky130_fd_sc_hd__o21ai_0 U90278 ( .A1(n83775), .A2(n76314), .B1(n76313), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_coupler_to_device_named_uart_1_fragmenter_N23) );
+  sky130_fd_sc_hd__o21ai_0 U90328 ( .A1(n84003), .A2(n36368), .B1(n84010), .Y(
+        n36310) );
+  sky130_fd_sc_hd__o21ai_0 U90329 ( .A1(n84010), .A2(n84006), .B1(n84005), .Y(
+        n36309) );
+  sky130_fd_sc_hd__o21ai_0 U90332 ( .A1(n79732), .A2(n79495), .B1(n79494), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N446) );
+  sky130_fd_sc_hd__o21ai_0 U90433 ( .A1(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_bitCnt[0]), .A2(
+        n79724), .B1(n79723), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_i2c_0_N436) );
+  sky130_fd_sc_hd__nand2_2 U90444 ( .A(n79880), .B(n36801), .Y(n36773) );
+  sky130_fd_sc_hd__o21ai_0 U90446 ( .A1(n81564), .A2(n81478), .B1(n81442), .Y(
+        n36326) );
+  sky130_fd_sc_hd__o21ai_0 U90448 ( .A1(n36331), .A2(n81488), .B1(n78661), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N44) );
+  sky130_fd_sc_hd__o21ai_0 U90468 ( .A1(
+        MarmotCaravelChip_dut_sys_aon_1_aon__out_T_759[12]), .A2(n78721), .B1(
+        n78718), .Y(MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N56) );
+  sky130_fd_sc_hd__o21ai_0 U90501 ( .A1(n78724), .A2(n81920), .B1(n78722), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N57) );
+  sky130_fd_sc_hd__o21ai_0 U90515 ( .A1(n36330), .A2(n78805), .B1(n78591), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N28) );
+  sky130_fd_sc_hd__o21ai_0 U90585 ( .A1(n78646), .A2(n78648), .B1(n78645), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_rtc_N41) );
+  sky130_fd_sc_hd__o21ai_0 U90595 ( .A1(n81513), .A2(n81478), .B1(n81442), .Y(
+        n36324) );
+  sky130_fd_sc_hd__o21ai_0 U90627 ( .A1(n77769), .A2(n77770), .B1(n84234), .Y(
+        MarmotCaravelChip_dut_sys_aon_1_aon_wdog__ip_T_2) );
+  sky130_fd_sc_hd__o21ai_0 U90739 ( .A1(n83781), .A2(n83782), .B1(n83780), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_1_bundleIn_0_d_q_N59)
+         );
+  sky130_fd_sc_hd__o21ai_0 U90751 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_auto_icache_slave_in_d_bits_size[1]), .A2(n82502), .B1(n54993), .Y(n84827) );
+  sky130_fd_sc_hd__clkinv_1 U90766 ( .A(n73257), .Y(n86483) );
+  sky130_fd_sc_hd__o21ai_0 U90779 ( .A1(n64247), .A2(n78376), .B1(n64246), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N756) );
+  sky130_fd_sc_hd__o21ai_0 U90810 ( .A1(n76188), .A2(n76236), .B1(n76187), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N637) );
+  sky130_fd_sc_hd__o21ai_0 U90853 ( .A1(n72786), .A2(n73341), .B1(n72785), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1[14]) );
+  sky130_fd_sc_hd__o21ai_0 U90892 ( .A1(n68428), .A2(n76236), .B1(n68427), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N657) );
+  sky130_fd_sc_hd__o21ai_0 U90926 ( .A1(n76220), .A2(n76236), .B1(n76219), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N656) );
+  sky130_fd_sc_hd__o21ai_0 U90932 ( .A1(n75778), .A2(n76236), .B1(n75777), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N654) );
+  sky130_fd_sc_hd__o21ai_0 U90976 ( .A1(n76214), .A2(n76236), .B1(n76213), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N652) );
+  sky130_fd_sc_hd__o21ai_0 U90997 ( .A1(n54893), .A2(n54895), .B1(n54892), .Y(
+        n85771) );
+  sky130_fd_sc_hd__o21ai_0 U91023 ( .A1(n37894), .A2(n76236), .B1(n76180), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_N634) );
+  sky130_fd_sc_hd__o21ai_0 U91049 ( .A1(n82449), .A2(n82450), .B1(n82448), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_3_bundleOut_0_a_q_N59) );
+  sky130_fd_sc_hd__o21ai_0 U91070 ( .A1(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_auto_plic_in_d_bits_source[1]), .A2(n83441), .B1(n54866), .Y(n85156) );
+  sky130_fd_sc_hd__o21ai_0 U91072 ( .A1(n77550), .A2(n85780), .B1(n77549), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_auto_coupler_to_plic_fragmenter_out_a_bits_address[5]) );
+  sky130_fd_sc_hd__o21ai_0 U91083 ( .A1(n78339), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .B1(n78324), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[6]) );
+  sky130_fd_sc_hd__o21ai_0 U91103 ( .A1(n78329), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_full), .B1(n78328), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_plic_fragmenter_repeater_io_deq_bits_address[12]) );
+  sky130_fd_sc_hd__o21ai_0 U91636 ( .A1(n83462), .A2(n83461), .B1(n37037), .Y(
+        n85890) );
+  sky130_fd_sc_hd__o21ai_0 U91646 ( .A1(n77563), .A2(n77562), .B1(n77561), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_auto_out_a_bits_address[4]) );
+  sky130_fd_sc_hd__o21ai_0 U91649 ( .A1(n77559), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_full), .B1(n77558), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_auto_out_a_bits_address[3]) );
+  sky130_fd_sc_hd__o21ai_0 U91651 ( .A1(n78345), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_full), .B1(n78344), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_deq_bits_address[11]) );
+  sky130_fd_sc_hd__o21ai_0 U91652 ( .A1(n78339), .A2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_full), .B1(n78338), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_aon_fragmenter_repeater_io_deq_bits_address[6]) );
+  sky130_fd_sc_hd__o21ai_0 U91685 ( .A1(n76697), .A2(n76696), .B1(n76695), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[15]) );
+  sky130_fd_sc_hd__o21ai_0 U91689 ( .A1(n54780), .A2(n56070), .B1(n54779), .Y(
+        n85720) );
+  sky130_fd_sc_hd__o21ai_0 U91697 ( .A1(n78347), .A2(n54725), .B1(n54724), .Y(
+        n85727) );
+  sky130_fd_sc_hd__o21ai_0 U91718 ( .A1(n78347), .A2(n54694), .B1(n54693), .Y(
+        n85731) );
+  sky130_fd_sc_hd__o21ai_0 U91720 ( .A1(n78347), .A2(n54664), .B1(n54663), .Y(
+        n85723) );
+  sky130_fd_sc_hd__o21ai_0 U91724 ( .A1(n78347), .A2(n54634), .B1(n54633), .Y(
+        n85710) );
+  sky130_fd_sc_hd__o21ai_0 U91732 ( .A1(n78347), .A2(n54604), .B1(n54603), .Y(
+        n85711) );
+  sky130_fd_sc_hd__o21ai_0 U91745 ( .A1(n78347), .A2(n54554), .B1(n54553), .Y(
+        n85706) );
+  sky130_fd_sc_hd__o21ai_0 U91747 ( .A1(n78347), .A2(n54544), .B1(n54543), .Y(
+        n85733) );
+  sky130_fd_sc_hd__o21ai_0 U91770 ( .A1(n83191), .A2(n83190), .B1(n83189), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1__GEN_13_0_) );
+  sky130_fd_sc_hd__o21ai_0 U91789 ( .A1(n83223), .A2(n83178), .B1(n79910), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_1_N41) );
+  sky130_fd_sc_hd__clkinv_1 U91901 ( .A(n83175), .Y(n86285) );
+  sky130_fd_sc_hd__clkinv_1 U91905 ( .A(n83136), .Y(n86284) );
+  sky130_fd_sc_hd__o21ai_0 U91906 ( .A1(n83223), .A2(n83092), .B1(n79910), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N41) );
+  sky130_fd_sc_hd__clkinv_1 U91922 ( .A(n83091), .Y(n86283) );
+  sky130_fd_sc_hd__o21ai_0 U91990 ( .A1(n83268), .A2(n83273), .B1(n83276), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_N172) );
+  sky130_fd_sc_hd__o21ai_0 U92247 ( .A1(n54342), .A2(n83357), .B1(n54341), .Y(
+        n85155) );
+  sky130_fd_sc_hd__o21ai_0 U92340 ( .A1(n83479), .A2(n83488), .B1(n83487), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_N88) );
+  sky130_fd_sc_hd__o2bb2ai_1 U92417 ( .B1(n83243), .B2(n38491), .A1_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[27]), .A2_N(n54319), .Y(n84639) );
+  sky130_fd_sc_hd__o2bb2ai_1 U92436 ( .B1(n83241), .B2(n38491), .A1_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[25]), .A2_N(n54319), .Y(n84633) );
+  sky130_fd_sc_hd__o2bb2ai_1 U92439 ( .B1(n83238), .B2(n38491), .A1_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[22]), .A2_N(n54319), .Y(n84624) );
+  sky130_fd_sc_hd__o2bb2ai_1 U92447 ( .B1(n83236), .B2(n38491), .A1_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[20]), .A2_N(n54319), .Y(n84618) );
+  sky130_fd_sc_hd__o2bb2ai_1 U92456 ( .B1(n41573), .B2(n38491), .A1_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[17]), .A2_N(n54319), .Y(n84609) );
+  sky130_fd_sc_hd__o2bb2ai_1 U92541 ( .B1(n83231), .B2(n38491), .A1_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[15]), .A2_N(n54319), .Y(n84603) );
+  sky130_fd_sc_hd__o2bb2ai_1 U92565 ( .B1(n41572), .B2(n38491), .A1_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[13]), .A2_N(n54319), .Y(n84597) );
+  sky130_fd_sc_hd__o2bb2ai_1 U92627 ( .B1(n83227), .B2(n38491), .A1_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[10]), .A2_N(n54319), .Y(n84588) );
+  sky130_fd_sc_hd__o2bb2ai_1 U92630 ( .B1(n83225), .B2(n38491), .A1_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[8]), .A2_N(n54319), .Y(n84582) );
+  sky130_fd_sc_hd__o21ai_0 U92634 ( .A1(n83023), .A2(n83022), .B1(n83021), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N572) );
+  sky130_fd_sc_hd__o21ai_0 U92663 ( .A1(n83816), .A2(n83815), .B1(n36846), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_N39) );
+  sky130_fd_sc_hd__o21ai_0 U92721 ( .A1(n84012), .A2(n82465), .B1(n82464), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_N59) );
+  sky130_fd_sc_hd__o21ai_0 U92787 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_release_state[0]), .A2(n41331), .B1(n41332), .Y(n84526) );
+  sky130_fd_sc_hd__o21ai_0 U92822 ( .A1(n54182), .A2(n54798), .B1(n54181), .Y(
+        n84519) );
+  sky130_fd_sc_hd__o21ai_0 U92873 ( .A1(n64245), .A2(n78376), .B1(n64244), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N758) );
+  sky130_fd_sc_hd__o2bb2ai_1 U92898 ( .B1(n83246), .B2(n38491), .A1_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[29]), .A2_N(n54319), .Y(n84468) );
+  sky130_fd_sc_hd__o21ai_0 U92899 ( .A1(n76471), .A2(n76470), .B1(n76469), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_pstore1_storegen_data[4]) );
+  sky130_fd_sc_hd__o2bb2ai_1 U92910 ( .B1(n83219), .B2(n38491), .A1_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[2]), .A2_N(n54319), .Y(n84449) );
+  sky130_fd_sc_hd__o21ai_0 U92912 ( .A1(n74124), .A2(n83033), .B1(n74123), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N544) );
+  sky130_fd_sc_hd__o21ai_0 U92917 ( .A1(n83812), .A2(n83999), .B1(n83811), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_pbus_buffer_bundleOut_0_a_q_N59)
+         );
+  sky130_fd_sc_hd__o21ai_0 U92955 ( .A1(n83349), .A2(n83348), .B1(n83347), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_fragmenter_N28) );
+  sky130_fd_sc_hd__o21ai_0 U92961 ( .A1(n83323), .A2(n36848), .B1(n83322), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_mbus_coupler_to_device_named_qspi_ram_0_buffer_bundleOut_0_a_q_N257) );
+  sky130_fd_sc_hd__o21ai_0 U92962 ( .A1(n53499), .A2(n38491), .B1(n53498), .Y(
+        n84395) );
+  sky130_fd_sc_hd__o21ai_0 U92967 ( .A1(n81371), .A2(n37041), .B1(n81370), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxq_N41) );
+  sky130_fd_sc_hd__o21ai_0 U92991 ( .A1(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_del_cntr[0]), .A2(n80911), .B1(n80907), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N156)
+         );
+  sky130_fd_sc_hd__and2_0 U93026 ( .A(n62923), .B(n36801), .X(n86280) );
+  sky130_fd_sc_hd__o21ai_0 U93035 ( .A1(n73340), .A2(n63899), .B1(n63732), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N68) );
+  sky130_fd_sc_hd__o21ai_0 U93038 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .A2(n73099), .B1(n63882), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N67) );
+  sky130_fd_sc_hd__o21ai_0 U93042 ( .A1(n37259), .A2(n72456), .B1(n69477), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N308) );
+  sky130_fd_sc_hd__o21ai_0 U93054 ( .A1(n73340), .A2(n54954), .B1(n69152), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N50) );
+  sky130_fd_sc_hd__o21ai_0 U93081 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .A2(n65363), .B1(n65362), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N48) );
+  sky130_fd_sc_hd__o21ai_0 U93113 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[1]), .A2(n54963), .B1(n68615), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N46) );
+  sky130_fd_sc_hd__o21ai_0 U93143 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .A2(n68540), .B1(n68536), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N288) );
+  sky130_fd_sc_hd__o21ai_0 U93183 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n65182), .B1(n65180), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N124) );
+  sky130_fd_sc_hd__clkinv_1 U93190 ( .A(n73048), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_bits_bht_value) );
+  sky130_fd_sc_hd__o21ai_0 U93231 ( .A1(n73340), .A2(n73171), .B1(n73170), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N102) );
+  sky130_fd_sc_hd__o21ai_0 U93333 ( .A1(n73340), .A2(n72409), .B1(n65548), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N51) );
+  sky130_fd_sc_hd__o21ai_0 U93340 ( .A1(n73340), .A2(n72745), .B1(n72744), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N22) );
+  sky130_fd_sc_hd__o21ai_0 U93342 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[2]), .A2(n72409), .B1(n65547), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N132) );
+  sky130_fd_sc_hd__o21ai_0 U93365 ( .A1(n76239), .A2(n73171), .B1(n73168), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N264) );
+  sky130_fd_sc_hd__o21ai_0 U93397 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[3]), .A2(n72368), .B1(n68715), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N214) );
+  sky130_fd_sc_hd__o21ai_0 U93442 ( .A1(n37259), .A2(n73171), .B1(n73167), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N345) );
+  sky130_fd_sc_hd__o21ai_0 U93446 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_io_mask[4]), .A2(n72368), .B1(n68714), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_fq_N295) );
+  sky130_fd_sc_hd__clkinv_1 U93466 ( .A(n37075), .Y(n86287) );
+  sky130_fd_sc_hd__or2_0 U93483 ( .A(
+        MarmotCaravelChip_dut_sys_uart_0_rxd_uart_rxd_sync_output_chain_sync_2), .B(n36848), .X(
+        MarmotCaravelChip_dut_sys_uart_0_rxd_uart_rxd_sync_output_chain_N1) );
+  sky130_fd_sc_hd__o21ai_0 U93493 ( .A1(n74149), .A2(n83033), .B1(n74148), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_N545) );
+  sky130_fd_sc_hd__o2bb2ai_1 U93523 ( .B1(n83224), .B2(n38491), .A1_N(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_address[7]), .A2_N(n54319), .Y(n84344) );
+  sky130_fd_sc_hd__o21ai_0 U93534 ( .A1(n77588), .A2(n77587), .B1(n77586), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_2_N23) );
+  sky130_fd_sc_hd__o21ai_0 U93632 ( .A1(n83097), .A2(n77590), .B1(n77589), .Y(
+        MarmotCaravelChip_dut_sys_subsystem_l2_wrapper_broadcast_1_TLBroadcastTracker_3_N24) );
+  sky130_fd_sc_hd__o21ai_0 U93724 ( .A1(n80665), .A2(n80664), .B1(n80663), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_1_qspi_ram_0_mac_N76)
+         );
+  sky130_fd_sc_hd__o21ai_0 U93727 ( .A1(n62853), .A2(n67054), .B1(n79910), .Y(
+        n36161) );
+  sky130_fd_sc_hd__o21ai_0 U93793 ( .A1(n80890), .A2(n80854), .B1(n80853), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N241)
+         );
+  sky130_fd_sc_hd__o21ai_0 U93836 ( .A1(n80424), .A2(n84178), .B1(n36801), .Y(
+        n36183) );
+  sky130_fd_sc_hd__o21ai_0 U93842 ( .A1(n79606), .A2(n79619), .B1(n79605), .Y(
+        MarmotCaravelChip_dut_sys_i2cClockDomainWrapper_1_i2c_1_N408) );
+  sky130_fd_sc_hd__o21ai_0 U93928 ( .A1(n56950), .A2(n52688), .B1(n52687), .Y(
+        n84832) );
+  sky130_fd_sc_hd__o21ai_0 U93942 ( .A1(n80910), .A2(n80904), .B1(n80903), .Y(
+        MarmotCaravelChip_dut_sys_qspiClockDomainWrapper_qspi_0_mac_phy_N194)
+         );
+  sky130_fd_sc_hd__o21ai_0 U93953 ( .A1(n73495), .A2(n73494), .B1(n73493), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N54) );
+  sky130_fd_sc_hd__o21ai_0 U93954 ( .A1(n56950), .A2(n51818), .B1(n51817), .Y(
+        n84836) );
+  sky130_fd_sc_hd__o21ai_0 U93966 ( .A1(n56950), .A2(n51458), .B1(n51457), .Y(
+        n84834) );
+  sky130_fd_sc_hd__clkinv_1 U93995 ( .A(n76425), .Y(n85487) );
+  sky130_fd_sc_hd__o21ai_0 U93999 ( .A1(n80232), .A2(n80245), .B1(n80231), .Y(
+        MarmotCaravelChip_dut_sys_spiClockDomainWrapper_spi_0_mac_phy_N241) );
+  sky130_fd_sc_hd__inv_4 U94025 ( .A(n37041), .Y(n36846) );
+  sky130_fd_sc_hd__o21ai_0 U94029 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_size[1]), .A2(n69710), .B1(n65133), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N475) );
+  sky130_fd_sc_hd__o21ai_0 U94032 ( .A1(n67374), .A2(n73494), .B1(n67373), .Y(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_2_pwm_2_pwm_N40) );
+  sky130_fd_sc_hd__o21ai_0 U94037 ( .A1(n83297), .A2(n48521), .B1(n48520), .Y(
+        n84547) );
+  sky130_fd_sc_hd__o21ai_0 U94049 ( .A1(n48371), .A2(n56509), .B1(n48370), .Y(
+        n84508) );
+  sky130_fd_sc_hd__o21ai_0 U94070 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_size[1]), .A2(n66765), .B1(n66708), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N488) );
+  sky130_fd_sc_hd__o21ai_0 U94096 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_size[1]), .A2(n66754), .B1(n66753), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N478) );
+  sky130_fd_sc_hd__o21ai_0 U94134 ( .A1(n57517), .A2(n46098), .B1(n46097), .Y(
+        n84499) );
+  sky130_fd_sc_hd__o21ai_0 U94137 ( .A1(n65334), .A2(n65544), .B1(n65543), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_id_rs_1[14]) );
+  sky130_fd_sc_hd__o21ai_0 U94152 ( .A1(n70804), .A2(n36798), .B1(n45345), .Y(
+        n84296) );
+  sky130_fd_sc_hd__o21ai_0 U94156 ( .A1(n69591), .A2(n69148), .B1(n69147), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N568) );
+  sky130_fd_sc_hd__and2_0 U94160 ( .A(n72712), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ex_reg_xcpt_interrupt), .X(n86096) );
+  sky130_fd_sc_hd__o21ai_0 U94161 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_size[1]), .A2(n66760), .B1(n66759), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N476) );
+  sky130_fd_sc_hd__o21ai_0 U94176 ( .A1(n78529), .A2(n72215), .B1(n72214), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N436) );
+  sky130_fd_sc_hd__o21ai_0 U94183 ( .A1(n63905), .A2(n36798), .B1(n44709), .Y(
+        n84292) );
+  sky130_fd_sc_hd__o21ai_0 U94187 ( .A1(n72153), .A2(n68472), .B1(n68471), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_reg_wdata_T[16]) );
+  sky130_fd_sc_hd__o21ai_0 U94209 ( .A1(n78529), .A2(n72316), .B1(n72315), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N432) );
+  sky130_fd_sc_hd__o21ai_0 U94215 ( .A1(n44095), .A2(n57252), .B1(n44094), .Y(
+        n84539) );
+  sky130_fd_sc_hd__o21ai_0 U94256 ( .A1(n68588), .A2(n70078), .B1(n68587), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N563) );
+  sky130_fd_sc_hd__o21ai_0 U94260 ( .A1(n69591), .A2(n69209), .B1(n69208), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N580) );
+  sky130_fd_sc_hd__o21ai_0 U94278 ( .A1(n57252), .A2(n43696), .B1(n43695), .Y(
+        n84549) );
+  sky130_fd_sc_hd__o21ai_0 U94296 ( .A1(n78490), .A2(n78495), .B1(n78489), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_csr_N1081) );
+  sky130_fd_sc_hd__o21ai_0 U94442 ( .A1(n70129), .A2(n72573), .B1(n70128), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_div_N42) );
+  sky130_fd_sc_hd__o21ai_0 U94459 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_size[1]), .A2(n66745), .B1(n66744), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N481) );
+  sky130_fd_sc_hd__o21ai_0 U94466 ( .A1(n82453), .A2(n82454), .B1(n82452), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_e_q_N24) );
+  sky130_fd_sc_hd__o21ai_0 U94467 ( .A1(n71568), .A2(n36798), .B1(n41249), .Y(
+        n84284) );
+  sky130_fd_sc_hd__clkinv_1 U94482 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_ram_csb0[2]), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_data_arrays_0_0_ext_N1) );
+  sky130_fd_sc_hd__o21ai_0 U94542 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcacheArb_io_mem_req_bits_size[1]), .A2(n66779), .B1(n66743), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_N483) );
+  sky130_fd_sc_hd__o21ai_0 U94579 ( .A1(n69035), .A2(n36798), .B1(n40811), .Y(
+        n84280) );
+  sky130_fd_sc_hd__o21ai_0 U94595 ( .A1(n70810), .A2(n36798), .B1(n40581), .Y(
+        n84341) );
+  sky130_fd_sc_hd__o21ai_0 U94617 ( .A1(n73251), .A2(n73250), .B1(n73249), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_ibuf__buf_data_T_1[0]) );
+  sky130_fd_sc_hd__o21ai_0 U94624 ( .A1(n77234), .A2(n77233), .B1(n77235), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_next[1]) );
+  sky130_fd_sc_hd__o21ai_0 U94643 ( .A1(n77230), .A2(n77229), .B1(n77232), .Y(
+        MarmotCaravelChip_dut_sys_uartClockDomainWrapper_uart_0_rxm_prescaler_next[11]) );
+  sky130_fd_sc_hd__o21ai_0 U94646 ( .A1(n84246), .A2(n84235), .B1(n84244), .Y(
+        n447) );
+  sky130_fd_sc_hd__o21ai_0 U94661 ( .A1(n79550), .A2(n79547), .B1(n79546), .Y(
+        MarmotCaravelChip_dut_sys_dtm_dmiAccessChain_N167) );
+  sky130_fd_sc_hd__o21ai_0 U94733 ( .A1(n79526), .A2(n84250), .B1(n79525), .Y(
+        MarmotCaravelChip_dut_sys_dtm_dtmInfoChain_N21) );
+  sky130_fd_sc_hd__o21ai_0 U94740 ( .A1(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_currState[1]), 
+        .A2(n79540), .B1(n79535), .Y(
+        MarmotCaravelChip_dut_sys_dtm_tapIO_controllerInternal_stateMachine_N33) );
+  sky130_fd_sc_hd__a31o_1 U94779 ( .A1(n61200), .A2(wbs_we_i), .A3(
+        wbs_sel_i[0]), .B1(wb_rst_i), .X(n4) );
+  sky130_fd_sc_hd__a31o_1 U94806 ( .A1(n61200), .A2(wbs_we_i), .A3(
+        wbs_sel_i[1]), .B1(wb_rst_i), .X(n13) );
+  sky130_fd_sc_hd__a31o_1 U94808 ( .A1(n61200), .A2(wbs_we_i), .A3(
+        wbs_sel_i[3]), .B1(wb_rst_i), .X(n86599) );
+  sky130_fd_sc_hd__clkinv_1 U95019 ( .A(n60175), .Y(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_dataArb_io_out_bits_wdata[6]) );
+  sky130_fd_sc_hd__clkinv_1 U95040 ( .A(n60883), .Y(n86593) );
+  sky130_fd_sc_hd__conb_1 U95041 ( .LO(n36852), .HI(net247089) );
+  sky130_fd_sc_hd__clkinv_1 U95089 ( .A(net247089), .Y(user_irq[0]) );
+  sky130_fd_sc_hd__clkinv_1 U95092 ( .A(net247089), .Y(user_irq[1]) );
+  sky130_fd_sc_hd__clkinv_1 U95108 ( .A(net247089), .Y(user_irq[2]) );
+  sky130_fd_sc_hd__clkinv_1 U95137 ( .A(n36852), .Y(io_oeb[0]) );
+  sky130_fd_sc_hd__clkinv_1 U95146 ( .A(n36852), .Y(io_oeb[2]) );
+  sky130_fd_sc_hd__clkinv_1 U95180 ( .A(n36852), .Y(io_oeb[3]) );
+  sky130_fd_sc_hd__clkinv_1 U95220 ( .A(n36852), .Y(io_oeb[4]) );
+  sky130_fd_sc_hd__clkinv_1 U95223 ( .A(n36852), .Y(io_oeb[5]) );
+  sky130_fd_sc_hd__clkinv_1 U95232 ( .A(net247089), .Y(io_oeb[6]) );
+  sky130_fd_sc_hd__clkinv_1 U95238 ( .A(net247089), .Y(io_oeb[8]) );
+  sky130_fd_sc_hd__clkinv_1 U95239 ( .A(net247089), .Y(io_oeb[9]) );
+  sky130_fd_sc_hd__clkinv_1 U95244 ( .A(net247089), .Y(io_oeb[20]) );
+  sky130_fd_sc_hd__clkinv_1 U95273 ( .A(net247089), .Y(io_oeb[21]) );
+  sky130_fd_sc_hd__clkinv_1 U95284 ( .A(net247089), .Y(io_out[0]) );
+  sky130_fd_sc_hd__clkinv_1 U95323 ( .A(net247089), .Y(io_out[2]) );
+  sky130_fd_sc_hd__clkinv_1 U95326 ( .A(net247089), .Y(io_out[3]) );
+  sky130_fd_sc_hd__clkinv_1 U95331 ( .A(net247089), .Y(io_out[4]) );
+  sky130_fd_sc_hd__clkinv_1 U95332 ( .A(net247089), .Y(io_out[5]) );
+  sky130_fd_sc_hd__clkinv_1 U95347 ( .A(net247089), .Y(la_data_out[32]) );
+  sky130_fd_sc_hd__clkinv_1 U95348 ( .A(net247089), .Y(la_data_out[33]) );
+  sky130_fd_sc_hd__clkinv_1 U95349 ( .A(net247089), .Y(la_data_out[34]) );
+  sky130_fd_sc_hd__clkinv_1 U95351 ( .A(net247089), .Y(la_data_out[35]) );
+  sky130_fd_sc_hd__clkinv_1 U95363 ( .A(net247089), .Y(la_data_out[36]) );
+  sky130_fd_sc_hd__clkinv_1 U95364 ( .A(net247089), .Y(la_data_out[37]) );
+  sky130_fd_sc_hd__clkinv_1 U95365 ( .A(net247089), .Y(la_data_out[38]) );
+  sky130_fd_sc_hd__clkinv_1 U95366 ( .A(net247089), .Y(la_data_out[39]) );
+  sky130_fd_sc_hd__clkinv_1 U95367 ( .A(net247089), .Y(la_data_out[40]) );
+  sky130_fd_sc_hd__clkinv_1 U95383 ( .A(net247089), .Y(la_data_out[41]) );
+  sky130_fd_sc_hd__clkinv_1 U95386 ( .A(net247089), .Y(la_data_out[42]) );
+  sky130_fd_sc_hd__clkinv_1 U95389 ( .A(net247089), .Y(la_data_out[43]) );
+  sky130_fd_sc_hd__clkinv_1 U95391 ( .A(net247089), .Y(la_data_out[44]) );
+  sky130_fd_sc_hd__clkinv_1 U95410 ( .A(net247089), .Y(la_data_out[45]) );
+  sky130_fd_sc_hd__clkinv_1 U95413 ( .A(net247089), .Y(la_data_out[46]) );
+  sky130_fd_sc_hd__clkinv_1 U95416 ( .A(net247089), .Y(la_data_out[47]) );
+  sky130_fd_sc_hd__clkinv_1 U95425 ( .A(net247089), .Y(la_data_out[48]) );
+  sky130_fd_sc_hd__clkinv_1 U95427 ( .A(net247089), .Y(la_data_out[49]) );
+  sky130_fd_sc_hd__clkinv_1 U95433 ( .A(net247089), .Y(la_data_out[50]) );
+  sky130_fd_sc_hd__clkinv_1 U95435 ( .A(net247089), .Y(la_data_out[51]) );
+  sky130_fd_sc_hd__clkinv_1 U95447 ( .A(net247089), .Y(la_data_out[52]) );
+  sky130_fd_sc_hd__clkinv_1 U95472 ( .A(net247089), .Y(la_data_out[53]) );
+  sky130_fd_sc_hd__clkinv_1 U95473 ( .A(net247089), .Y(la_data_out[54]) );
+  sky130_fd_sc_hd__clkinv_1 U95478 ( .A(net247089), .Y(la_data_out[55]) );
+  sky130_fd_sc_hd__clkinv_1 U95480 ( .A(net247089), .Y(la_data_out[56]) );
+  sky130_fd_sc_hd__clkinv_1 U95501 ( .A(net247089), .Y(la_data_out[57]) );
+  sky130_fd_sc_hd__clkinv_1 U95508 ( .A(net247089), .Y(la_data_out[58]) );
+  sky130_fd_sc_hd__clkinv_1 U95510 ( .A(net247089), .Y(la_data_out[59]) );
+  sky130_fd_sc_hd__clkinv_1 U95511 ( .A(net247089), .Y(la_data_out[60]) );
+  sky130_fd_sc_hd__clkinv_1 U95518 ( .A(net247089), .Y(la_data_out[61]) );
+  sky130_fd_sc_hd__clkinv_1 U95525 ( .A(net247089), .Y(la_data_out[62]) );
+  sky130_fd_sc_hd__clkinv_1 U95533 ( .A(net247089), .Y(la_data_out[63]) );
+  sky130_fd_sc_hd__clkinv_1 U95537 ( .A(net247089), .Y(la_data_out[64]) );
+  sky130_fd_sc_hd__clkinv_1 U95542 ( .A(net247089), .Y(la_data_out[65]) );
+  sky130_fd_sc_hd__clkinv_1 U95557 ( .A(net247089), .Y(la_data_out[66]) );
+  sky130_fd_sc_hd__clkinv_1 U95643 ( .A(net247089), .Y(la_data_out[67]) );
+  sky130_fd_sc_hd__clkinv_1 U95663 ( .A(net247089), .Y(la_data_out[68]) );
+  sky130_fd_sc_hd__clkinv_1 U95672 ( .A(net247089), .Y(la_data_out[69]) );
+  sky130_fd_sc_hd__clkinv_1 U95679 ( .A(net247089), .Y(la_data_out[70]) );
+  sky130_fd_sc_hd__clkinv_1 U95688 ( .A(net247089), .Y(la_data_out[71]) );
+  sky130_fd_sc_hd__clkinv_1 U95702 ( .A(net247089), .Y(la_data_out[72]) );
+  sky130_fd_sc_hd__clkinv_1 U95708 ( .A(net247089), .Y(la_data_out[73]) );
+  sky130_fd_sc_hd__clkinv_1 U95712 ( .A(net247089), .Y(la_data_out[74]) );
+  sky130_fd_sc_hd__clkinv_1 U95718 ( .A(net247089), .Y(la_data_out[75]) );
+  sky130_fd_sc_hd__clkinv_1 U95797 ( .A(net247089), .Y(la_data_out[76]) );
+  sky130_fd_sc_hd__clkinv_1 U95812 ( .A(net247089), .Y(la_data_out[77]) );
+  sky130_fd_sc_hd__clkinv_1 U95826 ( .A(net247089), .Y(la_data_out[78]) );
+  sky130_fd_sc_hd__clkinv_1 U95854 ( .A(net247089), .Y(la_data_out[79]) );
+  sky130_fd_sc_hd__clkinv_1 U95877 ( .A(net247089), .Y(la_data_out[80]) );
+  sky130_fd_sc_hd__clkinv_1 U95903 ( .A(net247089), .Y(la_data_out[81]) );
+  sky130_fd_sc_hd__clkinv_1 U95920 ( .A(net247089), .Y(la_data_out[82]) );
+  sky130_fd_sc_hd__clkinv_1 U96076 ( .A(net247089), .Y(la_data_out[83]) );
+  sky130_fd_sc_hd__clkinv_1 U96091 ( .A(net247089), .Y(la_data_out[84]) );
+  sky130_fd_sc_hd__clkinv_1 U96106 ( .A(net247089), .Y(la_data_out[85]) );
+  sky130_fd_sc_hd__clkinv_1 U96113 ( .A(net247089), .Y(la_data_out[86]) );
+  sky130_fd_sc_hd__clkinv_1 U96122 ( .A(net247089), .Y(la_data_out[87]) );
+  sky130_fd_sc_hd__clkinv_1 U96144 ( .A(net247089), .Y(la_data_out[88]) );
+  sky130_fd_sc_hd__clkinv_1 U96151 ( .A(net247089), .Y(la_data_out[89]) );
+  sky130_fd_sc_hd__clkinv_1 U96187 ( .A(net247089), .Y(la_data_out[90]) );
+  sky130_fd_sc_hd__clkinv_1 U96210 ( .A(net247089), .Y(la_data_out[91]) );
+  sky130_fd_sc_hd__clkinv_1 U96230 ( .A(net247089), .Y(la_data_out[92]) );
+  sky130_fd_sc_hd__clkinv_1 U96232 ( .A(net247089), .Y(la_data_out[93]) );
+  sky130_fd_sc_hd__clkinv_1 U96239 ( .A(net247089), .Y(la_data_out[94]) );
+  sky130_fd_sc_hd__clkinv_1 U96251 ( .A(net247089), .Y(la_data_out[95]) );
+  sky130_fd_sc_hd__clkinv_1 U96261 ( .A(net247089), .Y(la_data_out[96]) );
+  sky130_fd_sc_hd__clkinv_1 U96265 ( .A(net247089), .Y(la_data_out[97]) );
+  sky130_fd_sc_hd__clkinv_1 U96273 ( .A(net247089), .Y(la_data_out[98]) );
+  sky130_fd_sc_hd__clkinv_1 U96278 ( .A(net247089), .Y(la_data_out[99]) );
+  sky130_fd_sc_hd__clkinv_1 U96309 ( .A(net247089), .Y(la_data_out[100]) );
+  sky130_fd_sc_hd__clkinv_1 U96319 ( .A(net247089), .Y(la_data_out[101]) );
+  sky130_fd_sc_hd__clkinv_1 U96340 ( .A(net247089), .Y(la_data_out[102]) );
+  sky130_fd_sc_hd__clkinv_1 U96348 ( .A(net247089), .Y(la_data_out[103]) );
+  sky130_fd_sc_hd__clkinv_1 U96392 ( .A(net247089), .Y(la_data_out[104]) );
+  sky130_fd_sc_hd__clkinv_1 U96422 ( .A(net247089), .Y(la_data_out[105]) );
+  sky130_fd_sc_hd__clkinv_1 U96447 ( .A(net247089), .Y(la_data_out[106]) );
+  sky130_fd_sc_hd__clkinv_1 U96457 ( .A(net247089), .Y(la_data_out[107]) );
+  sky130_fd_sc_hd__clkinv_1 U96469 ( .A(net247089), .Y(la_data_out[108]) );
+  sky130_fd_sc_hd__clkinv_1 U96471 ( .A(net247089), .Y(la_data_out[109]) );
+  sky130_fd_sc_hd__clkinv_1 U96483 ( .A(net247089), .Y(la_data_out[110]) );
+  sky130_fd_sc_hd__clkinv_1 U96485 ( .A(net247089), .Y(la_data_out[111]) );
+  sky130_fd_sc_hd__clkinv_1 U96497 ( .A(net247089), .Y(la_data_out[112]) );
+  sky130_fd_sc_hd__clkinv_1 U96533 ( .A(net247089), .Y(la_data_out[113]) );
+  sky130_fd_sc_hd__clkinv_1 U96549 ( .A(net247089), .Y(la_data_out[114]) );
+  sky130_fd_sc_hd__clkinv_1 U96556 ( .A(net247089), .Y(la_data_out[115]) );
+  sky130_fd_sc_hd__clkinv_1 U96578 ( .A(net247089), .Y(la_data_out[116]) );
+  sky130_fd_sc_hd__clkinv_1 U96610 ( .A(net247089), .Y(la_data_out[117]) );
+  sky130_fd_sc_hd__clkinv_1 U96620 ( .A(net247089), .Y(la_data_out[118]) );
+  sky130_fd_sc_hd__clkinv_1 U96634 ( .A(net247089), .Y(la_data_out[119]) );
+  sky130_fd_sc_hd__clkinv_1 U96640 ( .A(net247089), .Y(la_data_out[120]) );
+  sky130_fd_sc_hd__clkinv_1 U96650 ( .A(net247089), .Y(la_data_out[121]) );
+  sky130_fd_sc_hd__clkinv_1 U96686 ( .A(net247089), .Y(la_data_out[122]) );
+  sky130_fd_sc_hd__clkinv_1 U96697 ( .A(net247089), .Y(la_data_out[123]) );
+  sky130_fd_sc_hd__clkinv_1 U96708 ( .A(net247089), .Y(la_data_out[124]) );
+  sky130_fd_sc_hd__clkinv_1 U96712 ( .A(net247089), .Y(la_data_out[125]) );
+  sky130_fd_sc_hd__clkinv_1 U96714 ( .A(net247089), .Y(la_data_out[126]) );
+  sky130_fd_sc_hd__clkinv_1 U96790 ( .A(net247089), .Y(la_data_out[127]) );
+  sky130_fd_sc_hd__inv_2 U96818 ( .A(n36722), .Y(n36723) );
+  sky130_fd_sc_hd__clkinv_1 U96840 ( .A(n61074), .Y(n61075) );
+  sky130_fd_sc_hd__and2_0 U96864 ( .A(n79879), .B(n86753), .X(n37072) );
+  sky130_fd_sc_hd__inv_1 U96877 ( .A(n38872), .Y(n38865) );
+  sky130_fd_sc_hd__clkinv_1 U96968 ( .A(
+        MarmotCaravelChip_dut_sys_plicDomainWrapper_plic_fanin_io_prio_37[1]), 
+        .Y(n38542) );
+  sky130_fd_sc_hd__clkinv_1 U97056 ( .A(n37075), .Y(n86297) );
+  sky130_fd_sc_hd__clkinv_1 U97065 ( .A(n37075), .Y(n86286) );
+  sky130_fd_sc_hd__clkinv_1 U97154 ( .A(n37075), .Y(n86294) );
+  sky130_fd_sc_hd__and4_1 U97160 ( .A(n36767), .B(n37457), .C(n61942), .D(
+        n61938), .X(n86725) );
+  sky130_fd_sc_hd__inv_6 U97257 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_addr[3]), .Y(n37253) );
+  sky130_fd_sc_hd__o21ai_2 U97344 ( .A1(n39567), .A2(n72714), .B1(n39565), .Y(
+        n39658) );
+  sky130_fd_sc_hd__a22oi_1 U97353 ( .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_br_target_b4_1[0]), .A2(n39584), .B1(n39593), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core__mem_br_target_T_5[1]), .Y(n39565) );
+  sky130_fd_sc_hd__a21oi_2 U97379 ( .A1(n39646), .A2(n39581), .B1(n39580), .Y(
+        n39582) );
+  sky130_fd_sc_hd__nand3_1 U97403 ( .A(n39846), .B(n39845), .C(n39844), .Y(
+        n59984) );
+  sky130_fd_sc_hd__xnor2_1 U97408 ( .A(n86726), .B(n68830), .Y(n37466) );
+  sky130_fd_sc_hd__or3_1 U97447 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_io_imem_bht_update_bits_branch), .B(n72751), .C(n73163), .X(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_btb_update_bits_isValid) );
+  sky130_fd_sc_hd__or2_2 U97460 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[1]), .B(n39658), .X(n39660) );
+  sky130_fd_sc_hd__mux2_1 U97490 ( .A0(n62867), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[23]), .S(n70191), .X(n86110) );
+  sky130_fd_sc_hd__nand2_1 U97511 ( .A(n37687), .B(n39777), .Y(n39826) );
+  sky130_fd_sc_hd__mux2i_2 U97528 ( .A0(n62894), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[26]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .Y(n69397) );
+  sky130_fd_sc_hd__a21oi_1 U97542 ( .A1(n39759), .A2(n39578), .B1(n39577), .Y(
+        n39704) );
+  sky130_fd_sc_hd__nand2_2 U97558 ( .A(n39658), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_reg_pc[1]), .Y(n39659) );
+  sky130_fd_sc_hd__o21ai_1 U97581 ( .A1(n39690), .A2(n39754), .B1(n39689), .Y(
+        n39697) );
+  sky130_fd_sc_hd__mux2i_1 U97590 ( .A0(n62897), .A1(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_bpu_io_ea[15]), .S(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_core_mem_ctrl_jalr), .Y(n72341) );
+  sky130_fd_sc_hd__a21oi_1 U97591 ( .A1(n39826), .A2(n39825), .B1(n39824), .Y(
+        n39830) );
+  sky130_fd_sc_hd__inv_1 U97595 ( .A(n85520), .Y(n62956) );
+  sky130_fd_sc_hd__nor3_2 U97640 ( .A(n58411), .B(n58410), .C(n58409), .Y(
+        n58416) );
+  sky130_fd_sc_hd__o21ai_4 U97642 ( .A1(n43032), .A2(n42985), .B1(n42984), .Y(
+        n43013) );
+  sky130_fd_sc_hd__nand2_4 U97650 ( .A(n85331), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_btb_io_resp_valid), .Y(n42985) );
+  sky130_fd_sc_hd__inv_6 U97659 ( .A(n68932), .Y(n72504) );
+  sky130_fd_sc_hd__buf_2 U97660 ( .A(n42377), .X(n37016) );
+  sky130_fd_sc_hd__bufinv_16 U97694 ( .A(n37253), .Y(n37256) );
+  sky130_fd_sc_hd__bufinv_8 U97744 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_addr[6]), .Y(n37272) );
+  sky130_fd_sc_hd__inv_2 U97750 ( .A(n36759), .Y(n86727) );
+  sky130_fd_sc_hd__clkinv_2 U97752 ( .A(n86727), .Y(n86728) );
+  sky130_fd_sc_hd__inv_1 U97777 ( .A(n36758), .Y(n36759) );
+  sky130_fd_sc_hd__inv_2 U97785 ( .A(n36754), .Y(n86729) );
+  sky130_fd_sc_hd__clkinv_2 U97810 ( .A(n86729), .Y(n86730) );
+  sky130_fd_sc_hd__inv_1 U97907 ( .A(n36753), .Y(n36754) );
+  sky130_fd_sc_hd__inv_8 U97910 ( .A(n37248), .Y(n37250) );
+  sky130_fd_sc_hd__inv_8 U97942 ( .A(n37248), .Y(n37242) );
+  sky130_fd_sc_hd__inv_8 U97953 ( .A(n37248), .Y(n37241) );
+  sky130_fd_sc_hd__inv_4 U97976 ( .A(n36723), .Y(n36679) );
+  sky130_fd_sc_hd__clkinv_4 U97985 ( .A(n86734), .Y(n86731) );
+  sky130_fd_sc_hd__buf_12 U97988 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_addr[5]), .X(n36657) );
+  sky130_fd_sc_hd__buf_12 U97994 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_addr[5]), .X(n36807) );
+  sky130_fd_sc_hd__buf_12 U97997 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_addr[5]), .X(n36783) );
+  sky130_fd_sc_hd__buf_12 U98012 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_data_arrays_0_RW0_addr[5]), .X(n36658) );
+  sky130_fd_sc_hd__clkinv_4 U98026 ( .A(n36739), .Y(n86732) );
+  sky130_fd_sc_hd__clkinv_4 U98033 ( .A(n36739), .Y(n86733) );
+  sky130_fd_sc_hd__inv_2 U98036 ( .A(n36724), .Y(n86734) );
+  sky130_fd_sc_hd__inv_2 U98039 ( .A(n36722), .Y(n36724) );
+  sky130_fd_sc_hd__inv_8 U98045 ( .A(n36784), .Y(n36661) );
+  sky130_fd_sc_hd__inv_8 U98048 ( .A(n36784), .Y(n36663) );
+  sky130_fd_sc_hd__inv_8 U98054 ( .A(n36784), .Y(n36662) );
+  sky130_fd_sc_hd__a22oi_2 U98262 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[16]), .B1(n54277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[47]), .Y(n41574) );
+  sky130_fd_sc_hd__clkinv_1 U98266 ( .A(n59692), .Y(n86370) );
+  sky130_fd_sc_hd__nor2_2 U98288 ( .A(n47919), .B(n47920), .Y(n63517) );
+  sky130_fd_sc_hd__nor2_2 U98297 ( .A(n62999), .B(n63024), .Y(n64261) );
+  sky130_fd_sc_hd__nor2_2 U98366 ( .A(n77644), .B(n41744), .Y(n57588) );
+  sky130_fd_sc_hd__nor2_2 U98393 ( .A(n63035), .B(n63023), .Y(n69311) );
+  sky130_fd_sc_hd__nor2_4 U98401 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleIn_0_d_q_N43), .B(n84012), .Y(n60940) );
+  sky130_fd_sc_hd__nor2_1 U98404 ( .A(n42391), .B(n42359), .Y(n42352) );
+  sky130_fd_sc_hd__nor2_4 U98406 ( .A(n61061), .B(n47996), .Y(n86736) );
+  sky130_fd_sc_hd__nor3_4 U98418 ( .A(n61091), .B(n63471), .C(n73779), .Y(
+        n61092) );
+  sky130_fd_sc_hd__nor2_2 U98419 ( .A(n63022), .B(n63021), .Y(n66629) );
+  sky130_fd_sc_hd__o22ai_4 U98475 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_io_full), .A2(n84863), .B1(n83355), .B2(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_coupler_to_bootrom_fragmenter_repeater_saved_address[6]), .Y(n41624) );
+  sky130_fd_sc_hd__a22oi_2 U98480 ( .A1(n54307), .A2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[17]), .B1(n54277), .B2(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_buffer_1_bundleOut_0_a_q_ram_address[48]), .Y(n41573) );
+  sky130_fd_sc_hd__nor2_4 U98499 ( .A(n56581), .B(n52699), .Y(n56981) );
+  sky130_fd_sc_hd__clkinv_2 U98529 ( .A(
+        MarmotCaravelChip_dut_sys_pwmClockDomainWrapper_1_pwm_1_pwm__countReset_feed_T_1[0]), .Y(n49105) );
+  sky130_fd_sc_hd__and2_4 U98569 ( .A(n57120), .B(n57422), .X(n86738) );
+  sky130_fd_sc_hd__or2_0 U98571 ( .A(n47997), .B(n47996), .X(n36768) );
+  sky130_fd_sc_hd__a21oi_2 U98575 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics__GEN_39[0]), .A2(
+        n41405), .B1(n41406), .Y(n41456) );
+  sky130_fd_sc_hd__a22oi_2 U98579 ( .A1(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_atomics_cam_a_0_bits_param[2]), .A2(n41399), .B1(n41398), .B2(n41503), .Y(n41505) );
+  sky130_fd_sc_hd__clkinv_1 U98641 ( .A(n64200), .Y(n65147) );
+  sky130_fd_sc_hd__inv_2 U98662 ( .A(n76497), .Y(n58818) );
+  sky130_fd_sc_hd__or2_0 U98673 ( .A(n38236), .B(n59412), .X(n86743) );
+  sky130_fd_sc_hd__clkinv_1 U98682 ( .A(n77399), .Y(n83509) );
+  sky130_fd_sc_hd__and3_1 U98683 ( .A(n86757), .B(n86758), .C(n86759), .X(
+        n86748) );
+  sky130_fd_sc_hd__nor2_2 U98702 ( .A(n75817), .B(n73712), .Y(n86497) );
+  sky130_fd_sc_hd__and2_0 U98853 ( .A(n77411), .B(n40213), .X(n86749) );
+  sky130_fd_sc_hd__or3_1 U98856 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[7]), .B(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_counter[6]), .C(n77451), .X(n86750) );
+  sky130_fd_sc_hd__and3_1 U98881 ( .A(n86754), .B(n86755), .C(n86756), .X(
+        n86751) );
+  sky130_fd_sc_hd__clkinv_1 U98883 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_frontend_icache_counter[7]), .Y(n74044) );
+  sky130_fd_sc_hd__clkinv_1 U98928 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_dcache_io_cpu_perf_release_counter[7]), .Y(n77418) );
+  sky130_fd_sc_hd__buf_2 U98962 ( .A(n79910), .X(n86753) );
+  sky130_fd_sc_hd__clkbuf_4 U98963 ( .A(n79910), .X(n86752) );
+  sky130_fd_sc_hd__inv_2 U99049 ( .A(n60453), .Y(n83476) );
+  sky130_fd_sc_hd__inv_2 U99050 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[2]), .Y(n86755) );
+  sky130_fd_sc_hd__inv_2 U99071 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_wrapped_error_device_error_a_last_counter[1]), .Y(n86754) );
+  sky130_fd_sc_hd__inv_2 U99080 ( .A(n41297), .Y(n41301) );
+  sky130_fd_sc_hd__inv_2 U99101 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_beatsLeft[5]), .Y(n86758) );
+  sky130_fd_sc_hd__inv_2 U99125 ( .A(
+        MarmotCaravelChip_dut_sys_tile_prci_domain_tile_reset_domain_tile_tlMasterXbar_beatsLeft[1]), .Y(n86757) );
+  sky130_fd_sc_hd__nor2_1 U99126 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft[1]), .B(
+        MarmotCaravelChip_dut_sys_subsystem_cbus_out_xbar_beatsLeft[3]), .Y(
+        n41631) );
+  sky130_fd_sc_hd__nor2_1 U99145 ( .A(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_beatsLeft[4]), 
+        .B(
+        MarmotCaravelChip_dut_sys_subsystem_sbus_system_bus_xbar_beatsLeft[5]), 
+        .Y(n41812) );
+endmodule
+